From 1d3e86fec5ba52f04aefbb676c4d03446264c2c8 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Wed, 21 Oct 2009 18:01:48 +0200 Subject: [PATCH] bsp2 fail :( --- bsp2/Designflow/sim/beh/modelsim.ini | 1305 +++ bsp2/Designflow/sim/beh/vsim.wlf | Bin 0 -> 65536 bytes bsp2/Designflow/sim/beh/work/@_opt/_deps | Bin 0 -> 6080 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt0bs2x8 | Bin 0 -> 1580 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt0cjzjx | Bin 0 -> 384 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt0yenjz | Bin 0 -> 840 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt1957w4 | Bin 0 -> 773 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt1iz797 | Bin 0 -> 1180 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt2z1zfr | 78 + bsp2/Designflow/sim/beh/work/@_opt/vopt3m54vn | Bin 0 -> 1277 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt3tamac | Bin 0 -> 224 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt5mkn60 | Bin 0 -> 15586 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt6ys38z | Bin 0 -> 8815 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt7d04k7 | Bin 0 -> 3258 bytes bsp2/Designflow/sim/beh/work/@_opt/vopt7ict39 | Bin 0 -> 1838 bytes bsp2/Designflow/sim/beh/work/@_opt/voptac7ek2 | Bin 0 -> 4545 bytes bsp2/Designflow/sim/beh/work/@_opt/voptaktcq1 | Bin 0 -> 352 bytes bsp2/Designflow/sim/beh/work/@_opt/voptbsw4zv | Bin 0 -> 7704 bytes bsp2/Designflow/sim/beh/work/@_opt/voptch0esh | Bin 0 -> 11433 bytes bsp2/Designflow/sim/beh/work/@_opt/voptebbdqt | Bin 0 -> 1340 bytes bsp2/Designflow/sim/beh/work/@_opt/voptejdczt | Bin 0 -> 4987 bytes bsp2/Designflow/sim/beh/work/@_opt/voptfbaa2n | Bin 0 -> 596 bytes bsp2/Designflow/sim/beh/work/@_opt/voptfk8v07 | Bin 0 -> 3529 bytes bsp2/Designflow/sim/beh/work/@_opt/voptfwi5me | Bin 0 -> 4824 bytes bsp2/Designflow/sim/beh/work/@_opt/voptg1qkds | Bin 0 -> 6440 bytes bsp2/Designflow/sim/beh/work/@_opt/voptghbm62 | 417 + bsp2/Designflow/sim/beh/work/@_opt/voptgt6ndk | Bin 0 -> 5559 bytes bsp2/Designflow/sim/beh/work/@_opt/voptgw99md | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/voptgxqqnc | 238 + bsp2/Designflow/sim/beh/work/@_opt/vopti55zgy | Bin 0 -> 248 bytes bsp2/Designflow/sim/beh/work/@_opt/voptikcy5f | Bin 0 -> 171 bytes bsp2/Designflow/sim/beh/work/@_opt/voptinez7z | Bin 0 -> 2525 bytes bsp2/Designflow/sim/beh/work/@_opt/voptjxs6b5 | Bin 0 -> 2661 bytes bsp2/Designflow/sim/beh/work/@_opt/voptkiwmfh | Bin 0 -> 21168 bytes bsp2/Designflow/sim/beh/work/@_opt/voptkzqyc7 | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/voptmbg596 | Bin 0 -> 572 bytes bsp2/Designflow/sim/beh/work/@_opt/voptnfby50 | Bin 0 -> 1547 bytes bsp2/Designflow/sim/beh/work/@_opt/voptqhiwfn | Bin 0 -> 8860 bytes bsp2/Designflow/sim/beh/work/@_opt/voptqrfa3s | Bin 0 -> 458 bytes bsp2/Designflow/sim/beh/work/@_opt/vopts422ii | Bin 0 -> 2315 bytes bsp2/Designflow/sim/beh/work/@_opt/voptszb1wz | Bin 0 -> 4144 bytes bsp2/Designflow/sim/beh/work/@_opt/voptvshyjf | Bin 0 -> 45512 bytes bsp2/Designflow/sim/beh/work/@_opt/voptvsmqcj | Bin 0 -> 37208 bytes bsp2/Designflow/sim/beh/work/@_opt/voptxabtjv | Bin 0 -> 875 bytes bsp2/Designflow/sim/beh/work/@_opt/voptz36f0c | Bin 0 -> 571 bytes bsp2/Designflow/sim/beh/work/_info | 234 + bsp2/Designflow/sim/beh/work/_vmake | 3 + .../sim/beh/work/board_driver/_primary.dat | Bin 0 -> 352 bytes .../sim/beh/work/board_driver/_primary.dbs | Bin 0 -> 773 bytes .../sim/beh/work/board_driver/behav.dat | Bin 0 -> 1547 bytes .../sim/beh/work/board_driver/behav.dbs | Bin 0 -> 4824 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dat | Bin 0 -> 1277 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dbs | Bin 0 -> 2661 bytes bsp2/Designflow/sim/beh/work/vga/behav.dat | Bin 0 -> 3258 bytes bsp2/Designflow/sim/beh/work/vga/behav.dbs | Bin 0 -> 8815 bytes .../sim/beh/work/vga_conf_beh/_primary.dat | Bin 0 -> 171 bytes .../sim/beh/work/vga_conf_beh/_primary.dbs | Bin 0 -> 458 bytes .../sim/beh/work/vga_control/_primary.dat | Bin 0 -> 596 bytes .../sim/beh/work/vga_control/_primary.dbs | Bin 0 -> 1340 bytes .../sim/beh/work/vga_control/behav.dat | Bin 0 -> 1580 bytes .../sim/beh/work/vga_control/behav.dbs | Bin 0 -> 4987 bytes .../sim/beh/work/vga_driver/_primary.dat | Bin 0 -> 875 bytes .../sim/beh/work/vga_driver/_primary.dbs | Bin 0 -> 1838 bytes .../sim/beh/work/vga_driver/behav.dat | Bin 0 -> 5559 bytes .../sim/beh/work/vga_driver/behav.dbs | Bin 0 -> 15586 bytes .../sim/beh/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/beh/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/beh/work/vga_tb/_primary.dat | Bin 0 -> 224 bytes .../sim/beh/work/vga_tb/_primary.dbs | Bin 0 -> 571 bytes .../sim/beh/work/vga_tb/behaviour.dat | Bin 0 -> 2525 bytes .../sim/beh/work/vga_tb/behaviour.dbs | Bin 0 -> 6440 bytes bsp2/Designflow/src/board_driver_arc.vhd | 102 + bsp2/Designflow/src/board_driver_ent.vhd | 42 + bsp2/Designflow/src/modelsim.ini | 1305 +++ bsp2/Designflow/src/vga.hex | 4097 ++++++++ bsp2/Designflow/src/vga_arc.vhd | 223 + bsp2/Designflow/src/vga_beh_tb.vhd | 194 + bsp2/Designflow/src/vga_control_arc.vhd | 129 + bsp2/Designflow/src/vga_control_arc.vhd~ | 129 + bsp2/Designflow/src/vga_control_ent.vhd | 53 + bsp2/Designflow/src/vga_driver_arc.vhd | 402 + bsp2/Designflow/src/vga_driver_ent.vhd | 60 + bsp2/Designflow/src/vga_ent.vhd | 73 + bsp2/Designflow/src/vga_pak.vhd | 85 + bsp2/Designflow/src/vga_pll.bdf | 847 ++ bsp2/Designflow/src/vga_pll.tcl | 184 + bsp2/Designflow/src/vga_pos_tb.vhd | 198 + bsp2/Designflow/src/vga_pre_tb.vhd | 197 + bsp2/Designflow/src/vpll.bsf | 49 + bsp2/Designflow/src/vpll.vhd | 274 + bsp2/Designflow/syn/rev_1/.recordref | 0 bsp2/Designflow/syn/rev_1/backup/vga.srr | 33 + bsp2/Designflow/syn/rev_1/rpt_vga.areasrr | 174 + bsp2/Designflow/syn/rev_1/rpt_vga_areasrr.htm | 193 + bsp2/Designflow/syn/rev_1/run_options.txt | 71 + bsp2/Designflow/syn/rev_1/scratchproject.prs | 71 + bsp2/Designflow/syn/rev_1/syntmp/sap.log | 13 + .../syn/rev_1/syntmp/sap_log_flink.htm | 8 + .../syn/rev_1/syntmp/sap_log_srr.htm | 0 bsp2/Designflow/syn/rev_1/syntmp/vga.msg | 22 + bsp2/Designflow/syn/rev_1/syntmp/vga.plg | 13 + .../syn/rev_1/syntmp/vga_cons_ui.tcl | 5 + .../syn/rev_1/syntmp/vga_driver_arc_flink.htm | 7 + .../Designflow/syn/rev_1/syntmp/vga_flink.htm | 8 + bsp2/Designflow/syn/rev_1/syntmp/vga_srr.htm | 315 + bsp2/Designflow/syn/rev_1/syntmp/vga_toc.htm | 17 + bsp2/Designflow/syn/rev_1/verif/vga.vif | 141 + bsp2/Designflow/syn/rev_1/vga.fse | 0 bsp2/Designflow/syn/rev_1/vga.htm | 12 + bsp2/Designflow/syn/rev_1/vga.map | 1 + bsp2/Designflow/syn/rev_1/vga.sap | 153 + bsp2/Designflow/syn/rev_1/vga.srd | Bin 0 -> 27844 bytes bsp2/Designflow/syn/rev_1/vga.srm | 8984 +++++++++++++++++ bsp2/Designflow/syn/rev_1/vga.srr | 312 + bsp2/Designflow/syn/rev_1/vga.srs | 685 ++ bsp2/Designflow/syn/rev_1/vga.sxr | 377 + bsp2/Designflow/syn/rev_1/vga.szr | Bin 0 -> 14253 bytes bsp2/Designflow/syn/rev_1/vga.tcl | 41 + bsp2/Designflow/syn/rev_1/vga.tlg | 12 + bsp2/Designflow/syn/rev_1/vga.vhm | 6862 +++++++++++++ bsp2/Designflow/syn/rev_1/vga.vqm | 6206 ++++++++++++ bsp2/Designflow/syn/rev_1/vga.xrf | 343 + bsp2/Designflow/syn/rev_1/vga_cons.tcl | 6 + bsp2/Designflow/syn/rev_1/vga_rm.tcl | 12 + bsp2/Designflow/syn/vga.prd | 13 + bsp2/Designflow/syn/vga.prj | 71 + bsp2/transcript | 13 + 127 files changed, 36112 insertions(+) create mode 100644 bsp2/Designflow/sim/beh/modelsim.ini create mode 100644 bsp2/Designflow/sim/beh/vsim.wlf create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/_deps create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt0bs2x8 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt0cjzjx create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt0yenjz create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt1957w4 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt1iz797 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt2z1zfr create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt3m54vn create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt3tamac create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt5mkn60 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt6ys38z create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt7d04k7 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopt7ict39 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptac7ek2 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptaktcq1 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptbsw4zv create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptch0esh create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptebbdqt create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptejdczt create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptfbaa2n create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptfk8v07 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptfwi5me create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptg1qkds create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptghbm62 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptgt6ndk create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptgw99md create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptgxqqnc create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopti55zgy create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptikcy5f create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptinez7z create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptjxs6b5 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptkiwmfh create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptkzqyc7 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptmbg596 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptnfby50 create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptqhiwfn create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptqrfa3s create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/vopts422ii create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptszb1wz create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptvshyjf create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptvsmqcj create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptxabtjv create mode 100644 bsp2/Designflow/sim/beh/work/@_opt/voptz36f0c create mode 100644 bsp2/Designflow/sim/beh/work/_info create mode 100644 bsp2/Designflow/sim/beh/work/_vmake create mode 100644 bsp2/Designflow/sim/beh/work/board_driver/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/board_driver/behav.dat create mode 100644 bsp2/Designflow/sim/beh/work/board_driver/behav.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga/behav.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga/behav.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_control/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_control/behav.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_control/behav.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_driver/behav.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_tb/_primary.dbs create mode 100644 bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat create mode 100644 bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs create mode 100644 bsp2/Designflow/src/board_driver_arc.vhd create mode 100644 bsp2/Designflow/src/board_driver_ent.vhd create mode 100644 bsp2/Designflow/src/modelsim.ini create mode 100644 bsp2/Designflow/src/vga.hex create mode 100644 bsp2/Designflow/src/vga_arc.vhd create mode 100644 bsp2/Designflow/src/vga_beh_tb.vhd create mode 100644 bsp2/Designflow/src/vga_control_arc.vhd create mode 100644 bsp2/Designflow/src/vga_control_arc.vhd~ create mode 100644 bsp2/Designflow/src/vga_control_ent.vhd create mode 100644 bsp2/Designflow/src/vga_driver_arc.vhd create mode 100644 bsp2/Designflow/src/vga_driver_ent.vhd create mode 100644 bsp2/Designflow/src/vga_ent.vhd create mode 100644 bsp2/Designflow/src/vga_pak.vhd create mode 100755 bsp2/Designflow/src/vga_pll.bdf create mode 100755 bsp2/Designflow/src/vga_pll.tcl create mode 100644 bsp2/Designflow/src/vga_pos_tb.vhd create mode 100644 bsp2/Designflow/src/vga_pre_tb.vhd create mode 100644 bsp2/Designflow/src/vpll.bsf create mode 100644 bsp2/Designflow/src/vpll.vhd create mode 100644 bsp2/Designflow/syn/rev_1/.recordref create mode 100644 bsp2/Designflow/syn/rev_1/backup/vga.srr create mode 100644 bsp2/Designflow/syn/rev_1/rpt_vga.areasrr create mode 100644 bsp2/Designflow/syn/rev_1/rpt_vga_areasrr.htm create mode 100644 bsp2/Designflow/syn/rev_1/run_options.txt create mode 100644 bsp2/Designflow/syn/rev_1/scratchproject.prs create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/sap.log create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/sap_log_flink.htm create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/sap_log_srr.htm create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga.msg create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga.plg create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga_flink.htm create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga_srr.htm create mode 100644 bsp2/Designflow/syn/rev_1/syntmp/vga_toc.htm create mode 100644 bsp2/Designflow/syn/rev_1/verif/vga.vif create mode 100644 bsp2/Designflow/syn/rev_1/vga.fse create mode 100644 bsp2/Designflow/syn/rev_1/vga.htm create mode 100644 bsp2/Designflow/syn/rev_1/vga.map create mode 100644 bsp2/Designflow/syn/rev_1/vga.sap create mode 100644 bsp2/Designflow/syn/rev_1/vga.srd create mode 100644 bsp2/Designflow/syn/rev_1/vga.srm create mode 100644 bsp2/Designflow/syn/rev_1/vga.srr create mode 100644 bsp2/Designflow/syn/rev_1/vga.srs create mode 100644 bsp2/Designflow/syn/rev_1/vga.sxr create mode 100644 bsp2/Designflow/syn/rev_1/vga.szr create mode 100644 bsp2/Designflow/syn/rev_1/vga.tcl create mode 100644 bsp2/Designflow/syn/rev_1/vga.tlg create mode 100644 bsp2/Designflow/syn/rev_1/vga.vhm create mode 100644 bsp2/Designflow/syn/rev_1/vga.vqm create mode 100644 bsp2/Designflow/syn/rev_1/vga.xrf create mode 100644 bsp2/Designflow/syn/rev_1/vga_cons.tcl create mode 100644 bsp2/Designflow/syn/rev_1/vga_rm.tcl create mode 100644 bsp2/Designflow/syn/vga.prd create mode 100644 bsp2/Designflow/syn/vga.prj create mode 100644 bsp2/transcript diff --git a/bsp2/Designflow/sim/beh/modelsim.ini b/bsp2/Designflow/sim/beh/modelsim.ini new file mode 100644 index 0000000..0a48df5 --- /dev/null +++ b/bsp2/Designflow/sim/beh/modelsim.ini @@ -0,0 +1,1305 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp2/Designflow/sim/beh/vsim.wlf b/bsp2/Designflow/sim/beh/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..270279b007778af54a0825f675a38ffcd7926484 GIT binary patch literal 65536 zcmeFadsvj^_6Gc(<^YU{GKvStQ3p{3DZ?y4Ii$&OR0L5BDGwA+3W{NUx_ioqENDDbwO4DJR0m`I+2y=M9`*}HtWwn3b_s{RTzTvuFMTMHR zp0)0EueBcLc3DHsr4~{YCB{RET7MwFad;R!L-4Q^<+fdtnYJt~U7f~dq&_hof7$a9 zVI2M>_tdxv^Tp(wXjb@ENJd{?Hg%9w;to}Bp9#PO4uzLf9kjD_i$ z881<(%NM1+xMI;HigEGFSh3P?7Bw?AAwD!__ADx6#Uxfr`#CWq>8fDACF#_PMXUYh zXReyBcrndyVJfwp$z;SSPdw#E&0oE8yx){XtEtq*X)i5LSNl<`S1s^cv-rgnYW|`n zDH-#}t)Z4IjJO_KAQittyJpO%pAki^m!_`pqr&~vThdo8<3b&%+0=_`Qqwb6siZ5N zGo)F$zB2!XB5n{PrSILK^0Ha41TWTW#B7RvoMJ~jK}nJ*rxA%18%0TS!YL=!!ucH0>IXaev!DlX_NmR;$^vtx3MLX!C z!IU>Wk#nqm(ZPZ4w}8XBxkSqsWXqbbaPkF=!xo3pToC2C+H-;D63>N{=W@?X&$XU2 zDbG01c+V-GD#|m=^I6X%&sfTHnrDLNbDmL@XN+g0=WNe8l;>2>S)QSu(Jr2eo--st z3;R(a3#o|JGblDyB*~`-&>r;QDWc)4Dc>!ty{HnZ-%6>9`rK(26~AQBszr*_)h=+h zLOMH(#^aUfmBo1_QLL|*f=f-K2fw%~mC9JMVo^rw0tJ=6a?z?6(-!(rii{eS`ST@>>dDX5^)A&XXz8Rs~V`YNBUVE;{*Pob+~21kdEhqW`V+=6~Da?1HCTFFl3 zln%0gd44mTRgIF$pLC(9lwawmS%<-9b^|pg>@!w5rdg~E*WDB=9cF}4Q+)r;s-(Vc zVwLf`{C!k1qw4p0E|vPkVKy!N33?6Frl5yauN5i7#O&4y$2bZ1t>`T}rDDW%ky66G zuY4w*lhE@+S(WaeqeaU8^q17INnxAV8IwvVSs1G{o)xQd9oV$@_Hz z!jTyqnMm=o)MI1m4ikHjk7-YsuXu8vVM)_wc2^_)cf zmVze~%6t^`7&KtCS1IDfZHv-lL~7&x)ryFI=@RblgLZ2!Q1&PHdp{nUt~oTN^yRK^E5$ot0(_mBDbywfP|W0P35*81@q(t!S} zU7VhEDfi(dbBBwS|9)*?%!q!h`vqn$+<0NRSjj7wZ>OH_e?F`~8~W)>c%{2VO3rUl z|7^;&|BtT2S@-`5<0P+)dy`v`^|(kWm9wE=X6)c32Pugoqu}1XI`Dkh0M`AhB2K~# zjNuG3Li&kR1KH535ub9BR%!?%=?uyV>%5-%<)AkHF*;$jOqZPRG8NZZQkN@YQ&B^}N| zb+X`H@+{l7c6nBWTw^??wAcdI-&b0!O1`x|%EE7Ji?+l>@qBfo*1`uay|>q5)$%Dj zwYGLX@L;RXc3sQ!))iX5oj1*H(edAD`8IyzO)YJb&QMJ z27}_c*kVo_7y*koowZRG6qwZR93(ZKm4*3P@9~{7x-zGhfr%EWAg{7dqaIKN?Ku zw7i8k-npaYE7!G9X1?UZVk!pqA8poCtLNs1oaW7!^0FH&yn2(4ujdWAyM;VoV$tz! z*4i&&=n;H4dgB%b4@23f@yl>L+`hOzG~**?USoZ5FGJ6c6g$Op^4T-HU8BSoS@DQM z;_>Sc5*!pYto>IHmZAp}9}OL?5Tbw)$H7rzSASltG^;oz705D4T&TqNFc&w{Dv7g7 zq6#b`9jEQskE5^dyW)r}7@o@VxW7}L<$j?l#f#q<+-ewh?#n+gf$J zsSfe6aj_5|YY`9i=T2r@Q+BpcH+fT#o+AG_-oW#L2I5)GXTNHBztb&LosGABR73?> z>olKsyX_U&{4E|aMS_Fa%^@Wm5c@kAv0(LnSgq=bkNc7+J*DQDQ`|1et8v`iV(w62 zghh0S({7xBfH@-DJ+p6+^(6ct3vP;(XKAJ(1&ZVvQ<4&Ae($`}GE3SL&mh!s@(0@X z@->{>I51TSr9&%@^wUMt;*5uu${aT^)aS(`%v2Ti}brVye)_Z(7r$rvm)Q zzpC%RbmMKL1J57-8R4_*+CN=N+I76aP~I@R`;}Ln33U#p_o8thMo`-OW1Nhp&d7L8_Rq>8WNU zKx;8|jzs&Bpf<{CG--JgZ}_Q2%a_7TtEO!OIc~#GNcLUVw7iDr>uwKYw18!MTZ>sWY;Hq9-rx-jTJ-e8)*!`q!Ww{CayOV2QJEzc z0(i!1n~pEyJLY%UCn`aTx5GY%&io{q?uEy(Gt)W7ixs)0Qc})IMftJ)IFS=8XJ*do z`fe%;UrM0Rsdv7o+!;hLkw85qR=`ulQExCZ&K0im=Um!Uv3|l$MfWM8Op$pK>1FF&%2%Ye~=t)$19< zk?Zh;@nyi^q6*qpvg#K8^bTSHZqf1Ubf1g#bi2Wng)buci=YmihoBBHzZSYq4_GYw z0)dZj`2aq<)E)H%3cYz9zbYlU2MQBlWE~z^wvf|9SXxYVqJBTdfuQ~vf@V`CO2olZ ziB~2Ur8MJ~i@KVNtLJpv0A-c3u}5S zI*nVaQOB3TS~IHIUBo}ays}-(!%`yv3zpsiaDB0&g*uIlK2}7<80#`Wz-bb^XO6%? z3!XlIWh4?ij`EUtmvFHF>)2!5R#apV=V`-ez_VuIZa!Dl-Odlm$(@PtYpI z6shES4+7c#VNFRv8ci|KYui24?05zR9IqMWZ7*-FV5%E&iZQU*_yobQ+QZ-06j;h? z!R^_SfKXR?veO1x+)8FA&U(csp1N zQhn5aTj2%3ZYz>!A;0BWm2!>x7%>^xHV?Sg`ZYqjUTZOU3sj|oX{{H`?g?Ok*~P8d zKj|5pDg83c(R>ClYhF|EiLK;r8`YvQ2=!-nd&UYsl z7f^|^E?$3_i#mX8XpzJ=a@uWwkPY%I(qZd0UzW|E5tRE#R)e zHFt}sBKXY6YZA>mepiEGPdD~^!(0=d{va=igBU>9S^`H2d>a7QRzX=(cIx<2-qc3&UGo_t zJMw1k5}nD|LS0iEb;VR>2X9&ovkR}~hMa|CX60qyzSa)DCfAfN zvnnm>79d=Ql5fNbJ_6dBwBKITepvU8o;Eb@CD0bDy_$kkHJ!Z)dbR-uYK(J8E(gv- z9tWhK%mxlt5PD_-73K+(Bj>pZ0C(ng3w00mvWTj#x0P<_34a5uB5jLYLA&8Vx!)wW zVA-G1_jVNYu#{b)3IufwUtEvJtv3c3zx%vbx$o+P zb_lJV*fma~RCW*=m?~ztDXroJ5WxgVln(h3$7xee3i;8r2PGoHbxVglr=vy5gBT)6 z&<5=#9o^y+#}-}%0ZiT%%XgStQ5HPzo!Sd~ZKW5rHvFj>u6(;-1R|cv)a)vzih0vb zAmzHif=~DaBzdFF^y8x$-^uJW1W5&ziJ0O^3=x8uQf_Mcdp81TU@WNygZ?`NK*`rr zTY+_ToNfkCu0a;G6}eC&3*J~S&oUiC8EBDfl3|iz}(qPbL0 zUAGAZ50HkxQ6B}o%>}77Ajj|R<^57xC-p=GNd*Gyga3Dljx0FKwN`RPg|e{n14xEy z*^j%B;|Gurm9pUEMwt2ws$8|t{bN2%*-~p1j+7L;g*lB^GI&if&MrLh z4e5HSj#tmo0}lAW8o=F|W4R&M(9h*%cb#r6uL-_OastgvY1spUPr9{>c!ntGfk+C; zZ!lr-|DxdEa}JVkZj?oqx5&4s<2&SAI($SOzqI>^TIHJ7dUEIhK=3+EYX%}4BrW_OmV|p?y9Ma&wY)kd8eH&pfuVfz6|K#h90ely4*J-JI>d*%3Bli$0fYix z%Ue+P;os-P8CvMuW#$vb)D>VOm|x6d()rmwYQtZU>R>|Hz@7ZV_1|`K!H(R z(?X#gJONsqnBvxxCgJeMS9l&1${;&d$o_!Rf4+ZJ4};23Sv1HTVBxM(zPzXPYlBTc z;u-c|P+c&7F1C(z@e-{LEqt8hVhLxu!s!ZSLFEVTI|mgx{V1Diu9W8(XTYd>uK|g} zE?80Q1J?u0H_9~|(Z!j5xgBlM&k~8GH9m@z^YI=wQ;U8GsKBX%Loll`zk9FPX>7CB zrZIldS}b}9=$7f3hk=g&EzsQp(9P=wbQ`S`drpurn%j634>$24s5zMgF{zEe!w2!+G6aUS^&(^}wL z{kc~^{!vfe=1rR#^^^td4!9O@IyWQ}1>h)&YJvv%Y78*CTGs01gcIG}98f@*-VG-h z;E88MCkBX_F)Y_lMLCI`S!uqwA3H=OztCOc9Z5StSi;EO0FY9#mQ3)vr=49u&<{T$ z#SY|C2_vioBNQulv`eB;;;DJ!CuefAi@72)$13V<>%+R*`koB9hYT1eB`DeABQn;b z3*2@;fr*K-@Ch@J{Fvez4DYn)Y^zSbU-$FL+~eQs=?p%(92R%Z>n2rDy!AHmXrLeY zOOIy!R`@wRfHX@a5ez5=_>DMDnAYWnB)9Vox7(;1-iUf}8X$7Ch`N+nZ9Upea7eBH z9*^>ON(< zcX$oTR4yv^W15Ny<(F$}h1M7064OKZG1IDn5ec2M3!vRa(#04%yBJLDZXDb8%Iw@w zbO3qT*Ld|BJ$05h%?HR81JUmCYPV({{r@ImdbzYWq|G9=gTI9MNJwez>Gbumu@;Z# zgG22#!nV>bD#{hZt$|}DUR@_EOywH{JQryXb9d+V4Q{;x_SWI%m(VvTm|(j5h>lu! zgBl-u+=Nd-Y&4g1*A-8pPVoObLdY=+bABB0ir%>b>7Mmx)MN@Il~24?dqS4`2C`mBEX4I|^- z_#-WPxqxHxE*z6~;uz+Sgs|P<%XDZ(&m;A}z*O!W@_3cdt{6(@0Jcu!ur)lNe0wjS za-@86PrCyCCSBoxDq?5_q+pM2h?$7zK56U>^a&#X!U`+2U*8Ow( zBj)h=IBm*RJPVP z0205epqe!a<*4!o1om&>F!%er##0zwq2e_bQ!S(e`g1_UILTPo6ZC#?NDChC&Zu~J zE-$3Fo@Kbfsl3=5L62&V(InD)6R7~){#rs`NI%~<-ykzKwa9`5BJ)^KocoA`1~<(n zA?5*UXQzyw>m#a5?ubW^4@A3?J2km6FUM4+2-n*EhtDp*-44^$JJQ)F{5cmhY?LvEh9Ly5P z&}iewl@w7|G>INP6_BiP?%)}l{pk6n6@wa*@=4r4iPsJR%>Q6-)oZHNCeO+r`JsF> zx_ci+EsSboKg^8c6QhA`#aLpkCrd10UJq^d7NVg+>xy|fWA%J~8(eW;+5p53oE6d2EB_s);E^Mq zCh+;ZEj1FSv$@I3ta*D1^=@YkTQRvyg0HM!Vscl2jfTPW|8;6t$nP>YZ0+vZCj$Fm zFZKANdv=Qu=`0iQn=G#+kf+1=I%pe0e3o;2gqx$YktgdY@B8wsI`<0(FTP_Fp|h<~ zDMeA1rf~s)xQz>QYCAG`Qwz2=q_Gv26Lq;iT1#3O^SFnhE*Tw&m6Y_(?Zjmem;{Ww z9tCxIqtvJK9#1@5cC>=9p6`UTI7W6_;5lG}*K2Y^Zu8|xjL0@#eMcDM?dAFM@{*pQ zAu0beo+loTeAM|jX^{(1Ur%6PF7ZO^;w6p>7b<+_Sx$T4zDTR2bYiB^S;5^8sO~#8 z*6cjBk+g+UJowm0ej#wuv;S}K;TQ=*>X$<_nX5hK!R;fve|$Q5IClS1s>nsy2OZ_} zvHB;Q|8QXwItH~ySe=z{Q6upRs=3&D%!NsZ!Rh#}!+7NUgtXFF2FhEHs6ngd01A zDR8vqEwcN^_6O8RjfpqYlpEIgBzFIWSmzpaq^kfq>RJm5^I+Ja=|&cG5Jk;o4w|?~ z*z%g^pjzJiC^rN{ufn|SY7i}pj<4)B7BQJihdwYBm?Ml4VC2)tssHW*0E1oND12jM zFSH>S0O-LXWO|@zrbHtcDa(iyG^@ZHIJj{%%Z?cpe_w&_Lh&o>(Vw9`*fE?7_N)v$ z(sv5KmL7Rm6q-*B;YM-_mkEcNDR)!|tQ1l72r8T7mh77pzYqTzHzm89icnGcRI!BV z&%V!1m{PziL=&i2l~ju8y-@Z-)Zx$R3k4=FgO1Ln46H&_{91f`IDKmhJB1PXg^Avq zYwP15N&PGysbr=8Tz>e1!z*QT#ilstC%94J%Vze;q0*8>e|K6peU)u@pLO~4e7tD_ zqomgN$qHY8c#z}-bw6KLPLFZ=M|RH4Pv}7+v(h<%KG%;vq3H9=8%kSP){pymI;~U=j34kn;f6y`)8{04^k;G3(*vds3}!s! zZwz!0xxA!=57+OzWPO1iC<;6!yCd;qYKp(7Bjl20E_{Sr4Eyc$@8Y(IP7Lu_f5TcS zl~)c3&T$%eyZC*1$w_yQp`mhlxI!db+8XzGDmR|{G}Pl$i{+|=)q6njE1pl#T=8tt ziT-YvX{Bri6FT%&mD4XCiySX1Xjenm@!?pV)SjdNELO$E2@7gNuIQ#bL}D9ZORCog6muZ=&7j^uCvs1H;@eeMSE(cKg7! z-u`!&&|h@;ZYp}}h-~%tD|*rNz=cYO9sO<(cjB(adT;W4DlpK!&vTjdkL{j5m9EWw zo-)%7dQZO%Pc7*)ZY_N`=9JHW`jk4hx2Jk%y8qngF*QT$Bcy#a&+Lhq@?g+o|HBN*KY%xsIQCpjL6AQitx&|wmKTko@b#g-?=W8Xy+6sH zyv=b98#vwXfX~{9$K=k1{kfk;7BVL%#`tb{?xud!0g>N~$@hJ(#W}6>|L7IwxdWpm zpDG80i7ynyMEm@Ez*D)bE#bcJD<|W>atn&{H*IH<_xo<}t*qfjhA)a1&5F4@MmFit z^0xaEp5Mo0?DzR+fydqF`{p{8Wkvh0I(X}OU*&WEGfSnK^GwwDzOPJYUTyPhh#NRV z_DlHI{Ym2Zu};r7FmFXf`K*|8|m_2RDF`nE`*Vpy?-}BwDc#h}LdcQ;A%#kSH zidmk|G9R@0W+utKhQ0CL8T_;7;PvwT1^xp7-k$(pnh3ZC~;XQJ%b!Nc+Tz@1+^$JrL00M3yBZNr@Rg;X1gp1=NuRI$r+ub z^D9y*G~!p;y#9mci~L@YyWiJ*%c#Z#N94tg=s*0h({uAHBYdw-^a<;;EwyFdp?qo@ zeI{WA_v#LI`KNI!L)$mq-7W$m?;JaT+oWJ$)~T46Wjh#;{)1*oS@btLz1^yz}Y=leURTxN@tRErqpo@E14^~amXPl&#|dEow! z_WGyAO?fM9=&bVa31b45`>PxdJ6!Bf_3s})AoJ7w<_QyAt_2NKIvyM`*5T>$p&$MG zHPwjNw9>$ke5uCalq_WXmxZENw^g28-l2>Os}7$(J|g$a$KD9P-n>YWe%N7T$bSlp z@(!u5zRunX&-)=)%A#owL@_1?q{nfB%nNj{02 zq|b80?@q{%^!^V!`Op~c^A^udpNb>;yflG(Vp`F}wD`G2ij|V_*-zj3p6=%v8xi^W zkiC7lkbLc;wC5im(5!S{;(BO17d}mzdgnQ1|DcteGWO2jZV!DUU-ERoVa6#$k(f59 zm{KWf##Yj4{h4JxGESeUo$#(izdhx-@$Ux5zRjMX&L|(BcG7=4dAF3mE%#obZmD7t)tY`D5|2=Q^ z&J+D-fA?ykWVrjtf?p@3sHB@mel^rNr%&U%SJ$48$#?5N;2EX#i9z`evOVtWweLnu z-??Pqy*SsG+%JUdr;4)Qs?Vn*CXMiUfpfof=)}k8^_OMk%Coub1qbGHr-G7SQ^$X8 zIO#d=*8wrg6MM%0BQ`k`SB#68JnyM7 zIsPwm7k&+LNh*nsbNYv5{OF5&(sy`jM!FX1E2l1vQlIv89qKVl|BY$szNrqIU2p!d zXOfFD^yJjjVXSP}59Uddd=$SU9(TX0pEAJ~x9L+oAI8rA)wJh{h{rs}yc8zee1Fd# z&-ljZ=s0@)rGn`qYD!R?-dVA(&u95V^W$Dr zCZ#%-hKqcIl3t(vPQH6FH;VO`K5okLrhMle^s!OTfh;wx_{Fp4%^{bDv(qNMv-F^^ zvh8~9cG1*lKTcfj?5XTCj-6Z()ilv|eM!t@?paa%?nx_)-2HOd_nv>Y(0$evU8rb5 zlmGqHo#R7yek)qGyYR#O$3=Z=*!kO6Kf7XOj5>cndfx3E(fZqyo~!w2;&xHa?(yg5 z{;fcsEPg}rX4usy>Qa9mCdw<=aa+qh_ljQp#(?S>@9bXwuOwr}WA8;wxPSfrv!eIH z{B3?tS;|AV#~(ZKqRL++Kjc&x{{F7y$1xN5-nyMadtqBf|~1CZxp-c~)W62P|dj~`&Yp+DWkmJ`omFb zNY_3ctlIuz_ki64b`RJ+VE2IC19lJCJz)2M-2-+H*gatPfZYRj57<3m_rU)h4+s}4 zx?YZb|8L*_|LzR{u>WWM9=ZRgg#Et>w@>VJC#Ao5LlG*d-&_G>$#79qU#X?rZT0C4PeG4HcU$Im1p@VC{L8{wV*J zD4X@+vfpMu+tGJ(}7wIKA?D+TqivB+Q4zu1V zA)k8QVUM(cbNYpS!TrtqqN=;1BU7l?B;3gD%RgNyTgL_}sZbZZ_kRK%O}{*XeQ&Lc zIN!BTjd%>3rlenWc|qkUq2@SF%wcPHHQ%S7R}K_AZGOYyX#b}~qQ5JdkDMIw{`ihh zNF^%QH>I0pW%*74{VqHCE1K{AA~~a?=P=o{-w38ynMkJ(9X98?o@e}I zE+Jg(o%h`X`%A}34@;Dc!oh`$4#&>O?K|h1e5W<+`;QG#Iu*#qhH{g!9dcNa@4RKG z8~wD>>AK^y4vwBfqPdm%-#hnVUUMi^dc5ou<=|M#`usgFfA+BT(#E0Fl!K1YZ#Xy> z_T9t1TX1#lfL~q0mCn25Yq3yr6)OX>Ijn7Hxm>)b*>SZ}O3O43+$HwYl3%^o$``0u zvqD9g@&|uPhqA2->}PfbGRu#nEQJ*y1lhSL+2~GWkW~xXGbq*zbGG(G-PBe>!uoP@*FojAcq}k zxb+ohP&(}K*!u>%(>0UkPHg||J&%_MCKt$74BwL5$F*vq{Dpk(Cs~2AkIP`6S6Dqn zQ?LjidH@3!{@;Ok`zx_~!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69>jXt z!0rLN2kaiOd%*4iy9ewZ`2UdydhP#dU#xk5nL^&;eJXi(Y)RsatI|@rw8gZ1xZfHD zRP8h7Gh&y_wA2iy?}F^~w2W2h%Tw^S60-TrQ`44ZLlQh~(b^1V8WrTXBz?sqYPH|| z%vJMWOw0CLn7VM$@=Qidr9APJ-~83o%JF_v7OhTQOr^cFJYDU#dKI&PS@7bj1>;=S zELn)RIpC;h)y!w{L-{KY(|;4?FAY%0Jhv}gmAXdi<}iVBS+jaw+JcZyA^X0gtDbcp zt>R|&@tex@y-+XF)-U0-WB2!UNnRv-x{T_#Abok}iX&-#Yl>YSd&i;gpqE};N2Nd} zeo;nB`jO1Xw$7B~o^;`IJ^P*g%7Y&GQwHt!Q-j-cwUT!m2R^sQ!)w@n#^*;{0++ut zpdTf|hR=hW)wSfqn@HL}>>jXt!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69>jXt!0rLN2kaiOd%*4iy9ewZuzSGn0lNq69{4}!fhU590w%PuvRVbfM!BXDGRB%| zko5JMDYg2DsvDu{6hq!?{~n+SUvA@Xp=5EF3WSKL9}wA# z@sC!4=nzyOIHpnjc({#w97-3^rG$X13K|6Bb7Lf5T7M^1Ug^5ITe^uz`1&xK+Kwn5 z5-(7u&gfRAhW0>a)zp-Z>p6ke3P#0BNlGoB$s0d~K*6I_J|RtDXfl=e2%X?IhM*DhWT#eb zxA32Tw?@ct2>(%xigFW6S*J>IKhDj8o;I^bAZ8kTMMPgG^m^ldX4nT1Ja-{F2eg>q zPJV!2P;L+ugCfLTN-GNLT@l@%v6A1& z{JqLg+g+=e?qaAlF%b9Fg-3Do~{83JfO02`vu= z6QXx#s-W5p-X!0N79m7e1>H9FIVe?Rtl9cFOoalFfe83OAq9WxmMpGm0|pRzJPp3H zN9*J`Z|>1L*-NBIVEoga(oTZ}iAIW{W!(~uM0eh-;*_kn#5dZ;#Z9o*6E*58E{f>1 zc1U6~JC!OMWx>#G%TmWf^5uy8ukr2|QX0H?b3IgFs;7+DHN{Xq)5~Iv1;!Nv+&UoY zLu9}9@)eA&q4idm1k7%z*8LXcAoilsm~X*qLI1B)nSD;xj`ri{tG>6YzdN1XVQM3y zR#u4r;NT%iLIiz(HE8+k_i~TlY`m4beO_Dgn%6^$c=K;H>|$yYQ+hP)J^&C9`Ojy% zSRF^I(Eu)WWh~I@+{3X zc(PQkfsk6426X(~hK%?_5Wy}hd4#y{qhPb(liP-6&_q`Ai6?-WSH97@+%El2`$B*q zp4en7{TYyHxJDFQjLBU!13FQf!$(g-JFl&ujw=6YLm7VEaVK!di>u8lo7b zsr2e!s!R#Y^s)rEo>y7|U0Qsk>SOUDK9I;qZCtozV@H+3thad;0cg=AhZL4xiKHFziqZ(Z2XA~w-v@brueeXAf>stZK+}mxR?|&5rwC_i)wFF8 zRI>mM^r?pr7^rAHjHH3GRy8u{2H)`z75DIB7m z1RhRGMB<>%VSyA;4g-3nj~5V2Yi{D!z&5{@sS zUJHTagAj4d+b7X8HZxwSl`kYOs#EyM2-Il9pP@DsI1k_#z;DZ5+d`T7rqjh#3^byS z0{m8+g>NWnhqja9;$FzLSJ(CAIG{nu@!+l;9|7}1I&)majAkXyD(HGjMb5s|wAn04 zaq=!Bv=U!M^qxa|r?_tK`%nc`2qH#9M-QhQh;QNqai%vVN~Cy|#H)deBI>?W>=7;w zny*9#OeY(gAPX8m!d(_-hUg!ui<-mkBcKInfWD=mb)4wGvv6WqL!uXNEXA1z1^_p$ zSxj1-{~?A6%{^mIFagj7J@qtys@SV6&mL@=#Wuc=G>y()yS zzIHvkoxeoXeu=oRSy0guG~vJxSIvDjWMY{f#8ABpBJhWMNqT3)0Zn+q9^`qKfYS(y zb%EVQM8PQ>c}{sPm3U`zu|)Nj+gc4mi0D7d?T?WK6Fv~!(KkO+W-Ny0=OI*!7J1G_ zlz+nk09>tqSaM^K#w5@JXj2_dpWd40S-LoE#`>lyHY@md?-=Ftq{!np^SAV{)UrW){FMCg55 z@1!^GBuU??COCAoLeMhn&U$}dtn9@8YA$LAdLn8o(Z$@cQ?ijufC}h-FsA*eds~S} zn=HSuZ%{)ql+TL>=E%30B7H=>NBemnQPAe{$(@*=!1Q1~VMrz$7HK=%A&xY#dAJD;?S3|zkQ)xV3 zw+I1qgfH)j5)vWb<54|a>0mIC`~$WX5NsRK!`tEn&A&oU+cdgeq*YNmmXU?2qalLZ zC=)B=JNp>n)=obe87;ukCa^?seL@k9j`0G>A& zLdWHeZ+54CHf-F5C!&+TJgnp@lrE^*Dpe4#q`Ux2+>{8(<6HtM=?Owf-``czyA>?& zL%rB_ONI0L z?s>ZF-MkcdX`}E&_RdjCn$I$nLL#r>hUADnnC_}P0B@Nm5YMPy35xfZ`@FZ}_M<8k zU=7wGGeaB1aVkNxobYZP;oYr7gLV-? zJ*i2=dF#QU4PU}SR34n*KK3&`4P8@`nWTk5rS-V$2f^(Y?^EW}EmX5wZNfwXGQRCN zPt(0y0rrS&rZ3Zfds8_Dv_Wq0FDXc>I?ybHo-#Zu@b@NhePxOVD(rGv3MI__ANY>k(t~>&az=3Vb?K# zo`ry%!vu05c_|1DYd1w830XjEQHm^#FpRK{zB@BzKMD*3Whp}zjQGq7Tn~|9jMp~y zNTx5`oTAHOY=Kr(6JgYbv6Qz}Ytej$R4h1|V{Tjx4Q&ku2!F^x%kr|Vn${Y~?m|4c z2IihQR-m}1(abIQ1oRUps;R>$ipb0-*!J=zO=a`DD^m(AB{e2iK@Wlo7|jS!E9h)* z=UECp)2JR&^%wL4%IU5eOjpVe;g=R426IIprm=gjNDlNNP2r=ESU0)|r$W4Q{1{Bjc2kjKUX&!6|2!!%#+v!_GI~<{GglceaA?4S; zZ(3JDL9^RfOr=@*wz(KB9w|A}Gih4{Ym4zr524-2Oblr)bQN8l@jzlR=j9<*nh!%# zHtt<3r#n6d!E2S6h`OpU65HKtBnB!K0sednbR+OjCM*D1955!Qw)W2M;yS|5x1J0POo(pr5PXmkW0jwI*C;F<9NjTmQ%C=w7Q2PBEdi=8>v4|vqg z7|0-n#BW@0K#C6_qd>G9Ln*PMvs+*s2xESfSUgAr8>gpcOA=DhCKiA%bZV;yXUc+V z<6$qN`8Zf3W@buDBL)JoLTPDNQBPVKT;>*CM$Qb30LCasSX~F!^ zdc=?UE=ZwN=ctaz#@uqN2l`)(@iKVNi9A z#=(}zt7F_psJlgj>&J?^2Z9+WBV{a}RNF(Z?}gdTsidp1Vxz*qkh&#c}VvDW%MW@EG~fdB~um0YmsDWrMk=@EON1voJ0CfqNK=Amv} zvLBiM_UCfI94M6yqm#SXCA^(G^-DcRb_cYXCkom$=+s@l?lRiMn6AONfOTc3vo|I` zQ1vDK!xcPd`lx6xc!kr|0~Z*nTbrUmut#u zgtd>-;`iJa8-q4)Y)E8G#YFf%%A!fA0udGn(^5=`e>yTx8T>DD@!NeSq_>bZv8EW~UxN+@nwf^v1qq#SmoMZK+sV0>XnM#+I?N&y zyxD(+%k3&Gc@n639g^AgR<39dPA87jrkoV=xM>fLB|;Vq#rG`30h~*s&)m~KOw*B8 zD11*NMQCA8X)HRxLZUo<2xn8YkHIYX2$=;Jc7ikNPzCBxwOJ@RCO?x zpO>IKr?bz0ll%QnLpi9`+%`UW7FiKBH1tgH2&jLHXO4n)L)GPeQ+0(J)IG}R!7jq4 z*!@s#AB}3%%4w&zI0r>K-{7Q;vKd%sY$Hr@8yH3h26s+?=fv3i3DROf^N~Ys2Su39 z8jYY!bQfL1JiiVmcdzcyy4N2)zp<(b`#U`!Rhfb|B`lu(A@BR;=8C zK88xj5PLUpu^D8LFVY_7?#}HS+h%K0z%gptc}RqoV-iV2txJDw*@2WPW}CrdULW4we~l(7+a}x2k0;L3{G}0u(*p$ zcnB~|P{>f$sU=>q?;={dst_}O>11{qFk7fiCNk`>CTD&Ms1*t|;T4zh%-u&1z?q7= zX+;>p6qXWF^Uqp10B$R%E5$r&2~rivvWp-Knzx9tQo{3#$z)1tNtx7E7;RaYQ@+fa z!K=v%^NIkrxA$7cZQIv#%Z zN*0mHy|MP+ul;2^^q|>m5GSA=LZ?UCAutcJh6pBWh&Hr&V3!)J57W813(Dz$Z2ZHU zy9{*kl?RZ~ngmSWai#=uTY$JfV{cu8Gs8@gFiX=d-fS?P>*OBz>kMJyUXEA?pHvG? z?cCQx3L6@FnDPQx)re=_!=)5ajX5;>xPh2MBc$IFLV6pLwTg>7#_0khkgXDv0bTkM z6w+kb?!$ejZnDaAJV+6B_w1;2zo5qX29jF3Q4aNZrN#6Mtf+ea-DB}gDgV1A)ncv9 zW>|pU;Nm{|7d>MzkUTcd!NKx@kCc%=Q4sL$&OE$y7d-g*g#0ou8~yp%Mm?3mYuuXg z`}J9Q**C$Okt(eK@y=$UkS{Zo_OOWzd|wBil;6#s^09~|dsu&NFdr@UwsGD8Vr3;p zF_NfQq;oyDKVYLQcp6T!v2T8@%vgwx!vSP;++#sU+($&XY3eYK=Y={Nlw;f#%XgUT z0oED0VYMB3n!r|_rEMm#y0L;OjlG2f`pww_ts6Gtl7S|eOr5XghP0PnH1V~3=Nh&* zWD_7J4H1^m2z^iu(|rt_Z+w(DJXn1#PkO#-Tv={NrB(fno;n7ug-O+=wq$D$-RuYJ zZ{d02_Z|?|>f(VIU3jSw16<%(iL%#(=F#bm0Zqin50f}3y%sCWV|<*{)h$48VRixZ z?yA8Dv0CR#Bar9DzhKcMl56z4&k_XJBom|}7Q$ZBM-|j1&?`9a!Fuuz>Tuk;3i&D1 zw-uvp7WJ$O>SmcCnAh>Ob?Tle9H3FyR=kM)3*jGxe9eCt4aTq#xmQtgb_nYhA$FoC z%m)e@{6+Hi)dR~E|zWfWq+cdk1smu=Ev>0`<@LGZ5thMcQ!6(>0 zle;~&7+_lfk}2p<3?-(?J1GQG8o6SUS-+DtzJZi+DZZ5D1!puMq>s!Q&S+yI^21h_qOd)woCE2u<5HH)bl?9}vF>K`$^ z+ts!XAce++C-qSi;to=3PC`ax*g;rK1CmMbwk)4Yqs>AdX* zqNM36weyf70h$AMKLW8ZZNhXM@rT?ocWwgs?p{a0*l#LE>kO02uo}}W{?`k2HUk-q z*YWE1CIGZ~Yq!}XY})ZG{e#T03YkM8bGj~XA#;95G-N?dgg_o9_qO~{bc=;XNS>8H zasb%VvOi@{RRGG$1EP2l*b{&f`C;Wf$V~HTX8f#I7dO@g9QV3FF_x zv+QAxWf3?QHUWddu?7nqs~2e86KRvUL+l3)-0?_RB$>QM;_liq^ygbP%Ck12o?=dp zUBK^p+JjAK^ok`vV~||(p`HUXT#hMndWERnlzy#+!^i-aVcWcD&~<*p4T+Vb;}zZ!wX5FPdXQCOXetXn!$l>KRZSL%Q=DZ|Z~< zg0+zUqVB=+8ufs7hwW*d$^7WsU5~u3Ldrqc1`nprk|!0($H&Ni#DGq-iHu)xuT7rS zd9SU`KWtZ!#ulUO>g)-FZpmWQ$H*Y=Fs2w^=7!c5V@R=y%$hyO#iXArXv2CdF7ALP z*=$bqkjPIb&p9Uzcre~52ljOsPYt_>S;dhC4ax*>H5BqiM+`q=#!+-)YxXuhb(%Mr zkLh7P?;+6WngYdbgW*`gCzpiyMt=J>wo>t}i2w(opGMIrC55Ane=ye}yz(}l^bi{U zA@^DYXMrL0T-UXI8X&^$(wlePTrMgy?^VnJ5oy1Z=L5rAGMufBt>>3o5r z(6CQW*h83K$Tu3U zD)gV|<|2_+a9hvWW^*1O}nyS1k z))tKTI((S28pN>&8M$IIGFh06XmN=N<*Wt(R$Ydu>?HgZv?cKdB9+{0#bkhh-3C2n zGZ?G_Nx6fHR{IUP=xVZH=GPU;hM!RDcU`OfVDjxM{rQf&RUcn8uDiUi$Y4C3on|$r z7o*e}jJV{LocseV*MENgZtelU6y4hfz67lS*=CVDPP>{KOg$ud54<9US2F&~*cW(R zrm%n#Y4wzj+mHK-!Q@1P2PV#x`xU!obsWAYT;c0|kHbH_DJf{P1~qL6fpxl0+ zz2*Wa`7sl;`h~Mr<4 zGJ^w+8ZCJS4ahS>12T^^AQn-Ha5u&|yhaw3JQM9D+KKc|_jr+vjDN(lwl_BvD8nCJ4j1;9>np`N;a-8W+ZE@a;J?1`=l^3#dyken7?^K!$LYH)=E{ zP08H;Vp*_>%nYr4^UunRSGul)qwOhgQDRz%o~O}2?09RC24gpj+OW3NFB=^%#dUDt z8?J*N6jexZ9lS-PDMoVqSr<@l(;TIT99y&V=;BkCjs|~=+wHIwqoejxv$3db+~Oht z0|LD*g$HfeWgwlA;TovFrY^;Ho3~xWm2-`i-&DvSK5KYaxa4fPyfkvdrPNaz^W}wC ztZOb$FEto3VZUB&ytx--r0hYT`2$=;ene*d!wB-bd$9tAOah`>$UZwZhSRDGK&&`j zM=jQ#C&3zUw;L07-0hZU;nuS$31H#Tc3x?T`qmr0P(m!(n(VE`m^r1DGzjPn4C}!O zcr}@*zf(c;N3Np>P?J?2F8)8NN9g2>-5YzvfZ762t=dqv{YL5Ks_)Zy^_tV!*bN32 zqb&p|o&E2ZXfVDO`p?!aYjNp>Xp7 zn-|>eX!Q@zZ15AATQP&42Fxwag1Zm|zq#Adh`SxAofp7^4fxv>MFz{uiDp8rLBsqP?_@+Dl~C5=RCw9A2B$X)%C~MIxLC zgc2E{3fm$k5zY*sYN-f883aUPMHP5uD?b6-Bd-`JMgx zqIBRnxRIJ0gx6uGKxuKaQxK}BQW>W}Lt{}#y^Jf@kg2kP7#{p(mlbo?HU)O7~FgW@PD+)aHaY;CdGdM@2@`b3>)>}H4iK>uJA-gd1h zSgo7o;=E9pq0rm8qd{(|&vtg1i`(z^A9r#7cMGcd;od9K7@?zU+2W3};+>KGO)mJm zcC8!r1rAFX+n}r;F(>I+o}PO*Z?Gg8vW=!>?!FC)_ZZFGJsC!W@$qq{WYdsnxr&ZC zZ^Tcmh&d|>*5@BXxq55VJStTHL}hRy_EpM+l*y3>G-w$?q8=7Rx7|`NJUJOtIVxg~ zY|W(hP>keemfw%SyWvng?=Fz zNc4={n{-kTs5^TYJ21c0ej9YU7GAebU>7oP0K#b;y$;WwA2; zS-Oq>m4Yb(H18Q;U{X78@t*mMe%!oh#lG=9IdTZlHOh2kTGz^{LA0h9I3On8L9Qhm zPR!hd9TXyepMVg6^XH*io4X0J_oBN;^n}8UoSxKMnsDJYDlhZO!3>b8s;hGRIbHZa|3s z(O_sXnVo5{Bb;W;T{w+s*xYPdQ+xIn({&Ea47nX@PNerp`l;fM)5L9JeJDf#45d|c zp2BP?B}kl?$v|@p(OSf_mLzCa}P)fCXs0 zFLirbZ)i{;|JQ&BfK36|{!(ubnPV^Y5rqak7unTIlrBpYn@ z3rKghzf_e4ehR`a5XU!SipC~KQ7?Lfw6@i8Q7__ZGXX0l<>uhR#?40T9$jbDhD^zd z(A+06Y;TO}D$W?XbCh5k9kyp(h1|)IBj_hX@*)R~-gHEo3rj%t2fTH!7JljE_cypiG=xb)SV2%YOb#Ol zSvR)L_VzWOBdI6ZmH=676t(L-f*4V4w1y#?U<+7n^f3Nrt(wv28xxW_)>AMirf2ih zqWw2&#uG#TacnBDeqzm_l@WulbCbY5(ePNlX~r}Fa~rrE2%(yMQ+*y-zuLqwmUAS&lWqHJ3h*R%7!Ys!Ks%$nKOzxQk4TG3eA(;s$2|p$j%!YC* z)>H04hgxhRuhEAA>Sm?!mK!Q<*E}Wa8c@1k7k~4|R|B^Jyun@szzg&Qhj5SS{8mP_)Nmk54f=!U2Uqk~INb?m`C`qi=6mcgA4 z7GS}9Q<%lKKhpLu94>4Gzv+UuKb6t{TM;h)g$nuLu|#ul zZklbQb4WyZ!kGkt-|I@MVFUhGdt3&sV&Su)VFw^ZOW}hEZduUiw}nub30T>ullXhd z>`okLcB8=q0(&fV|1S|h;(|_ha$hIq+Dwj;V3B988(MIjtfB=B*-o#F3I+ zYJoDSQxk+0*c9+ycP6;AP)o7V0lu{j@`_kL#kskPbdH4p2va6{P@~qK+*4ld{;9BSipaW(tmO|Yu z$#3P_cQ+1k2;tgw!28POE=s(I8L0mhzyu`6{OgS!0zh&cA zGyRPgZbV+VOrbB3+|8io@LxG>G%Y%{|Z%_O^y4N8# zk)5u|5t!TBZ*I7WjUYTu7~9!V7M3xfjo$!}DUE(phrNDfF1!o N0{?de9#H?me*pWBh))0j literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/_deps b/bsp2/Designflow/sim/beh/work/@_opt/_deps new file mode 100644 index 0000000000000000000000000000000000000000..3b78c55c991da62eb02598b0dd2b2209febfaaec GIT binary patch literal 6080 zcmV;x7eDBax#?t4^1TW^sF*B_Ay>oOt?8MV%0ya?%#6^P^dIf{j`_dmK8vlpu8Oc4 zxrvF2xRWTFyU*?0u~*bwn)<`s_MOhU&DHK~Jpf4Kg=ZobKog%z=o;g0GAJ{8H4%P( z@>n>wQC3lmqzZ@_{sbnZrcYITP@YgBPd3Zb-rW?8%e(f+WE_RZEAH?TIPI%QPaymK zhLZWk55nmxG#d6q=X6CI6-G^jb7fa%acS=W#fOiz0@krlsJ5ZBd*WK(_>7ov76`1z z%QDd0{(_f6eEXZF{#eaK4z+|yAzy3!rO1;?9q7D`=k2yH*7YT_N4A3zxTog-LIe@p z5M{s51HUkrlp~GL&Y~Doz3B?zE(>6Z`Z+!0Tah0d6iom@1+l=hdymNQs zdBX4u3gyg;(!9}Or%%iJldqkXae`9D1)Vvz&(M;+X}-3sXA%A6xg~r#n~sw2r%qvQ zo5kG?(+etcE1V5{f)HkZ;~_}@8?XqNAFGC6;ve!U$Byuejoof-E;D?ijpri;b0^p@qwrtH z{+syp!u48|+z}ds_2VAE7jFHJj}aC-4>B*aawB9w1Qo2@PV`ShzYHI{+8@gCCtu*E z&_&78alsL(*XAH$jmyH7Wnf97wLYg?DdG1YJ`0>=W22|ajGPD5Am>Mm57&ySlri0n z<t1NlC@Xd56Z1lZj9V((9g6rWg za#RY{O7*Ana}TwyVTsu-YL0)_zUm8Z|r*ov9s@lD`> ze2ldI&!VV^!1)A2mOuZ%|9p8ZI9vG$|^RU^HiMRplI5!AVZy zoQ`)hSpqos%=B|{Ek+Ce$~xJ2>$K)|AD zrxij!?3NU)!){(>A{p%Y4&f0`1x=)F-d5$Mfl@<`->Z(n2|qzllY8$dh&aN$iT`9$ zQ-D9o*Gnv~vH^g99)_NbdDa(`Fh&IE8SV$4Ex(P2TUX3#_*(k*Ec$ zU`7YQAxnTD9eWP0b9D|p%y&FohaPYi9Dd)&HeYDqOyQ263c}#vCc_+FdK70SpsU?F znP8!8y^0b8V2PUJ$LNny9OcAZ+oND{@e*vU`Y?+c`XC9E7N6~*L`KuRKOso8Q+G)PH#b-s-yE+uo1a%*NEgE#pET;rS56xk`23uI z)Eh7kqS1v|FQ<8`y0&y1%{P3_omICAKJ?QvDBDP#sjv-iap+NBo?YfY!x04Hl4%Dv zKP6S``zXXOX^()ptAqze@qCMw&94(%d?!+XEl1;spi<+S#G?hL)h~>bi=U_c+0x!| z6NP`@>4igS>2L|m{Rz#MOhixfI`*2nFWk(1TsnE#@|^S%5jld6Q+q1p7o#~U=>--C z@8dzMhE^}YoxPd3ABUAUy)}PQ1;LL=vVSqMLeIpt`2D11mQ|^7MV`psD>2UP;g2lE zaLz(&u@B)7;&v6i+0K4gtpEx7r*l1vPVtd6OnM>iGG`hb^KZp+JZ95;S1?>1(W>rv z!c0*M!L0j&WPWu$p2f?|E8jgb0)|e1XUVk!<@x+4M6!h`@7sRWt_+dYb~dXvvpK3U z#6@?rmLm($5eT26UH}Frc)9ciCr}1$*~?AKJC#zNVeJUby8;(4#z>aO&6pQ6X18#( z3OK=b`>NkayMbQR)FXO@$o7GLBi2HNbk)Y!Q9|a!R)$(u#VXZt-^)r&lJDG?wbJz_ z=QoO27Hb!GQ?0`#MZFg0N^s%EU{oGxue9lHd3>#?G1HI%<;IRUX?z zyi0A=aF(@F3TM+JcZ7!zIlRI$4%{)R{X2=bEG~4R;yXG>AY9&U*5Ee3)HUC*)v8R^Y=r=sKFse}?(U<$2>w*pIdqkPQ6YfRh86;p)4>=!jV0}YSIS8hklg10hx zPw=%6n80ZRD&A5XfI%!&B48!S>3RMl%;JP=Ek@Q$;^*A123t&JE6Ryq%258S@bHN) z&!B(~(vGbCy~ck2y3zJ)gHy=SRwQtvKV~(MXn)yxC z_cNks=>ZO$aUm$dE^uH&A7N>G+FG`KgPgOq$iVf2zh%n|N8=@Ahi>YooV*=`B;sU_(&3q#fWO7%X-xB^3@i zn-94P=N@%IWc!JyHd;TJ$+zyF50#i@aHAYsEo|a&z^mA8;pO)Xz5g?6lezA#r~$wZ z)2T#8K)|6{muF%>?3E{*v0PP6;&8BVCvqvtWLX=wZEj=4n(vrB=PmErwB+(=6&m!F zQ;YgK=;Yan;JCS{%=Y&jiihE3qg@;(K&;Y6&rx)xWv0giM^pRm(23z!1j$5A z@sv2*)cf{C}~%Maax>Hubog4zWp7D3estV_fO7U!ce zA%pMurlW|fpXrR)+2o{2!RQJ%N$U}4hGh=`5P1LzBBh|*gf3aD>x-Pv(F0$e^54jO~W27atP=>H%i~I8BP2PlXY0LI~bx zaV%)VKJ-xm09?H?B5+|4HNL7Fzyc)&q)>CHjbg6^oQ{Uw>)|il)%p@-+1m2-O>6e{ zDB`%60JL>z%9rWX#-`4A#jd7#^o0_kLW8F;z0V zq&LX26&jS<%leF5vcYi6R!b2umB>HQOLB)6h_cqqzL7E3IiP}Efo9pe;;N|4D4aWzsbk>pt#q)lr(M4Il39pZIw+O_u5ZB3f=ltHWiVrb;p zo4~!{D9rceJdfXDWTHu77*A1kw?(6$gGW;7&ehRjR|V!wm-&?&NF2bU zZsHRoFYK2Dti=dZ8lJkrnQ#3E!06 z&xPxXpS<$1dD*nq6sH?`b#t4R^P`RObNC@};{f&aG&fhhi-Wq>Cjhbu!IC0tO-O~~ z5F}6*djF3f@@ITW5N8nXkOC$+fA5C)jbW1CBYW1}=-l*UAgU5)K)ac;rg{d|e{>PySjuW{?Z_P@7{rE<}M|51- zhiddWc#7<^e#I*{6b`C6~}|5);6pTgFIOpXZ1Ae&96R7HPYFPrc>>J;YLb z!0pr>x7&x{$uhKkZ_c;Mt{2kMdt1hVQQ3>Vgt?0yl zcNyE0p=>MN8Fy6d?tf+N@Kldk{3T%b(?d_&Z|ulVvBGs`Cn! zXK%#;i96|i}>KNEA0Nuqnv)E)C=W;D+f0F`Ss56Y;<_J-QkarMn>>; zv?Y-0fxY+Oon&o+-aupB>1qZNRz#O&O(V1D@QjKgk__(u@8DSe131c+>e}&XA%5tN zu=#^W8JEc#Sx>b$$3Rqa5AI6{&uoVw&-`&+Lv=BOCK?~WH5sf}<}LnwvH9}mfjKcV ztx6IGIZ}i!3ZDVKo{|Yf6d|7=2S^j_&)y)Y!Y$A1p$we7AsL>P3!%w`dH&{XlGb(~ znVBm%cDn7i$)a{$`nJmkEl#M^mwbP}VOqr7rWF0+8tnAg=+E3!M4@u%V;dgE^~xON z8+~zhijm`-v1d`l8pFL0@(`2aE&LB%nW^@9gCl9=q98@NqL938fuU)6X%>*-VPZ##`+2 z4AHv@)YJze@C}Ent`z}lDZQefzpQ&`kopF9+5V* z6*?M-+ci*rar7LyILAP1r)ZT7x=X!=O^0{Po0+pe@j}*j@**I%=ytRQ3~)cDUG!aW zzp$RD+I+{xBC%S^FdeO6G8*C^V^LBJQ%Xw7QU1#w$R2T}dCPr&oiQkWOtERYf1_Sd zCnLF=$Q8b`F#dE%BR6`lnA%x`wNM7%aQQ$%epXgK(f}F) znb`&>E<3g0ODWYpcW;Xcs+AU45qCS3+`bLFcb8LK@TWY~T}? z(O!L-&N3mW%u;LKe*43Y(JS^*g+ILSVqOF!4h+#a1|)#!scYja6Urbg&qtW)Sl0VDe=RDMMjT%WvUIT9+%g_($co z4Q6|zDBI5)un(AFL8{nYk|k4kuKL;aS`^O?HY?i-oq;8GaHqu*xzJcq5WIkvB1j#| z*TNNIU^28T@GC3GXC5nD>}EGMqOB!!SXlThe^w|xW*Kso=@9vIeFXsEXkI>0P*aNyDDX50EY6gSSS`{O(z(Ja`C zw|0M0o!Y3uFdNt9Rqu9?)+);dW;nZ+akt9p08Y420JD29yr>-7*g35HfHR~d3zD@c zW)38$Rrsnhw$LC|n;o(CT_)2<3*p(fC;PD@qCeDo!lSyFhQ%PPs)u$;G^fXQ`t(KX6AJ@R+5f=PI=^zm+# zZXqG*c-F4NX;2dwzORc{mf_G3!GifE0GGNbZAR9?d96CI8HklBff$mJArK4qUiK45 ze@sCBI^bz9#SYbayA|L{HXu}*qM6tk(nQvH@|v2GZ21NbsHEG(tgH?MW0pqv6#9c> z_3?XDcZKCC=Uffun0~I^CENvfAc7+RpW_sK(o#Io`}i>ke9nQZPwP?E7@p&D|Cy65 z`-4@CQyB{~KXG1E(&hEpup2ER0_h@UW_M5=$7Ju`=W=R+0dKpwHQgc2pOxsmlM0Q* z=_fO*|D)wzUZI2yv#EqN;|EQfhWg3TIRrD)P|1D%NwJ6MJ^KDC1_Y?E{CM^dBlbIs zHWUDJ{>jN6;5slEs+AniK94_ZSFbpW(rj9i`IEtLR#1pSD3BmCOsx>f0*BnGv{?gV>f|o0gYk_#-Of(&F>FDe7L29WGP#k@}_4GWwj2M z=#*eSjsa2fSqQbzu)I>AiOJW+91RB){6Bu}EV4?s$y&4*!#w zkM5utjN84NxPlnG*@>H~f*bwT{7G;>1AU>VqMO!k1Am0ADGc~qyx#ExDzbSPRE$s`g+NSddD&(E~6{ z#%R6a0R&9cXuhm(i(@oFzG2BNj12e1(mf{x5Z-tQlvG4rg-0*v{EI*tJu^rgAy!V~ GLrroDVixcK literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt0bs2x8 b/bsp2/Designflow/sim/beh/work/@_opt/vopt0bs2x8 new file mode 100644 index 0000000000000000000000000000000000000000..e17643f0edc6e2ada4ac72e998822dd87aadab5c GIT binary patch literal 1580 zcmV+{2GjWgaLNw);D{br=`Q%-h#o;79MKK~eQ-MHgCkg#8SG9;v)nw$0H1<#CUvTV zq5yCy%7g*pP50e<&ocOYP7~q)bRdTm0z63*kUJ4O9PADk>i{{hSr7x*1XE5j^{ZH( z6{siZ16n%-=d`_D1(@1is^Yb;Rm_*J)#=m7KL*qM?al{^?t}8*0e$Z%q3ja~l0UQS z31_+x2OMY) zoq$#bFsM<64@6|#Rt}eJ^2T^3HgK#}!W07}uTl}TE90mVd5>uH0RR91u~ry)00000uz-yT zUYEzXUXB&K>;$UBZaO? zF&81U=Q9^7KXvd+5*#-eiEwor86LJ?C|=o_?( z!>b%>y(HOAPaTEy)kquwjE>LgB_8`;Ht!rU@|Y~41XEd&h8R<5VU{0YW-MnIopUxT zAbhecB(+*qb{jQi+*1pfJPpRsy&Y=ZE!GTyW05x-q#n{is2vU7+hDRG>r0?W7XW)J zeTcw~9bD6Y?(N{gtRX4$}x8Iza9Iisewleb$HQ-4@Z3d%i&@ScP{zo9Kn8^IFov+^BC-7nq@(Yt7ya^nenl+|Wz6>wrcTyZDCh=_;0uAaREFK)2<2p8nt}FUW zu{ay4evI|ag$uu2dCMHawxVMr=L}PFwIv)2S~RagE)9L;TSpw{bofE5((X7Cov+^5 zC$Vo8?$+vXD{(huG%FfMb8{ggyfGM#8V$P{ov~{dsk;KE1+BNk)hHPOjPcSMQ!d!% z`gN*;5X>rWy$#j8M7B#Tak`(Se^&Fl4^^+!^&A*n0nR=xqQHw882y=pj2}tJwS^c0 zTF0^=W-Wr%S3rE&5UhtodK77;7g>Hx4~WMEcoP_b4j#!Z&CV_VTK$I@PMYJfv=wBU zj?G8HEhQy}P<|al#1MEzZ$uBiM^trOm|dCrSZ|FGl-BfV6>*Lvfz0cW`yWTt5bt0G@Z}b(I@DX^A#>!Uq|&1AXST9vjs0uTZ^?2=vfMt zRK?EHfZ2JP2FRuYZ!N9A4>M%5MI-#KEb;iy18$>h%#rVacCXHj_Nyj|B59P3KeM>C zd)b0}-o=oae@WcOqXpLNvyVS3vep&rcc7ayPD}&f2Aj(kq?}NP9={VT?GYkH;Lfwy zkvsw)i}K|T34#IB4Z35geX-o}`sa)9yqnvEyb)BIdSVg$h;;*ZB|Mn3Fj!vKYU!6p z7)x5^(iTHhMGlX$RShikERKXxc2mcuWA&)xJino9i~j#IIHp?Kos$!M@p+)hOr#m2 zD)RWg@@R%#vhXse@ghF?luXa@5Ddzve*MxTKKX=P-|!F}+qPbW%da#(@JXSHUch~b zbUv6xI$|9^w7AzddS$jDmq2zqMI|Mjx@4)@7`w{*L;~uTk#hRWB@d#?+l_=g4?hA? zgi3#gFeDYcl3M;9rw6cTMQc_qCn9BC4$ga{MGH@g+GANth!7mJ2O~w4g48(v{Nse!bjv5F)w#ILAgZ$ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt0cjzjx b/bsp2/Designflow/sim/beh/work/@_opt/vopt0cjzjx new file mode 100644 index 0000000000000000000000000000000000000000..202b4e4ed75e7b5ff5cad3e922caecf7934b80a2 GIT binary patch literal 384 zcmYMwO>V+45C!0gah$dis8TC&2NqaVRZqY*suVXViJ%zecUg|W6?+gA-ZPQN=F6Lz zL}S0}g+!8^UqnQ~FF1if^k_V4pg}!>3M!>PIj2ND$9xfOW@rq3=6T!>yVE7%K zjFR@8S0Cw{UFWnew+H&O_kHNs)prn!&=d;<8@Hrgk>TF3 XFCOkc-pk({8RrjmjXKYdn>=3uTL?t% literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt0yenjz b/bsp2/Designflow/sim/beh/work/@_opt/vopt0yenjz new file mode 100644 index 0000000000000000000000000000000000000000..a2b0a7b1d29556c04a9bb7657616f4e9b4b2c24b GIT binary patch literal 840 zcmV-O1GoIs?_vwxDCS+=cFic}UAr5_yjNF)u$+%FO78r*HJrIKw|FxrF0dvJ6d(;; zaA-M-!AtVC2^M+8oWmqn<;Dr_o6J+3M47KWi{yyC#&>|=Wv)PhePe(H?FWOvG)_hb z)t8{=VZc9to4;Kt8^A5hrZxB;&9)`RZY>jTlzgYjEuFo}ieaW&m*mg+QW4 zQl)CBgW5#aoBh8SYtR&a!X<)BDGlWD)V5J2G1k9#yKu(l|OwJZ@(A{b)eA8>?# zcc4f?w`9MoOeBn~3-oU@5^}s(1u)sf7 zD8N7L2)|YOKFcP1;7XVcG}LfgA?Ts@8IS|5dtgTzujZf|eMjG;U~NjMVw%(tBQmEh zMXIBVMzX=$e$r!8LUB+%WMvi6OQ`Eh941kCy+N(`HCMzse`%YNBpCFZxc(?Drw5FY z&6JYRj{*fEpYhwxeIp!$-h=+pPW(P(7(9$CZnM;{^6+GhTe1u8AS9ba`$z-Y)K#Oi zUSQ$WsSGPp$BsbGIB8+`%#wngYh*=xo`}k}XHeBGhv4cPR_XZ}g((N5pAt@|&1BpT S(unw7|4^~}x8NeaG@i4A5}|1T literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt1957w4 b/bsp2/Designflow/sim/beh/work/@_opt/vopt1957w4 new file mode 100644 index 0000000000000000000000000000000000000000..4cc07aed22eff81abadb1c9cdc0295c5b0e0a472 GIT binary patch literal 773 zcmZQ9IK?-;Zbs+CsX#&@{#WAXOZ>*H3=9k`QXm3|85nfHBm;vAkY;9J1d<@kA`K#d z7@~j)NGky`GXsbPRv-gpAQUhId6HlSAQo7G9Et*vQf06L5DRRL3XlOZj)6gu0qiJs zAQNFE8<6J+#B5;IAO*}I1t6^q416C1nb?6eiw2P30EvJABZ#F5#7tmwz=nbpAPnUM z^4x$J;#07pAO$c(6`8nzJSHt50Rn6w1z@+uyBwPlT)Uh9Y{zC{wc`hzHn`cc7wo(+ ze~Yg7s!Nk(zObfT(OaOjcdcQa9?#PcCIuB%jnC5E`Sf;d-ZwEwGt6vS>8qRa7vr7p zz5B4!b>-~`m)M{Ei}VT%S3Js8QsMG_U*W4if-iCn7L>i;vVHBF4<)~qYCD@}uG_*H zs}wMuVc*<{<;3fKsB+39EiHKcvn3=(}RCgPc7fT-L$Bz uo$o^2v_-G|TW(IcrhNX&Rn?8I^}kPS&RrSOW9+nR@!E5r94Z%oWCs9xfAuE- literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt1iz797 b/bsp2/Designflow/sim/beh/work/@_opt/vopt1iz797 new file mode 100644 index 0000000000000000000000000000000000000000..30b10caa0c3617437f73ee46c416c28a7efa6fe1 GIT binary patch literal 1180 zcmV;N1Y`Tt?_vwxDCS+=cFic}UAr5_yjNF)u$+%FO78r*HJrIKw|FxrF0dvJ6d(;; zaA-M-!AtVC2^M+8oWmqn<;Dr_o6J+3M47KWi?PS_#v;$DHw2$lc}Tq=NSkO*hpT4| zw{*ZrVRLw#5I|>;-YhPlI-*U*s<~`Nlrow8za(cBq;q`*H= z0Kh-sSifEAoxm+jt2Ou@*0v?aSS$<7;TTrfvvBP2E})p91^{sbML?oP(xqysgW5#a zoBh8SYtR&a!X<)BDGlWD)V5J2G1k9#yKu(l|OwJZ@(A{b)eA8>?#cc4f?w`9Mo zOeBn~3S zhpOv%vsK^pWGNQo!>{)xf9K`oItCg}`5yLcsrQ z-oU?^^uRxJv8-FpRNplX3<&ptt@Rw@;;MOsDipIIV`wJ19PdqaFG*1`pnXP$iA5Rn z(#no~H>Glzi@5|4xpS;O#pf2=|HlIg1{tIcEAbhyueeG3Bc!QL%@%b_P}3bq`?1% z6TrVsZoog=hrmDfN5DU<*S}SIfXgO(ol2Mu&eU*Q)##!2@sIhuy65MHX1 zyS-c>b@?IBI2YYc}jVx!#ofwYh`fyy0 zq@eg(I)Cu8VrwmnSlr)zSI~dg8TDPS1IeivvZmu07Z{N5YR?Pdv&^ ueb-zI!a`TtQEo2IS)3g2W_Wp?oUpQdN+2b<-2e(Q;6PL)iNNRqNuINRdrZ9m literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt2z1zfr b/bsp2/Designflow/sim/beh/work/@_opt/vopt2z1zfr new file mode 100644 index 0000000..ca3cbe6 --- /dev/null +++ b/bsp2/Designflow/sim/beh/work/@_opt/vopt2z1zfr @@ -0,0 +1,78 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Penv +Z1 OL;C;6.5b;42 +32 +b1 +Z2 OP;C;6.5b;42 +Z3 w1242971927 +Z4 d$MODEL_TECH/.. +Z5 8vhdl_src/std/env.vhd +Z6 Fvhdl_src/std/env.vhd +l0 +L1 +VMSh;Gmh>9BN`3 +R7 +R12 +R9 +nbody +!s100 9k9n?Y0BCW@M9E4=S3GCM2 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt3m54vn b/bsp2/Designflow/sim/beh/work/@_opt/vopt3m54vn new file mode 100644 index 0000000000000000000000000000000000000000..d833a4785fc3e75b00a29208d32ee5c84dbc9d3f GIT binary patch literal 1277 zcmV%+S-6@2%&G&w1AXxX6hlz459gSJ zibrymfY39g(dS6_H!Dsv;7q+@b*h008zqXw3is006SbsCfvLij5=Y*^P}P zh1r0bc&rH2#>QfA@g=7hrFbKb2xH^AIiW@-MQew)25bm8!3`YU9VeaKHrgmFqFOO2 z@f5{PFW3mLgL*ZhiYjoc(Y0n-D>RqEd>s)ih!9W;a-l7K7FuYgSS~k726~FuuDaUerpxu}gC1fkX#}f`=`IU!3LL~^f z_vp9+%eSR&xsz+umMm0Tg*>&Axv3u*g7XXLf_7N!yagS#E+855T5we`dT>cv{tB@^ zD7Fo)8WQfX>5S=J?YZOP4x&YbF4M8_4HF4)Mw4U!R3te<1||+8t?3c?iCD4od^2o> zAC826XRa;c)jMe6iOANDg(K%4(Vr7Lb!tFFy@xh3ODU%5MW4?PmauPPdizu;`*-Vu zvdNaM<+T=&U7PC2{1OEpm6=~*{k#A(+1o>Ju}2<|-_|X^JAnB@Z4Cs16}j6?lnKQ! z&UBHJw5E@!FYn8DnewowEx<)AV$=9SX+u5kTytLDgze$25}q zZ2u8n6$|$((pX=U0;RSyRuzz!NA#|@4Cv8O#3jo3*O>UTYSZ{iP;$DYka0zJHiCSz z1OrAimargI8hW+MI@K{MDo1}MO+u>5Ij?oU_O(q)FQ19x{mUiMdFl71O-e7CkFed# zCDDB7^p#CYFPe?9&C4axY5u0QO-e70jI#a9CDCd8r=?9wFOJyDlml5n61+iVcOy&= zCyDNUvPElo93Jwu-j@X+c>Bz_s9UCT@oLAP#fseaI@Ot5LRSCufe<+!wX$8|MJ*mE nde`WSZJ!L`h7_v)!%KC!G5a>|4~5EJ{|4=jo?uSOSoZy z09F^MW&y)%<-b_&4C=^Uw=Q574bp=xxaJbhYF6(qeB zNHe;HQYv~pY(do^8GR5ip#nYZOw|}E1Of;~H_RXDtt!%;_4zq6sxf?Z_PzW@k5U{7#kh{xls?(h}RWoTPNXrlcqfI4p z#0GK?G*pd?U&RIjwy8mPVrw*1jW#vu)03(o^-1GEz&5q$PHcmQ>Oa~*z&69YOG3(*^>zkxnHq>f#Ah*}f1kROL*7fT8sv@9;4w1e#C? z7%Cl)9X|$zKobgq5sLNu3FO9wMOP?#TWXxCnjDD`XhI=iPa8t+Lk;KDmhS!w3V|jR z0*2Z_?klrVS>O<`4c;H3-^XkqU>gU>JAcdu0=8)cc~_ZDW4goqnQSBo*ro~O{%EKg zH-(A~1Z>lk?!-VeRR3`!LBKZ6=uYg0hU!1sK)^QA+bW2`M)YuL6ZY6AA%C@vag3$!t_M5(I4167r$c;A@vu^mzSX!3vUdQgjgD z8<$k{9`u1lu9pjNx=KZFM;|^^jl+PT2SK2Uh+9!BhDw*{prSTr81)+g5U7j^fiXJ} z;AMv0YI3*@M?Y1m7;tuZQ)Q7*BL^alW4s39TXG~vC9$u&t+3=FAC#h?-q z3lPz#q!VMQF}h6`dZnL|fJeof87s|X)HoPYm5R!!A_Sah6YST%RC)gO5WJt>j&vsI!jP&|3^PcWuBb~UF8l&5Ep;!7T33${`NY(L!i8)<~xiF+E6_rsX0Vi5Hgun#2 z)tx}%c4{=C5HM8fmcRr+rEmK?B^Qalmo9krRJI8OJbTI|tB2tI?De2)aUV6ByC9DQ zoML7$^i5b7y~fV^`~p`R|u&}#h}c+B;b&hUJFcUN+Ay*kXS$sLzTIg1PoOMA}|5a zfq)*DL6y0e1PoOMFE9bnU_eh&W1K$FmC=cXvQd?x2rK~fb3lt^HY%P%z$-yz7cl|Q zL4cl?L6s9FumCn8usI{MQE{FHuo(=Se^bL5QCWl#@L^Sn?hqmG0r4!|^6aa4$^wi! z1iTWNjf$r%fXz_YT%bnNQwZ48%5>-S!NeTTexpXyQx;&_t!vsLX0sWmC%}^lVP;hMG%rKfrq1>Tcc1vYeSb$L@z`G~2 zQCY|=fXztQl*(*W!iPXJd?o-o3efv9sEYF}fX!%<8y-`GB0i;t2UH%5dI*eb%S;yu zi|5pEu2jClLcmCsZNUWi69woC8PuNce5snu5Cob~CIA{ua`EK{1YM{d`LEGWRVoIR zP#`b{PZxTXP?&&GV@M^IK}uCB29;1C(1bDp&@m(z-%`U+6;C11gfaopSdtqIe-lLu zy5QvE%VT2+XGX0#RY}0#SMc?!300GyO+m1rE~)69=>y+PdH(be82vNT#nB_NB6WZ- z6}6vDE0KVAwaST z1ttJG4kKFq?S)vKE;!Ln^i2Ajg+BjIGz6SzrOOh56RlhbdJx_x`U}E}HK>F0s4^x5 zoJZv%7i*G${uzrwC1e&LvRh}HNj*Os;RIEz^`e!UEZb<^3 zDy0ts6X5p*B8l}s28BQq$^<|s0&4p)Cb8|YAfESe#DMG;0tFk#=NI?IL#en0aD^;FB8&{c49qMW)vylXxKIQTkm;h)zpe8MqYKWRN**BKrKjA2 z5`oV%m7qbWXdI3fj4}W{1n(DbBAoh^I_RIV7?in}1RS!`Yk>&}c{-6qPZ?C1dr81h zWgr3*0G$D-mkg@Ry(D0$GI)UrfF=Rjk)XUamC=dbvQd?x2rK|J8BiaYjf$rb@JhfE zYD|@1#sU)noe8L~3`*Vqy-8RAn-tji$!t`dX8~+x!KO3Wa7I)XAp{~RrJ}D!A1$cz z9uT|8Mpf~Y1sHWUS&IQO8x>Dk0Gm0m3H+F+5U{6KWaBFLw3}>H6;D}!QByH$51Eb1 zgpAkf?}OaOEtpaaQ9GZY9ol&WNd?-C}V1k2n~355k1brD7l zk=dv$WEQ|C4K{;iHY(vmpcy_B09_2|5E)d(c^1GX9ifMj4T?BIHlXrY)I(rgTV}c? zu!xWir}D)a0?inh0Dm$79Vvshq^^EcO=buJO(+upT?*)Evf*{1j7^M^jj9p~1ezO* z2^e)5Mvaj{RYHM46UqcYmjfCrgQ|E6fhLp*fUZDxzaSeFaf*z-lDbGkUlz=$HGeN) zdPC~+rpnVJFah*cu=!F3&7>~4Z32aWq0Q)FAXO76698RJ55;&H^egIuoe2~IhT<*I zhpGvb34pGlhhl;Znnhjs3CaWt0Yg>3Z!iJSuK`VzL9?j~Z&(v31PryIhxi-C#8V~! zx|SY_(`C?g)CH)?f8>IIp$_!WkE#ij34ne>55*K2bUk(9bTsi40){Go3=^0D=mtP% z$)GvZh3^g~PzV^R+?)av0Nn`aY#DSDbzy={pb#)r`P;p~1VA?fI!6ZGLS1;znLr_6 zsPcP~zyv_I0-7p==29090uv|%3|0OaFE9bnZGg^|LAO&ER*VT00){G234sZK?f`V2 z4Eil~;m=kRCq4DLlyApDP%Jy7!^-Kv(@;_Z=g0n|c|7pkC z?w;V{|1in$$BTjGE2lSFHmr4Bv)a}+ZQY(Uj?1$?x#@VF**7+JXgf74`^Uc46-IUJ zFg`DH_@VVX*4xhcB{Mwwx!vlXy}X6hFN@>H{!y6TzvSWg*#Eojtp2yB-yUA>=*==+ zNa&HkyQR7xpLX|YvUSGIQS}a(b$mMKRkfC(v130U*t@D*OyaMNzF(CS;5NBq8{74B zc1~!~Ca;ZQ-@f<*m!qzoZ+AVX-~SZ%+s76$5p_Lc{vS>cx>l#$NXPvxBSuV`*3ZfF z*8eo_-}z3TJoWfQ%J;&7ho;Amzu^D)!PI*W)m_rgZ+R3sa&P4}Ru7Li@oKUDlZ|(K zrXQ)--eZa|G;M07>tR9mRjMxz_IbW4v#_l%QG{Q>i6AxD9QTboQZ9Z9d5m) z$(k9Z!5jX1xbo_i)i3UjYqBBu#HHyiz0ciu8^3E?VXEf_n>7V&eb!j|B-_PWy9sRd6*G|FrmI_~VteFf&v^k>}*t!r$3n>r+}bVF8H zP5sc-k6!G16LFwX_;+>}-t7wObZ|k-&dc6j$=cbycEx_KZ(L6MwXP5wk>X{)d1$k`4uKA80e(lGMUpLsHB*%4hnPKm^fd0=zKVRG3reMhY_8kg?ihC93 zEcJ5kQ84>RovyVeb z9i}h;>buOQ`4{e7ziXD<_rUlm-^O38Xn!UB-0xlb4ZM0Hpxf3~hvL&+hdC3wDm%8Hdu7Oy-gTPWx9i=Y(xNI0td7sMv+i|zzefxG!jqX9PI0Rp zY+qUIam~$6JoZWbv)RoXhIs9|+953Whd>w0RiC>yDreW}@R3!=!tyUIII(BhI~%vs zo=q?QS!c}H*N5^WXW7Rt&9wV5s`C?ze|OAuTy}F^$JSMC|A=m$F|KRSq%||Ye^^|u z*0tXp{n~}5e7X2D$B42PY0pZ&ZLv7+)aWk;`B%C(LkJPiY|vj_bEIqDrXf>3oShoF zS2qNX`)=O(xU8b~1!rd8Z`D4ef6%dI6~Yget>08J&2eAxYme;sz{UalCvhVaJ|x5u>X zVHy44j@SOG>A#du{<_hilc@t6R5ux?9 zRdmU3=V#wN@4h}}Z@Gx8Hv>YQ20i^LAoN}SgxIfaPL{9J|FLe&v!;Fp10PyO?(uxu zXWNy;`o-@KJ_;DT>(;PE3EP}pDjfR$x?6wO@Jz?Ri|*TA-*6kBysdoAX1iW7b+D7wC5@vg4<`wz_w-aX~r;oJ&0Ggfr&d4I{_Hrq-XgpST0w0c%= zAM58cJ#TywQX$5-`19!30e3^a2RL2v>YVyA=v>y`5p|#U-(I76;QXx?x(BI!<4PCU zCCuz*Gyb;?!n2~+2hR@j?O8PGwtH-?vTw$%yLRbTPTgs3#udgFIkh}dbn(Ta166}B z9$IMT)bV6i=6s)HX+K#Vu03qZwWXi2tU5ox4F>?P8 z0X{JyukI8~zR>;n?>nMK4y-YDZo*g%MPx|rXyg$wVNShOCeQ)8ueb*Ys zu3G-4-w?061$pTKiMxxNZ9dnolWX$1i8(8dS$#6IT(W*~+3N57&x$GG+nt`g$oG8o zaA$Gs&iD(9@A{v~ST$VSRQSB|^7sT>zYD7lIyc#T{dN50A4a z(VM26O;4EZvZQ|2s!_GS`SFJ9@z-74u21rs_nYBHWZ;&itJhw8RkWnyxl`_;j|H2w z*ycAjrj5LlpIFUi{@cA7HLAD>aY@#LdmQhQ?reFe!S61odkpg$VzKz-S+^Q(YV7Dz zTC9tXkNr8%`J!;)_BGpK-yZQjV7ab)>5|UtS4JJVWf*vRce>BJr%kWSD-Ed{Yv+>s zyJMT&{3aGx2iEFu>AK9OWo@fxDN#WSEHjFS4Yjck%Ww878u-WtC#ZA|( GTm1(u`?`hz literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt6ys38z b/bsp2/Designflow/sim/beh/work/@_opt/vopt6ys38z new file mode 100644 index 0000000000000000000000000000000000000000..7bc1c2b111e7a8f48926bcc27807e8ce196c3562 GIT binary patch literal 8815 zcmbW530zL;7sucCy|+!NELmDi5<`oqC`zJi$?z|0NJ&x2K3Nhqc2Z$lEJKtcTe3Ed zB_xF*LsVp68b;BevHs6_UOM-BUvK~a+|TEnd(XMQ=X;;?JkNc)Z_;T+x(lkg4F~3f ztGSz}SFE?dRZ}97@P_EXBoZs;B@%Nua}p_dG~Ngum|P%(vl$pC!7C;(h6@sK5Vd3i zykde@A^}R z5a3()tsQ~$f?G5_O(Eikq67O@8-5tvl0)G_Y5=YSn4sS%AcB(7_W^;*`9py!0*3;5 zzjdmmjhmr-vQK^iUNW*NBJ008^sakP(W>3pnPn7ZBRgM@UI8&3r^)kp@7;L zLd0Q8UJs6e(U+8bU@>Kkf^p#FDJ!^AQUMBxYyuI7DI&MRXnb7?F^Y4_p@1;op**0z zHYlJrrYN6KUmFz2+h{_Gtx+B*YNMn?6wq_bP##oY8x&9*{0q)c1&4pH*&#KD6E1uy z8(y3|DGE3+9Pzf``RVKu6L2VL!Oy@vy9-W+qc$EdSO$?yAV)$ZH3dh5h60WV?V({i zNXce_!-Ee8M;%r|M*)6YutWMioGicu6`|luMZlNvbHK^FheE{`Odwx7D8K>2kr3<- zb1@Ib4w)&OzN&}>SP^!Jp9hYfs-zGU&{J_$2-XSSivXwUsZ1cR6qSmP;D~_T!mXN* ztPhF;l~5ue6kjdbLU4HS(co0go)iUgx;pz#;}=0fB$; zsz`BqIU-<1`0>Xt1*Z~<0;*IAln4lAUsB7V4IX?9IBKk9MWTQfxnfOH6nq&+_6;2i z2^vQwNEFaGDt4GhfjSsFq>9gE0vu4eKnWoZ?$DsMfhY(}-Yaa#qd?_M5CI2`efzJ0 zHY%Ygputq^7Dohx+JaoR4jdl*FW~BW#D4UA)nydaJ)Xm#3Y1~r9-Bo3dx-D}v>)*( zAOijppbQeYjp)GOS6Q`Gzbl;iRB+TSbBM4m0TcxU#UBTh(SnFi14o255Pek`3W$J( zQHBw=iwMmiqAwUWn z5DOS#F9@iLUJ&7w3kWD6f>^)^`$UA^5aE;y2q++eSilHbB7)mz0$2Az#G`-+VgV!U z7ZKbc!q-pG2NV!NEMSCe5uwj#f}js5Ac9zc1a4~`0k@345b=kFZDjo*!oLfGZlR!V z^recckRw8SSl|6Y&L3e2aCpcDfU^M;Kv6(Y#g~I40zy4N&i^KC!~U1mK@3F!m5-xK znZ^+D$H3J+RoSkM0wUn%Mj1vpE+Qz|+)zLST zDwJ$)C?Eo^bjmP79;Aq%WOG9S5pZ*(3?rNp5%AYLzB7UeMgb8#A)*W;oQ4$j0e`*s zVolV+Yl878Ai@t2QHBxDK#B;45cz`1z&;aDK!m{%QHBxnAw>iuh>*a8eI}rQ2ty#E z3?rO{6cLOeLIT$CnScT!{0Ab+Ac6Z89hm&DxEGxHLU46$WZn>2u?%9_(4e61sZzzt zIU?ZOGZf?uss9#d5QD2Na$C?5q>{x_ghejSv`_xTJJl#fs< z{~J&$pFz(<8!CS^L>*bCu6z_w`HE|fBLbE`2IM@ZsQj@IAyiO43aI>VK&gBNy##Hj zr?~L(Gghz(pn!U60?{}ydP6xPU{CQTe;FJ>{UAc9prRwY65Y!x^4k7_Z1cXk9o3e6nc&KZl$M-RE;)}{3Qi83Y zpE#xa&fuQ^G6&PLZ5wNLo2eor_=#p<6_5^y)*8bMkWBbdSEt8EaXn5q`vRZdUTU5#}BS ztrKROyM)}&U-|Pa=Ls{9PHlD1$RclBRob1>ofopJ9A|i^$Q}jxbj&&(wQynazbZJO z!|L`9lGWA!N2eRSO-(+rw>BX1)}QIcQ^G#}tLKK>T`VYof&Q)LqpM5Xyz0qaYcs;) zk{U`}vKq$5Ijx#Ef7_GB`A+@rI;D*LqEPkeG{+0ffJ%dT4GhR=QZq{%g>eh)&o zkG$tIZG=Jm7NfFZMKL$8Ip+qMJ!rP_*e91;xe*q<2RC#zvkw^7(e!ZU2j{CH69>GC z-(*=f^y=gaphW7g57#v_>G5;!11`|f!^wMWyV?|;kTcGbE-AOOUoFc?xzl&uz>@ErebyZ)acC~}yJCB=@Ke_hF3Vzu zPMnvn7q{Ny*r1WmDl2TA%+edgZkPwr;~b4d%^&j@{yjEZtyB5-Q>neezfWzmXKXre z_Y|UWc6&AS-?|h;zERh#GBxS1_0ZyvmcBO~7woC@s~wl?({@7plOYEk%sY)({_c`f z^lY`CrvJ6$?c}%DxHH|JhD(YP;|`pE&~SC`*(~o%%U`Di?K`_zR$?3awBsBP^oL0*Yzh2@H9o6)-Ro0~a3E3U(+8cEaJ=?g!;eX_3-v#@(f32KqiXpLwG&xafX>@0xQ}r}uxnQ_yGs@O2@7MNO(5 zpuOmXNy*;wVaAD9_jPqN-0 zd(+cwdg$wvQ4wiV?X=#hr#tx^X#U$j{|tZYnQ=fZ=gN(W%)f04R`d>xF-Y_(EO$3( zQ9S41;!SCOpFZAr`M8}|hUUdm0x5`XJ;^&S6H#&NfEGMZ-}^$Xh2Ew1RQ zO*f7Bz&##E`h^{FIo@`h>t)-}wE;dMgHxPt85ATxUbNjk?AL;$t#tNjoBN&(w5v{B zeJK51W=vH~ZPB3w&nL%DoJimIVvnTzpDQc>UK*Qr%4A2*ja_exX4v2Ia*r9YIr`y+ ziq}S^Wv^51-=vR;D|^^EuR{y9?(4_&I=5c7)vt}!?%mD%n#sbRp82tfzH4Co0JnC;mD{&(do*lYzqHm%IRAg1 z|1o6~_rCLlvQJTSYFe3&O8b4o{UC|uqeoM!Cj?K5m{Y!QL8AWb24y8JO06D$|I)PO zy;1ixGP9aIdG}LfdfIB!>8lRBw62=J_N?LBIq&A{>Bet7ocqBtA#Y+*`>o%t$gqj) T=5?Lhdv{f;Rhna-_pAQ`P#<|s literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt7d04k7 b/bsp2/Designflow/sim/beh/work/@_opt/vopt7d04k7 new file mode 100644 index 0000000000000000000000000000000000000000..2fecce5eb478b3f234fceca4308f24fbb8b9627c GIT binary patch literal 3258 zcmXAocQh6L|HtpO$-OSuHLiK>Y_4_Pi_5jQYi4Edkc{k=M14f0Yg8h8MRrEEq{zrh zgpw`un*F-p-#_p7d7kIv^?E+fd7n2ha2Oo3N1m%6_3!w?5QBYcq(&4u>t@hT4*#j+ z_41N92Uw^vU-tZ6mDAm5w-$&GyqZvz` zG{K)K;zWQnW6&p3v?0W~2TfQqI-0P80tzY{1BT|8&R^kls4B=1x2_W<8_nIgYg=?F zuGJE^Cs}gol?zybuc06q`b#H^G#CR21=hnrv9^(AO|}e}3eHzuFi4v%MGGS^ehUf& z00765-k1L_K*3<*-PYus5~lZF-_xG2L!J_dxzOI4-dz$zw^cb#f)dbGZCb^4Da^ZB ze1s-0go$nru(H;U$zL4T{l z-{;REUX&pp>NSJ9Lo&>{SNWQ-owVsML3mTwvqTuk;4E-p~c80^|qX9%wXtQa>Y zV_wEd$C-t~3haYD_$-jy=&!Eysu-*8HdfGCgh)Z^BKYDo==s4E$k{P#-9(e2KQNEL3UVr-0a**C+%|zH6+qy$vXFRA~!f0|LM=V9`+@42Pc`_@ba^y(24t1=%hvghI9BmXsFOED z_nBxI*}tHCR|8Rr{o;9hQhL&Y=`bl>wxLmdkv;5*4LiS6UVvbU6#WR@?w7uhHVJoJAJDbtY%Cq->0v|vbm+UK;& zC-PhaeaV%6DIWPj*gq>Rll(VGit8jlIDQ1CYhHK?CZIav^=Khqp4K^%e6jQT0+P=N zFD~p6Rkp02n>%wlqC->F{MiQ?NGflh#Sk|z-)n;Yf+CIu{8aGuVR(b4YSuh_y|;$S zuyA-x(*8MG^|I6d$I1LgQPM%SvZ{DlUWVE*d-8Kt#O!e#7QhhkyV6#1y5g!@>FxKf z)y4Y-vBY)C^yp7N`|$>aoh*!YE~0nT_mHzH_lADwDSqe*-_@8nj&~(LDm65t{vM{$ z5LG*i>&umEP=BEmw3=dd+c&zXLQ%6TGPt#yprB64s0d_SAwvNqgNVYmN<4*82*m`J z_DF0`TUk-ziM+c*no`5hZaYPT4%zu@F}wR<6^}&?G*`)DaHw`pWa)(al@`@nThKdI z2vJczu7>X1Q^-~OZ`rZpH(yLG>il3t`28!iJLi`HoQYrHN4^NvjwLYKWhe7^H}YmR zu5R+Vo_+RCSyO(-%#-^JuRr4JP?_oWv?JFs>DoDD#$v~>2Iw_JKk0U(`(eNFSu0b5 zvFR$58cFz8Wn5=vQx{tGjJ6I}10gV_9AXZ2M<3&=F14n@a(o_?+hGpc{_CRO@Og_{ zRs0YI>y5oAn+nn^CD`h`^d$O`^}SQ~K=RG%L}V%piVZuiBiiT|TB9>3H^7K$pK;8J z@I)YHOuU-BNLlx8CAiVoPUfiGvO!9ZdnxI8@Knjtf(fOyV=h&$cK0wLmszWf@1C?fu0hGr3RdQJ zq%Xr>b9XmRl*O$KeP902r5159$XXVAa;BhE&Zvz z$@l*~`AI$b8&#%f53{qCN4YhQ^^M%jH5J@6`}IuK4SZqBR`RDV+yeC`EJbTGh9ZyQ zO9FlLw7_>1-8WjE+&`uVdb!1^l2ebz@JfTd$o>dn9Q;)`fB7?z?N~2K%28ArwNNwd zL}qkKIsOJc{Bnyqa$$5@x<2~j)Vp}t0!K-QjPU%^c57xq4y_S0qj;{1uRZm`TH?HY z#yYrcWw&4vaqUJIS$5Hg+CtIm+7-~($Uy8a?FCM>LT&0yj;AWDmxyV_z^xuwnXG#C zq{+r?d-t0Xt*8TJ`Tx8DnE75qHOcn4RPdLq;VB}93XqGSpiy(Z6Id`3gf(Tda26z3 zA!off5*jWnML&wv%T4i5NLk@8Ur)*L*xhYwj+$LgQMKOxUHMr4*ZF!Kq1R>&_2-3x z_Rg1nFw(u~IwN=#H<_|M{4f0?X`!;>xIHrX%<2 z!TS!6dBbUB2k!rLwODbKk`Kir(L!>5THH64SH*F1c}TQEOQ+N3rb?%3+Ojjh*dELp z-Sy!QRWDcTVqW+fwP8Dy?lcQ(kxDR@M;hFTZelYUpcIE#QL)x`ioc*uHa}61{KPJh z7{Gm&;y6Evq4O0e0nX*>?^4OpKW`>KPjO4vy5FL~DM0JS(?}_Ei)NW+jWFh_@gtFP z&L~0uTdSs>R8&=a73Tsr!DMdJ?Vl>|s1+xvnT_Cos=e}({-3g&T_=(4}b8$>w`JZK@`TD&XQ00_s zYp5R+vSdYv%v3B)q=j|~OV863m*h!>HGY2YS!EWZ^()F@L`XEhykD#BiAiK{_zPL@ zuoF$Gp`feYqQ&%YS5+Gugq^ao^+l=o$KmIB#krj$=Oe@q@6P(N zO!IN}52icUdah;{&WX$GfjUQiTQzF}5>KTHzgkhNPqbJXn&sDaRmPq(AVfEkQCFxM zf2S~;Ovlv7Wcl!M_GR{QYV@2-fD})^?yG28f{0C&eesx>Vhzl6IXty=*5S;hyg#)jFyMn=O@{-RU6K1UC1ZFV^EgfA)M$n zIV1XQ{jOwdLC9Qx&mAwn*7lSYU(W)u?a?P-sG{++1_;KW+io|Dn%SYi{@tcYl2Fy@ zR*r`_Kz71{uzu|))q}Hx&pF$SK|ei`pq~~R)Okw?E@*bg9RS2@TU z1gcVr#1?2PLQTXsmURvO6RI=oIoN0O5DB!qYLu4}|H;1ht&k^{qp8H~BGuvXyB|@F zX+xhHvya>t6kJv)D);^t2E0ap+plyQxZ&iwDxt%F3%yV)Hl{34;(A(=R!b%8-gG)oEert=P*OvfD5iQAx}i$Le@gx?NG)+p7(KE zL)PlXo9k5-N-bbYt2apROiy?iiuPfWbmX0?!%?<0m5s~A5}SR)gAN&O0HMA@cN(D6 zr1<>v2b-2{FRa*Qf_;Sy){#Q;I@!>=J<-3K*LIa-9CDoA?H5YAsVS;be}n4>HL$Xt_69YQ+|v0;LSvu ah01WNXS6_Q?TW~%tdSNdUSu&a>;C{PZNKUO literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopt7ict39 b/bsp2/Designflow/sim/beh/work/@_opt/vopt7ict39 new file mode 100644 index 0000000000000000000000000000000000000000..1ae7b98ed81aa38cf3869041a2956de93a143b5f GIT binary patch literal 1838 zcmZQ9IK}5EQNJeizle=9ke+1|2ZTz@P%8nHd;?BnY!e zg9spoC}0B8NX=ztvpQUEhlk%=3mToXtj4CMjx^ne)2 zP>=$=hJq9z4CMtW*Fw>Q#djbD2t9lt<=TYwfD|C~@Pm}Y!W(BOf)pV12mpD^AR$;} zC^89xi~;!=;YA@J&jzdrBnA#QkOG7;!ayF2K8OHfh}9xM+75`B!D3)NAO#3LqCg&t z0gyn1wHS~GQiK%NAO#3Ru|yz90m4vmka9yHfiP48$O9=tG8Ci$uc06X2tz^HfyD?# z50(f7DM07}XG>#3dO!*gdcZl=gpeMP0)!rL<~1dx2c!U@M;6FqvH%hwzy^vMaGcKO z;3%25T>NySTl~F0*(`i@t3KSlQ#&Ux;=x2Vv3r*!PVB3A_2x}r!s|<~9JFPAuDbH- zVuIRo%|%O$3mES&Z_oGmZ5}b3Ux3Lr`DN~OJ-bsjL37#W?|vh{Zi7jebn$98i&(YB zzg4%ie^fduYTdBU+nnm>%v9hy`P^LJHB}dOKFnZ^@|$?z4Syolm7# zXZu4*zojd;Ka;h%d;O@|>xqW~C)bJgzM2#~_gzLt0!zu|n4%ZA*tP`(CcSW*ViP&v zEK%jeeJk1Z73<0J_h!A%=OTUzC|I8R(|4g{vVgl(hWpE!l@WV14xfIvM1Er8@whds zlKDBJp7sddn&ol$h^Wlm?Tp6*!-c*m7*1LL!=o5eQC!oU9Qx$Y3yrO>ox?P-T8d&u9?yS>X~zF@RE<}A~zH zbAPyn8qKcyboQ8cX^QInT+828Gg77fSAVnJE3{>~(E9^_w{6if<@&OW|3ulog(uy& z#hR%%1bBT+ch2u{IAi(NYvJjWhviomRsLKyb-m56;PB;w9XHQ${6FBarn^PEb;@*} z|4U5gaK*QMGu3>~T5zZ|FP&AC j_xX(WRWFkT=1o~9Sp-)iw*uF)OQ!YU=Gnx?;BS}Y;VBoJ^uI9HhJG(pdZnFUoH-SG5Xie$M zrsxIHtr~jz-#6FRp!MtTr>Cb)Xf>j2-*3XkbeQHqdaDV5&*w^995+?HDea^I@b=vW z?$`N!Oh>MCWViB%DQOPFbPbj4Z7gtYyw)V}I@&bPw( z%gX7j#yPWcwpZi4GIHXYal0*XySQe{hqz|kl(S{!)Qp>Q_KcjcX58*b+=f<8&A2J& zxsj8UM>*}EsOEm%(|u&*O;+UH72dx_Ug;)$9_9Y*T#7&9=i>SIsq^AH;ljEt@B4mI zQe2Pn$aK!$6Z@CV_9wOM-!R*c3Yvd5KH&WDqhd_$ymBK_Ky8rXhwdqjn=17$p6lOB zJ2CUK+5O@Ch~uSneps%6gkAB6jxnXC7g-T!ri|3At+{$6?>{~w3e68u+Y{ycxV zUclw&Jiui){>kmL(=DvI)yf-y@tKQdv@VyP&ewf4o z$90^i9A`jX^!GL1JCskVXddI=nyNmN_MY$#i_t#U8K`6j?elttE(xfA0!cu5itn>A z*9AGmbbeKCw$6T9@%V-ImozRtINoNyfN%Nz+hu%k>XenBWdZGi-R5U-=*54)4ulD-VMgcmv&rs>U{YU1f>r#c_lb`eW zrL%f&1jU%+7{QpHr_mwD7sD4&J1Yu)zXnuVhZG8Yg~7NVMH$Dvgp9_ulj303Zu5OI z9))ZtZ}+*5>${BWM{M?aoZsn^w!@O`Z?~`Wx|C_mb;cko4*7XG;`*-6OLl`cNVKQfzK0(cKenX4%P literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptaktcq1 b/bsp2/Designflow/sim/beh/work/@_opt/voptaktcq1 new file mode 100644 index 0000000000000000000000000000000000000000..729505f4dc733004aee74083a00d7e42e99bebfa GIT binary patch literal 352 zcmV-m0iXT>aLNvsewc{_m429s^U@D9AIwWXW?uSX1S1K;m348s8VOff?0LQ~957Odu!UJQ`M+mHhGhxMxn*G94 z4!?woZwlE5E}Zu;Etf_NY@;!$0P3F_b74atoIOrYwM81C;Glm1 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptbsw4zv b/bsp2/Designflow/sim/beh/work/@_opt/voptbsw4zv new file mode 100644 index 0000000000000000000000000000000000000000..b5c85d0e89a4a05fbcf994390c74b1a6652b58ee GIT binary patch literal 7704 zcmc(jJ!~9B6vyYz=k*aR<06HLC@c~n1d=-p3MN$)0+{d-Lnz!N_u<>~S=hJ7-Pv&> zq^tym3xq6@!a^WjL197T2FYFAAX$PU1wtuOfJ9*liZqBc$hSP2>D0RpbR=XU6a>m8?Z z=7l4S{dQw;K_BJ&%utYJkn~IX&HhEw-uhgAkoqI&FIBVkxZ#7;eeorhKbhqBaa_(EKOfhB%E57YFUR9X{64=7IBEB32gla} zo*sg9Fm>9&t%4f?_o9RAfXjm$cW`UqCcwSq;MTzv!EGe*?z-anN#nm8%eO>uvjhK3ST$G)}wih|jsC{eAOy!j5}3pSSe}Q!hKXNpNSuop*3k;3mPn;^2zl zir`*#a5ZoZaIZPI2Dlj9go9fGw*u~U2N#3ufV<$}mcgxqd&9x4fZGK3rh{7rcN^SW z4z2_4XK-&jxHWM1z+H53>)_~+vg>Qo!EJyW2KSDG+XOcXjt?%H|NG|O7PvgPOAhWf zxU=ARKcy2j7@~E<>xB0$j=!Sc9QSi%GFN!NrN1r{X3{<9DaUl4ULsrWSBm*Gou?#w zerVYC)Z>`yeY6Lnfa`NRR*X5!`(rATxlG+PkMx}D98*8i+&=f0V^$td-XFF}dHrru zmY;*mxt}DqpAVBhOZ}W81LnCud`@%6x!yh0|C(a1uQ_g)x%(cDeVNyc(2j?j1ow@Hn*#T(hr@Xj z-12ZW_Y=qB)>bt&&-72J@A!+8@N@o;PK zd)&jVgFE5jHo%Q}IGi`Z84tGwzjGe$HnUwIw}8BZBU zQOO@vdEC+7nL7B^Kp&f}ZEdQUSLo z<)TtwRPv&(yp-#9MycSxY=`}O$7@{qOaDZrU!tzOlxzP<_&38=m@z@zF0|ULrFKZ` zD~QV~o>p;1#i5ED&9J~env=Zt=l=0Luc2RU=!ejcp`So+LOalgYX1WjH&xtHvGhxG zlGpyazdxWKKSJ+9e}(=Iy$|)hFTzakekw7y+fU*d zsrHpv_iq(`Qhrd?erpat-A`9L+HXVoX&+y#{kD{!?uYp4yu{k?j>k{xiHg6d_S;r| z!ikEHRw>th_u(hyx}J_Tr~R_Yck}N4;;Jt`TD9MZ$4~sVkHp&VxbhS3pQ`p7hadhA zbAzreyXU;@v#f;Kd=`rD{TSc#F}~Mhe2>TY-i}AX%e@QV&2bTVd>_a7{*61x%XH;|`F51>)AjZP%c&ikca_i0*6ls1K!EKZHO$(khPmIh*0s9LJIWV5<;~fd zn)NG`Bi3dmwBuk%`FYDx+qN6c7wmXN1Xzv$e(t5h{4B?HGe3*C#PO1uFL8WLl~cT@ zyfQmu>$eNn6W_>${9N69WS=iI5&iG8H{ZU>?L?-1RpssTG{fXR+4ZT~=UZr+Xs>_O zw!avf*}eIe%`cgjeXg!ga&KJ>lX=q3hnAnICG)GBuiJcmpFhTJp=IY=moKTftm0`E zS5&<3xm9^&=W~@NG>==Z7R~cGhOL}^zYEQ>eLwYF#rC@r&Mj~`g?8SBh38J&xZG;6 zj^)nV_tu)7vG1um7uolcYc;1WM+=d)Kns!e)MCy07*d{Jw2c`4F6aG>ZsIrIOa5OF N+lcbCoatv^qI}@MF-LCX=;BN&bocAlXlFI@lVrSQ?N8Hc^{-#Qe*OBr zb$922`s7=RelDoXr}*YYM*A7zm1kt9=?~gX%l&9ay>NOs94W-Nc9gmZazPx=4m@9A ze3kK|OGE1pXO+{iVlZ}BXu~u2nc4>3ky7Le6KOzV^cmmIz%2A&fz8I zLBGVlAo4Gc>l!~lVV;Zdylg!9J(N$J?Ra(}&KSR^^0?da*oHj)4deD*j@vC$o(LO$ADi-Q7&qbhryOZLh9Pej4W<~^<56l&hgbzQ^O;ebF?ZXIt@opZNk~KAoo$CyAS&$R&P1VEcEB?e}RNh#j#jDDtNL zQ)BzdM)n^W+drH4(<;{WRmGL`>n8i+4>@k18uOmb_f2_!#Jryy^G<1eah2=(Qtq!6 z-y6)gd&M;0E80K%{gV0*1-QAPWPX&dI-ixp5yiPV?r1vfQ@;Xe5`W~uzU4OKr-uB4D5w1~PV{^)<6YG! z2=DKi_lY6z>>2TXz`R$#qVpU5SrzXI3=rcA(>g!juc{Yl599g=#v6t_{VjR!81f`r z@|+s-)aR}9@mqC2BJqnjevb_K>hsoie2)$JvhsLo|0e#+{wOGN!Gy>6WOF{^ALVzc z-YdX$)_uzSSHEhu4&eHT)`=jF1LyGpZ2WlN^E0+n--~4>uA5_(m9o#8%zMs$y=Umx zG%j>K1Y+dNtM%${!F;ENd|6(`G_Ghuywkj*d4S_S?Xzv4H|MEMZ+ujdopgLa{VJ4u zvOkZjn&ueKWE|7^G>bCA;Y^=bqoWbkt4fXL^$O3e|AmRey7dJk&L7q(!N2hHzc~(V z_7(78o+bH!;xJQ#82veS|G<8t{Z;4Yi_H5S##g>(*mn%4hd2*qtk>Zz*86g<$|K3Q zRdpKk7{~uQ<1OZeN407{sPYlb$1=WE`7L~MAA8r3Z_M+*DWBZ?Ju>9WhJBqsk>1~n zO64Z~jEqn2LUu!5fVGYcJ___~Cf6PjLcV9uGCc{E#@MV|{-$8J83{ zC`WpK0>tkl5B7&ot4DulKmN*oEU^!M!rx!Ued>G54e!t3I+w;P_2(w@;dypd9gut! z1a-0__Fm=Z&)6Q;xozyd&i39l^luXBbHd$)^^5%CuOOHuzv0@D@EW82b+f*pza`Ht zL!M+yoPg?w7;JSXv!di!vFzQ+CUdgn>um-_q3`uudRgEkWKK(EtP^;h_${(inb zUvs=0@yp*ycE4ekuefjEIuMj~xh+1;o_yYh!r%N{-G+Yl8a}t#lYY1Py(Z6mpNl*n z?ZJNQ<36w;4_F?Ym4~60hv8YdW24+wj*a_VZO0)yu5yB9^3Hj=i*_94xD9=hgPn%l zC0<9l4PGsGTjZS2R1dACLGwa9xcZ|1A% zv*f?z_Y*!}9)DBkIUG-DpZYB-sGa+7X*_+K@fVE0WW2@rE5>&i-(~zY<8K(n{zyOH z&sd%_;&~Bx;XX*?fN{w9N5*}|lJS5M`WULO2k~9qSC+^0T<<%okNwm{sXopt@DL`9 zgSaek-Vbx#FOM@dME$+N_yFbkbdS!BN0Ws5*wJ1_eKnjnsUE;%MtYG${qJ6)&r$B) zO?{pn_NfjO<(TTo-gH8BdJi1O??thGeq%NuI|>lj=X2xFb$|KX`Qbo}GSqS@&gV47>5cD0EiGC>i?hne6{)0lD}{b2R}Ra zU)t4m=I4xmX8Z@^x8eW9;eFTd80lNkPvCh0cI1ae9$1|sv`!M&fj6#o632nnb#v?F z#Hu>*9$&E&+_O%su&@Da%W<50_pCUn72y-mPC*-9T8+Pi+5u{(;oK~0i;{_FE>?c4{KQ|lyk#1m9XJXGpfUJrEgIG)qO2e&)d?GH#PW+fk$ zlFk_=|9V#|`N#^EZ~!R;NaB*jFxCvyN?L9=v>f^emqS>ethU zL4oMR6dlBcZ&fP{DbMSv zWLJx#OD;Mt`Iw(EiDOAK^aL!p7(TF3_;c0}G7VHZ96;A58|M|e4(i!fyFzDCp|I;@ z3VTG+rL;v0=*2Px3iT=lnwnZ6sYgNU#_A^G1ExV?pI6AvC^&UWaw2&>3>8w~x+$FV zsTha?&PL(Z2@&YT@nTWHtS>tCbOkBJ!K2v#3Wz8w)YBE{wc|^usR|X{g~`%o%I`3R zLy`0rLak@hr-&m3#lc&MgPseY>x}Dk(TCnb9CRdoT32YnBZWE+P%bfHg1M8J$M6r! JZSaax{{vQQ#^3+| literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptebbdqt b/bsp2/Designflow/sim/beh/work/@_opt/voptebbdqt new file mode 100644 index 0000000000000000000000000000000000000000..896876f40ae3b2e3ecc8270b2a82e05df6489e52 GIT binary patch literal 1340 zcmZQ9IK?-~L#0x`WX1#_wZltkqOVY=3M&Hx1B(=h0AdCP9WcqjpaP_s85n^i2(w6o z2q10HjnItN_FUTcZkOfQ(~cP-Fl* zN)5;gv*nu>QI*0&?fIWgm4@d#X0EiwAAkCry zBp~(x8H}7jLKldcz~+D*0#bl5lncmn17b+%fE@x-fH0IBq+An7APnUJ^0a{%$xx62 zyoQ1lzzkJn;sq(!LeYc8cOV4_J$xYLAZrm0;Ro{cfEdXkAO(0G0#X1oRFFvkq#P6> z2t8OF0#bm`BM4Fs3RJv$Knf6ggh0wcP6fpg&gcXwfay_W5(e^^K|-)pp~xfx69PpP zDAE;~M1eGuA(#sc8n8Hsc({0cd(e~kzWf^lS{lsl%gk-CI zeZE%nqAx4wsrfdAmo`3h`ZnQpOhRp7@OkY9gL>Dato-jImvX&RU1Af?%}}MFNW9+o z!<)`=EsGE=T;aE2$*f5=JN$Ia1ZHpgah_wXWBTOmy(u<6uXp@X71=V~_r(wGmXk%t z>+YwTUH(*CBm2zy@TZ6GroaC>y}Ef*Nl!Un5(ilx7cvRi^4Y(tv7X_8%9=L5-$yyW z{I{91Bv^biL*><(XOxWP6t#{mY&x?}?^>Jcg1E(*pFehaJl{2Cb7zA2#1&r=Bzj*fRD_g78lwE~!)+x=5zh2ZY$~ z`Ap(_@CV})we!zvalYA@v1Il_=4Zkj_oi>1t2dKT;IPgAqAH)Ai!)yrJ#R5ywS4vG d!}3XCZ5HdlGqcFe(~Pn2!*prX!)BrOy_5;8#)oh1k&YAA*v!*V2Y zA!$j#Xh`HJ1OlQCf{10Q8RnR>7&M3mN64>Y3?^mv|GZ>_T;I%e);jy1bMF8A&ffc; zbMIBfoVV!uX2(eTu!5iHV%xgi-fxucWvwJh@^BcclH?++BstJkBdN%u$|GQ?>IG`L zj-{%R&=LY$YOp{sVBRW zG3W>c3{`Lh0)`HO+~dDNAutGqKo8YYnynz8Oa@P16qPky#!=M>??uWWz=Ib>HIy#c z6sjJ93IgmpMEo3Cc`6zG05ue52m!A-j8u+Pdp#x}Or$D`+J-K8F1)4;0WX1_Kb|TR zG)$lyYH{3r1%eEU8V3x}UXemz5XuBV#R;57bJ$b6U!X=k*^wbI2xS7G;?z#3Ih=BZ zXEK8XysAQeAmCMT>i8ULaSsAL0jrLpp6u5%L=C0r^I%SRLyS`es37e1)qq&`Apakk_+J@;{2VND41X@$Uv49+C) zZb8te2m%GCK)~XnC=vYW4yRoqa}aQ9kybCNy?lUxQ~L}8r*;C#z55bgrf$#PP$@hd z2-wMq)SJ|wz)n7cz)s@X<89R|in-M7@j-1$Jv@JYdNKs;L;uICVFD#djoESl8HQr_ zh+(?^vMnKCsKV=u34pqiTz-WNL(QoNC?6Cd;9WD2ZekvXqG1A{c=^eJWEiRtJ_H6m zWdfk$TRE=}R3Ur_3__UzC_aVq{60{H@F6e=Wdfl16v_+xKo!D=z#xJ4O2}bCdt(aWKfK? zu-IeYN3^Cz7l)p@duYE~n{8}p#zNKk!)6bv3M^CCg%)(q1t3p`TmEcx(%btbT#hZhjl-?7?(Suv!-UMvt!%pnAvvP`cH#bwj@`2 zyz;6M#9^_+ee$+<7sgz#F3Rlo zXr6y8^xVdvs*I=uNKaRZhtJZyz z@Cuh{56@D5DDbUArSidZad)o{zYH zZ`HP(CC9(p+vS%q)os(9$4*UMm#iIcNRI#1|q4j58=`_tSlSKPAN>T3QJ-%%7B(7ktS>HTdjjs-1lm(tSa zTKSlJk8IId>te&yw@a;zJT+#2z2oyRJl5@Ek#37u+h@nm7&~nK>}b2)sn;J?So~=E z-YDZ)0dIMm4758j|M`S;*Ii})VI4MVn+`L-2wQD)>%PX2pF~jSvZJo6n zUJbJTdTXA^*w?hP%O_a0k4kzuGs;Uhr88pu@2f^8|Mbs6g*$)al6fg7Kd0J$aE4i9 z?T<&2k96lAXw*sD&A#~hAbM$O?PYJfc&n1PR(`vBP-jx&)%_hAb8oDic)hdI#D77_ zvf57`?{Pi2A~onsi@)UB1y%$GriNOsIkPb4#=Q|?p`NB+wfynWZJ)ELQLP;V)42_v2vQNML-eBA%wG02WT2Oq(MOlNfjDf ztZWIH%Oty;un3w6Cx(5$3WoO8YJsl{RQchC3|((0_5lC@08DbqHUIzs0G@qq+g!QD zNDT{(WYRt&3#%d)ISwX}p8){?006-W5sm-=001HIk^rj)zPAsvdg-amNTC35WWX;}l>!s87o{R3C!203y|cK;l&JB|zT z-HxJsvtrU-maf_45c~JAF!NjybkJ1X0OS{RKGy literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptfk8v07 b/bsp2/Designflow/sim/beh/work/@_opt/voptfk8v07 new file mode 100644 index 0000000000000000000000000000000000000000..694f12256a8bd5d0ff833d3606fc2ee7fa98cfef GIT binary patch literal 3529 zcmb7`2~bpZ9LL|X4*@X{lp{Gp)YWMmlROiCFtht$kK z2z65^PUSQ#ayis7q&TtyM;TDmH7t+hNa*-~AIYqgV?xQd58yIogsE zGgxteC0S5FOr{q(04vTS`Dei}QVwLLWP>KO$>d3D#*~h%!~o!D7~RJr;~C`0+M!{G zJI`q{0BA;sOzc85QW`S=XhxSz>>@N$8Z!WBMvqLaJsPR0%uI50Cne_gurCHcGx}s= z7o(BVm;pdDv&h80j7Cah1^~^xKqhtx8Yzt#05oGjCe{&+l*SAInlU63>x4#1V+H`t z%qA1N6pfU|3;>!jA``oeG~5u}M}*U*7XTLrP^mH5@**{LLt|eI1C`Dp6YESGmEwBn z6>?Glyix#EIv2PrX;eDRnF8RI0-#b8;BG=v0K8HFRB8$wcPl;9X)YfCuM_~4ngRC^ zk^7#Se-8wBX_ZUMUPziYFEO8fo(qr?vc7iND6>g3V=$v z$MEYyQUJVC09497y1j&?0C=SUsMHF$w~!P7uM_~4S_AhHk^(qryr!@UAt?Y}DF7_>#ee_7z9n`W#D*BAQGSHm;CWR)*ED zO#S*{c5-|3+WjRCHj&Nu)~FkzVwzJ7d;YrXIrL}VP<%~U)@WjBxc4ib?KxuuUnn03 zD6d-<9=-cW9{J38!Z*35CRP+-+OTVIr^(fuA8H+_4!bkCqC3YrFy(jEf6p3z7Z-Rx zWxZ!+V%O&6!>x%?T`l*uuc_uM?q-I#ovf9-|I4PY)MJweH+j9$IP!Gff-^miJx!%D zNvy+cd5NRs*~LQFxb*V8+i9T>?$lZRkH6a%-_9m&aVa0J-?P)|ba8C;!)=Yvhx&`W zjryW8E{u6-JH{)U#X&m^-_5s3D_OQxneOmu|D{D8;VpSOjeTQo0~t$Wighe=!)_@u z(jMizPB!_KoDdx{U2a)1VUw!&e3vZg#!>&Ob)9>()Llgl9ZxcIo@_nOo)~Zce*46Y zp&z8T>hpuj-#mLp`F3D})VXf8nVE-v>$$>&T!#~fkAJ%=!#Q}!bo{(a@~efea~dC7 zS62C`uZ;gzljWWfWLer7*SYs#n$*nuQTFI(UsiaT-Zb!-xwt>TrQp=eo}AAs?5z(d z@2@;1zA17kEBi@a?B?|}q<64SH8#`ble!OdRvp~yFjCdHVb-Q^T1E{d#mdMwegF50mw4OBO=P`^_sS~eNBTxu{EkP< zYJ1|6^!;<{Hv8p~?^;cyAE;t)OuUsle0$524BOP}72P=}YkbumMOA}?S7OSxxgR>9 zGIUh?WF-YBunM{CM`NQ<-|%zY5>Gp4?+c3IYfh)bTO%%gQc!APu literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptfwi5me b/bsp2/Designflow/sim/beh/work/@_opt/voptfwi5me new file mode 100644 index 0000000000000000000000000000000000000000..f1bcf0d9993a4e2614fd4f55d68c636dcea18b8a GIT binary patch literal 4824 zcmb`J4OmoV7RT?*y^dca2udtM1vn@mgM2242sqN90;`|~euM#GqOj_Mn=O?_Y${^) z^yq?X>axp9*_yfq_<_-uEh;KzxJF?47%E7+nuxTUxaa>)aYns6=CgaA=N#U1-~au+ z=l!~jX6aEoKgS81uWS4%t~wZZ_4U}7%mXAzQbr(`y+B zTo!sUkuj8_Axwi#ysH(QJ^|M`h&mr9x6Bj*83aoi`l}kp!IUW&3&RUjgF;{v3IRj) zkQ*u3ghF5w3IRihK<e{u#dM}D znVbnS1Qr68;%Ag8F@2Ayp~VU2GcBMH*n~0xP#2ObCa}nbX;hYPGWA$47B$pHg@CW- z2+AfwDPBTZG7~VW_=?BUbDK~I7>WFf}Ly3>`%oO0$A80npLZsZ2v47p4Y> zawL&kL74!k_}4c>C@8>GzH$l>JgUkk2?8EfVpLbLh~PZ=JES3ns;RQJQMKZvXBQTdPq^)yc>gRGPNH5o%#ORs~>pWJ67C%=HAGK zwBm-CA?6o659uol(%R-ZoX0hMg;uibtsSROn=FfZmW_)+cn3(zRrfmy4-?d*7Z63ZZ=tPO3aaHo{ z%Asw6Z6ANQd~)GC2Cp4$pQc=%RFkE=e{$v4^-@`$zso?k)>_BEoW1;ftaoTs)%W|{ zD&vnel{$2J7bO3Dch2SsHG}Ft>~Z`gDRx_~e*2B1=c=^n?*+awyy z;JJorC+pI`ZVmhD`;=QpuFdWW)BI|8wkbV-qPKJD74tXcy20JI3*Q@)Q}ofmhHn#G z{fxVBXE%npoBkLPoRYRDuem<xbM4s|mM6|RzHP~V z-xE>M=IG6bUoWb;yi%UG^VGTgn$Gb-Q`*AM^z>#Q-dH|mTcO=ss~Wy2-TUOR%OBl% zis1Zzw=G}&8F_4ExWv3pBRyHM>^(yOJ7 zSvsRBPM+`d=IRB(CWnMg`)(yx`zs^s7j@U}SY%onwWhT=y{9Yb+uSqymyhgxN3u&v znzQF*b*zWs;q50zm+Um2zF_v=`A?_8jb7QO|FL03h@1Q3vWA4l;hx&&8K<9~7S?{q zDOm|KEnc5c;SilM?D&^;FGigA*yz*b9g>kz+xp3{m@HFULW9c%U3hzH(y2xEH)|UM wO4dz!vbD%i;=Jy&&!%PSgB^;zvu{rCKjgxKy(w8ym`rXWD`-%~Wp~PER6drb9&`n|ABAFi zMP#}Hph;}2%q`Gc@wGHT15)jUL}4;PV@)wgyyG4ii`pBBqCub!ePn9{_%{Xw5P&;3 zc#A;#$Z!mO8v}v}&<05tW*bVL6js72rU`&=0W^X_5o;{~tghi8e`^8g!rX+C_BFyP z3;+yo(VqbA7Ia~@qtuf^H%I`6h5-Z^4sxIcpbN7-C2gBn1_{9M7QvFifhuiRSquOS z4Ivgo16vL|kPVp6ul8hUV?Y=IhI=wxGB{F&wN=Dz#g{c$0-92VmC*qa5>U?|hcpI6 z5}pyNsXptXa>OMR?wh{&8=TFvDq2G>y)&n`gfTEfX!{7K@*$X zqKdIJHJ|~&ns&h7Xsiza?(-_}SW4PL&_#U@C;&#AK>@(h_P|F_GF$@y+@}Lo3YvHg zI--iBq-p4)z9$<1!?6M2`?vs)r^M2F9(Di>r2tsk3HV4#h7$(BeO#$h(8LpVLp6#L zkFB1kBml#)0pR;|2A&{E9jN-%gA;(E6aY)R03S`saKZq%k2_Tgns~xpQH`-QHL%M8 zu*L)U*v9$*;6B}ekE3Mx=MI4T;EYz##KXl87ty568V?dYHPu85g&Dm3Kvw{;8IU?& z>htn5UID;;yn#=U`d|?9*`xsAK0SdaAyG8+`$kEkkGPKyRcbONZHjbJ-{Am&rC8Jm z3jV>O0AMNhnmSbwl*G%4G9M7))B|AFJ|&k7h@Xoo^dU&?g~K6=Lc5JH0APa*2*cY1 zumMK}A0EO0fDJMr4AUe7P8JxnwGajXY>)wAm@XM`5W%1=w=e);gA54649S3Fi4Q7a z0Kf(r5Qg7L2ApCr=w<B84!lKk^zTo9EENr0kA;^gkhd!=tNaKh0Xwg z4Kg4M^9jKI*IuslHj+YT0Kf(r5Qg^%V1wH)2HiRUV1o<@!ve|BnW|9~x-kG?gA546 zLdnpDssz~pfDJMr42vX#J5{4)0{}M2fG{kU3|)UQ=+*%M8)QHjmPiJi*<&bl>i~ca zG9U~~3E=Od8&zW|bOr!ykO5&>MgSXdo{yu@833?B283a`WWezcgKixFut5fdVTEMC zuLT%%&q)AmkO5(MUozmgLn4K4768~F1H!OUGI&!pUN!(=gA5462a=&DRTE?b05-^g zFszacK2#-9=w<+h%=}T3zYyiLp8Gu2Vf<$35wXHHc`O&+1JteKl+SH4# z)eUH+5HBkydK*T;<5U=+9cR^>K2$eSvW(1(-GSkAA2owYLn#B0_90x|M9D~MwV43C z%-bown1erEtGg&^I}Dp4fMN)Rz2(1iW&k!6#F_#rD`?PmwOv(nQ(sh{P|_rHVMY@} zxSP705)bg@m9pAH0A6YqC7ynBs-h@(eG~>T{UG$ow)DXqFi6~<`=|#k0NU%3G5~2m zqSPEqpZcX%pA&$W)fd{k$y&@1>oDK_=BCP?A9^0zcIrUtlgiGS!_(tJcOU)e{I)jR z{#ott^JjsTwikMxXfrX&cE*$1Wu1rG)}6ahdUR;VfZ(l{dqif=cPp$I{Nvn@a;r*@ zeQ|Y(*PwBmxA?}kjjOYFE4elE*_4&l;a6^C9T>egqAYC2@_>R}8B>RQR$S_}tuFG= zf8X~{gKvcu`6qw)^sBs-z`b@g*Yck1_f-092)#aV-p{QR-?K+jXLnjSeESS_&dkMO zO`PsLuN>`o+AcY~eCPTT?UGBFaHYOW&Lb&z@|OQ{Brk6&nJA~f9qzO zCo}(gV%?aJPc+Z>&nc}ue6%$4&WQZrGoP%>jv3!FsA9_~XNR^&axzCY>z8qFicQo0 zIT?Fuzl_YS{qoPJi*3%$4O!bYWspbLX%+ODXVJK`9Uq6JojbEPd}nQ%?_D=jp6WWO zdfBzHV>3ODFJ5IE<2L<}vr}K?yS$nm(T80l3(uakIe6UetAc^WHADArNk|GUxt)DI z)iZ2-aZS|MOA1Y)B_;WN@4l88;HyL? zY}SB}&jqdVs4crwl)K`Ny|e8c4OhECnvgW zz7|-USf)5GYo8HXw>of=U2%tsqC4L`UHfTue11?~vD3ECR@|G_JXMekFU=?e5&2Q^H&#MFF&0YpP6(mY1gLY+ACQ;D>v2k4*TPs{C3r?Y_ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptghbm62 b/bsp2/Designflow/sim/beh/work/@_opt/voptghbm62 new file mode 100644 index 0000000..3b61e8b --- /dev/null +++ b/bsp2/Designflow/sim/beh/work/@_opt/voptghbm62 @@ -0,0 +1,417 @@ +m255 +K3 +cModel Technology Builtin Library +13 +Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech +Pmath_complex +Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1 +Z2 OL;C;6.5b;42 +31 +b1 +Z3 Mx1 4 work 9 math_real +Z4 OP;C;6.5b;42 +Z5 w1208391546 +Z6 d$MODEL_TECH/.. +Z7 8vhdl_src/ieee/1076-2code.vhd +Z8 Fvhdl_src/ieee/1076-2code.vhd +l0 +L687 +V1a;R8Z_kc3Q7^>9;gKVIV0 +Z9 OE;C;6.5b;42 +Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..} +Z11 tExplicit 1 +!s100 j6YPGc@:alQm=gAZDnLd<2 +Bbody +DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0 +R1 +R2 +31 +R3 +R4 +l0 +L3719 +VIMmI^hXJEW@Uoa4kJFX:K1 +R9 +R10 +R11 +nbody +!s100 GRUnO8ScI[9kFB=Ki3;5f2 +Pmath_real +R2 +31 +b1 +R4 +R5 +R6 +R7 +R8 +l0 +L55 +VzjAF7SKfg_RPI0GT^n1N`1 +R9 +R10 +R11 +!s100 ?h[BJdc9hAMLfn1 +Pnumeric_bit +R2 +31 +b1 +R4 +Z12 w1242971927 +R6 +Z13 8vhdl_src/ieee/mti_numeric_bit.vhd +Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd +l0 +L58 +V0:R3B671ke]N`8]?lK_c_1 +R9 +Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3 +R11 +!s100 b164i8a]Ti[DoEJ?8VoH00 +Bbody +DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1 +R2 +31 +R4 +l0 +L1045 +VMl`J4ca2be3ejNXY`>k4Y1 +R9 +R15 +R11 +nbody +!s100 G_bI[L810b3Q]LV2V2za01 +Pnumeric_std +Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2 +R2 +31 +b1 +Z17 Mx1 4 ieee 14 std_logic_1164 +R4 +R12 +R6 +Z18 8vhdl_src/ieee/mti_numeric_std.vhd +Z19 Fvhdl_src/ieee/mti_numeric_std.vhd +l0 +L57 +V=NSdli^?T5OD8;4F3aVEY0 +Bbody +DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0 +R24 +R16 +R2 +31 +R25 +R26 +R4 +l0 +L173 +Vd@dC3[2h4nN7HB2XD:8CM1 +R9 +R10 +R11 +nbody +!s100 Nh6>65S7FR:e[I>ADUQO1 +R9 +R10 +R11 +nbody +!s100 ]?UNFEkZD:LZf;=G2=^OM3 +Pstd_logic_textio +R16 +Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<dbiF;m2[GMa2 +R9 +R10 +R11 +nbody +!s100 6OHe=[AFemLP2O5e01aCn1 +Pstd_logic_unsigned +R29 +R16 +R2 +31 +b1 +R25 +R30 +R4 +R12 +R6 +Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd +Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd +l0 +L34 +VhEMVMlaNCR^a:GNXQeK2 +Pvital_primitives +Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG[EMmIIzoCHn?@614I_=a3 +R9 +R41 +R11 +nbody +!s100 ccDc[]`DWjj?>mGBe93>82 +Pvital_timing +R16 +R2 +30 +b1 +R17 +R4 +R40 +R6 +8vhdl_src/vital95/timing_p.vhd +Fvhdl_src/vital95/timing_p.vhd +l0 +L46 +VOBWK>;kUYmkG;kUYmkG1 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptgt6ndk b/bsp2/Designflow/sim/beh/work/@_opt/voptgt6ndk new file mode 100644 index 0000000000000000000000000000000000000000..5ba69663a617cad0bc2acf338e9af87f1d0c56e8 GIT binary patch literal 5559 zcmW;LRag^_*TC^1Aoyc6PP$=q!$xk5F}kF?OS(HocS(nUARtl#N=i#eN=ge#gGjf$ z|GhWo+S(%nh%-S_7}&#$*#1J)A+x}L~yMu3?d(vwjXow9%wYQ_$1r6wgvt_>Dq z5YAR0_x>my=M4kTK5j(Wxujw}&ZBo<5S>}T$IPb)m8%v!#xTy^7@fb`kp#wV_y?KH zCeK3pwV*_b(P(@e%7VzJyHLC&itnyu|8;?_-FrQx&~&MnX9O}bK_|dVP){(S;<)Dm z761SsvuCsZ-vZdMJcz286OCL@X*b=IjSzdKy?+k;ZroHy=()dAj**w94&xa4d1%QW znoM#w-NL9pXl_qh>YZW$d>bDhPq>l?eS?u5XYHIAnV#^z`bjmJX{k0fwL@|wd5ri& z0x-7@d`k!|^AW&E#}`F57`bWiI=N<%qOE|w!Bu0$W;m`o<@v?IP%Thwb*Kj`lFC(>wzRASV~&=k?#FA6_bGVSKvDEx0HGXF-wp=RI5*B<5G5C|ObzxReqO{0 zT6;VLev$FLCS;Gvu*4veXM~X$^poi`-NQ0hW!KHdNay)hZ?>`{_f^)W8qj`yaf@f# zWN`wc>mCk=G5Vp{?7?P<)~UFew@k4#7if^kTERnXjU$XfL5!`>F{n$~@_k-juMR?q z&o}Ero&n#C=zwtOq`FPK{|&$6(USp&P+4nASCx(?fn5o@PKNj%QtYW=+c}6`oq6XviLkz9% z00196%E=_YAWiH5pQn%^tA9q!qQndv(jZc72yD(h&IPrm`d?!r+jBlRVj{y6liOcb z`nJkMKwFr%#erv0{gtDyTqWj_CmrbfeV6n^a)~{0>?ELH?;4I|m8#DOM!UtH{{IKinyLS? zmb42JZj!PR>J|aEDT}m9;zxwCV9)|MzzuVu&%vJfe@I=v=#)e>)olx8}X{3qQ2Z&Z}$VD6Xx{wx4bOOY<&|} z$y4wHF&i%(eg6jM2u}We23w9$x^{S3pL;z-du)CXE5JGp$&UBO-5Kj(xvW%hh&$BF z8p3PucNu_q86almU8D3<-@w^(zTRO!seyk+Y7ck1oY4UG86=aGxTBtp!GlB-4ZGzZ+j z8(L@;*3JrQ#r3-r-Rp~lKOGv18@D|*^ouGHunV>ZRk*^p*DX>Z{?75U#?z(GrZk_x zkgPQNvcn$bbTF#rz%s-jgv8ua#z~^!vC zoc8>OS*n0UF}_Ej64TpkvF#q4XIxRj3jj5mO_*{0x#QvccBjIZb6`guZpBiKsBNcr!8+{4sOLc_*N@pmRw5jVC~hAktsyJ`Et0NRpZreZ zwH3eEdcEW$`0!L*inFf2ka^^s<6adp33j`%lSeq~qXJY7=M$|hI1HcC*m7=Zu3&9V z0cizh5~Xr+msAYu@b}-j*bUpg-jaUSm~W%0pr+|Pt6<{blnr~ZmvM%_?_**mN)0=l z{%^k?w)Z%BBpbaFIs$9XE)75g=XZB6h;_Na8*h8sWmLbtE0H| zOD3%22>F>M$ubKO?f>FGDJUN;>4o8P;&(Ry}3h6TX zyjR{2{u z|Ij~y3Oa($;h3n9I(_US|Q)b)^Mpmu0Qeqgn?=&&+Q4T`##m;RRD`T=aekZ(httwf6+9b;J>qaN3} zn3@MD^$db?!w4>SY73WjD%vPxlWIiY4j1S1bl8@n$X|4L35c+zP>ZB{jKPy!R3FwOg;;;$`X}`e|N|b5f`=1olprUhorjg{etY@(gA+WSH?OR#3 zcW1gVJG1a}gp=an8x!Qn^w%D3ym-&5lTz!52_kzyo8*`nrrgjQvkimEpmJII2wVYV5 z%*`WY?qBym_Rf93clP<)?QrWpF)>eqSc-S+~gva+yL@W9pt4 z&`0+lsXZBl3v6s59*xTk2Tl^7vVUMQ!bglp*B}RSp!~tUeGpD|fCqw@)R#e40=Glo z12`;)pBua_#y4h(7j!Mqo6*|=~v+(Gr?U7Gk%th4l;pXT-~MDgRFntod2=q>|FZF zy|oql;3K7b{HE&ObFnUWOyR-x`)x)A75)N*`L9tRwx_5l;;(?9GLh01WX%U(o&Vbg zl9(0$LdtBO@8%@0Pxy{gs#q}Nw1VBHMS$IR!-`*n-{X$-6zVbprq{JmdP}$w!*EZ z_{+vCA63cT>|qre2lmWUJGcvMKizRf1{~8}|5N23hrF9<=Ad*>46DE65?-y>doY2_ zUaQtWc?Ye^BhLCzWVUV+ckxgDI6@$UuY3=oT7c*P2H<5tn3;M2)WSgJ<#I=1{`}Cl zrVZ>#Hiyw^s#i-7C6RrLyr%c7U;36V*~VS8S5TG%SxTBnVJrE!dS(Gm^sU{hR1;=b z8^9-h4{UNP4Ff1sW!-8ohl^+ku^H(pEi;qxe@*_vnu$rD%!>?7vmdI^ML$O)K6=cZ zzclCNuQ?tCvn>UKR@?gtIZ(N1Vj910Qwfqvh><(|#dC=FGvjm@;m}3L9E)K;px5rh z%vj%A&9BU>(qN0=Z*A>)z5>^dc*RJslP{E0dTe$s%Y(LIw_B(^Gx|g4i4jTRyH0^g zW3AIXt{$6mL#~v$M<)SmiY6s$y-_a(p2yKCPf?iJw!Q0$r*N@Hn5D)giyfyQ)KajA zteg3G+s*UpPK>rYaKj5X4T(Z3j>V{0H|hcOak0lE6_@i_X$u28^KDga5}r$)zh#1$Lgj5xsgnt%00@sHzlY@bV7`nTd0w7VR#^Rf}QaP_)zHmJ3kDs~5| zZyryAxD1w#t2WKK*Ji$mnmnrrM-`W9sV9yY;wfU!h!&3;t}-b=e{7SiRI0y{H_$V> zw8h31qcRIDRLG4pAL4G|`*F^<=43b#{$?unO?UR9rfvge>34QwD9H}#+LA`6kPmI% z7DY{U$PP*C78dUF-R_v<)+GX5O4#dRrHs_k%iFUv|*@Ka)n zN+o`LUJ`9dc4-<;7%u1#F8+WmBfUFCT%j#*UkNu%dkLbuXBZi@d7%;RIu(;pg`%*j zA*LV>%oIN()I6Fis=e_T@T|ht$dS!SrzP$inf(NVr0eY{{F!m5aHA|F|4$c{`*7K8 z&?OuG&q=!o4XN`I6(pCJxl zmrc-ebS+`7PQDe%QD)oK$NQ*${Mz=e)|*P1^H|63;WCrq1*-MLBi4W|m)yE?FQZ~{ z1^Q+9JTG2Fr8+*5=&4(Yplu0hApm-nqA;q>WGl`wK=4OAVsyh%7_~XMvyX&%&Jjp87g8H)+K_oEE z#xM56BbUeO=8(`@Q}EY(^~w`guGhVPSi^{-GUP{kRdl8QP$6FVHb(^+E~^~371H-6 zPmYhx2X@`BAOAUbJfE|_4WZ6#c;)2!=LN-&c5tQ|O-u|wE&z`GkGP#HhYmrjVh~-B zN*nJu7Ps=rzsK?iNN+pF4Wg{rKR{;X?nFqc%6IO{Q%kP zLW726i2Drgvx46oDkh0SwDpefDIJRdV$cW=-_Cg8QYIHfernq`uF1}|tpab<`A$M1 z(BakAVg)If(R0txwSe!VdvoE%%)Ai8@pWQHlMwI9xA?;%`54?-|H3qxE%U#`yWxcM zE~kcrR~5vJ*v9dNUv>3;jN9w4^Z~{^q`vJ72vL1cp7D7Pkd24qU5af~Vv-Ot_g9%~ ztKb=5?FqWqePX2UTmD<_51nJ*s?wje9c+GiqNJy|oIGzy zCM>mFf36MiCa=ZeAqj*dlcMXMX)#c z%8ca&{>#E=08?AKMOX@Z`-oV5-)WuU;>&HU4h(7#UrN~WhV=w@r>^m}7YLaaR}c*^ zQom1X2pYdZh{v?AHcqTO=lGIbGK^S#+bnl3%ZQe}+0Ud9L9Yl}6fJoJuB!zt?{-YO a``kSbd^1HyyL>(HcZgzlXUXxO{`Y^^pemvO literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptgw99md b/bsp2/Designflow/sim/beh/work/@_opt/voptgw99md new file mode 100644 index 0000000000000000000000000000000000000000..0d280ecfafc3fd18d70fb9983cd9fd8f20e63831 GIT binary patch literal 572 zcmV-C0>k~&?_vwxDCS+=cFic}UAr5_yjNF)u$+%FO78r*HJrIKw|FxrF0dvJ6d(;; zaA-M-!AtVC2^M+8oWmqn<;Dr_o6J+3M47KWi?PS_#v;$DH+!E{d1Lc$3wk&K!L;O) zG-KN+ep>**MU7I`k)oQI;{4#pCRYg`bwd2|KW=!Rg`BWW-gqI~?63m%sUStH0|3ex zcR&EoWM{0NxkZ!oOW9sK71Ez%}?D0k$Q^_ACp`y%<*53vlf4UZ9wv<^XX6g+QW4 zQl)CBgW5#aoBh8SYtR&a!X<)BDGlWD)V5J2G1k9#yKu(l|OwJZ@(A{b)eA8>?# zcc4f?w`9MoOeBn~3K Kj^JVrMxL`ddLNbm literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptgxqqnc b/bsp2/Designflow/sim/beh/work/@_opt/voptgxqqnc new file mode 100644 index 0000000..7a021fc --- /dev/null +++ b/bsp2/Designflow/sim/beh/work/@_opt/voptgxqqnc @@ -0,0 +1,238 @@ +m255 +K3 +13 +cModel Technology +Z0 d/homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh +T_opt +Z1 V@4KfU?FbS@FH54NY3BYng0 +Z2 04 12 0 work vga_conf_beh 1 +Z3 =1-0015609eced9-4adf1e22-ea0eb-15eb +Z4 o-quiet -auto_acc_if_foreign -work work +Z5 n@_opt +Z6 OE;O;6.5b;42 +Eboard_driver +Z7 w1255952276 +Z8 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3 +Z9 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2 +Z10 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^:4ozHZC=^hX0 +DEx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 12 board_driver 0 22 BVQhR;nY9[R[o9ATEzKLEaUQOnGh0z01 +Cvga_conf_beh +R38 +DAx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +R28 +R29 +R30 +R31 +Z47 DEx57 /homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh/work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 +32 +R39 +R40 +R41 +R42 +Z48 abehaviour +Z49 evga_tb +R7 +Z50 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z51 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +l0 +L187 +Z52 VeNNJi03>MIdzNk_IKJFBX0 +R15 +R16 +R17 +Z53 !s100 baoj;WW0d=L:Y@hn2U?=:1 +Evga_control +R7 +R8 +R9 +R10 +R11 +Z54 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +Z55 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +l0 +L37 +Z56 VOiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 +Abehav +Z58 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<:4ozHZC=^hX0 +R15 +R16 +R17 +Z67 !s100 Y=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R29 +R30 +R31 +32 +Mx3 17 __model_tech/ieee 14 std_logic_1164 +Mx2 17 __model_tech/ieee 18 std_logic_unsigned +Mx1 17 __model_tech/ieee 15 std_logic_arith +R7 +Z72 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +Z73 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +l0 +L35 +Z74 VHkmzP=gd;mD@MOhh4AYKl3 +R15 +R16 +R17 +Z75 !s100 VL:Z2?FJISz9N5>XaK:5k0 +Evga_tb +R7 +R28 +R29 +R30 +R31 +32 +R50 +R51 +l0 +L37 +Z76 VK;WQR0;ZeC2I8`N5aIRdM1 +R15 +R16 +R17 +Z77 !s100 KBk8Lb76>dJd2ihUfkYfd2 +Abehaviour +R38 +R28 +R29 +R30 +R31 +R47 +32 +R39 +R40 +R41 +R42 +l100 +L45 +Z78 VI3NFZcjIh_=T`0za;J3h^2 +R15 +R16 +R17 +Z79 !s100 gzdc1SL=je=>NSFaLPW;]2 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopti55zgy b/bsp2/Designflow/sim/beh/work/@_opt/vopti55zgy new file mode 100644 index 0000000000000000000000000000000000000000..ddf9988bd9b721283d0d2f28114fa810e7726a78 GIT binary patch literal 248 zcmXBP?G3^p5C-5wsk(t%NHoR?+yjeX+o;7rKYrQ~9MuJk-g}b3!wdK0Zm$)c=)V*d z(-_lL(_$i4u|ri_8pnpb+sXsttgze!HOr%>dT0gYhJ<|t1lj3>2G>9I|Hkl4!Jh_wdQ_-s zNX(Q)U(x$9aB2Yn_!k6x3HV;BJnWoAPv#Op;u~oA_XIfcXAZ}#h;M!3OPce!mjQ&O Z4!?-^2&3$sov()px3dMTCO|&xjoIABFh+C9WrUMNaaaJj&tXRA2tVJmf4-l``|*9fzK`eU`}lmsB6?9>F+E?{ojZ@oBHiL& z5(7AV1wNS_SV}PQ+clj;f^hIjzlk+pdFH2CZ1h|#Ute|Can%VE@vcP6_q+W*?r~nf zl)$?K>y~o==hBTM+*ZJPKUsx8e5}@`vFd#%5JeTIDI)lLh|C- zL#GkZhv(b{o%vOoCmg!pB#7KB;TCpdf<`#c)?F84Tg9JjKCKsk)0p zE@v%PiQ*l;Ol-tIxsZGpaGU4cE{{{T2`OwqgyAxWDUvGO`VC94m$Njz0QRK+U<+;< z(F;gpHdW5DTR4rWUX1FJ(yk9+NQujr2178aa(ldPyqTJ5RbYhr0jPQ8AReeqG=Ia+ zAv-P*tBTG5BlK6PUdtMHWI`QYJ_FLUIn}HxP2Z5Y`xLIvrzI`>?Wi@E0KnC#(*DGCPc0y{*3}W<5wdsGafTTB@5B5IKp1 zwt2;k17^4OhJHoEH()|`FA~`N;65bTJ(~eH@hO~=qycGph#;7FIuK^%Cg)4{Nkg_7 zNzzf)X%9~a4Fpf$Qzhc%u6_MrAAQg_smuet6{u$5TK#vzVXEa zOr=AwxSj?QZ9MF{?S`{t>`DluxL#if8axvQpYB0qog}G^;Gf;}=luez3H`7RCCfC6 z-~s&1SXLNeaNI8kI5>Mfp)@wvOODmkl8O80s#&Z2Yzt;Z)W=*fg#O~L=`Z!C~F!D?N>#{k11KiX+#h$#9c^$r;Lt$@_F}7 zW#ovRE3TWY;;eE+P-Fu3*g>*cVDDt|GPE=zvvbmk)cT$=j$0|X=B2vUlUD+U%*Lxz zz>tk(Ujr?`bZc}*jTHKGSe;3%*Z@LWOqxmFcC+NM*jZqi>cWQz*rmr~|`L?CZA97!_8d2H>eP7!FUZ}f!LmFCIpuCocFO8Y+ z*bP=yJ&~%!UH+d}jltJpM;Y^X=qY!|t%l+qZRW#ea-&PCv?@LJ5A|E=u7ibp7Nee< z_#J7CHC6R&c)EWt{6Kjp(iPJLt2mK+&a5fZyBIu5)freH(}d;suzZ&+#kK)x%LJp@ z=*CS`I0$hV#*pi_9j1&0nr(G!s?|S=QTi-*RPF3On3jUKTd5jE}f4 zF@ymo(g#YW;XB{lGvyl7(X&yu%O}nG?Fo{QFQHf*nlgHdT3PhD$}lKi zoISH3-`~w2)o8vB@G?WvPI`(@_ULHSsi8@qs_c} z61A?e!sGE7Hg=f|WFVF!?!LDRssBDdxK4`6Kh4+q6o!7r1T%8cLTK#un0^3JzZ^jCoFe+0Ag^+_M#IjiB z?en#VY0ovkBFc!F+m@li(KOn_M+UyDc=P`yC!F?kH!j7`TzhNJ{J`v#dxLB%C_Kh^ z7pbxdUr6xne({poHyxIn*K481h>02sw|IQ%#*u47@y`~?^~WZMSI$F8Ud0>NjT+lV z>fN)S<}s$`7P~4?3TO2v66NnOwlLNary8)^h4!l4lZr12K5|ogH|xF?k3O?Xrt^m` zzd{w$j}nCa+=*eU1MF48k4h-2erhCGNHj2b>0Ne1*Pjcsf=)k9Z5zi3Pq6n4cx-eW z`X`N5tyFQcd}rEvB{jY?5m?7>fYWPC&(4H=E&(PNy0Bmp*#HHT3;7{=4wJOFwNJj5 zm1eAI#(!Tq9Od#yloTLjG`#o0R@7h<}gZ861Z|G7AOYohypPVu;Drrf|b3?%7+z=r5!F^BrfDls=Wcc(am?B`(6aiw&9MA<&GPz&` zNDrP_4wlG*nNuqN#|H5kAo!Li%nbpPb3=gKhdJ54P$COk}&G)n)`7UVbc_gctmQl0w=evzz5w{ntD*Rj}k(lkc;pdqn`MM_mR8p2iK74Xy za9|)nk((a=C@WJ@R(n!icmC*e!McG-{?*z))pvzOvP99dGfi~q_nL0&`x7z^rV8Hb<}CdgxuE^O_x9~A5D)D-d1{`fG+3pO`X4Rrc-$w`-*SGM((r4?inaL< z&c^cVc`t$j>!KRWw#={eYbjja<>{fh5_{!_zlSK|X0s^%OC{Imi(`iG4F7Av1ASH0 zX+>_@Ix3z!qmX|rCCtxk(NrtndyaW?!{)9T`>MWPofVp#5q-$TN3_#olQ71k+beR* zertz^vlDa|?lv~sztkOE!X7EEXnjDbvo%j#q8x{{l?N84=U$YbzaF`ZTAU``I$alP zW4lR^VsAb2&F;KeUdrxlLuIo>w*P=rQGS%RMVq3|N5$NweL0_0y>;ry_6~ku zYQ&Gu+MJTad26S9ylt|)iGQH{A$!kfEB5-A@y$iK*DqK^9A8f9!|3T}tyrni>oY7O z54m31VM9CC#I`u!s=VK;yHhq8c(W!ZuSt2jz*E;eM=EJ*=+{_eA3Tot7MWov9{ zi13{gNxvB)6kXhm4NpeJ(Gp=&dB^7WPOnjPhOjPKZqp`e1ESp@ce)LkudEFAH9Y^8 z>y}hL)|8#B$rSc1YrUzl^k#o}cuSjo`BHsgL~4mYJ1*`ARgX=YS67swts%W`?A%XB zX`kx2k{n*Qd?em`Yml<*fpA0Usx;f=WvYZ$aq(UkmZG!aUEZ8)Gh=F#Lu~9Q_uu2y zpT_@XQ+}CuP$nun6Qi`e_Ce~yimSGPcFzRIZ%4N)&3i}M%pl_x988C-|3$kuW<}Wv zkPY`2An8<`h2-!+A(Bq5gY&s`{=O>Jjoopg?Vf2N`#A6K@#@L6upHbN)H_6}^P=n$ zUs~Q7k~-|(cyaw$Z-{|aR9h1uE3++fRkcbthdc3g$F)J1m&r#*kA!ws>ri8ntLVzJoVj2-U!~w&Ri7`$>f|8ijEe3=!V8BNINGh_VTGz&I zA>4pT+?1K9X{I%uHc@A2>voulX3AuE@Pi)O86Mn5FP(9xJous0;enZYI?U9W0`B*% zz4pG_Tj$98%6CS0Y3;T4_pQCw-uvuxjEr8I^n>4(8~C?v|p)j zh9m6@Qm0z3e(71G-=#e1Z7|S}4#1frFC@((nIGi4X8Krs=ITj1>q>t=?`)^8IN2IA|2o#89k@C1Fb~tc#%~!v=atXT`BMS^=@$7F z$MLhi2aA0}==o;DdjH+~r(_}^`jUvV7&7Ud_N&oB61owf%2m<}@j^DXi#j^po9 ze&YH3T(JH;*7)xX#HIezX_tp7$#9PSr(KSA?~ z^RE|n)Z6jzF?vospFhk$=cPaYxNgY5t3`gras2z0pLjlhnEwL-zwC?PKhPq-;yC^R z1u>$lQ%z~b5Kz*~Y>%ZbN09w%-=9?lp)mxt@= z2mT)AkKG3p$K_$c;O4~h`NMhmPQcIclE1G-e#LS8XO*9LaAaN(hO7gK71x8} zIR1~|&vOa!$o~{%(fBVJzpNM1ufxssM;tvGhqW{QmDZ)jvvsKA`p!6r$2blUx1bI+ z-_jNw~$PU;ExKdbx~TI5$8$G=PYiAVltOZe{(_&=xo zH5@QoSr3Zi_;)Km@yLI$gnv)K|9R!#+9JQ=IQ~B6C!WtA)_;G%ze)M`w8*bGj{l(Y z6VK-l&xyQ`^UtZbD*t$k{EFlF$CaOW={DUR@^Tscmhuyy(eUbi%W0%HZ z?acp5*CUH(uLEyu9K>TBn-#ZDabcEu^ojU&cBuVvG_yVBeMXAsTWm-Fbv*aql~kW& zJ0dy6^D*;^a*01%*^l$z&tDtb88_{e|Dn%gPDT1HMEWg7`dy6l>k94{%Er+X>DL$O zHxubM7wNYU>32TT4-ZqNzFnv%>ujZ+pSHeR)z9Y5%5Wa+iS!#+zh33FGUPq2e)c?V zW$3pU$$K%tZPF{5zw5_WBh{>$eoidnwYdJJ`49Q7_I5r1grrZGp~xen9=}Do?0DTzP(}n#|j^y8PJ>v67j{i&eMn2v9 z8tTZ;KIKn2@OE6!Za03Fvg@GQ-F1-9S$G{}JFkNf@?kr9Mc~Wib1i8k-c0jq^0d62 z@&6j}O9$Y5nf<)@sWX0T%fw6hoZ+7k$8C_z+e4;(*|}~j+cB@0e?G5Z^vpX0zkGRZ zHX^dKqh{p#M=uNy`#-7uk zc#l99jh8pr#OsCh@%D(`#M^D`IsJXSaJ+ZJPCVAj$J;M@6R*$MbNc&u;dteJ7w`@Q zcmtw0@eUe$PJiM(3RyH>=AH447=JhaCPi=JjT?JTe;+R#?{?UUH)H%A-f_{Jcy(jX z=}$b4zbL+Y*bcmxjK9M>DS8vHVeC2miT4;}5#CqX4!l#w-{H-P-o$&u*mL?5Zy#h4 z9_JnLP8)yhpJx5OC3+KY-q>^c6OS8K5#GIQ2i_Uu@8Vk!y@~g>vFG&n@xprSgq?Wr z7=MR%R`e#`yT+c=pLqKri{iVF?Z8`v%GB=}){TAdB#Ju^o6z#^2$+ zFM1R2ys_u>C*G5gMR;FhJMb<*`s?ij(VKYxY3w=ueY`M#Ux%G|9~ys`zmG(3;$1ZM zoc_dn3bH7^Z?GMBmyEv~ZxuV5zn@|nG5(zX#5+)ecfaD*jK9M(&lQ{TbtxYGiT88~ z-ZvF*qvF}?QMc$#yiJNne;+T*-?tQROMtg6z}u>L^e5gk>{oDodqDAc4)N=|E5O^K zc=RXUvn6=Fif5n8+41fU@Ol-G{=_@Teg*M;Tk-Z7e>Y$H0=&J7M}HqL%-?qu&ptP^ z@tNml&3YVAJo@{12!L_IYUFn*G5-dPzl(2N^d{bj;?bXYWzWTSE8e8T6Q0aG*J|R; zC?5TZSN5FiLB%^B;59^V;=QDJ^e0}~bF1$u-bsgN_UAVOyi;uK>>s9n?`ZqGf!+FjT;uv{{+$kS8JG5R{Mz5?%IE%$=Xc46c*@TAYIo=RF8K3& z&vx*w<2ic*=W@35e7`91uFWo8XW|=2E9*$BzYgR)UP*VZLp%1}bc5Qt4tW1ZJMi6m zE;O@0vS#FY#h)I+?S4;_GSB$ocn;k0J~pz`}~*om~kB|!IyIz z@XrSL_PH_bG59>MF>k$)e%@Y$UX(XEkAe3b?Ebjyb7o>Z{H8l9+S`Sm*79I_}c<}`(A#PRtN66X z;J;RaFXu7f^Bn50ivh)_JqG_|3BH`ifL{;r8;Vam@o$3U-xHMWC$E>_%Xtj=jR4=i z-=UrO8?J)?!xDTsj{#rr-(3Ffdm-9m^7Eq-d^wK+U+?D}zI~rWdkjAJd-zr6A^rXE z6!fBfNzPH=)%!ljYu``NPF{;wR+r!CeaQ{*_uqHOIm&xqK^=Jec-=reDaxPJa9?Hb zpSE^z{&jY|XX@zg3+;Q7In!?nX6X;%FhXH z!bgARE#xB)`8c17_)L3~&&CCJ;$@bXJX9#bFNJ9q5d)MFE#EbwLQHB?H$PXdjR|x_v?0CH?u=pJ@gq$+|T|3-{3ur zatJP4p{I39%&(1*RlEm$K=NkJm8k3E*6*|8Url*#VmG1h#pOZmDJ9176!X=Qq^agZ^2WG6 zMnBDYW=F=a?dh%j1$;W+ z4_Bf2c3MBxd5-q%SJ0Vfw)68R_(A(&-gx`{LANh&TdQtlInup3f z#O@<ED&4ng>&O(XR>*F8~ z^e>9*0kpGDC-F@@*~E5q`kG^A>5Sx_$hVX|LR5+B5orx3pgL_v6|PeGT&aN01yJgv>wQ7qK2T?=>1Q zpXo>Z4$b?G=s~|9qn-V4rW^7i=gt-KL;o<2HpKDAXeSQOy<7)j9LyKvpdaI~^|x_w zJbvCpZGLTd5WioKeSl4A(%zt4qq3&5XBG0zfB$Z8C24>4FW!9f&1%w~4o|+&Urn;c z%)}I~Gg)IV0*Bp6^1`oSBpnFtbyUov?&k$ExwiX_jw*xo%=b~d4u*9dA460&r&SUJ=ex_ zF_LF&m3eza^VWs+6_dBIRp!m|^u+S4GH;frFOp|ym3ezq^EMO9v&y_#p1DY#@!1no zTtCCBT(5mPu7yaxW7o*{nDXg+yav=2*X``+G1-r%`pv#HRxnO~|0~-s_9?Hv$Ga-; zNdNdO^Lk=xIBK8e0hjxezQ>EeKej4-eUBG`KRnI&CyySM`O!Z-eU$oCee?)r0+~|c z!|RmIo0V+$_uKud>-)S0?JMoKBmI-+`Z3x+Oup%(Q+3MGBT2oLef|mM)%ST3y!QGP zKmN9e@jt0?=zG5w;<$Pq+FRh)_kt1pZvM)70p*u@fU-x;=cjbM-8f&wTqj2Q-F#jZ z-vQ;*_ka=Ovvpp1oPd>iZ0EI=w%y97)lc6OHrT%M{I>inkDEALN0!%0+is=hpO4P> zxa4_sYH*0_YH~Cgvg;~lK0Tvx>3hWn<5+pT$F7O*S>@CBj1hcho-(eO{2f%k?)KRE zItE;`|FweO8;w7-D*Si&pus&+`m&q4e%5T5&>3hluzPemL$Hpe*{EDOGCs(8iu>6y>Z4Q1827LGsHt=RL|yzneKY+g4j-0!jP&W;PM5z4bDlerOdpjz4kt%xpBR2o@{9BhQlFfjmiy}j zl69C!rf~~{yq_4Jl)TR-ll05Sr}69^b(qcNdUEv0kl;+^BXW)#8J(2#Bh(S`>)*bU zG9Q{1{Co1EKRNxP*k_N(`7g&nsP|(4BI`qUQyv>1kvenytlxF~C8@r0 SgLw|r5Do3PEyicf-2VfgOU42K literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptkzqyc7 b/bsp2/Designflow/sim/beh/work/@_opt/voptkzqyc7 new file mode 100644 index 0000000000000000000000000000000000000000..71bf4027d6b1ec87d7eb8cc2933d6a691c8d3193 GIT binary patch literal 572 zcmV-C0>k~&?_vwxDCS+=cFic}UAr5_yjNF)u$+%FO78r*HJrIKw|FxrF0dvJ6d(;; zaA-M-!AtVC2^M+8oWmqn<;Dr_o6J+3M47KWi?PS_#v;$DH&&lqZAkf77<4;0^D3T zRKP!=*uXyk`M^Iws=r;Sc)%^pVKw+3L$)Qxax4qXwHQ{|8*uFKexR74rT}pR1wf)k zQl)CBgW5#aoBh8SYtR&a!X<)BDGlWD)V5J2G1k9#yKu(l|OwJZ@(A{b)eA8>?# zcc4f?w`9MoOeBn~3K Kj^JVrMxL|l!yJGB literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptmbg596 b/bsp2/Designflow/sim/beh/work/@_opt/voptmbg596 new file mode 100644 index 0000000000000000000000000000000000000000..f9bab8e58e18a0bb6b02c7f0f53d72e82ecd8018 GIT binary patch literal 572 zcmV-C0>k~&?_vwxDCS+=cFic}UAr5_yjNF)u$+%FO78r*HJrIKw|FxrF0dvJ6d(;; zaA-M-!AtVC2^M+8oWmqn<;Dr_o6J+3M47KWi?PS_#v;$DH+!E{d13T&40v+^(Xghp zEo)z9OiKt|A(ujeYu~WcSqX~SbmgtJ?WL%Jbw8tc2tEdchdfM3UpUy%&M@%d%^;wI z>i_^phd@Bie!#%ot-!(gE5O6E2f)WCf56WVuE5`4EWrQ&3BbRh8SYtR&a!X<)BDGlWD)V5J2G1k9#yKu(l|OwJZ@(A{b)eA8>?# zcc4f?w`9MoOeBn~3K Kj^JVrMxL|nD;>Z9 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptnfby50 b/bsp2/Designflow/sim/beh/work/@_opt/voptnfby50 new file mode 100644 index 0000000000000000000000000000000000000000..51d89e317098e25ae8fddcc5ee2603979b8b6238 GIT binary patch literal 1547 zcmX}qdo&Yl9Ki9h*~aXu8$t6reYyZ46hxBf1c+&=X`(Xe4cZDA^}|pDn=*6d{lGiOfrfvG1NOK zu3BNbVBRb8b)qOS1i%B>T zWfX4N(98j1`OKq0-xK0dkIvMJ-p7fxcISIgK-9-jx>zfEo3Jp?Sk?fCCVXfC;=mrw zY%z$sW73NbXrBZO&?f@5$AJgLPu+09RYT!%(1ctH4E(mzM3=Dg@uGw_b-L4<=9)JO z$q$Bw#s@r;w6s0%DGC4p+OD$C!*zej<%;Y)QqZ=roeN<1blpTEV4=r}he&%W?a{M5g=2osK zj1=X8xxxs6cE!YL(4&~p3aeURSymMDaXt)3F-ww~#|Bt|x{&1Dc~KbWu+%jCM)-G- zaCFQWClHP<^hLl+24lnVFkaKg~FfXVhot@AX2rBFm-gSXTu--WA}2a#P_uI zskvJRGW|U_t`@A5uy377+ep;X3=zRQEWP9@nB`?%p{Qw`A_laz~?JB4x3Ls?yoLU5`rY&E}KtrtKog`-nq(2-STAWe=gYk5J!5 zKu*Xcuj-*B2^I^~NHMNmdpQ}Ay7+_4E#PRHuT$ci!kTA*v6Y!a>1NAXM2!nXmNuH` zGg>ZOq82!syK@0F7aT-1v6yn}WSViZhNjUuE8)Jai-FFekuBmy?#a0|be zAJ7NGHjQ!S-FH&n))0C=oWI5kj zZQ$&Rj-8>=rSxZ74kxD}=nwha;i;gr4I6`f8G~3_jt#V$&+?l%Zoyd|YHMgZrRY=N zIQU-GlFvzqDKqqMn5&;1yJYf5ZBJpYD9NeoWg!#b=EjDs$@|VKn}GsuBC6HG(&6{D zwv$C`ex`k4AWJgi+M5)r*~qD8B@w+MyY|!6tQ9P~sg{OjR83G4<6$b^QxD{*xY%{6B8ytleLZFoMu@mk*lmfDT$nP>oc{iR*1h0upJllQihurHCB67R zPIj|ihW2yS%NCh4Y+raL=Q-wtmYlS+wS%1PcATQ@?6h-~Sy$yt%til%C(`7eW$snx zE<$-+T-XowTbpf)FHSqeZ|7MTxeDY0bC)c*&olSPlG}>2Hgb~27V#BG;b9(0d?L}` z;dy+4%RgH3`g8JLWZr#CUaPl9@uh07Jx$)6W6mQ>PJd3$8YBq52an5>X58xGeC@T< z7-cU{bbhKNpC-QZ9A9Y7?VkvDow=V{b4TNa*MsI0UJF`pBripR`H}praQUV+Z#2$n zc~$1!vF7o*X3VR}mAE8Mk)nq*rMO*|KTXY_9Jg?UD^m2r_n5!BWSh?tf7-!(-rCuw z`JHzitg#>A)P8Jjq95(7eEmOVu`bq`-?HRGGllzrNSHn&f5cmw9&v*jD-!??G3jkq9%JLw!O+=#i4X5~V_$jw!@Yjds=?n}&dPU-gy z^^@|cm*q6RgL5iue8`2*4myv+XUE`s2iJ4icSQ^QHe8#1;eF=b znwLA{zVHF_?^^OtYCjM=fFIcx{$fAM?)%1_cP8D#p?%!%KVrGMYM0lg{4x1$bME+< z`Jp9W^1IBB;d@V;_&;HO%aUKVAHjA(`=SDg=grb~#yB01L+|f*=^I%y$xG{1rFWPO;`C{96Z;sdN`{rDAcJQ1#=Di>BqpaTaUZVF|53iZRbsv^7 zT6exig<3xRLd&ClmS3{`isd281C~cD#m;SAKKzdL-#$iu&-FjB6gz+F^5M^{|ApnR zEPrG9JIesMtZ*RSUa;Mv%c-!kux>s#x`u8;`lK)v;^|9T0qjw#p zyMB?z13vd@ecagUd`|VfF8v<24g-tj7zP$)KkL#sC*AZsm0L}U@Bi{6Yv&_=VA|k) z#S=Pz4*z~q@6UDk%@*(BP>uUHrHwlMO%jHVw-Gt}N#wzU>+FY7=+xZI+240^zZyDu z)x-bbiT*(Wv?&Y~5%KT8CubWPlbK zVMQ~lu7};yr0C`Y1ge1qln_c-fM@DPy@BS{uv@d}R!=HpA_6T%6fmt$PG&>h0tO+h zPR$(M2_Sxekc}dw0EZ1)Ie86nwK|L_JfOrX?q5qm4UK{t!YhtjseqlRQc$TAy9Qhs zPTjDEgAsuxnWrEf7ZqqRsvzQSK2B8R4t0a6&I24s3=1Eit&E}W4Q>TE7?D@Z@3Dj! zip248-qDIn@Zq?9@Y08XYa8qkI0lyM%_0tT2%F{DE{BHBP$!PWKvW#BO5@?D13T1- zqsPqfHPEAZjlyiukh8N|=LP{zWdvRfMpox~wW;UGgQ^F1Z5XhM3e*Fp?+KfV18U$%n93SkPnP z`s`#xJ`BB0oRV(HPDWKkGz$wli5#~f7F=pDG?MzwbDLs;qJU(OC?LJiNsr%XFQO=5 k#gPU&y&X@YpminMC`M9GqvOeJMwpoJ7nU@2{~4wJ1Hv8l%m4rY literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptqrfa3s b/bsp2/Designflow/sim/beh/work/@_opt/voptqrfa3s new file mode 100644 index 0000000000000000000000000000000000000000..5bb0ea76446f0430349c45e67e0140d7e53a459d GIT binary patch literal 458 zcmZQ9IK>xOvG+;LQ)`c4AU)Cj;a5AZ_0t&{7#LXg0ts#)1_O`?140DEWdn<`0a=qK z^jO3#H9sVINLcQlH}AAx&kXMWDPg%PqA*!a-YqPIV^756k__1eFF!rLu|B|Z#h1sP zPqGrNzI<&?wW(eH&3n@HDSm$wPp0s%D&n#$nlexNyN+z%&NY1Dn^&e89$j?Sw&Isk z!e8Ep8b8dwnQQhrDplSUZ;JKrDfSd%Ctk14hlUr~`|c+>&C0mANA{tASB9_N^cRh% zUS@7RCbA%MHml0%8K+N*8(r-`HT$&Wq7_*Vyl=tqlx4o!i*9Y+_3_QOU_czXJ)(B~scKVp?m#+C-PIc;$ Nht4&qa!Rc|1pvOh&shKf literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/vopts422ii b/bsp2/Designflow/sim/beh/work/@_opt/vopts422ii new file mode 100644 index 0000000000000000000000000000000000000000..ffea9c6f497cd4f39c2bc134918fdf9529d78480 GIT binary patch literal 2315 zcmajdc|6mPAHeY;$MO+2!!}2*(XetY*WA}6$$Z^?g;lPomXJA8j<1!ZELRvNIlfey zl*U3^(jjNccR9Y_lg^u)WQDpN3gE7rK)cREJ zRA|+q06{IGE9!$P7qGOCA!J=g$P}r^V71Qz1rb!BErpgl&H|kyt*5&_m4-u@1-XU7 z&INUuO%bQ7g3}+6AUJ|LM`S;I#3Xw+reC-JaFN#Cy{t|42bA2C+%@`V!%EJI7V%7r z#opc&u@W~P?^HqyU4l!%Oz&M3YqyBF3$1H0iiMXdO>VxtV-_to#9p3<2K;3)Qyg75 zE6KT?Rw_oE7Cj?Qvb~8?Iruabs39oxq*_l&ONv7vkQWSjn9E%CgDv<-0YmD#YWnP^ z^)2<9O@SKGG*@~^c-dOYVr}ara(#={*k(33pt#hI9%+^Nt0aXlRr>i@_~!MCNJdLc z5dPUASug77uvBkSP%m_SJ2v2B=QHh}30pdzwNGk%QX0bJ7**esg(S@Q-`mTn(ZkuXTy~BIHg@ z3(Ih~XRU$t-RT>JV2#RFtq4^^IVnsgqA2M`XeqN@X^1acmTodx_hWUzGWe=I87#d# z-ix)wC_%rfGou7ZBd7YO z^RX~QNJdVqY@?rKAz0EgPo@HEG4y+hOX>8nrqa zQ?%L!&FPon4O-8KInfxO`O7a8$=U)ZW2%nueWp5Jt1{PGdK>dYfQ|xP-D3Z5GFZh; zA)dNX+SmhnGbDF?{hGl@ubaiVggbG~+s&P@!CR5AXA&A%bXwDnlTboBqRi9F9FVMX zven=_ns*kX?e~a@)OE4Nayx_97gW7rB6Aa?KmCyb*zG1xx;EcdNuH zqb{e;Q1}uOZp_<(QbCNrW-9|Vqtt6)DahIztn zm5sgw%fh+sJ>&{&1MPe&b<@0~O-@ka%)fSl)o%E|cAnKl|Lj_Z4T0rgk|chaYZ%pSyM3aO?ELE%0-<&KquBez*mG?v}XW z*7b*5;OB04t-DnQExG;5((VmQ?!U6MXTy@muPp7|u;lqGOQdy6UHhUAc31T#j4<;wl~{E&l&0KZ^&mV*c%!9w{a9~$dVY@vND6E)33gr z?e;63ZU1&Y@6e>vIAs~|R(3q-OQ&duU=I3sxCK0oU%)#+5+0e5?X-`gDvaY0NT5Z~ zhQ8vH9+vYadScs|#1|v*JtqKR2i}s_0q;=Vnl#NrvVlILuNqXci5!>qPs#6fn_b$< zZO>=SbPVH;Cp@hG%Cwb5*R_55kP_9hY@8S30z+mbFNqkyQ60AD{){P#APt6>D?byl zV1-w>E+o^Z$GKd*Ckx%$z_y$!Dt=r1cXa98+NJz}5S6xvPrKF4kLs0u>7v-_kfa;m zAA^ygHr7=Ra0msx-x#JPq;^PF-}4chFm5AeF;Le0vO*^Q?6+qeKxyUGV_NEoh!V!2 z#@r|K+OK(T6<=z<_g>uG%eoa+#FV7*1tOb25VFhiU1LKd+e!xv6K^rd( zpY)Dc-K^~*kJ)5Z znUr`d?3L_ z?pf8_`9bDD;6)Fw`XQnR-a)rMf2*-PD)QvMT0*9Zvemb=SMxyW9=Qy>NF)Q-&EL5EY0D zL`%aZl>V)Faly>bqW1qC^=X9*=w66&lwCVGu z>Ur^KYTwcfpZK*$V(nW|KB@a%YTqjR@cs$ENUz|S{dJ#^bwENH<(teK%$v+x%q8X> z<}y?6T^k&4GIyDK%zfqo^N@MOJY~xHy~e)_>&y-2CNpOCnIqf)d4ELx z53Nbg=X1)BOiuGEJ(GLu&`$PAnYUEmi6{H3%%_x3FZg-g2087wvffv5pyIZQLls|` zw`zB^pCj1NxNfc3^>7_+-lF$A$O3vlX)C00hqgPwb_i+TS?|GPii5n5eAs$I?=4R{ z^q!)wqxaIvvo_Vi7ZK^f7ZG`H7?Z~Uaeu)vLVuUzd4}iSQ}|y1o^uqL1w0<$vxvu& IS%E|DCo7BPD*ylh literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptvshyjf b/bsp2/Designflow/sim/beh/work/@_opt/voptvshyjf new file mode 100644 index 0000000000000000000000000000000000000000..9b000f56a5d9444ac33ee587f8985d6dfbb1160b GIT binary patch literal 45512 zcmdsge|()+ecrvYe4|*#h+x2wU<`4ILrf$aCs9HIN-$tr111D;!H#}die;k6LY9pK zrV%6qZ_A2-v~%0gs*r6Sq{F2dHCwi7UFh5`8(x=fW@W3lW~;ZQo5!-@4yWGpobU5J z@9T5l_v*bi{85_rIi8>wrB5y9oA*dac$8 zTf@&{*#_*lk-iMJ1Ge7LxqTS6qt?*__gY6s{p!vowc7df%j!%o>8Rfc!}9uNuzTyB z_3xkn<-O|yA{C4UePU^2{v;OgRojQs4D+o)KrE`ExX> z@9}e-h3cP5>bE<7dl0aE{MsFV14;dM$NyAPzg>Q|7b{a^8REe8h$+T>_2LWDSHqFz z*IN0c#(7vz;a_Keoj>38M%Awaj_Yp6QR#yT>mSRx4yNr0iEU;Pb8gTG17a`TIN$WJ{d+teeRsArYo_7abJu2Ncl^|eSB=~-=lQ4jS~&)E_^ zA%3}i1n$-^h75pl1buIG*Xxeu4?MOpkD) zo&oripLo=BtJ3m|dIDcg&qni$dcrz_`1H4_M>tW>7V#60dNwO9zd8wv`s*(9i+aL( z0zF&X)FYgzXGr|Sqn>R_%P;Cl`)kzvqMoo$K+pa*^#~{GnG!$osAocH`9(cxf6XL% z!nz4PhuhR6oTz73{KTW414_%Uem&Af{q>Of#rSX`o;mRok9rJM7nE6FLOX-LB;1J!`d>2mCb5#7q zqn^i=mS4S(JO%6X6XqB7yoi3#v!+cw!ijq34Y!wg)bpg$@~f{$x=7D)^9$#5u77hO zy==)c`a{Eb+LIl8gsy6AYmk3AFcx_XI6T^nGFbeuH57?&5*5ByVY#ziiF%$FKk=yNjMDO}-$0&%adX!E8vU^Oe!}Bn z&^0ezUcOL2IiB}ZCpJj#U&N!X8;M(>qs#mnDe0;@UL4Q+X`^%ykGgIy(XpvS$IGSTaGN?D&vo1-9mJ!K zTS|0nHNV(DuMq$7Hv307v42LzPdxGuDJ{RKCmk>2iJleGbGA)A!ijoj#7{ixnNnJQ z^*58JU|#P}^t@7fR^htlZ*5mO!T};dN#GGM>tW>BjP6> z^&C=Ke)ZePQxKoSiJmK^XS_{4!ijnw6F>2&XHIGPMLp^GIg;qPN_q~qsYf_b&lBP& z9`zhmT7FRvmU+f0K35$}^t@VnjTz49M>tW>v*ITn^_)^# ze)R$J6pZK7iJn#T!}(@aS9|kaI8o2@;wK*UoKaeS_1no)pyzC&=e5$asZBk?iF!H_ zNSu>PB_4HAAMcqyOnk%# z#k7cu*%hjP9O=BCt67eIJ0d+^?s{l}gI(o9>v*nbwe%2=dOl+QO*+;{$61u;b*!d8 zbexn9FJDN99w5I^zAzrBQiW5WM> z@vmuOcEC-QFwdH zI*3OdJ4$rSmFVEY!1buFO&yNsIv$q};!($FiH>6yhrTU=Z)tt3#P6u##dRy(>p|zZ zbS^@@{QYxTyo3|`?U-~Dk2>!u;eRsW5BFx^Kh!3_a3cRx;wK*Yc~3Xq(b)iOZ3GtE zLM^`!9TDGgtJnB4;>Z1u_i6VQ_#cx$7oa@O)2hE1<*ffAlz#&C)42V9N&OQ^ecn@j zrl9`!O6ot8)DQQ5h-VD};P_uI`&wDJ2mPyM+23qW*uQf7^rnEjY{^N(?q_qyIYkLwCRM9hY(BcvO8Z!-2=GF~6>a`%CB>l0GkYeQAHYKF4!?=cJE#)ECyP+>tDkPgRl9ZL)~f;?{GPQ)#|G&*+NKW2a~)Sn z2l1#QtVabpR!PT}Bp%_u67e`G9bUdrJRHw;td$PpQAb#p3Uu_9=m__f&{4wz!v3nN z!|`0ltfpWcWNtn3b!>%rP<+9B7?3_+k8aeu#{1sgz@g2rU#}HTx~^?baDE5% zI8VcUDBAgkq}~hSQThAfPM$jNbL+LvaBqqBEakoGidyI0%*V{${!M&xomgy^GD5vu zgbx{E{<~#4*8^W?-cr$Tqx_DeKKsY5j}ymxzx@39!ENd{RFQXAMZf8ae)}u>&6nIW z_9?1MisXKb_!kd~sg-KCJoBSgm}bu-y0Maq$qB zJn8s8rFGKlc|V>M?_m_MKe-;$rhe%>;JQ-em+tdwM}5llHC)FW2)KTP`*FnKv~+m6 z&o7Us>+!VX`8s((?I#}F&*vqsBk~XL#UNdul@4#`9Q>#w+(Sc04F|S-99##&N4yvp zBtzQqT*t%GK|JcX&itBm9Fh)?;~e~`<5v1Z#|r82a@XPQhg;zHwBxysN2P;!)bV=r zpF}q6#dw70^ny6OLH=p{)|TY^c_H=p{ktqb)#LuY{`>fGUiCxW%jc|}qz~;1_vMIR zzv4&yD$g&%iO&y547ZnfjGyzn9_N2N;Scw};NRLNzi=Y|6XGXco}UAd{pbA068^Wc z9Q^y+v*Y(iZ^S3YReX<~X~g4BU*9NfDbBZc56~TT^5TKx@&pF(`SZ>B_T1i_ zZ_nG^jk9`={xez6|B=!Ip?{j|Z!XFA`sY;t?bfc+bG*vqIiBAy^Bm87w4dD@ewfcV z1%nSemo)45eAjRN-mKsHr8(d0yMFf5AE2K?z`_3xr1Sm6H2cZd4?Z_7!S5SfOTXOy z=l%FjtKWfP5yoRzZBZD%d|qPzjRtGKcfj)<{W&i-!Gd=s@!?lr!8F!u28ezvr(e3i zI`6yak9CXVo%4XdZNYi7Dx@=B;u4Sk#QSMj@>+hz%kNF;$8!4lKIVLGf5z%}tTun} z@~f$iFyHd+T_ZgD6K}KCTWR?jFL}tz@;onwV~B_IzT4_|@Oj_bYrF#?owc{$%6sWg zy!XJey_TQx^1MS{mgjjnKG+Y=`(CTx!TFo#-4fCnFZ+vl^d}z6$!qx;FWX5!meY?L z0r=v%&w1Zx^*in|{}|_?kj{9wT6r)1iFYR~c`ZNV-6lVl=XujObK;_YhmBi~D~t0~ zsGsqU3y=Q9qfYW#e#Sc?KbGft(>Q;?>UYeTf8?DF>5O;3mG{!0cpro%ujOaF2js_c z`dv@If;fN3>UTV3{*m`kNN2nUt-P21#AAHPYxx=P!}4Q!o;Qv2&szPCN6bI+&V_Ww zd)Uf*=})}yJu7%EKjVE=ek`Y-pFey&xeecsqJJMV|HykZq%+4*@E$jR z{2qgi=VwAX<2_;Jz4RyE2G}CJA?5?`By4^?cs8Unyzg6i?+`5UhG7{$%g@?z3i(_Q zSf1xi$IFP-?>KG#F@Dd7bjJIfmG{!0cspRpYxx=P8TqlCe!RadYA@FTw)d?0$M|(( zK;_qagf+B-{>0-wWD(w&@Vd-D;w?|`dW1)R;@wn&caQK^2+!B6)d}8J!lOU&c3Qoa zmY>CCmHb$q=S}-#T)b-%-VF)wTH(>3c)P@F`5AAY{8&!Ee)<*6qmNqsj(+j_c;1-s z4hWC_#0&RG1$g%gZ&QMISAw@ic=RV;xQ{8on-Jbs;dy_ICV1O~M}OkoXZ2QEeioM@ z`LR6DoA$?~c*hgonS^&jc=RXUZt+@v#ycfHmeViX`xLZyO1%3M-iH$21Hz*}@jfPA z%g=ac<;QaR-Aca#-f64faWLV1B;kEnc=RXU9;>&~@-yB;@?$yuc%MeuukF*% zC%n%IkN(7a!0N5E{EYXE{8&!E+v!)pJ8Shj&YFMRS3C9m&HaqeaDc= zj29$fA7nZG?x0@*?`N!jN0<3$`(Q|C`+1L*_tKwuuZ5)^p7V%{Vp^y(`yeg$>lIcn z;;s(q40n~4_tKxZJm(eRJtVv}3EqYTuTOaNC*HOayuTp4e&PB4Fp%KgDm?lVkLST6 zeV-NH#sqJ3f@j}Yc`C;K55}u!T9!>BL3y=Q9+fjn|SA;j0;60w;9T6V=iN|wjk-nc7 z-cjNCcs`ckJs~{$6Yri9yw3~o$pr7I1aDq=^e5g)mHYkQuL>WAy5smc#B@jf#%Ex8 z@3dUy{(cV2fmizba(qvZ>(3gi7w;`VA9%h%i}mM}>BI1~b^JN$8$~(mpSJQ|`cr?| zedRTV+r)F7@||~)rR!g$1^=0Z|6IaEMJtDlG1n;T@Z-wyaPrU0(@P0vfs}j7m3Empv(Vuv|C3wFmyuJkQ)&#F#c=RWp zk3YX>{j0($d*4Il?}u9$H?M@{Ju&lvxAax8H{g8_=JTF-tN49;P+AA zMVj;CuMxkXUBAM7@UFIT9C`iwdzO>;I4tqtR@kmb%`ZI9=Xw9S`8T!q%ghI__WQ`| z-}AGayk}us@&1hx{IE^|e;{es&{D%=c|M+H?K)C||7*+#zRm+tzrSC@@+$a$vjl%m z_}VWczQ32l@+$aWBThlT|GMzCe@1+NpNHjD@V{Py{}tiuxgz5Gdq6BF{!3x&1@BY( ze0jVCKg?6aU(XK_-`^i%Iq|sEGO?m^M&)G zi1%-m@P=^?-n$ZBf4_<4Rd~Nqf*-~?@JADTe=myV#K&?O-e)MAFGox8!#D^2OoH$4 zQ?a}X{%@Axhj9-4)d{}8hsE+L_}?tS591v88xnkfe~aZ+@clf(eTe&ye~;+<&)-LG z(R>Z_fbB!z^W)CfX_k|p=Vrcd0-1&D^zWA7hw%>lV(*Nk6+ z@9RCw^Y~@@*9c$b@jaq2t}&1KegU7a>iXXDKuBljsr^>oOMmb+egDe%A^!C+j)6BD z@$9_$aDsPGc=RWppC40wVcY`mP{gzERUb|84hxU|#Pjjurx#wEP`N1n*hl(VuvIC3we#cSd;r+;c9$J1ac;6VJ!LKL>OnpX=wh@rnM8 z@53++|2t7V_S<)G{y%Q(4!e{24SyeI+S2hkQ01JywLix?a#;23o?vOLe?2^SuBHyG z$8ld2Z}|Nlu0vm!-`|1XOFQbT?D@aj<5T~;$cO$BmS2f>G7bIl`Q=Ka7eW7%utoL% z9`aeA<*YCK2J?Z_uyj}B+tNWi#?AehVm#iW@~72aBrk~5{gy6`ljF^W`pt3jIP!Z1 zp64yy)ffXVH~9SEd4%Kctog@r8}@mOJC<|Y z!G!t3e2zP&*$$o?8P9%8$A0noX}DdDu7JO6$vpgu_>c|!rHy%5@HKc3&v9h;G1++I zIg)(;0JaF{cahKe!t%Us=2Q1J;IjVB^uzkvZMN=u{~^*vxKCMr2g|8X{xJ^z_a{%_ zALpRk)~{s^Z~r0jen&Xou2qN&+xaUO>S}y#ndQSBKZ`Cyafo*hh|eQVD}wEAP#5*k zi~T`-ravdVk0H(W-eTpGFESh3`+G zDrqkk$I#v`wU@f`?InIudpFD9R>)+FXzpLTn`5zn3D$CFIy?-Knma~7#@aK~GlHyB$HGbDDv2`PyH!&{0Tf*nKZh0O)fBy0~j(EP~czsCY*3w-{cQxLv{t4$$ z@O-Z#&tnPC5%KI7kEOdBmd<#_)jr=B{k>qw2=VcCVzZ^=JYQ^Sx`lae&nM0249m~< z^>>%xbPDIF^uSzjKCt`@=RGAjzV6ubNV6V2r^Iv5@r2LP+4^y7vc6b4E8moqTRJO0 zEPS3f!Z|Rcv-15aKLs3?TRJO8GebZ4`epCkH@}~KYe_tMkk57au0*HfT7DMS+e&bF zJ|)gj36AAwIBzMzStXo_5**9VaCVg7AUo(esra1;Hai#j{^aYM#&dkG^>LE+KXJM4 z`#A9P@oMAiwRm>d*C=gq=?>51h)?gTkl$UOPugecuEwuvev?1HZ$WbuAf z=MigXJdd8BKhAY5$GTQ$I{zGX71Df;`sc7k_5T3*tj}`RKdU%0pXWkLXU|c@<8#!% zz$fZ;P%qE#Reex65o=NT>h+~}J%5VH>3ID9(c`(>+wKwZGKDV=7<0|*_0MA!k zAAVSZ>v3?)btAw3bKT&)_x0G)zCLIBa=Sbm5}y8q$I_S1voYb>l<-)(nTO|I#*Kea zf#VBF{AAB@OtIYUUHC^nZd*gTJMKT0_WdY}Tf01?3D0=KW9duhnMru|Cp?yJ=HWS> zap|rYw}%p*gW~c1*3w-K-)DUuwafEJ!gDy`v2-&J_Y1b?Wfj|VGU55Yc>G*z>E?57 z*dM|3nu+*Ou^~4ft5k!#dL){>}{71=SCK zH;d~}*ryBdmEU+%34T|=UE1goexJ@GDSp_`q4Ty99QSv-Kj3BS^a0`fIoZ-(4L>LQ z_<9BajK@1G^4R*D@jNUZKW|&QOMj0coB!c_0G{_%qt!1Ixc zJVz3q$Hg-!>E?OKdB*!tJU@GV=y zdko~?N8WsSh#StSu7~#koKMWh`7VCn@KZ=H#yL5(AK$Ai4SwDA@0s6&%wK{@iemur zYaxyLO4qMOKHs+@4$j+mcf+zBH28T5%h}#(d{Sqp+1L)2{yE|`7skQT#v9cx;^pF0 z{oAJibtFd;9N^b;OM;E)GsV0j^f%lpz*=_`SI}pQq)m4KKfA3 z@v)B8Fh17H^4={!-#(2rankwrpz_mp{t@$A^drmBk9WclxBezrK9_#h()Z~e9j&tR zQ?woRSMrM)#2bGg#`0l898SRkukkHd_6JP(xe1?)C-E7VheEo%Up$_U!}|Gn9#s9Z zc=n^5@#Oa=jOQ(~cr3Ueo)06IY0hb z{zuV3oS(rR_-jhX`AL8F!xyZ)sUMzYev5u!c~L(M1ia3>iO+a`KBTMn!vL$HUNHFh z68>v7u5U*<`{52&KtH@q_QlN4?IY|j;`sQ@_bb0=JBSKge;%k`IIakXpXG1I2YKGX z6nJ`=&f(vNH1RngVdM8GZ&m){B>z7m&3=6{=_jRQKfM!vx1gWcu6!I^|9@gW=AZgG zeh=3J2YxTMMeUK_5Zr^l%VmEW7Jm<}&T{A({2(miAO6k&`(YzeI181Btz~TDU3a4y766Xrw>>>%&K3iL(`-t#HcbBXPJs z+)EhX{473O;gros;&cONf-u1OFg{!1l+8!tTn?Q32m_pt;IkD@*?c4p*9VSs;%vib zE1a_VNF1&YM9KfWGbB2<55N+)c)#y%T!ZqW{^NO~sGje4X+7#-JA%Q-ApT>0Uk}`> zeE9+VgXf4(F$3p_pObw+c2@SKu=(=^+eO@EX5&4#?;F&I@|b@bX`U}O>U<#`HKpVG zf}aWXI_v*g{{4x+((!rs)9`CxJz_n!+vlyv+0QvF%|EyJIh*{vHy>ZoJd0MX%^`29`L*jdh>Ny|F(|UN$ssCwG?+Vp(K9-|ieD3-j($q=4Y(LL0 z98YN+S=uW8zVD^=7V0CsG`iQuk9Kj+Zy}zn>q{dJF0H^Ma2L zxBm@%`R60?*1bI}NBpA>jz8)TCimg%y5?t`zj9fA2>;-E@dajJz4)T+a^~lrKZcNI zf0Cc$(slYh*@((L-|c@#K6Ebl9P%ajy%^&M=7OIwq{!>%7soxre9R;2;eFuK!7f|E z{QP+S1*BgN-2VX!|9JoQ1M_>3`T6^|kj~w|{UXYF|3)0n@0Y{PbE8*k0sz@iYgU&OdUUpB&eE#gje?|3t zJf14yW88S|Xa6!zx8k!1$KzDCzTQ?+&*M~9?=2hDFqJGbW z*`|3rseG{L z^px&R|Ci-Q9<{SU|Dt*^QoYN}#_{sM zna`|k8gy3cW(bPSmJTM6K|cYvB%Q~L_|6JygPdxe;;r*u7+x{b1;@x8D#vQVb=l_3~dE^t1{zZ7- zw0hgm!4mInmTrV|JlcIu{q-kHEZ*}dCm#KQ_kOw~zV#L+;3LnU8(zmdVA&6!lJ$1_|8HU*`R-rTPU=Jb zIL^-_#rB5h6ySz&oNMo6OD}9M{qybR_K>s^@`%hZy1T!xj=uQlY+i;@TX4JBi{3xXRdFN@HQ`iXU}E+eKy`(6`uco zz2or&MtuVd;MsGVe-Gc?U_I*dzX#xWi-pH^qg8)w3FZDhcz1*Ki1(wUz5L#W`bHPP z+a|mr^J}mk@m@&q_=_IIn_2*GTzLLHH|r7ae1hleqxL)PpZNV*49NWY*k!yOvlxe* zM_-WjdE|djdmj0mNAw3@)B5-=tGE4f;eAm!Uy*e@|GV1r$R{5Ci|~Hi>TO>lysru; z>@U#ic>Z^{9gqG+c$}B{c^;D4$MSFE-!I1g#jJX5@ht6SvVA`$+x26@N%atS$HcvZ zowdg7)UHXaqm9|kVO?DuE!)!xKZGaPYh{mM;l+3e`QGj#J3Y2%jJPv{Q@dy(?l$sMS-@H)Fe_~VuF_gxhJ!OHkMc2oDn-uuG%7~HXYFVmAV zW7D)X*g7qKxc~V&>z4WXb^QjVk5uNLu>E6fa0mHz@12~X9hmK>DEzs~_!o}*Ju}0$zSf3k{JdUeU*vr?+dp5K_rmdh zvAk~;um0X!mH8IhH#{?D^L*mow&FV=z8+k(RvYg#VgKB@b0VBSYOw9>m$!?r|04M= zb-%tt{6iJ_ckG@B=Y#tu)BSodoKtq~tnI0?zJNB2rw_{>5J)ceNnhbGH+da5v z*Um6cVXMTSJRHv-k)5x|KR!4X_Q&B7>+d4oR_6rg-6q~M6?ymln0R@i!FI2}7ndC0 z)YB^7(`X>$if)b1_pR0o;t~ID*@22YJ1>!Es3OnECGyNxCd2@el6j!r$eyGy#W5DJ7*;-N8p5c3JJp?iN#%9`EfA10B zY(>7&v5Dc(uEFWRyJu`B^aCFEp}R3#R-X1#ncwccGXZDE?)$=d70Z3Vk8!Q-8Dl(0 zb`OW=)6v1vV0Z1a=heZzlVQD@sZGZ9iqEa~{nX;w7tn!RztHRuXSctqw7$P8#jk;f z<7{MXJj7vNZG4z|n(iN@llZNkn>)n2Mpl1EeU|yH#^dO&JyVSL#IVi7eZ#gdkJTpj z`gm)#PIDY{oLwiorMudGFf%q8`lE=i)%bQkzmMHtk#D#9|6=+4-fylV-{`KLHhuG_R)WAdeH8Nc4?mKe4WnE@^hly>qH$T_f6?JtA_Jd z(36~lJU{NYwfph^G=Xlve^i=r<9x993Nq{Qbj$C#IWP2g=Vw2@dm3L!BTlfz@u=E@a#hCnd7)k@vak|{*Lg1`lbi%IbnZp{<1xe z@78&6Z5Z_X`qcNLYU|<7OXS&7k!R!*d8R7zUvCe!&zIc)w)Z^g{J#Dk zYo9+n*Wnl$=9P~NA17`--}!v~J>5QE+TM%e_wPx1mQ@?C+&4H6-1@w{RJ#zKmzP=R zPs=?&wd@C;tMop@?10)oIz9Yxu8V_vJ{I<=;b}WRrsvPA-~IMG>G#gJZ@ijz1RE0V zz1ZMrv3;ziEyMHkZdxzpiTm8(4L1=F`|VBK2XguS%J080|K^)5|K^)7%wN~X{Jz?{ zKJIfF&bolJzP1jpz%=K-sX2c=an{w=txx(^bVe|^Y@&cb}?EX;?_!u$;-`8Sm0->Cd_yjD&7`1kRB1{*Q&Y3{f8 zdH9$X_Nfojq1O4pX0x;JmVJ-xdu6xCzEAc}+3-SMt#kG+r9U9+_>-1?U{v{IvV2|y zFYbfPj?3N+8*4O)n_uIL0;{K4%_MO^Jo~NeqYB&28Ji(60jt@`V z!+xI{v-9%q(b_Kh_m1zz?{wgNx~DfhH}0Jt3Fp|!-qCQb#`9)a50D%UeuL}6`L}n( zo}fJ7509`SHNb z_D|!1J6Jq$2dne=3H7xEl&^aB7~7`*1Mn>z}nk z5m<@V#c^bZrnGSzrNQx*(pYh5t+q5(8WL|3lB$H0sy#GTQ)0_Dr`2g`4@pUmTGOcC z&&<8Q_uF|hyKe>ObkFg-x^wS*zjtQt+?n6+E$bEi*EO1rxsUIg-)Qtn9vNsf=19(M zHX8ks4gFkXvL$(i+UH94slD0Pzfr3C^(_dpuX%Oc-r_W9yw?8w=_S7Q^m92 z_`xHdy{4OA6;IeNwf%iC`c?6SI;D6P&oUmvsp9#p@qle0SiTKhMukn|FDIIGaZ$wLZ&^g*XPQ^DXt8FuzIj^K&QlJ7#{T&Ckz+)bF_Y zy=s157gN6z=GX2g&cUi)rGAs-lu-;IN#N} zz6D;b>*mm}`<|#~;tKn+E)AzzR~{5tSMXR@&-0XjVZzV(0RNF$@*7UYf2Hw*2mj46 z?ymVl6M(#=m)% z{DxEUZ!muF;Qv5@e{=L}jmpk^Pe}4y_YVBo2Ns9hnSaj3(mFVvpT|2b4)BQMgWzWB z%HBd8@3c5h%rXwg^EeJ!9N-bhhYE3=Ry+0lOyC=A-d6A%FT{HV{+hRjDirhC>%2Lh z$NQMY3m);_UWnuILL5AY634Px#^HD##}gI@c*Jo>A&!aYSJm-%8UJSE*D)3HMq=9h zF`O!oj~PFB@ZTw!%b%wc{;TlQ_{V3-Z#WhIGsX`d{2wmx^PH;lrW*hE8UL|a@*7UY zKWY5n!N0b^|6Ibq*!WKwKj%RGd84#{4X5IN!T7<0|D)h$_V1~L|K0ep5A>kRKIoO- za4P=O#t$C+cNO?wO8CFu_?H-eulZ*<75~e|4<7vM3jAji{;Q3D{Ve$nr{aIr_`!q! zV+H=#68>w9e|(nwhEwssZv5cEe@}s5NkRTs^=?9boFjh?f91h3i=)?i=y+a-1|$9u zc*LgZS1(eT_w9XI}7_p#wrdCYqto(I5#pZ6!*n*A-_>ui)1JoBPz8Rb#qTW9m~rLYg? z&*S~ZCo}%PYW{MYg0tTGUnC;dmG=VU>VJvkBN?3EG@SbqUY_5NWc;5m@NP(WdA@y8 z{TE37QU>Qw4CnrYm*=OiX8iwKfp>Gl%k#?#^=IDd^ZUI*|IwsB=f?lc@XxzAo-65m z?@ao0|L^bXZ}A-RR~ei|hO;*rH~02I^;4Kr;$BkV-JkGs-@R7-xqpA3$@=(jHJpP9 zFZa_m@=xb$V}bWj!pnW}kxbk>3;oBF{@nAvp#FY8@bN!l{bimqA5SFxZ?=8HeJzLc z*#gc)0Y}&H#)q2yn^d;-)4%aLeX1^2-~XX&Ki_M4&}0L*_5EMmcLUXaW}~zJ;=br^ z-yHb6+haaMjNg}1SMjH=Qn=OcD)8mk z49~OTBcJiZj$b(6bPoBtxeV{I#LK>imv4#TT^#fdFSy|058jX@ys^E*OFrX=9ls^` zWq6mx{(actkJQn@Rmz5FR{HdFYC<@JANTwGQ5nRJlGKZE8e*NopIc6c=&^N zQ|xzDZ13=HHb3n6kvF;d3-8OsI~x5f-u*%E@a~NEA^gD$@3}PI*xupYYkt`4yxb?m zUwu!^dNJOE(ZAyTY|uNrhoXH5fAGTlE%CgZakah} z^bYT1(LRJfc<;wgys^E*`?&dG$1m)U4DYJgzwc=DuXvvddWZLkXdl8Kyzo9rys^E* zJ7Ip<@nfIl);GKd(s++W|7w3c6Z8)6@n|2yAH1-yGUEvEbA)#y!Fw*jn~e4${J{(R zDucILcJkn4^sn;Z#h`cMdm-9~R!f3+n75HU%*U+xPtrzE_wy~F#e z`C-Q|)PoG~hhzV~bJ4%z?bm@&uWPRx9{%8cB=);1ws&|{7DIi;j^DdOzxnfgzWw}D zbJ+K5ks5b9_N(|8B>aPhi$AzNk15_q4R2wBcV&XN$nfw7FVs8DPm1?LhPSxF3vsuW zBzV^t9{%8kI+($`%kY*acqr>}#_56-5 z`@Mb-=Q(y#&!y`OPbzh(<6A#U0)gkx##7I9ud*d0%s}@%cTtxmE2N|5of* zXkO4Ye$s()Hm{PLqwzD&T>tI(sXuo5`*>&bD%|h#Ov!jDxL3@qTa6T zY7X&uobf$s_xqB=(XSY1++PE&#UT&7219z96>$^-1= z0eU@;7E33On5SI-9crgPcKZ8xXeSSRJe~)O)lMG$xIU-LBkZ%uqYYtx2ULgSy7@l; zeAJ8UQR*^zP@M0LmpW@t?cnW*y$hja-Q99;}G1G{V);r;y6ab zei~?P4zhb32hHyJ9`#N=^8M@k+V`*5v$ptqsaKzrA9-SYRi5o6e&q>v@&vt}XWuWK zJY(PI`tMdd{jt;E$3r`L;^Xl=1CKo0qtEH`4Et>IY_qLTnrB~yN9UZiBhZ87Jn?w< zs^$Fo?)CBY^Yw{(Cr@UZN27%}pPwPlWg)(S>i3&%9Ie>iZhb`!)XzUG;Pk7V@h&nP z&nL%;?H!yK3OM8&IPreh**}hB_r5Bgc(3gE**&w`hcnIZHOaW6-kJZwWIR#t*gY;k zFZ{i}x=q=~e-ZU!o}}ySxL!AW{{OOoTiC1+N;2+FUD8Zr%$P!`huPMf?lsr*GQ*6?UT&)A5%O1vD4qj zLwl$%VSMeD*C+6(Py6*bU46nnoBHJ68>M;o_8Hd4<6Tf#A74LTpQv~8|D5pa=R?#x z_B5|OpZz|@Y}D_C2Q44G-Sh1F8OCwa;#jDT{GO8ckL{hhGgQFw{c}7SkK@Gl4i0rO zm-k-3Ruyn!dk5#YM3BQ7R6BJr-KQQ;Z13Rwb^&LB;iPrUalD>+UHEzdhj}HQwB9<7 z*ImbXv+flS5s#ibd|myy<3*ca?Cg`MclH@^@pC}`%k8sgtv`19N4>lMPZavEwf@-Y zANB732Mhguey~rs&mXq_mrIh@KK^(v545n;KkD85k63>{kG;R2)7S%l7*BWqPZ#>X zV&lh7|EPEOcR%OzxPAV79eh0nH>DmNvU(u8(C+o%{c6bV8~T%{j^}wpJ9WnM#PgK> zn8WdU;PLtVIgaOx<9wiiCgP9=Yr$<`QYPyuz=(9pFW2juDoW*+iqi6r;q^s z_k66A*W0MKO>ejEHl9yMp55s*F|N<9ND&qwZncF4qRU z&N$WueW2xe7xlL3opBsCo?q{gXEfp2X*}^f8)!wn-HLjLXWV!ud*q=$*VpBc@%TQA zdb{QO%-7|K!oK-wTQ7+zc_%gOo5u{_>pkt%t=Qf<@6&sM-v|6&HBsRI+zk9r7{AvS z=a20j{+agM&lpddm)72TzA*pC0{>v^xWzFl9QJ|7;dzOqZm0Ox-g>csf5Px54d3f+ zivRhD-}+_&$NgQN40xTqet$*XTp!apnBW7@nx5c@_IZ6N8ItGo8QT>m2e12$? zu3q71;ms0rwBEO%YMxe_WZqeKo_plK;K!rvif5;_r}Ow3wKH!MA-;>N^)kI$uUqlc zyzMFA{7u@GH{$_kF#JAlv+X=VAs>~l_ZVB4U%j_(zN$Y+^Sb0`V|x{^&3g-fz1L`c&El3C))9Z&(>Qp4 z!uasxhq%ZO=8L%O`<<@!z?#bs`q%U0A!&@Oloz+lPF~zWH|50|lb6t5s|Vq^MmVK< z5caX`X+8L9;pFN8?OM;O9^8el{PAP?s|E zh&8v~^sldXQ1wB+O}FmrWM|#)ri0e~Vn2;-Nl)!}|U$eKJq2D8(N1ChgRx zvy%1t^mDPjnm?~k_~+^q{=L*ExrHC*i@aqWiI4q4eC;T!_^{^kmj3m;{d>};%h&s5 zCtt$>uYBD^cS+8zdYyVgIyh;*`n~0s&7Q^?)+uvupr~Kdb!v<3m5{m{A2xZx^;g*cGmqtI%vH|O}>TpTAlie z^jti@YVGMfeo^hc?BgByY2KbL;Do$Y-fXsc<9S2>{b6-)!7iSAC5SJ4pUXOYE$UtC ziy!;NanicLeSx~L6GyGXKhY=SPV2(oS9|W>@(Z!Oir4D`{<*qhBZ#gNQ`5BB-s}$Gad23Je0z31+IIQQz ztzVa3zn}eKp&vN(YZv;xQ0PY;qTfq}et%^B(s{7>Hp?9H%GaOw+t(|<%s%`X9HjH! zn)yAYPv#>Xx5uyJ7JJvY|Go8_8>OO+yho9J&3Et%C7P$E$LZt3uKe%9`wy{yR~%{% z^8rCF4#xK$>+?eRzfOa&!k(L_|51oT&0$=nI)**>L%uS;Khr1Uv%CfdJxKj?A?G#cALldYAM1eRJ#_v2 z`(M(*@$&&UaQ?#D(|HK@&dfZ}k9~$cHxGYVz?+~wgXimnJ%@LWewp!?&OP#2`B9yF z;A$S5fAk4nn$K9Ls~_ODly|H@IIrt7hvVZZ)gf?n@7u)uf%8}T%;8|2E*|W; zAMn8?Lvr(GeHK2Ye~>rayUCYdG5J>7Yxky$4X5-RHDGq?w)jF`BL5+}>I3cd_rJ8) z>jL*y>cW%Jzk1*EkD}kBwAY`bf?j)$`iks4M}b3Kc$*~SLFnf)?92z>XXWOZc~G3y z`(Nfm@jXg={W&V=wdW|FC%C^~TELY#te^jWPhLg@CR`S5>n7{v} zKmCHJeZ0kRO7-HO%9nNLxr2G)oI<``qW&DU&~Vaqe_LU^1-kXv7w!~C6*1SegG-%>m8d%$5n z-&w#pCM)Bc>3z=$`4P{%3OKN^o<6=@JUrKdLp)a)PFlCgo7{69&s%D*=FjU8{<-Hm z{Cjz>lUw*yTdy-ro$*yy&34RWDQ0?R+^TYS`>2t*I7Wyr) ze$x~6mnZvrCD$Mip zLcf0NccRd5MWG-2jyzgZ=tthOuEfo}*zctl?_qf5*42IwwX2`UU0T;=7I(U?{{o)O zy83vq*VmPC)Ymnr`nbjLjbB=bKS=#^A@3QeV}Fc6b?h4^-${GzzIChNklz}Ah4X%E zXPotRV3_ykWY6tGet#&JcRpWF7y8-n<#o-o&&$d}zYiH-Iu91#X5uA&KhIv0&U-4e z55J6q^goSq<83p<@Asm#ZryJE()E5uxYMmS_WF8Lk)ULP0sbX>frT35g$Ox9_p_f(9F_f%)-pgMI= z0nhhAXwIfvp_QO#B}&^!ryrtGgTVp3W=psqQP_ z$vupRb$R zE8xjJjMwT{*M7&&598%|^t(ap-*|rk&-X`Zzilkc)4vw(bn}EgH&2@iaS-Nobqss% zhjEgpTMgIpT3X15Aob6M|63oNf4qO;{QI8CD`>Bsf7=bm&j;MJc+Cf_JBo4m=jP$T0^YL)JYOg5IlLW)myW-5?wuD7@2ULUo1^}mdwNJfZv3R-*}e*`%mdcx z>Ib;Ir)nC`t^$sar&Nc)(R1vk$f*A=w16{HJlJzT;4{uK!%gSS`YfC)J9)$RY2?k7 z67_ts-wW=#r_i5y;=MrbUW8pg3+EaByQI`{Kc{xhcM;dtt+f9a4jL}=e5vwVDtHUR z^Nh}G_PfR({@x?f|Nqf`UN2nu@1iGV<$EUl>-CBE6Yo==Y!HC(I)6_=e)RiA>(6sN z{ZqdQ^P8B#@0j_0Wd=WAb*2({_?WEdS7yPxZj|R8e$9xh+`{L{Ra3Zj+AZfB$w;?cgDEcnb{gcVq|eW0K%) zH0gN$_i-oH4j%qFyvq#lcV!1}lO%W#nshw>JGql;2M_-o9`8RH@9*goyiru)jhS>j z|2w*qY6lPh9Ny)I_nhqD?Uw}a7fd>y|6SclwS$L$4v+UG#P|F91n(%S@WOj|NyqcQ zvpXp(c=+e=-fDP%AUk-!A_-o2zgxrezqdOnD|q8nDx7fM)bRZ8_)f|S9{xEz>N9wJ)_xZ99`{n6W3)9J7mXw5np{?p zZEJtCBs|-8eo}v5rxp17rLXJPxNDNQXlEG6YfUoms`H`ULpk zT-~SOJ0I(0R6kaRxs z$j9fB`0L}m&h%qF@*Q}Cd_Q1(ynpOvUPs3s4&&R_cvydnvG=@LYCPw9yLdHC=C zdl}F6;n6YH>*3wohLL0Yx5WHxY|)<_=zV&spFh2-wnohyk|bEYstE%yn+qu<@?a3w<}G9{YI0gXU#wTxShv& zU3$CoFE{@7oL=+U$G>e4>$&rxU15FSXdPT(ajcwm96NUq$L^7G{lBP(x_FcEkM_tf zw+82eOD$i}Q|b1Zq`K>Q{i1R1snnID>QJvQC0uV$@zZwKQ=a1m9>?>3CFy>tOnFWk zPwH2~P21CcuBSX_5}p*Nq&r^8p7NYCo|6A;+nqnY(rCo(2x*pVzAmhC_Sqe&+2Rp5aiZMh@!#bwTw4J9u6f zU3$AquS*Ae<@0;R@K`wKx9lI872nMk*TjYMg?q+s4K$2XvX}dzkC*oq%*)AM`IGzM z@Ys$A1Fxj>PInJ>-dm0L+=cRL!0_&Gj2!IcUgf+$XuON{g%JCU_UZCzY-E3!-|C*K zgAZOkc7*%59y_Y%9qPH~l}qrMUze*)U)v*pFTC~VxDxLNjQ8Lhd+mukZ%X1>UD~PK@@*-wSUq=fXY4 zd-RR+Uf{W~-uNebG*I7;?3j;rs!^Iqcq z$enAhMt1snT=u-Sbn5%P2gY_4_`KiL`(Zck)bUjFKD>M-aopNiu2-IV&*bmhhnL?< z`?ALJTa)%%XuqYg{FYq%%0j#FQ~$s#{FFDeFE6yO=xz^j-q0OqXuq-0F8p*{!C&~< z_L~arH(R^5S%bQ-G8XF1XJ}~jAATgt@lTsPWb&s>9ya-tCdW-W&P3D?f63aPm_h!s z*}rVkabAx4;Xk$ZZ<_p;$#0wdj>$77ziZNQ?Du94H<}pw$IqL+B<=TN#_ji7#t%HW zCFJq;eLD`2M|xd-fP4Pdk`a*tcy5r?GY0 zcIs5sz9;FoH`PBi6=(0K;`dCF;COu)-WI?28s4=f+;_ek_^t&w@@7T~* z&rbyV*uL<6 z+>j;~eOMEVJTS5^%=4Cg+pZ7#==Lza|6g&8?A|{m4$_AA6&J_+Is9kw_dCXK8t9zU MoZBJI8gn22e+omHYXATM literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/@_opt/voptxabtjv b/bsp2/Designflow/sim/beh/work/@_opt/voptxabtjv new file mode 100644 index 0000000000000000000000000000000000000000..61fdac5279f3dda1f26ac2808fe0a47e2801ebae GIT binary patch literal 875 zcmV-x1C;y$aLNur7=NsjrTZ8|7=Nrc2;-0M-yn?f24Vb@d*O*WxC}7D0|0$JT-cjP zZ;SxD$8~4{9EKHQRWaNekYLyh0L#pI-vZwDJ#N+W*D@#Is0RSYd%Ld#_WHf7-rHcd zW^muuSMQ|o1O*F|qXl+2vV0001}TZO0q0001GnbefdLhSJw zl^=lnal8vq#;Ui$Zg2YF>^{_>tP)hsQ`9U=jM2t}>63e|39uK@QU$9jnc)nzv8K`>4sN%Z(oFRGR zQG*&-jHqR1fT)J z7CggkzaUF2-qkwZI>0fSNQ9WYks9*|=J!R>^h^|grf#_FZJk9#c<+j72)F>{QOxjJ zlWCd3UZUpTYCX0<+e-G`gc!f|2U8dF8fzamr>bpi8d5IaNfu+@gCt^;pE$UrDy2J$4q3P3Ed0(le#Af?J+1t1pK8dV?zWE=y7A_K@# zOzL0`0|Og~2NsekoHjd6vEJv$jSXs5%+2KwTsfk*{CawRyM%b&#N|HU4J-t5rxxv- zk-IC`a_eVCojtiL{+*26x2HO(e!i>nzN0c_eQ%FGZG81^!$qTAR#NqhAtE!6nfr=- z>DJZTud*YL_0Og?jnCKJT(e<^xNg_Bqgmf=cokDmANjk2V+rwk7YWYt4z`;9W^u#m zmlmP<>n5BoU3EV#;euD7Vc#rAXA9c}&0?+^yc6GYH;HO7GfsHN(ah_+xhro|[o9ATEzKLEaUQOnGh0z01 +R6 +32 +R9 +R10 +R11 +R12 +R7 +R8 +Cvga_conf_beh +abehaviour +evga_tb +R13 +DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +R2 +R3 +R4 +R5 +Z14 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 +R1 +Z15 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z16 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +l0 +L187 +VeNNJi03>MIdzNk_IKJFBX0 +!s100 baoj;WW0d=L:Y@hn2U?=:1 +R6 +32 +R9 +R10 +R11 +R12 +R7 +R8 +Evga_control +R1 +R2 +R3 +R4 +R5 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +l0 +L37 +VOiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 +Abehav +DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<:4ozHZC=^hX0 +R6 +32 +R7 +R8 +!s100 Y:4ozHZC=^hX0 +R2 +R3 +R4 +R5 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +l89 +L36 +Ve;Di?_OoPUgXCMBlVURO<1 +R6 +32 +R9 +R10 +R11 +R12 +R7 +R8 +!s100 m[>=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R3 +R4 +R5 +R1 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +l0 +L35 +VHkmzP=gd;mD@MOhh4AYKl3 +R6 +32 +Z18 Mx3 4 ieee 14 std_logic_1164 +Mx2 4 ieee 18 std_logic_unsigned +Z19 Mx1 4 ieee 15 std_logic_arith +R7 +R8 +!s100 VL:Z2?FJISz9N5>XaK:5k0 +Evga_tb +R1 +R2 +R3 +R4 +R5 +R15 +R16 +l0 +L37 +VK;WQR0;ZeC2I8`N5aIRdM1 +!s100 KBk8Lb76>dJd2ihUfkYfd2 +R6 +32 +R7 +R8 +Abehaviour +R2 +R3 +R4 +R5 +R14 +l100 +L45 +Z20 VI3NFZcjIh_=T`0za;J3h^2 +Z21 !s100 gzdc1SL=je=>NSFaLPW;]2 +R6 +32 +R9 +R10 +R11 +R12 +R7 +R8 diff --git a/bsp2/Designflow/sim/beh/work/_vmake b/bsp2/Designflow/sim/beh/work/_vmake new file mode 100644 index 0000000..2f7e729 --- /dev/null +++ b/bsp2/Designflow/sim/beh/work/_vmake @@ -0,0 +1,3 @@ +m255 +K3 +cModel Technology diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..dbfe3d792a7248bdaeba8b0703a19302f4ac9667 GIT binary patch literal 352 zcmV-m0iXT>aLNv)R@{EsdZ$+0ey!As+q$<>E4!6iaoOmJEbt|v1QAI9Mv&zT2y%>g1G0RR917~+9500000C@DCcrw{S? znSi{+vq=VGnxm!1K!R%FJeDh9YBUuC-+~t&B=^ew!jC yk|8Q0cyEmIbUq^Grw04Fext!WR|lKz|Atf+6pMT}3|-g|5duUv5stER0*j10JCwly literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..993bf1b40b182f7995abb71d887045bb93f55005 GIT binary patch literal 773 zcmZQ9IK{U#>`vWzhvh)>&y=XbwO6`svobI+utf$OF`r7$#UV}Zvii@)a51y6EIQ_5shGV>mZb9g_CHWOjeH_oX0o8Kw9g>iLQ z_JaKi-;FcE!yn9MUv%?Q;o)?x31^DlmG>|DneZZEnefIKdyPHc1ec!r%5!=C=~oZ0 zE@zmS`k?fJ67hQXpK&^CmSFa=F2c7b=}S?uhp)hgy6U|gXH2s7+vm*Q7x7DpJL2nA z@s2=cj_^onCgkIF0A%_0tQFTzQ>y{r~NS5331!50VW5--(wb9nF z-g|}wNZU-{R%qhvxRWwcJZkup|KwusxFIt$Z~#Kb z61x4!Bam|R4VF}|95o#Zr59w>G_t@T&J6prVkpFcL}2OS^~)8;#gfTHOX7&a8`)aVwBKpHd#m-oNAF zF;;X65K*=5v<@!R+|Keje6=ArBFj_@ySwk4FAj~?>see3!kX%shrA3JkW2SxgW!Fjl zZTV5;*OJt|GT8YCH~mz--t0%8IQ+Q_Fy`$1gc;FSCz*VtcVq2Ng>3^o8D%WoIe(*q zHaGO5ZnF@l3%qY6>gjoPzO)_=$;IKHRA<};4phT#5Gw74HXXS%Y(ccL0Sy7+^zjX( zoRdfA(8Zi`{XB*#8@Mcz8g9m<>Gla}idJ$;Tk8VwcdEUN5|X!qpspbdRuP742%}ZR zmNkU&3Ze(RP&l0n9QY45ORKV**@XSA*&>5h)SUM4D*Mdic}ehIsg=Wt$y|Gh6HX=E zBr4>r8vLnG?@8qnC4KXdEngtL@?(1^sR(yY`j|?rNt9gzQn_!>81EC{6AI`nWjfrz zg}{$A*RebTfVgr8=dLgj#nZ*1djpj>;ojbpEBf$-aP4tOvunBEVjquY%OOH5Fwhde zr8uH+-ofeKde;{N2d$v~&&%S^x4=G*x39zA#@-~z9UQ!v5EIkeJr#-!-BMF1=AjA6pNTKNLw|pH<$U37x6I}r-SY%8Y6g-i zrZD-*uGedQe^9k3_!O$Qw-?hj+1PLa+;4-dZ=FAzD0ti3Z$!c5%a12{F#?Mh zkcOJCY4l@UZ;oh3keqjPPosB&Sf3e~?#Q${L&bM!Z$Gr&4nG9va`@x6?6{?^S0)YKk6SY!gZ(|zZYKf6WjG*1c$-5-B4RX@;>G2j(;nSx9 tsachU!I~XO9&?80g%|m!Sh2en8`)vAwUv`voJB!fTCHE}UBRWB{{uH2sB-`S literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs b/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..238df3635d42c284bb62881ae1eb93df5feaaf67 GIT binary patch literal 4824 zcmb`J3shBQ7RT?o=Wqc-K@cXniaZq&=$fL5BCiNh5KQz!S;#^W6$Y1##Ut?nRAaPS z*(~#BRvM|`a}ZXJDT+|JaFiJb$H6fwK=G9$GbIJ;n*IN7v9Ef%=BmBc-hBIf|MUCy z_c~l@S<|4fC1*FB&J3rx|N9-!?Th{9oSjCaQQQ&8HJU&XH5y;~D{CZ*a7B+mZWd_i zuQ$1@K}!gRQG*3CWkZDkEg|r@O8}{l5TGT7@uLQCK-*B1@xY7>pq38VsQyQ&)0W(x zTo!sUkuj8_AxuIi-qi|Dzkur;K%GyKn`a7v41&1~hZ~afBa|r^3&RUjgF;{x3IRhM zAP=Ho6$*h>C-3orJ81^)E%U=k>kDDXR&FfW0a9RxT!!qhej0-Q);(*2`~A*>N(t^s8^6G#cA zz-3``dAmhf5a6r|Q!5Js6eH~C1Xk<*)kDZU3rx&~N>Rcn@L~+14EIkGhe1pX0-l)4 zhCqNzC``J4X(R~D6Vqv2sjYPcNoM1lFqG||uF@Hzd zY;q>Z5SR&Aibp9^BKsdvLyHs4XIelZunJ`YphHQnM1w^xOj23CC#lDLv8bUoDg=Br z-6@NLQoMw+WF}x#@fDAu=T@N*FccqlbD?-iK$!sOaPXc&AQz@qDFh6~KLeCzQ7IDu z9YLK+ECRVOH7EoO^`s1?SwNWps26oA(-6posX-xNs5fOO%>v2`@sO0$460nky@smwqi7p4Yc$ z>Qv$p$c3pvAz)|#Whl)8$^<~iP^XfBKrT!T3IRg{DMM)%P$mExM4ie^1ae_&PzV@` zFUQm57EmStD*pA&5()}1m9Lxv1dponNrHe!Rk_DZz^DfB@X@jgg@BwSAKOLLXBJ8$k>>lWC(I>&SbCC!}}dCv9i&blN1FT61{#CzB4 zqZ2<}=y^cjv9!vex5vE%pz13^6i(8Cu!?NyU&aNX*epNndV~JGq^oH%;YZ^3ZFK@4NiL-z6bnyAa zswFNnCK?}l<~bDxe`ES1aY2`89)D9o%gnaxM#mQ)e%!lOT)SfLRXbX7 zX>-cI)55bdQi@kLMi{bfJ6eK#w%x4H+L9dFvwL9g4dGg zZ_51P4_=+;Q!8&fAM%?LIyJM=KYB~wmkzV5|Kzth>ST4Ryf0?L&c_~YZ#lhn`HbRK zuH!zxaK2(p-X5KDaNOY?SLU0FPM-@eI<$LuqP|T(ChX|amC@&#=B3w{Bu%Z;5y|J>pBYRBgt zGuKYP*drfoxPGeYjBStW`%5CS!*k1PMh55iP0;*n&;0KEh|LrAiHk30Z%K80&5+|d zCGMNAS3O>RyuGd9s}b*QyXo$^B-3X9eB+3a`W}5ubBX>7ljE8V1D4I+^~0&ZW$SvQ zB5qIX9(^+~dD7s5s73mKrbV4qC%yNl&B||Vy?C|F>uM6!7yXaaj0&%+=EDiwZ*6Ig zTA2Qd%bgVmn^HX1HF}*ln!2w&_m?hx&jo**khfQ7t(}vey7qc+*@Cf?uYBDikBum} zylb_0hCIh)m%Ay#bnLxyd)=B9mC6uldDo?^OUpK0yMI8Pf`kXYUpUb{#$ z=|uBXzrE`WHsv1&{PC3C7e~%ybvM8D!L!%A;|~0N(~(Y3&%St@0iT4Pc;BvU>G8<8 z-AQ@H`_ji=JLcweqC>73FUK~fN4|U1>7_p}NN-GXf5Ao3AAhp6&NT4MyC*NU7e>5v rYvX_0yI#Hd-Q4Po2AA#jFM5UdIMzqz8vYtycKnT{Rn_rzd%FG)x^rUA literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dat b/bsp2/Designflow/sim/beh/work/vga/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..8f55f0d9489f40b117aa7ac9b1831cb08aad4267 GIT binary patch literal 1277 zcmVha>e{rzZ3)X;6i;W6_lptY61WN0AIg<<`%JL>SzG~005Yt zjQaop0091}WSzp@Orh%3DMc61Q{yfeVeicJut*4Aie;)s0009pG!g&+003|@fhCw%hol=XNh^@R1{FnZ`o|pNe%0002x&0fp^0002r z)O=4z_riK5-}BI5atykCtr^70RR91(g-7;000004dY!hTPnYJBeaUC zxFpVtKfaCU2#0y|mp!5-gCg!KoT>LM*H$8(7VSEr?wS*ORR-W!Q{_xJ(Gv z%I2bO^DntKMR_lf2%`hIHGzgOL}{6p=4}-x-`L?X!W`Sr0RR91j_vHq00000`M)Lc zci#TxU{pPDF7GPO-tY#q48F+G#o{YT!18E7I$80-eNUwh0#Ay0#-5OQR4Qgu)f!pG zCn0{E8NuzMT4iHili)NJQ({J?YjD}-1a{|~!Np)zU5;eDx2F>a_+ldADov8w_AjOf1eQ;T1#jzWAL(oCz zB^EGzr$e*nzs)BYRW-m``Fy4xGgm{f;TTB13D%Nz6%U_aG{(2Q^4s4cK#*C+fMID^ z8K93H-#^MnHLZ!#c;^l=ILq7%aFgDF)Ot(wu}qAUR|4=P4ubE=Yi*w{IaXXN^uD(= z5qVr&Rt_!fIrv-KDahDjMi9rod@Z<0wud@izqJpX`C;xLJtZ>u<;lefevGsBSA~wI zy$Daj#TSPp1qknq76C0+l@5Yj0=Tu;lVe4C{-fs@9;VU8`^8*YZR?zBgjH45wT9 zPvqC~K|H+&Vz>;aS@>V%*YZ>;m41xwMt79){2=-Xf zZ!`12d{IDVR;^Wx-ADbZ(P`?`KAV+~@8I5;l^4&<3I{?N=wAufllw nSGrXt%eJ}Jp3ZbPmnw?crZpsoyFyd1`yd^~F=*qhf`7$yZZ%JD literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..cc79726beadb4bb78a4608f124ee49acb50a5bac GIT binary patch literal 2661 zcmbW14NOy46vtnAmk&Wf`9>>RP*A8;RMx=&#R)SG;~Xd-PB(>_Z5Xg+L|hzIX5A zZEZ4Ds;gH_m@2A-%lCtO*r*VGs6N9mWEu(t!w5NLm|1XT86GGG;iEu|0eWzCfM6LM zalmvKP=JNZjstMS0W;YVhNXqw-)FbV}>Q1)>z2|u4EpF zPGqCzR-dXF`VCV4NueI!zIvrUJyh zKy)q`0oucTlCVSu8<)#t_K0ygP$+51O-Cts+YiD zvo+JgY?!E->Cg3#T9+YjeAZnq#nP2ci8K!BQZd(9t8_%q-h9!kTFZRt@X z>O%pZ`L2b>LmPQ(^pocb*0~i~wLD&7S2Ez!mr?F|@O)O8b9rj;?TJqW<<>L0f}#Sp z$D}-LZ&1a&RC)8=KUrO~IHIVgrgxd$l64OrKj}}=C?a#hb{NeTZCh+`r9Ez5#OdZ7 zCI6W)`GNaa=3!3O_sibBH{n9!x(&CD#nU%-_xGvFCQG{GW0zk3!#(`7dlr7L3+BvE zu)lsh`@zoMwizvQ^^{z3R;c1!VQPgaTp~Jus6wH7;q*nVZ>!waUmflo{=XI+ATcc6fM48U7r{*^n z-1zzTeI@&HFO}{NB(e2|Kbl4LeUw}ImyO!ilrdDwsxpLf_sMhSxzt@nQ_`D_K#4XZeW4X)l zSkgw82wGVCc zIfFP2txu5&B#svqi*hRU3fElkZ~C~rs_jbT%=ESlm7S87`7Dg-%8YJ{bKT(**59oZ K^#{B3eEScrq8VoZ literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga/behav.dat b/bsp2/Designflow/sim/beh/work/vga/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..33f2049d745a60014ff5ee879f3ab8541922c271 GIT binary patch literal 3258 zcmXAjcRbbq`-hK_thaNFV{fv@G0))~;uy)^2iXo9#>d`d6P+j-AuF=7QpreWMwvMd z$vMiN2@%P+^ZWhtdOhyP^SbZrN%7wZ6J{)n8EfSW>V1>ATvatn~ zzz;!J&~vgqkoR;T<6`;`6dM61d=vdC=&LL8wxCvzObN=A^tQqWb>VL&+GndlikaUh zsDi+2hXKxc+fNyOMoMT|T&8vkX1Bd0|Na4?(69xu-+Y7v)2|u7<_-Bd#vWhuM;rf0{8E{@mI~ z%qV_6*UH#yTs%g(1%x$UaGqK+9mwH!5c9xXIhSz$AMnJ`^n+`^A+X2gTFf2{y{{-h zAP~24)%3p)WG7AcMj-A?hGln5aoh3(#5Xw5hSk%y%##d>8eVH6Lncsb+wt4y0c`jA z88=@(1MV2A*HoKAXSZH-H~s~xw=TVMy3d~Q`Ph)D4rpy2WOL8v2;(RZv33Ta%k!pX zFiryo%xelaE;HsRp03;68MQZS56gMD<%D*5ukz;osACv?2l=z(_L1+#2mMg8*eQ4s zLl%Gb85mzU=p*sp20}hd=|Gm0-pLA7%@@>=q0)3v8W~c_CNNX6Xr2qcno5&AECN`^ zUhiU6h1k3w2T5xHd($xrlOw{W^~!^)-T*8xUWOYga%S!ac ze?5Go_}YDLjEF8&-_t7OgU&kEGv@az8|5?u?3`=3KqaP7)z6;UE`Zrdc*fn^V7nUi zynq0RJ-c!!Go|~y2)WZk*(;;uPJR`x%IVji=&}5=!{6^BLJCiL+F1`u-!qaS)WYy2 z87c?L3028=QrWh{!lNw)0A1^kMm=s&ZN5_c*PFn-OsNlbjaRnL+&XXdLT1GG{lv_7 z_gBdWgyPspl=oj87;iAD`llabs!l+Odja+!#r<6R;cxB6CEI-7U*~AVxP@;VZgBj*yX0R7A4sGAWFOtkP8- z_X_c#IMu5}(A%h^Axpw7vgDk7)T@G=JBSO0rN%fwlQ3#L5z>i}3neEMIbWVJG$!YT zGgJ3|?x^sYIJ;RULD>C;x#XhZGVKMv5xZ z5wX2Tc(aY4E#LVh2}#uYG-z=)gWuYVk5ycZRv}?^naPClx*X zswGG8zVE=(U3(Ua!29o=!=Dq+j}9JsYE%zU+xQgk_d^_TwZoSuzwIgLl?o6}9_Rmg z0>?Gjt_D=!Efft&F^9wG4&v3Q1oYRv?2(sqFI;shn7UWyb6W%Te<4BGE^{ibtVEsb z2#%Cfzf9qL^_X_2>SF`#Vve4DZW#ofDT}Iph->^MCKKa6bf$`w5pwG#HSESG+2JFX z!gKT*A+JcsayTLzImn(Et3$hfn*ZDq#VG|U)3IhA=bm(fAp{q2gbh z<0o2V++}az4Sr&)P3R`I`+XFjq+dVHM-($`E&dVNl*ZQ&^jR-4ia?DlHGf@6w15vV zL9Ij-P;soxYOKa!s~#<=+;y7>hbNINJvG=OdGH7B*m@LO&B#tIthiD0c(VaIvioIQ z;ppR=p725TUGL&pmE86CR7fRF?jT+J^3+*ahvhD||SZArCYbJ{^NDnY1LWKLaZ>i>EQlY0vP ze@_u|PmxGgd6iiEs*7s6WhO)U-I#BxX=3!=)h~>e=8GCs3fEx?Q+9ephIZq%vTux{ zrhSdS=Wu%$)<3Qj7=I`2nrT*EG#xVKG9|fc(xNI8T1B;T!LHZ{eK~>`)VL|N7-hS69Oqlg186D^Mif^}d$DbgAR8 z$#6>Iy=bJd;?m#`SL!KAQ9%t#r6OTLaq31X!5=}C$}wX0o@~xNhSHC&g`4pv8E7jK zpbTc__#az<;x^G>+hVD|#8k0#Jt^5R*>cnxW~^k>`x$N}9fO=wS`scnSr-hm6ez`I zMbJKRuoq9W~)IwS+T2vXx*PeC^H#D16-%ST_HPmu)Ghfp^;w#eNl{@vGC3m^%sRX| zF*c*5-RJt#sX=0C;ppuwu6?_3WPa}Dk!P)i)AtdpRmqSUzcdzpuhhr@u-nu8HAA8E znRrmn2r+%5O_w`6`_AtYnWP%N?e6KA#us2g*#zt(8Oz#_yO7IzLX)No2K(kTZzq=f zLut%^Uo+3zd8-=&jhL(b0CPe07IC0GgCak?PMc5(<3Bw)X{C}Ak-ZpRaYa+!I*cbT8rPUZ!<%(6mU*I;;q5uh!7h_;GL={RD58~Nw(QF6 zf$r`0L5f~B8l$uLTcz5{bO)!)xtYHr(^e9MI^vqX!kKMb&q7`J@_x1;Fcyc5_g6{!z2ciK(~R33z`jGJn=nN0|E;Y4 zOoPqwI*@7gWK6S&w$O6W6N1D3v$IKm=@m+$A;gd81ros#>wQ?+9C82jewgLLYqn%J zZ^0u0MIIxfP$Xhj9ui>aR7*r``VrX$FKX8$Ng;B*D}J0&KiN8XD~zyijE_zZ#$ zqkPjL*9^6gSF78NFX7!_$@wVP9x1b?vv0mBmuXu4NVh$TN9P;ve@#@Qb)qC#~PE1G#=6Gsi_2=r{VoHPNViohz2kfHVWlot5af4eP zhXI@KCwz68^_pV~Lq4rD%Dn%y_9#ZK?bAKNa$KZ7HrM%;HLJaz02YJRMY!Zduo6#W z=LRezOY)folRF0zDYO{2E0*bz<$N8zq>{nayO)w8#&#aOk2rG0QTgYyGN?tZND?)0 zOy_3-f?ku;?qmC!LUNg4KPH^q^v62C#G28yJU$+2bN7`yP9=1`{^i;t{coMt()NV4 zp0-U->)U`++e~>rI`;G{XltZlxqdlwJXb@Xn2lmIIlmmy{!nz4EqI1Z2=Le7@@dW> zdD&g7;=D*P;re)P%a^Mn-#x=&G>M2-b8ccA?G*?v;>0c76-PdF{9CXh?2?U`Ze|s^ zzN~SuktnHyM)!v`rs}eo_ilItCJWR?8OU8w2$sJD88md^J3(y6ST$tt8?Qo;dkITl W<(?=Cr9@RYmBogMa#d9C2>%bt&CYTF literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga/behav.dbs b/bsp2/Designflow/sim/beh/work/vga/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..eb9dbb3261c179b5fb6dfb82ec42a3da17f12e5e GIT binary patch literal 8815 zcmbW53tUgx7RUSl&r!-NlthV2M0raSy(OiWyskWYC=E?ac?^S;JZHo(mo8FMB;{2` zA zg%As1hol{xWF5su%>t!_VW1>|f+~i$B(P{nFrp=arAW{oqETQRjl)+WK?jIz!~!b_ zX&f{m8VDvBNfc0-3Py6Ih|m!toL>O}1xg9nXA&qFN$ZvbSd>zNZ3_a<5d^eMJE7dE zg*H4cDWy+!1_2GOf@O*VA}AQ#u_A(kWr_l&1Q(G&!Qi^KBp4^^LuZKkg9#=W1xowi zCK4#o|gP#db z=|rJ`+H`}6!<4KZ9E0wkQnG=?lpYFv!O2o)aHXIE6cAY-A`VkT?txK2a|$u?bIPHB zFa{`})lwT2P@A484{WIo3S@0sLy5gm9wcg`phOhVa|}^FyQMZLpf>myoSzR4|6a30 zVhkr-_!2g}IC&Bja9}v%y}|Qg>=F}jC}_clW1igwC&f_w;JV1kNJ@Tnr;tME&}$-0L^`4&tdTRSMg z0m6|G><&vY55^8@PdI&65ecv&>=6GwIC`prLQp_Y#Z@6#CwOleIAu>|0$HV~lz#+A z1nd@W)%=Q3dRnJ{4<#V2UI3dK-dg-XwZxxnhhrF6*lBipmZjP zfP==q{kK3HrBD>mV9IxkBLYH)fL!`BI6U~>;F^2He)N3SWfU|&p2MFClwsc<@gl-7 zi0}!tAMq$40{#-93=+6q=)mAt*&h;33pn$8z)`o1A;P)@P!tdpe;iOo9U^`&I3gH9 z^jTpjAOaRf8AeDJ5xPJ`UodPoQ9uOz{XrQ<*e4?3$H_D>!FoUe5%32JWf&m^Qd9+g zs?f&^>jMgiUWd%g%tHcA0l5c0RaU>7zGh!7$FT( zM8IzoB=BG#e_*132-Xl$h7k@xiU>9kp$XOl3Wy*UFv39*!4@Jk0RaU>5DOULkceOh z5l*>)fC3_j1&nYQ1XP7RL^$OF0t$#A7BIpQ5y1f>oN@sH1w;@F7$IFmaQsN%nm>qm z6c9lyV1x`2VKhYe`U(1g0wRb7jBr#$aQa9P^Z^A#5DSpNB{maq%NPR@pCxP~b%qH4 zE(p4Xg67ee$ge_<2z_CFj|Dk@oFTyBA$0*a5KI6?0YT+o4vq*2bp<(pTG)pDFUwR6 zMFEwMqf41i5bwArDeS=mybDFe%ta0t$%W2@z!&;Uc7n&>bQq@L(Sa zC?J9tM3iBKOOPUh9z;mM8a@(GK!ouSQ3eTIHaalbUvY0Z^ZDSK+ejxsWX3XxWkZ94 z=BG;JE9Z!SZ_h-KGiWQgV22d{Z-G$3=7DY0*j;d6g31UYsQRZi(#ddz&{ni7BDBpX z!Iz*iLNoL#=&AfE5Sg<~bNMKs^5v(EBLYJI0dgKwRQ^{uiKB zK7$rR8|o=8eEf_RYyv2tp6Wy73r24!M+EFC-sG=?Bd8xl2o>}c1qAH@5o-r$+1tSp z0ipho=5K%_XaGd`JuT=d3J5B{uX98|=q!-)B@6)$4{0Dc3oro`1q7Af>Nz4HGzjGU zO@;u6hjcc$kzfKS3J5B{`*TDSC?Kf(9|VpF2%Q6RzLX)r z;US$1&KgVrMFBw->{3KPXb8yp+YA8?59v4H5Gt5`6cALw8$|?!hJu{G1CC~Y9z+Ng zyrw81sDi~$1cc59IbQ~jpx;7d$1=^YDGCT`43Viwz!3qVVQ^D=9~>U4tRh zuDt!L(q73XH8F1C#c#JH=4IEs&YRt|(sh}gz1@T8V3$dc9?l8*y=TL+@h9rvui1Ah zrmD88^EU~PqXwo}x(yq=IqJ|smyG|t?Hld;Gu0wWPN(EloLR1En|7n3*Q=ctfm?iA zEh@O`BsHJ&zGq=?zJuf>AaL~$2bHAzHc3(xm`WpqC4;1waakO6>v~!QTLDJJo zE_>DAD%jvfg>G+?nvMTQr@NNtJ^8*dKWtj|_F1cZSC;*)=W5i&*OkIRt2L|`(qTkp ztmc0XY+srBN7%*CD1-e~&K{=j=|zi9HfdcqU1RwwVd#P>Ss!!^)Ls?6|J5s^_t@Wx z7N$07l`f68jZZL|t(NsR*g*AN{9i}yB8GRa8}u^AD)dF_40oF!W`+&w#G5`}ulWo?9^Ziwf(Vzt#ft3pONc%D8|scO+> z(4RJO8b6N@XgYRwN0saD)Jw6g8@z(ECX}zbn>+V)#I`8=>fe6|8XkWuDJZSp^6u-> zs}3Ie&H;%Xmv$)h&U0_ywA?*w@Ab#yM&ImxL*tIt!b{Pkv^|6Ww5(S#Yg2t>v9@NL zS>MI}wbg#^iiW#y9^J0rYIkX3qIao^d(_gZ$#xEojg?Ua?*iVA4$twv_pX-nT|e3L zJ#t%L_QdMjV5fUdKFjMY=Kb1g*w8oGvGxD@VNuBQ)A40> zeE0$Hh{(9V`p-OOdHLu{y|Oev%j%vv&I!Mn9DW$z&(>gjqEW?R{g_?P)PJd6XK_X? zeRyUM9b=7pgDdvM+rsbe*gN8wj$PFJ49)TlOWIY{Pmf7jZeSeLt{_)ByK`teHLnRP z2fpx%N$lOZN0MIRji~o2QLAi@#O-;j*Z*d|bX25T_ce*d*JI=MZZY58P;tNH(S~rl zMkm)DPpu97Ui{LuEZJY9;N43t_u0oi4(E7}b?aN+w8^;iY~%+&o$31@Jq*ZyZ)LG0 zQMYbSO2W@M>*hbKh_5)5?`3fJ$d0mmbCcpa>BZEUr5ul2mFyo{Q+=}hQ2W=LW=&2T z^mueuAK;TQKd)V`8oSNf{#4C{AT=Mje_eX+MPAWZ9I`~*UQ_n ze)!s$bLQ8Ro~V?Co=rLAcge?j#5%*!3d5qL{h6aS8jK6;laP7vr!f{?tB${&_j|wS zB%Ae`woAhd4BPr-ai%V(XIxA49eC$uLD5U~jJ3-Ox4v8(#RqL0@`f*q)yXMYG0bO! zmw(}h(EJY;?+so3hMzlHk?y?2tIrdk^EMp|f4Y5Y>4EVRGd#2Wi}Izz2bQXrG{ua) zUt>2n`da?sgppMl|Fk_-*>3H2bIqZJnd@{lYSp&uKGQTw+m^D*zn|{}*Q>jRKCV98 zaZya>#kMU}hQjEw+M(QOqrrI#0{WJY(sXx;wAr+xT5FH3p^jPZ ziqky~m>1nL{CAp1WR7WobJ~RzWBspQJ=l2GIP!JiEr-TKoA2~|v9mb$Zg6H`y8ne4 zc3xi3uN><%)vM6R;Y_qs|J=5!Hf3${OBR~A?KHB^S?t+C?acc4Z_>YOQ@o*4PYM-yeCtX>Wdf%;@AGXhG-ICgX W=1&XW!^ptEz_J%ea04+IfJ7J&A|NgsSd0zGGVV4$ zGTriTGE?pQ>q(7kdR?V{I-6aU&wBBa|INPGmn#k`OmfP<{xGxD!s)QUnhz#+PC?Pr zRxGm%nQ`mD7HgYV#y#4bO#U(-6%p+_yl97M>k{s#jV=<~H?FQST{GdHtl^g@2F=w@ zA2qfZO@G^Q)&1^^8^>1EO}XrLDQN9);`R2vwij8XBzibfb&7<-b%zksDJ`2~J!h4Q zF3{xJy8BuBnH`LlS(jFvlM!aHy09!R@mtrL?=Rj|ml>=x&3>3&w#H_ElD_@_a|tnw zYnMH9CCksdHb|!3KD0oS1V=x!=yO!F+Sb4SgR#AzEyVxmS2$FEc3qfJP6)y;`cp+-j3H9wXk-roA0A!%X2{TdP z763wT=;r~=e+>W6;B*5Y`XQtMRzs*J0>^8ozkTXhsNP65hyWIXqA~+)D;2d-L75(o z3=3MyV08%u9F_@k1>PY&9?u1{d~ z0E-D(u^|`6a0oOFz}yEB3Mvs55QGB@4mnSQ47xR4SOEY40N|AhOaK4?0GrV_8}hs& z5)E-9$Tj|03$Bh#`3{Jccv}Gg006tEy=edd007~Nm#p!|5V8+cAd?44eF(?Z`BRqS z5IQIrfm{;{fi%2Z5rewSs{sH20Pw5_-2eap07JpJ76D`%of6>Cv<#og2&I_Tg=T;g zF$b)15f}(WfXfT9VH8>#LberZWlcpEja36$L!<~(NgP>6lkh(gxSK2?c4lA(GzZ9V zv{8NI0Z?tq);i8o>i3mEB#EuBwy56`3A6V}_p-6}1NgMJ7O{II9f0hh#M9lhf)L<9 z@lUzMEs0=qSG8bz`$^kzD@wxp#{85Y5p0p+Zk!0~a|Ej7yqe}TF4Vsa1#TXI)jKQT zT16?MbTZ(_jial&acRh_=aXVX2A(liwS)ST-l%shj=iY6_Cjvoj@tP7QtlT7(`oQG zu-&bv58H7e>^2}ZqKN*LBO7BWg7h<7Ed2#6^J7jbW6h@ets0{Nkwv!zdiE8O*nf_5 iI8_k*b^b|B574kADzV2@zY15yAo0HTKjNV8}F z35Y#F1|uhs&;?>9usL9dfD|AM*JZ&IGG8Ci$ zuc06XFhdoYctOgwQ1oE&9Y_H}4L}kPs|YC^Ct_gg_Am zigZOLQ6SA^2<8HV1}qLD?vy>7Bb0Tx=l_cBL5BOC3nn<#2yj?E+P@(u=2GASn-4C< zYt~L;+WAI}ZLQtWFQw@WYuERk)A=W3rmPlSH3E5ttQLk_K(#&)x>|Sd2^}Labj>-<%@gm zhhCmht2=POc1^GJ!>f+_|r?fl1zP?;&b5iMs$}^^l zSxKUL`(!p$SG+gW4!4Sa^{7nDPU^wYteAE7Q;#dev5PyO^yyB1w(eTz)m6VGs)jqeEieSNs0Q0B0jQ9RL6T literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_control/behav.dat b/bsp2/Designflow/sim/beh/work/vga_control/behav.dat new file mode 100644 index 0000000000000000000000000000000000000000..30ac7e29d2eb0c183f4e262b422481e97babf3c9 GIT binary patch literal 1580 zcmV+{2GjWgaLNufkcY-NO*fE-#<~Xb&J=0Oin{9RuswY>mXm%V+kb zH^$}lhFb(AxsHJarx~c3f{LP}ERj{rHM0vc2H+sl4F`PPm8cAWq5fB<3J;M>0GA=q2s-sak0RR91AcX-y00000A`zhw zlLcgy7ef;*Fia2*lm*UKv!P}l6g=lyVG-06?p_k5@2mL%0001jiYK}N0000+v+Xuh zVH?<;gB6cBYMK$_r&j)2-dCPO8o>UpVNH>`pHIly|h7)e(8;4f> zXdIl5MCL=e9a)qXMI19wu?!y;9-Co18654BTOpFzY?^1a7&BLFU?02&?`jyXuL&`}MGb9cL@?whTgUqU$7Q9wc(eA`R0mywc+4czk!%_DD-Y zg*H)rpWf#W>l^ZLA%6}k<+4YJXd=pqM2{m5^$kNLk}<;yC3UU8#1Fhmc%_n>wY7Sd zVr3+9SHNW^d|cwjC4O%V}3bFL&9 zNe|JGoC4wG22pR#3!c_sX&hLW26}6K4B6HfZ5%4lEe+cb4cYw@-5hPuS_z6+_nL^j z3&)nddd97~3$m)Es~iElk-r=y45dNU8XN|!=+A;94Nf>@gd7n^n0}@tGFZ`&oC4?M zM_hH$+Z>QBiY~ZRE7?jwuO8t_0vPTk08JS|(Wn}lXFX-}p4a@?-WlEOGT$0t^5-QC zM#{Yq!Q8Ul&e)HbiHIzRiT|2%pfB1FmZIk=94DXm{azBUHdlEVK9sOfA9f2vwise% z%0wW#O+naXoI@KBTC^iY6l7D&IlVy-yBj;Z6YjEZA;jAeuI}<_A-LPPse_KWmw0bPVpC|Z~u;*YR?E1y;I{z1J z$& zzZNPl&cj==LO@TAjUxbHL3xSxTr=vd2ykOFOYNj~CVxH5>Xj!&6C8-Wwp|g-tL^UP z4DF-pT)nsOq=+Jy*4gm47tXgr7CImt5gA)v_7|s|1uVyhPDLQ*CNF)!Arr{zYV3x zg@RtNE8*~6rzV}K^Zci{v(_ThZmOWxPIOlZ#IjOaY^?@I?Zh((*9lvH47mYD0SpJ0 zD>$-3ZY~a~7e)~oSC%U{vQR~M#bJ5B1HyCiuB2ukBzU7)R75=hY9fG4<##rS>ol9?V*X6wrumULc~7SIp?w847A_(r!f1M eXT-(+TaQ-grL|2uyp@(BOBy+n`YLUeP-tT^UdD- z%$#$ij$44GdM4YP_&2l`~y6Dv2zrYzsqGFHqCf zk*Y?8mJrxcg9RGuSqlMLLSWxV0I8D@pe2@ZrUr088UdN&5p zbs$xZ(2GFeJ{o!fZqB5t6)Rv|1A%^(L9LRx@v|X46hpsxHiQLLDhv&xtLz4Y5s@MV zG6YN>Og-71j2=S`$%M=}s%pUz?n1!Om#7y^RiAg^E(8oUh1>&%>I)r#fajP|Po6-= zpd%14RKXDl7-|l==l_C2U=Rv{9;%}>4}p9N89aSaq@i>fMO7ob7b$}P4_*|>f-cw; zQjb7_0J{zmTauNhkImw|Gkb=pp_Cs87>aWXsD8r%(o48_R@RDX5^lnH>21SW_KiaeVPZzMxh_J*YJa3EkO zU8y&@KY^Wm0fC*wv&Y-2R}}N8+v9`Un0k2r{Pbi9*oXd)SHlEKk{Yw+Fft6q?h(Uu z{drqLz)*$P7ZU(=Be^`E3_}M|4^TcRLcqIbFx|vF4n@NRK=JaEBgimRA$$l7dddVq z#kcb1K2U}5AutGK0-*R5%CGc+DufS#K`0Xd#ivkS)CZ~%J_H7#OaRmi(8YbA3gJUw z5XuBVy#Za)2dWT01O}l@0CXbB)yv4B$ZN>(Ia2uGK)~ln;U@qB{qkCs54Gi}o&jno z{RBY3Yg$pyg=(*(8I6flMNym51zWb)er5q8!s|(1ONQt4KLbKwFilJV)ECegG90`@ zc4GUi+TT+d0$$aedf3ywLSX_{#am8}BV#ZW2pDQcJ^m5Z;T{tJ6)(O78b^`Wli}A|0LcmakJTL*!K$5Fd$)IR;u-Idk zH9y5lN1?5G5C14R4XiEBj`JQKko?`$xV*Z--yidf zGdbv6?C;Q(Q83@~bV1g^({&DGEw9xNaZ8l$hTQR}^C~mFx#4t|S+IZ8cH`*dg{5!S zWH)c|Ix#vu!qa)er)L7UtO{# zg6k;ZkMAwGzUc7ZQ~xp}v8u6TZnIm_Tlt|2x5c`gm=HANRkMBbGa@r96CZ_M-W;Aa zYO(fMb?J1A%YVA_L1SckL0C~)M|6?fC%gd;g=w$G&y(DN6iD?OvO8UX{-0toK;OH*I$*j(_b*vb>|hw`p}wT$9TW8@(Dj zf__*Wzi@MDjs2+h@|w(mxBs)}_MCY~TM{f}cUM36^yEY5TjN74&TP69_v2yDnil)F za@6?)JGa>`z5nHh2_KJ`zEj#|JY%1AN8G)u*X#GJ34iaCc@9Z(&EVhr$Go5K8nGkh zh|av=vU8?!q4|RBD)Tar_eUQNitgO^<+7ueo|W6)8}oQ)E1l<75-7oySG+l zx=!gTJTTd`>7Tj2R+@#Gb63iC3{F-%(E-Wy6eeHN-&yK6XPm6t~9?oxb zIaF2DQP=L)oLlnv)i%4+Kb9R=-G11W@!>ZC)lsKRQ%;P3;QyVsrtQ(n+41u#8vm7| z%{kZg&dr9QZwB5U@Xq>)rs18tY=5%dYf`p4{i)dwyD=9^ql5kY$}d&qEIakO=L5HQ zXI8buMTa#^yYQ&J;8IKb?l(Fz(`wv~t7|5mSUq64W~te%->oc(-<38u^~C2*4i?^y z&E6@)>yra23p%|loP57PFJ@i)xUEOVwnVRW8g|pnYEA5%6+s8`XQY-oh2(!<5axbt z(=F326Q||*hK+0~ks9hx{q0O%cVpeR#3r6v?<4@kCZ17%*u>mM0b)1`5Sv3ua`Na zoB(pm;-mpb5j7Y4$1268Cvy~lZI2g`;FZe`*K3MV6f zFfR#H@`op`dI-L&WVA$^3L3R@Q%*ArrZvS$3?7{x(g6Sf0MIc84*&oF08!ze7kGAt zx(za^_>uA(3uM0J^$r4G-17kd008(cjm-c6008aDU7T6Uns^WJh|rCB*$B&)Gh;sP z5U}FVDRY?!VwR<8R7Vj4bi-2;YCU|^vO@@YIvA`*Owy@~SQF`qN}S)o6sZgo#XpP{ zp~A^1>4+A@kH_-&q>q+&Ex;WV15=+Ls zufdj&^^h^~RJ0rT*ASSt;Mq|sR41Tj{(_Y#k_=DzE z5AjInqHk~Q=O2c+Rde$*EGQmPfxo50{=cDl~CpDse zuC^CKYnMF#g=GO`hrBlrY>;i@;{B!=_C}*=rs(?SK9>!z*QRnbovYB`I9{5X`@-g? zmJpLQmT$z$^WL2HI?&2)78d{XkV{I9M+4OZ7Y*eG5XF+;hwR7{U+k>Bpq{op>}V`j Bn56&! literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..ad749ca3189c063309b7834fd7acffe720f4740d GIT binary patch literal 1838 zcmZQ9IK{_wL~WjN;AaLP6~!koJFwx#5mp8U1{Nt00mKXpI$)B4K?O)NGcW>45N44E z5kL%4zyzd~fS8#9!~!dj0WuH@n1MV=umTVZtUwM$0Z6GbSOJIywni1m02#-?pvVAr zlp2u91{MH03dC{*(;(F#1)xqbr1m(0eb|C9*_c%0T4YLK$=AZ zNI>iXG8j35gf0*>fz1Is1f&3AC>N0D2E>ri0Xqbw0A{En6E{e?CXhfF$^+!-0Wp%H zAO(001t~xn$_rAig`x+G??4I=diX%fwF&6~DM0Aq2PubzH_lK5DM08E0P>hYLa@kC zWD*1!1M)G#i$Xx24OkIK3>eJ_3XMV zE5A_XP{d)YbbUrnWe=VQe^VA)w!Y5i{xg@gXv>w~xjGFY(>1QeTPmDZJ`iU%b(ia> z7~jdKs%9>Vi)3Yp`*ylTOi$sf>QOD3U8)7d>vd+{K3~|Rl6T`;`ITn7`}XXWH(g@b zUi5dKpnXDhaNe8Rb-CY{SSh%!WzG3wp?CGdgJ((d3F)U2ViN8?&bpOw;8XakC4Da! zt=rP6CjLQ_EPrz^D_vpir9H#nF!1O$c?IqNlin#douBl;WZlvQvGbQpK3`}mKk;^Z zpG}{=R+7-UrR$fetJ=3Ow0ffHvts+FBi{7~zbRb^aI<)`U(Hp>!#_2@boXAlyVk$L z^*2O*DEfU-?a>iGm9qZL2LhavJ%4PRGU?SkA@}7%TlrUc{(Qq_^X>5!_gyoRk`Fp~ z{oTpkIJN09!{05_g_p9KEaSQ(Y9wE4y=B(qWD}9<6R*3JZ>>)E+qKZ^XxF=vi0!gV z`l>r+v=%=S*IHDXb+Gqcmd~lOh&xA_gJ1tovb*fY^J!Vfy>6XPtAq1qoM9@TbG6`r zaioT$m#c%W+;utir~Bs$YR4@;t~()&Pm@P~O6O|7UYBc;3AOBY|ch}kc zEAh=$W&dujJ&-dnFdkvBOz_FZD8EpUGY&MAy+&#C(=Ds5FQi+eW?@U4p;mu&td9Sm& zf_=oWJYiD)*z@|~!h2Uj-bpKfd#t#Lc2b;%?@<+8*+WTZlp9P~*frzpxN5SR{oT*% zRS4emu7ghPxbQqwAP)F(1~oGGpDarN9>)0-Bd8Gqg`QIt!jPAFhv>Jbf(yF807Re> z&MD#`F%roZt)+RQgzD!D1Urp5p*j-_^dwuM2fe6oTdxjhE<;IW#@J5)iqzS>!2Y19 zci321SX4XgY5!YT2(T)Y8jlQ(+$F8^rI#Y-OJ=43yup$M0i(Vy3S#70wb&>|;&w%W z8zm`l;~Q)AVR%lIG~T~)0Yb9D6Tn3}IS-6nJtK!;$9^g4ofQ3Kw7z$)b`>DAIQkdHZd(1!(f$kSfa@yo zB3)>=lsHCK8Wi$jWKZ_lyNXAa`+xfNzAnpwK_jzWtW%Kk6*i84nLnP;yg;*`IhKd9 zK^C-|3ASfp-&ml_9D0A^;GB?Pm3(2w`YbT$1$P}MKul34axG`VNe(i_RVd~u$o8et z$se5S(8x^~xNpa{A<}sfT@>D|GR>jKqfPD0!)ELF&gFxVvJEfEg|q@-pOZ-rxG~-g z#I$`FrmVoUaVJk=mE#C(KL+wugU-U!AZMS@Z z&&232KD&|_T~@e%EgPA(?0Fzm++X4hz)eUghL}hu#9~lFP}6%1YWXf*3CYVLAH5Tf z9laQ01AZ~uFWvKY2gv63ifoyl=COs3IqpbkkbQ&x_cWE5EjXJp@mjSDfL4V^igHu+ zK%X!Isg}JVN?l^i+s}4` zf8WPa<4w|V!$7(aYPMvt*RbhQ0#i65(i0m{Prv6(xBhPQ9uxUzgliEK={1!kVPYcG zln^I*6zq}+nC`#wpp*S8v5G;S)aP6n6kvU@sje3_hx=a2?n5&kU`jo+jgDjIFcO2d z$%5}NsOpTvR%WmkhP!t?oxV}f3r zZDuFNF}_|GqejAStpG#le?@)t_8)`{=-&Hjs4xGQ0aVhOHI7|%<+PU<>SO)#SFm+o zvQniXO$a=DB(!-yvn+@>a2i(#B)kf;Qurx-=`BRe#&oRmfaQ*LK1^F(+O@!)liUf~ ztzkTAT|AqE#cxzlKhZtVpjTb<@3mB(dx%3+ha+x~jj3spvO%xmZ=PDm-^Mx=nIn`w zvzDXMyeSzFsE7+X1(+w*K0#nwqFP6+oV<(&z^VrE}>>!oWOj(rZ6y*?5R!a0ZWgxzPysUgV%I=nTBOGmkE|U>1)cQpbKV+-7iWT! z89J(4Z<=NAv(4X0pPkntW&2XS=Sf69t{iRrL7&OuiFY8?4S)^v0gm$iw8-CNitKK5 zqW-y-S$K*TG9Tm_@@$BNwwN@eYE(`FvO37%&X2m~X$U1NNlV36wZF>(hf0bMg7<*{6 z-5^VI^GMzyAY_p*x%(}wx0F!(%^3r{rnP34B!{o?3u0yGB6~8roxks#`B(Lc~_B{EDCP3if`!6?BB}B@cpjam*d}DnLBA4 zZ)S9UjrYdcYQD$H+F*~uSw3x-ht`332&Sexpk);OPG#3!Y!u-$IVO&*)Z+SO^loT3 zUeAFE!C3y&4iy369z@4LYllFJcWM1!Eir3VBf&)z@C|@G^R9n6suVg$NHl5HD8d@u* zaAS^A$ChB;hxcTytW}*5V)59tDHvDL9BUyIoKI|+!@-=crkyFpPLQ*m%*s#BcwY#R z$Q=BeBmTAU)A1MDJEhuJQ_>tB)nfy7s1;W2+{59XuPN->AcuM-LzV6qaBm43>SK;6 zVD=b#Y{&L(!fuN)c-$iPhpyOMTV5Lhp@%N>Y8&$w9XqiH?W&R)7KkLe=D-^=j*YAB57+qI!(Qy%=jA^ z&tXW{;0ky$uGJ;QVQ@n2%K7P^#OQaMDs)Yczq0@Pv{GIt)53J>QMJnGv@Ec3@Lq=D zh0WA4RfjKi2UoNr{Y9RkVX>NGoK&U6Z5oBh;<|${nr?g5H%2sUn$CzGw3%v4 z%zhng4fAv``eO3T-!WIV1ge_|NX75BG@==SF9xu(lWg;PDf#0rNH*z8?2w9o$vT-Q zK_68Gjo=NaSb=GNb)j^JCjGq7H$~ca`dgv;l!=3(J-X({1r7P^S`=$hK6V>td@|XoP=NaF`4+;?{cAM zbqF8t|Jkf_wl@bhNX%&kK|#J^OU^Q-?iTY+pY`S z!d^R~S6uv38TMRDjQY`J-aDf_o6GZm(YFUY9k8E$Tckn5`H_?ZB!J?B`^pi=`L#-E zg945o55FQ^0sT#gCVZ(s*v-EOY7iu*ln2v(?$Od2f>n%l z2zMBtBarNOJMVTrofkK-Zrn3>ybknoiy81$##c61=C!WZ7v%H_F%9}=<`9o00>L_K z@5teAA4vZ3Y}8&;WOZ#xD5vGXVExY71?V5UMX#{7B^|xS=y6`EZ%ipE32I7oOalV2 z0vYtxcgo@OFD;IwA})fF%|j-YJY4CYbQMNPD(efgB;N-M=fKO-j`RkCPV-(DZTvFb#a!DqjBpIZpqh>ijtZFc5WtoMv1nuQmCTY(9 zaRDXP|LQ|Bah9NMGt3N4k^@0*bdv}Xb{0xx4h1)%db{LKjke?tVkqhnfr@;TzCoO& zF3KrNQ|YS% zF3aWIxjIIGN0ik7{y9*7Iypwfoo@)}ltBIs-4FmCDdt4z91b`(YiSlgn`rXxUxml;0p{Td`Gw zI1dZRu4aBNqj{di&)&DF?OrII_4b-lC>#1(LoF~9F5sx-#o&ea!lp<-%=r^pnPt;c zBAS|{qJguV@5yI<6Rws))el2^KF~p7$^!+Oj#08Z4nh_{j$ zRm1O@XJ!!t^g*7$>sMNfOIGDeMEz0K+zPYB(defd$<3rnVl&8Fp!MbQ-_`bRW_jzX zwPwQiW!xh!$UL-FudX?tGcp2hf?uG*JQ-{e^X;`5X@b;$HoZ8LVBm2i)uzl`ZO?cW zvCYT#mW{&|+$)J%OXPh>5xV_{K%g{leVez1~=u8SP1``;O zVTjWu;Q#avr&NZ!{CT_M#*SKzK^osc4td)6J@hC3*5q^M3ejzTuBRP}Q}X=Raw(#@ zR3yBOKUVt*i($;rmy~??+$c0NwW3&yOr4{g&2yE~a`ap+0b8GTYfvmgIXMBfr8SaE%c=XetNL%TGgjW5a_naJ>ASQMhiLXB`GsBN7`P2 z$Uk1)!{}EYPtfJ87n=mB{Sq{)Qh6n08P_YZi$xV|!F$vdY?|FuPxwD%&rzznP*K|{ zinh`SrmGK^HPqV6gIw#xuiz(M^pnqMI7TU@<5_rT{AwJ8uRMLYig+Wu2_t1|i6b4a zDFEcG?@u`Cc-x)WPQ5uqH0e;DVQY2SjPB0&6E5~;cq z&KO?&*7KhyJ0Pk{E`Wb6k4#kEMM6c`o1mTANAgZKLD1G~T%Joph)vQUP1%zJROIwylotxGcg24&!^-mukW7^dXKG zt3bknZZ=$Yo)`{k#@RvQwXi8#SgC()Rd8|rpIy|J~y}8LRC7jRr z)eDPoT|VkjuR@J%im_cX4f*s~fV+KmzSxc?Wya#lSQ0|_#3j@)@t2W7KMZCQ0%(0Y z=g|=L43UCaoUzTkmh_TLy=)w_+w|VA5VBt-*xSs6$;kNpkBa!eK60msuq0mssL7tD zcoi%n52v&Lr56p@g)g?>AGU;bj3cTSR%L4npmIsS<#vx>a>q z$_)9__R<-Xja>c}@4xA@cmCcP?G>9eQ>XqGKu+!TZfFLj2NRAfGa~dd4B8y5QYqN? z_UIm;!p=NOt;34=!?})inDJ4QI4r%J_y)Ky72t;d+#nn@YyO^lCEcNUxt*Ma<>X4^ zO3XnbU-_%HK#o776O%(d&>}`sc}TNDDLANeXQN;Nel8P{>_2$^rf!m6`Y^F#;yP2M zmjAk;3Um=UfMP$0`o+1vQs2ee;dzidSjLy5FOzruQN0vL+9)PVhmLdZvW^J*{akBOD}J683_q1gnc-y#(KO;oV-^b~|I2R~_!Pu?m*DTI zYQ_&_v8A5Grw^|_AT9Kj5(G_m&A#A~6Ax{mhV#slYSO*4^*6lE1;YTHyMLoVqL+4? z_KR<+?L6;6Mj+V>$VW!Xo3xt`cZp)|1#P+6y)sU$zXlv#-S?2Fy;CQFX^^ko*ok;j zi}#7);HTLEMHfG_`Uq#seW9V*EmLyF_)5G4sRDyS|GLJ2dkN8SCTQ(b`uv2qQ8$4<{P3OtG#r z3`pq{2+}`XpRgfav$@DM9pVZgF7CKi`(#=EQE8>BfRJ^W!H}?ct6al$lt+5MUAQCn zBSXQ3di&m2>DC#ui*6DT5vh{eP=c0Lajv;4brhTAw>T;1X)5+HvMLn?ou?3W?H%nU zM6>h!Ch@k#vF%vMtNKzKm?bw|rl`Jmm~9U$u*Xxnqc17z?!>#AhPKh|2nHn0`umu# zy=3ZOu#U%eDf+_MEuPE!g-kr3bAtQ2>jzZer;WMSjZ83uA7b#&ubhH@`5Nt5-a-Uw zq}8Tp{|i0!(VH_3y8O3p5S_o?hHERka+Ckt(U$CBxG++czEmRC<3!o5&+~*a#>nQv z>P+V|=Q)G|M{7eZE5ON5Yvx6%AJkkrw0P&-M;yg=Q+R=*_hD|WtTu|tU)!c@nfe$s z-P-i~+H`FJOwt@B*zy%DmGD98AkQ$(;9;PtT<4f5_s4vBShv8Nz4P%Ikbls8Zc9Cq z0x;j46RU3muA)NCc1URcehT{0LGN+ltD7E#a}Rn;Bj^!5|L|QWigCF6fj5qb0zV>< z?}H}aQYkU9`cE;vm+5=W4_sssNRk_sGXSH20aSulyg{<@_T6 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs new file mode 100644 index 0000000000000000000000000000000000000000..299d5343f0c85ff79525b52d28f0555710f43db3 GIT binary patch literal 15586 zcmbW72UrzXw}v@qMntfS6{Au`K`aOw3kV7#C>9WG*s!4zjVJ`cf>8kjioHc*Zzzfl zjUq9&Sb{yGsIeEYCi;sF@A~FM*O`ANo!IdPaO&2T> z=&q(Dz?CGZBNISsD+zEV4P!?azyV!~S^*t+YGTrmswq{`0S#4y8>Q*93{^qWOMx_? zTPUTX!^6f@^^(zN0TayWp)XZKq!0)o7~C*>uB&W8cjBkv>6(01fkL1Og@B=DA$Oui z(^CjEp%5^%9ORfiO(+DKPzV@W9Mv;y5VkwoA~IfXzI3IRhaLXK%+PgS50XhI=i zXeG$umnIYfO{gI(NO(Y z-ymQc>AY!0U79u!u#I#C!Fx>`2-rqCmD*AlPoT>7g@B3H<~5)=YWCfz4guTX{UQ2%$p!+pv4h(mr*zB(u6{w359^6SchWwFF_&DghF6|Vk1d!xF8v{G2I#7YvAB46(P`s zLcpHlT_Xll!{MtyA<%?Ez)-wv#GW!6m5l@e+cblG05$m9B^4cBKUlDWol2K+u68P({RU)QW?oOLS0C8*?!A8vqcfj0u4uI}qSy zhTUp(xP_yis#NqiyS%8fQvwTU|LLW7-%wI$*@zGr0)f(o8gHsbP$mG{5)VgEgCdTk zrj&F^jn0;u_Egze5^$oGF6%%r3~y>8y?94|2GE5X5&}*W-m92gqclarkg8PlDiN^& z5w#|rIEEU7+jOB*`Y8!`RJ@t7(u_tO3qz_>Q5jW)fD^48LSO>il3wKF$VL+i0YjB; z2}}S~dQVS~T%@4m=z?cYWt%|2v!}9TA>eI-{TfV_=U)fGr|I>iYjF}ad`75@Ir%ey zF4VRO1UwTe+hht1sY*qUlZnqNGrAL4fSk0WE-`@`EgMO|iB?7`Fac06;_0VTgCfqP zh7*m2!-p0;k_4P+rOT3l6OFHem}{d%V~9_Q_69QP^K-h;sf-B$=TT)JCBu-aRP-t# zvj8EtC!P2MHN1~h0)c=>?Lc=}14jEOMMh9YDyEV^%PmR3Q>FAlU;_O1A)YwrOHc?j zp-ceO7tncMfh(A)pi%MlDLBNYjW%okBi>eOYN#_H78omVR zATao6YT`%NVmdXNyZ0v&@cgTc2?5W)%I;lB0-j#{eS(vaSGvFg5!X?JB5t6D6J49`+E8VWBmpN{>9P)lPkF?5UUAcB0A1)*kPvX1R5s^k z7*dsrUL_(HAfnEs6Sq)faGNf4Nho}W$3=t|rQL#k3y8C4Q+qLo7kOn_Tm z2qbQ!MiUAFLzQj`OaN5+w!cGik?8yAf@e=H4v>Ho ztwf3taH3UqK@JHxC-^A?B3^R>3lMTQ@D9pss?wd|Xr&A3JH5aH*mS3EF_#)1rvcqz zeeyVxfYYa3hyoJ;?E&Z^8C2=KB;X0HNpk)f#*FR+CIA`)=&v%UGCE1X&}t+{;yDz7 z34jIzdRPWk2_FK6Hl#c3BqJyj0F_?r$4G%9{zeVwP9+Zz@X}N67Ku;>EEJte&>&by zPa#kSpo72=fT>9cU5h6nq$(A?GWU{zLsoh%Fu{>R4keIyiW-J0b1w-PstiP60-(JB zJuQPOb1w-PstjIW0-(JC&7;OJeV{9&6VJ*Rogb?syRhI4$A@2e454z>qSMihu7_~om zS7bISp0WTo17LHN8ck0jU{A}@ozrI%GdwGxM$=OkVAO%IxgoPrnOq2X8LCV!6963q z=$|sEO3GLOo58TTC9_d+1OiP*m;h)vptq^f%qaw%)ADrZ{Mp0|&+bs8qbe1Z14Uo~ zMjb*r@jf+dqY?@Pnj3}*fDQ%p0X3STK)|8k*u{h5!Pl$$RE>T%1)&UeNk!L)KJd+y=T8TL!9P=zvGho^pbqe* zqV}_CSrYKBRv8ll-qr2|vZreFi$XaP&_6@bJJTn=#j{fa3y_m<>7iKR3pSE~6RnI? zU;?1yFk9*OExHCL%QHarLub=;6&PxKGP_i@WBpX#=0-*7Ly2_x+ z=p+F{?a9Vh4rKzMlK^clgQ|oN0Yk0G1}QL_2PObI84p{K4T|_RU2yJH@&ExZJ>?dZ z2z;KY1Py|PVK`ba$^di_d|JFy;8bhspnry_W~!3#_PbQ++Z1m&%%j862Djj9YqU;&`t1L`faQSlT4UI|!2 z4pjMNEHDAk>43JEL8<${Hwg=1GXpk0G8+}=Spb`vu<<1u&WOq)gg_*vRCJZ-qcK(9 z17b(ns4AYa0HY?7wdgOiQSp=out|bVz?VFQfIY2DHcjN7c9xB*;wcL-YBEOcDzj0U zTnKm>s!T2u0G$PBHyKpL5f;Ga2iWwG*{C=IfuAAhOY%eF!+GRmi3(RioJt zmbs-83JWl5Dn<>J*{Fm9f#!x`0-&=2?L{`4p+LZ)R3saGmoN&Yx6Cb-P*{Lb=U~)+ zG8>hJ%mUb?!6r;*qY^#@n&C46(7Ay2mqAsWX8~;HA@spygCY)*4X8X8br2ZVmZ`~n zSPYX5r}D)a0?inh0Dl$$I$Q>AMqNFr8qE*{nouSHx)9I^vf*{1j7=OR8&xF~2sAeq z6ENx`j2bC}s)Pc8CX@+)E(Uar465QO1e#DL0J;R(jUpQqF;+%jN?oL(FAJvBntc*5 z-I}_*sPgm(OaOfuY$nQ}%c%=)8$lspXajl}K-CD!1VDeJhhm%zx`Mi3X9R_Sp?C`f zQ8j`x0nl`MD8|d6KT#Kcf--_az)+R%8%zLnC7@Ge&{fohH>?p90)|$lhxi-C$WtZ& zx|$w}Q)SRK)CH)~f8>IIp?37phpG{j34pGphvEzwbRBi!bTsl50){Go3=^0D=z2hB z%Agyl3*Q}#pb#)rxj6+U0J;&-L>Y7wbzy>ypb#)r`P;p~1VA?fnk0i}P#4~FMo9^sqfuqb0=zKzGnXF+~R5NnLJKji3-PRC!1WOaOEjMx0GHD5hp; zOH-}-IIwy~@iU*HCT)t=%%4@*^2E_;c7K0Yz4fKMk|nJc#C^Cmb%@#KW<7T`9I`fY z%IR0mL);vE=0*2uc-ejM)0@rRujK?sRxJt{WY(tAov0CEAHz3SxqT)7O1lt`v!}oF zeR*)tzJa%Yv$t7S+tbE!LcOe6uT0}=zb#pHY3s(y!7ts;I{xo%Gg7>dr{5`k&!bmI zyIV(xx%ze78`X8p2eV^8KCCnU+VWk`W2@Bdy!+Bu_0CtWon4R`)?&%5w1u7>vu%=k zRBINReXv%3-S>jWHQ(0plb6*G{eQ(h^IMB{2XdpT{vVu9@Clprz%lLNueKFRLQU^` z{lCWjC*Os|Q*Ldce6I<;>=cqYxqR|BQ;wBvk9gQ?)Aj9#OD1Gj{I%Nm6GQF4n&JBL zQtpo}Yp&T)&{F3h1?_4zRsq44w*qU`Ydt*&QUPQdT()DbY9FxdL zwOwu(rMkv@{QPXo#ts+$5KcB}S*gaY!SC?NWk0yrPfbY($oEPb>bCZ> zUsZ4K;Kh||2!FoU*Xo>V9q_`(v$f5Mzb;{5Sf#vC#vMj_Nn7@9oHGfll^2 z`})9yC*on3x<*3{<5LP|aA9?-3tpLezL z4$mJIe>*EJ=3MlK$JUdr8@b!&?Ti{`=9S`L9`bfkr7b&SLz;d#v7=~anSNpJ`utjp zg>k;YS%>3>%>Q`kMV~$&()Zq-`D#ap{dRHrCx5qcn6uzONRN(IXHQvw$gxiBI=9xZ zw+}e4d@-|sR?g0kqZ{ogux`9{^xh}rjsH;R2a69{+_D~@Y~FdzuQ?Ad=-a;^P)*#P zej_t5xo;yk*CXdG&D#Dvx_9iG@KyDjb#Pc;Vtz6>e}Bn6w}mI7LegV5=ADZ#Bb@iy z+U0&&cv!6__shC}Jl?K;tkw>RR==st6u&-<=_@glBE;I+3)OYF|x zb6;9&=;Uq7BE-zuwOn;gV_%$0Tv8Z%Z{(c!8+M-zOn5f1P2u)IH^!a1{Dbq`X%-ig zswGysxw!MW-o=5F+N|AubYL~tpm&bnBt>mIwQ{J_<@S4r_>a!L?%DM1lWv~N2Bz!% zqSgp4@=~imH7o4b!?J5u%r85WdG@5g2J}0abZ}JPecfFDaO(7GUfzb{>}s3ay6X!XCf~7a5av5|ctUda zS23kpX8lz6U0l*IyPT}w?;b1s<&?8cyONl&8TBIThF{ORo%1|2;;*Mus@HaZIc9B4 zjrJM+zdv3$z5MQ`*ZQ=J80ENiUPmj({T`*i3rw>)z9;E|`EQ;3bg1`yaZqWu)>9(e z?hD_z(oN`bzeMlfE&ar{`C(OmZ*F^{{IR|ptm1>$I=5;ywMmDI{%ql zp2gKLp9zz82G98AS-O==-l_d(56zrzpW)y?`+del_mox71~qFNQ>A3wsjH!dv$EUn znsKt-;0r4ootasoZJ_zljaG}k744U~WlgTQ^uWbQ2dz_GgR^fs48OL;qM`qpa$e0l zPdu8IR&>4ogRp|rYp4C(W!Hi3ZSro7E3$rfDKoxsecagvlSg(47}qylf9LAsz=A7b zoozZr`UJQnOse+$afV;rXG7Yod3kA11z~m5ggVLH(k;&2nrc-))N*!wgLghBW)|;q zSerj^*z$(EuacDz3=z^}5K$Bkt3C8bxK zJ8uMfyg&Y#+Yo`LpE#F2#98XOH}mST%D(*Mnykd7sI)%_~>; zj|7`he@$rdvunZm%SGD`^;?_j@9nd6<=rwP3co4c{N%zGyAOSw`^X~m(Ds3O<5&A+ zxV+hAalMv%V&%uLSEO4uwu{R5R-#Gnb zl`?HeHR10~6Iy#EEtye#XJm)oyBCG+EMM_r>GcQ9mv`&pP-^jl3X{*p_GvkKb6D5g zc7vi`{c_*J_w^%J|9Qg)9=2{CcfM|!E8#Sxb#hmOdFOM8`xl)jxk#s*eRrJW) zRNSTN;d3#2`j?w~tNp|maT7htybUkcx}^5<*KreejB+iTX?N8>&-wY&mBsmon=g$1 zPyB|Rn^`vn+Fz?qm-f0DUg9>u{Le)}JI=f}?eW{Ow7xIuH1rC} zJ-x%ru~b&YUp(vP)VKdCu~gj4#*J4z37OG1cJ<-!##ajQSh2+aeWPFM9c}41CcF4x zTEN8Di$|>dt!U_kymzNF3)~Z<9{7KJK46L8h$Ba0%#Zs!JB%8YvS^UcuOByx~uKS6T?h?DcJs3r}Af0tgDn1bo0sFUFZIqD`yjI+Hde$(ago=+?$KJ z>un>7R;S9Yxyoqcj&XM8_wxbw$_M1g>b z^69YT<#B!Po_>4eKe>kw*u*}{C_1)bS62AyiTP)j`rY6A!^Z3D-HSu3uXbMBcJ|my zp7wUF-Vbn@(`^5lMg2#PPxM{T!0&O;;BjXX?T>GI_pQf;I!i9!OU=6WY~%a+o1=O> zXjO1)M$+vk8K;u-(oV&OdrdXD;2rH#YHxmFtEH8GuVkM&W6t8jmz7?%ExvTFV$IjV z`~AAE^L>(WxWnK_zwNna9bD`BmfWim$GzqUZc82JBkceAz@94YZ0|JOb0Di;?`Iy4 z<6Adayg%FDF@K0h!R`KzK3jI3cdoN(P>Xvmq EAB67KhX4Qo literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..9a6c9853ab8d915532ddbfa4edb35d037f07be18 GIT binary patch literal 2315 zcmajdX*iVo8^H0gjmA79swdmnHCdVwLY6ZoWtoQ3sgNa6Axq-4Fj*oJp|X!9QpkF& z!|Hl9)2*c0i2 zXSG|UitaygMeNXZET53s539Mc%6}`2frZ@|X483|)xUYO$ZhOC*f=2yyDFPI$-9G; zQNh;ZPe8AN9GDcrcN?D#CfgN7wlAvW_Gm2`o@?V5p{iZ4@1t=O45a7+(`E0`@R3d{ zt{@+Wel$bpo#&RuhTHS-xf#beMDJvk@x`gGb3!?mwQTs?JF!m@BkxS(&EFL#BjY`* zi{Kjcuu4Ik-kD4_sf;opYs>j`6rSq$mmmxV6T&F^NEzM#EPP}?IT_XNX6?nlF(q=g zlXeCYm|Kr&-!?E( zF&4%N?H?tt35PK8O~yRDzLf5gUjpv;D*!l9|T z6MWr{x$@jHU@dtE?IPbY7;s;QUj%EcAkVY0mgLRR+W25-HNT4b-8+lP#9TThi6Vwf z#l(ZV75n8y70{eUsPsjNG(n{vVGqoaSNxGu!vSiR5~4ePx4H!Gv%ehJ41XOWlK7*c z6{?wZ?NX?A`_#V6+CdUzagMmi@$ySuI*=*=w%ht-i8Opg`9tN@Jx?@LMh-MzpJbr% zU9LaOkPcMod*>4D!Qk3MiJ~YI<>OFUt8hmTDr2Iqq<7WG!~`82kf1RVWYhKK>EOxA zC5))@#j9_j(pK<>8&u*ioW2(%AXi{5?Y!7o6&;iMJXYRsM7_`EfP&VJ0V_paAgf!6 zzU6f4uEKEBQyA5xLk>qIc9$mc5tYgJ6~G+FOJYKPDob)+(mqWJ3>#&4)wY{9>S{)d z(pG!oVJ(u_zLMJBTT?jI3xrU0W3a^9(K&j)DtbAirem+luuvH|&C`nlu8t(LpsS9b z(u{}? zP{3jKm7UF00W(s)(}2u;buv`8Ruti(GHfM8rg_9s`^B*3|*(TEYxe@=^ANL8Y!{r`%=0K=;KruN+=MWkb2pG#EI) z#WE8}=LdLM!@+FtOjDV&$A;4q zFwP>#+VoIgC-1!J?cXB_sFsLRske+tOWzh>Y{l16DCyNF?dtA~=CZ#DXf5G}3sSDR zhvA0S3Xn}BdHJX*&%%beZs|pA5|eN@@fIDc((i1?xv~C_aYw5e<5bRL=N>tO)~BMj9Ib;*|2T;c^(Citg&Xu zee&!<@$y4{Qgt;!y%-nqxhPnO|Lk8uPlJ1q*&^uXke8NOUgeafoKfWMhWCs%s{8GF zMi^B}2R5i-muAppTVZasN6chP_;y}vXZb79CBpq0Vz^fY`v89;yQS)*B)iR@p5*@WRdIATx#*Jw?%5wRH%LL%3Q?7V)NSA7g z?Q%%AYYY^x7Rt7d+-6glw&Z>5B!2vht3la`;An!JqI;E=H3M-PjsO9gKGN2YicvWl%;6 z>7jJD%yQ&~Fg){Udy*V;9of`mfA;W4Z0PDuf+oE&Tyc$k#xO41zWs4XfCVBiMe4%3 z=UjYy0k0$uFQ>t+2cAeY2~d#%urV(|66rO}n=~FGaxPF~)_YFBHK@Ad_Mr2*o$+op zB+`hOG~v&Uo$E;z;=1<@C)qT-&x6=6_a}^%Ez%pEo6!P!^sEfqY z6DX^bv@twY!iw$dq3eIDXKvP@?Nn=QQ5boUXm28ar)s2kmyTrfcyReHu7b<|04bE+ AOaK4? literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs new file mode 100644 index 0000000000000000000000000000000000000000..2aeba12c5378cf64ada62705744b520d3365fc22 GIT binary patch literal 3529 zcmb7`3s6*57{~9j2UwRCK@nkv5O7sMFzevXs%OD3DpBbkMU9sWGW z$pBy(Q#z5^XtWGw0I-aVPGk-mErS^VEHi;l#08DkSVm5d{aGSjLP_#1)N} z!3+SFQP7FFq0us!0l+d7=|tSoXc^1^U>S2dk$Gse3}yhZ%p^Jy4>Vc^GXPk|f=T(i*#=u`h*zNvF_>_|V3rxE^+eycB?- z6abT20r#biNyj-;0D@8gOga^~pO_SYpcDX;S_8-3%FcA0%LgDR1;C`!fCq?40SHO~ zFsTjj;QvVRLt;NIxOhNN3ImhkNktaZ#x8#xDF8t!04C)hsUc!g0D@8gOv*oomxxIL z2ucAkDgWpW6_WxGlmcKHoX zssbJ*CIuiU1;C`UfJci-0SHO~FezSB$Z|0$06{4LCdGRUd0k8jKu`*RN%1r$E5xJ# z1f>9&lz$V7p3cu$@yO--Sp|oRveA?NLrU#h+O?S85VJsFGzqxgOs2aOn6uRWs5$cev?P7X9t_cV2V*aG(EsJ$-TB1(i*G?hk@; zD#Lc2>>K#i%hfStZ;ED4>Hqk<&nu$OJ-j_!;63loK}oK6~_a@RwKaDNf&sRX+~gz9D~7yp7_#bKHD+Ku6)IO^svB52?DV7aJqb z#?0=IFS%(|eZC~I_UYY{O%0=wQdyVpuwq$Ta=Ph+Np+vwt$8B9TH+X;p;z0sDymaG z-0}+c%$EmVKD_D}~+Tu$<>YIXUD-(bjt3#xT~W_ISD+V+a{U0PD@u&~F6 z3(rcB7blJ`Ua!pjrLR0e<=%bt@3M}blbx$7+c2H#caD6N;+VmNf?^SRA`_WPj%L@E{-K6LXc6U|@d!8Lp5wFcj8M+ji zJ9JK$HTu`}PxOslN!=rD2Tnc9Nb+9(Q9zd@)?Q-d({o&!Fwy#)%0+&9ZE=0NPR#}A o+R|4a$n_+jK1s1^t5eBNsAb<}ziPYsK;}1^fGih%RYPp)KRQF-ga7~l literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat new file mode 100644 index 0000000000000000000000000000000000000000..c3097d07d94f96b72a0f26607c1fa8ee440aea5c GIT binary patch literal 224 zcmV<603ZJWaLNw*#x4OSZnycyE&*cS*d+&uePcxI8@ncvTGLDgTbs4E00(OFuW>TO zA^;98BEtb|GjBEfMmAtO&TZxZoM#Sd0;Hjn89#}9oRSLvtN^gi!T1AMjaSxnb=6$o zDynM$MAJnCe_TD(1)M?|Dx9%L5`|-p6;iEY*9Lrc;C*+nx??X_k8lR62`rgkaBgpj z?wsm;ot>_po&GQwm!vr2$*wdxqiFEYF#NNsrldwvpit)z#1lrK%`b(`-3B4)wA3;D am3^+weo1H(@s_4|$V?xg!SR9S(17HJRx#0(5NV3L7B1xPb9fE0r;iwuYW zVu%7JAgu(%%nTqFSb;2%fl$B<Xj^yONqaqLratXr{jOQCXUChz`j{#kcz zmhYG9PStR z#yFR~b(h21^>vGGRn7UkHp@gH?(>v#Re6K23bStNcbgUvueZQx`FyeLF1v=k@@q0Z z_V%uoH~4*Mi}TxgA_AtCVeaL3>)Ct)6F=2{HVQD;e3irS>z3W~ci-wdA2I*{ Dlgh)k literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat new file mode 100644 index 0000000000000000000000000000000000000000..43fa277f8f89d55a6cfc8493ef5dcff81be6a48b GIT binary patch literal 2525 zcmXBTc|6ql9|!O;W6XCLUjYkx{&7Pi!8Hq4S|_InGEJ|K@pD@$p{x z)??dtt8NFD#6DWSKYOeGnRqUO5TvaTMF-##6Tgh8BK1)|?O6z*F6PXi+ziP@?y)wh z8-oLfQ6V*)XO3>b*o>T~(w6jcJBEao>BlI@$kNAPbC$qFiQn4g4O!~d;AFXA+^6%> zPCzQV2ghd1|Bq9+dq5L!x%Z5to zR1qoo_0Wup_|crFp?bj6k-Er*Pvo6M^=+eJY|!x*Q`N!4^>tv+bklIu}-6w>#u)&RDzsu#;c zG!Sv+4|Mc_g=t;Gm#;OmwzbA3Dgb&=snpE;DsXOKR6guIN%Py0^!Q>3vn~3+#ELzfA%rgo8kkTfzKYdxJ^miN|-lfw@AN z``a(+$?w}25~{GA%Qs>Sqw*?KL8J5G#wO4h6q{a#a9X*y$LIk44JL;%095ALO`a4( zNaGxj0|Odd&(+xjVMw>~7zWUJ>Tz(vH{n^yi?4LQN~PJg>7bIhEG`gJg-?I$veYG= zlr^=8j^H6?WYj$dAiycq$8M|_!bEoO0R}|Q5{^Cqw8$x8R<3*X$cLA?dIM<=!@hg# z*CZ68BM9rj3-<-sH=)H|%vdSvCv5U+D-nDX$<@WJie-=5u7c|MjQko<%^BsUQ&PjS zHifvg{ZF^>=U?)l0|`e@cA=aL8wvA%kRq&8hd4qB{VPTR>?NwL!NDHcEpocWqMhLx zO8o66&j-srjC`cbPaahPLrvSE-C*eUm6Yg)E6Pp9CrGu9M)@iiSc|5>e1O7g=(}f~ zWWqjJCpOaXhPZ09n42=5h9GF4bv)hYy6A z@xYM#RFYQag^b3zW0bBB;mhh*{+SB{`tPN#k!RT68VqM)1rQv;n=d;=`bG2Fijfi! zU5cWXxK_N=Qth2%Q(uuKmTC0Wzr+AtynQB_PZ6*IDUI~tM&0b}1K-xTe13a6w34AR%7UNBQwChI5zuT&!xjK(jf(51bg zb{f)=KJS^cHfSi?dlD@pPH@Y$mO*#tWXt#i=!}JM+3xp(C0Wncy_>B;a_@<^+T{Km zZN1W5Ax}KhcVGSz>F?ol_Z80I+J9I0IXrhfVi&Pky9UZ>WiEPpwmF)q=Qbn1Z|1srcwMU z7xVF@vC0P%VU)H**z-qB55yMMHNa$Go#%OXHYBnAE7ZkRb_D#P^a6dPJpqJWTAR1& zsFP&r+UI|IdTXJ=iOF~1XUz|j+f)UfYM+cTYrC3%75iDH?4cRS3i?nxk1=CIn1{EurtH0J+^h{gce7TBCJTCC2uY3DT``y#}Cb@g2TjUut!%%`7^1A>}Hu+;E0x@^j{1uO}la!kW@tZ;V%Epa!wX6{= zz9dxq~3%e&3TYpe;2(j=h$Zz{RMiusMK zn|rH#&u5-7Y}f#r_~5*LiEe?nxr}MxnJy;V1{M_gAAjb06TT^Z|o?)YZOqa~Hgo>f6O?v2sEudOR>KgsDN zH)F1uAIKKqZSkRl52CPeEtTQf=EoZs+3kVZ%swr0#wmnN8kaq>$Q-KFuPNxEn+uoV zA%A44MfFa44H<@o+Y*!Q>7S;(b5Ms|0n{nLy z(|*tXs)3=X9W!XQJuM(Yy7^H{=w?Jx_OVPO2aO`ceDs`i%Da@_g&EYH($To6a7_f~ z=+SIue9PRyr~U8C)4jg=X8)Wj=YKzTi~+@?bPGpNVwqneB+Rfey&L|S&H~0I586{t z!CR-dswlbBgJ(FW*gX5@ zy7QaUUrcr?JsVRLIOm@US0h5|cKAf;J&fVv?9)4}O zq9Qx-1o1=X20Uv{+5V?g%lYr2Bd*Iafeb>ArR!t}!*bfrvCi^2v0npPJ5d+E|Gt|f F`TxYJIEDZK literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs new file mode 100644 index 0000000000000000000000000000000000000000..6613a2427facc37276309d7c4eed8722f38d8db1 GIT binary patch literal 6440 zcmbW52~bo=8pmgNFW>=)$6`=42y#S4MNm0BqaXr;ilPx1U_CfgM2N0}V&a`-lR_nj z5s7RP#fYoKEFQQa6^&6WS6#|ov$|A_r7Ktp8u3br`~CaH`CdnOWOu5*Z{FAQ>)-3{ zX5NyEZ@DvFp$`>1}6V?#~O(Uy4yoCX?Bhk`0B)vQj8VvxCh3f`Xf=a3Ixzc?Tmn- zZ2`jwz@1yY#c=w_a14QM0YL<4gQN?y9i^8kY=l)z69C@=Xc&be)>;5qUBf|!SOK~) zx2L3ijj##>0K;31AV9kXU6?yi8c3lVBmhIhNCFH8Im!yqg}EaoZJSsJ3Bd3cqa}kq zRobqy8UPp?##jxlY&q;eHef!#+LN!e1&kxWa8Hhx3=ULbZ544_@nwZdfFo5{866NN z0WA!2LR&yM0os>E7v@fs`cvq>ZUDRvE$qoi33z@C3IOdHU{B8gC*V0X z$!Iwh0NkewRia57JZ|0fS(7$lGOBm0b>iHQvDE+v)>=`}hD)pk(;x4uJdMj8@RZ!^IC5(WK294-z~z)kG_W89e_$ zR{*dXkZO_oJpYVW0B|2);7L*+3_?De6ad_3An;@)iq?MLD9Q8@_ZdW$nnFpNB3-m} zH~?TN7B!55f3PS3Sc<)-rU`)wA$RL0XI4bz?5C#BjkO5(sFBx#Mz@V*#FaThK3<$#l$$*0h25q^80RS6hKo}NE z1{_O#PzeJ7HpqZ5WJ(5{Vle1t0e}rMAPkEn0}eeHbh7}!1{n~BEXjZ)5GSDS+XTP{ z84!lWk^v_s47ynWV1o<@L$+kV`8k)wAcug|k=$tAW z0I)#@gkgzfz(E=-8vw9D283a$WWc!#gKi}Out5fd;SZ7lhwL;8-AV#rgA546GRfdZ z)pQD-0RS6hKp2)2fc>w%`p{b(h0Xwg4Kg4MxdgDm{TG979RRRF281C`GI&rmgF-h3 z0Bn!}VOSv<`cgGhHUMCQ3<$$Y$>2%VtFi$A8)QHj@+E`UF9zK@0APa*2*WDLfHQj* zg>D@Hut5fd;dKJ|yYQhZolzy=u*hP9Fbza0`Obh7}!1{n~Bb&|oCDvN9Yzy=u*hV_zRAXQ1S z0RS6hKo~YihCx&%Q|M*^fDJMr3>yjHZ*wqJDY5|o8)N_mWgZfR$>h>#cJiZl^ShL^ zCR@`Gx>gI&N+Di0PV^Q@!Q)gIpdDx9PamqAC|O5l#_quIxsSS;N<%3FkOmO0ZlPo( zwJ9V3FY{JP&*m_cuGJz++782J7)CLHqNDY{b7lZ`6vUc_Q&!NR?dlGVolSwLiYaLl zx-g@OA>2*&956`Sp8Ke~tpM6v zkTL*iFj4B~);=vuZ4MBCm(5?acayD{A=csRLmi{K=C3aB3r&snY)<-W<>r;@M6Ur; zlNW|>nUs0nEj0C3c|t?_tsxW28-4n|mNT|IWp?iYSH|wz^JDnn72{mKuId`R|Hz7A z-oCaIu6=i+qG(OZiIAIX-}GqCu9ERD zPs)2azrAn%MY|%exfz2qdbt**mL5oTa5Xi#AK5)=d*95Qu#L41;ZO6cw_Yg-`*7N- zQ&)@sajm>5>G-)hPyJ#NZDZ3L{A$kran`QNL*-i*1$nxc1pi-i9`#_}dp92Y?EN1G zeZge=ZAxh9(GANEE(@;tLT#EiK4#71km-ImoO-|2p*nNP;X6MR&Txu2RbCJje>c79 z+r>-9y#3CX`|c@el{p8xMp>W-bd?sOvI&zp{CB{e2i?1~B4 zGUJ7H!(;Lt>&7Yb=5&wVU~gByJ+>w&qPVgmm_93re&hEy{}g%FBV|ST>Bh5rM?GoU z(&@wIffmn>lU#O_zzKOgJbab4BH zjTbscmENy#ygcr_^Nx_o6H`Ka{>RxR?orvq+|roiyMpH5{;XZy?UBu8d-C#g{yyP= zL&)PPVNy5l?OJcU(2>+sX zi-rl*J>rj_jMI*|39T` digit_right <= DIGIT_ZERO; -- ...to translation table + when "0001" => digit_right <= DIGIT_ONE; + when "0010" => digit_right <= DIGIT_TWO; + when "0011" => digit_right <= DIGIT_THREE; + when "0100" => digit_right <= DIGIT_FOUR; + when "0101" => digit_right <= DIGIT_FIVE; + when "0110" => digit_right <= DIGIT_SIX; + when "0111" => digit_right <= DIGIT_SEVEN; + when "1000" => digit_right <= DIGIT_EIGHT; + when "1001" => digit_right <= DIGIT_NINE; + when others => digit_right <= DIGIT_F; -- use "F" as overflow + end case; + + case ten_value is -- same for "ten" position + when "0000" => digit_left <= DIGIT_ZERO; + when "0001" => digit_left <= DIGIT_ONE; + when "0010" => digit_left <= DIGIT_TWO; + when "0011" => digit_left <= DIGIT_THREE; + when "0100" => digit_left <= DIGIT_FOUR; + when "0101" => digit_left <= DIGIT_FIVE; + when "0110" => digit_left <= DIGIT_SIX; + when "0111" => digit_left <= DIGIT_SEVEN; + when "1000" => digit_left <= DIGIT_EIGHT; + when "1001" => digit_left <= DIGIT_NINE; + when others => digit_left <= DIGIT_F; + end case; + end if; + end process; + + +-- combine the two digits to one bus + seven_seg(SEG_WIDTH-1 downto 0) <= digit_right; + seven_seg(2*SEG_WIDTH-1 downto SEG_WIDTH) <= digit_left; + +end behav; diff --git a/bsp2/Designflow/src/board_driver_ent.vhd b/bsp2/Designflow/src/board_driver_ent.vhd new file mode 100644 index 0000000..17e5cf7 --- /dev/null +++ b/bsp2/Designflow/src/board_driver_ent.vhd @@ -0,0 +1,42 @@ +------------------------------------------------------------------------------- +-- Title : board_driver entity +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : board_driver_ent.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: display number on 7-segment display +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- + +entity board_driver is + + port ( + reset : in std_logic; + seven_seg : out std_logic_vector(2*SEG_WIDTH-1 downto 0) + ); +end board_driver; diff --git a/bsp2/Designflow/src/modelsim.ini b/bsp2/Designflow/src/modelsim.ini new file mode 100644 index 0000000..0a48df5 --- /dev/null +++ b/bsp2/Designflow/src/modelsim.ini @@ -0,0 +1,1305 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp2/Designflow/src/vga.hex b/bsp2/Designflow/src/vga.hex new file mode 100644 index 0000000..b3c05bd --- /dev/null +++ b/bsp2/Designflow/src/vga.hex @@ -0,0 +1,4097 @@ +:010000001ce3 +:010001001ce2 +:010002001ce1 +:010003001ce0 +:010004001cdf +:010005001cde +:010006001cdd +:010007001cdc +:010008001cdb +:010009001cda +:01000a001cd9 +:01000b001cd8 +:01000c001cd7 +:01000d001cd6 +:01000e001cd5 +:01000f001cd4 +:010010001cd3 +:010011001cd2 +:010012001cd1 +:010013001cd0 +:010014001ccf +:010015001cce +:010016001ccd +:010017001ccc +:010018001ccb +:010019001cca +:01001a001cc9 +:01001b001cc8 +:01001c001cc7 +:01001d001cc6 +:01001e001cc5 +:01001f001cc4 +:010020001cc3 +:010021001cc2 +:010022001cc1 +:010023001cc0 +:010024001cbf +:010025001cbe +:010026001cbd +:010027001cbc +:010028001cbb +:010029001cba +:01002a001cb9 +:01002b001cb8 +:01002c001cb7 +:01002d001cb6 +:01002e001cb5 +:01002f001cb4 +:010030001cb3 +:010031001cb2 +:010032001cb1 +:010033001cb0 +:010034001caf +:010035001cae +:010036001cad +:010037001cac +:010038001cab +:010039001caa +:01003a001ca9 +:01003b001ca8 +:01003c001ca7 +:01003d001ca6 +:01003e001ca5 +:01003f001ca4 +:0100400003bc +:0100410000be +:0100420000bd +:0100430000bc +:0100440000bb +:0100450000ba +:0100460000b9 +:0100470000b8 +:0100480000b7 +:0100490000b6 +:01004a0000b5 +:01004b0000b4 +:01004c0000b3 +:01004d0000b2 +:01004e0000b1 +:01004f0000b0 +:0100500000af +:0100510000ae +:0100520000ad +:0100530000ac +:0100540000ab +:0100550000aa +:0100560000a9 +:0100570000a8 +:0100580000a7 +:0100590000a6 +:01005a0000a5 +:01005b0000a4 +:01005c0000a3 +:01005d0000a2 +:01005e0000a1 +:01005f0000a0 +:01006000009f +:01006100009e +:01006200009d +:01006300009c +:01006400009b +:01006500009a +:010066000099 +:010067000098 +:010068000097 +:010069000096 +:01006a000095 +:01006b000094 +:01006c000093 +:01006d000092 +:01006e000091 +:01006f000090 +:01007000008f +:01007100008e +:01007200008d +:01007300008c +:01007400008b +:01007500008a +:010076000089 +:010077000088 +:010078000087 +:010079000086 +:01007a000085 +:01007b000084 +:01007c000083 +:01007d000082 +:01007e000081 +:01007f0090f0 +:01008000037c +:01008100007e +:01008200007d +:01008300007c +:01008400007b +:01008500007a +:010086000079 +:010087000078 +:010088000077 +:010089000076 +:01008a000075 +:01008b000074 +:01008c000073 +:01008d000072 +:01008e000071 +:01008f000070 +:01009000006f +:01009100006e +:01009200006d +:01009300006c +:01009400006b +:01009500006a +:010096000069 +:010097000068 +:010098000067 +:010099000066 +:01009a000065 +:01009b000064 +:01009c000063 +:01009d000062 +:01009e000061 +:01009f000060 +:0100a000005f +:0100a100005e +:0100a200005d +:0100a300005c +:0100a400005b +:0100a500005a +:0100a6000059 +:0100a7000058 +:0100a8000057 +:0100a9000056 +:0100aa000055 +:0100ab000054 +:0100ac000053 +:0100ad000052 +:0100ae000051 +:0100af000050 +:0100b000004f +:0100b100004e +:0100b200004d +:0100b300004c +:0100b400004b +:0100b500004a +:0100b6000049 +:0100b7000048 +:0100b8000047 +:0100b9000046 +:0100ba000045 +:0100bb000044 +:0100bc000043 +:0100bd000042 +:0100be000041 +:0100bf0090b0 +:0100c000033c +:0100c100003e +:0100c200003d +:0100c300003c +:0100c400003b +:0100c500003a +:0100c6000039 +:0100c7000038 +:0100c8000037 +:0100c9000036 +:0100ca000035 +:0100cb000034 +:0100cc000033 +:0100cd000032 +:0100ce000031 +:0100cf000030 +:0100d000002f +:0100d100002e +:0100d200002d +:0100d300002c +:0100d400002b +:0100d500002a +:0100d6000029 +:0100d7000028 +:0100d8000027 +:0100d9000026 +:0100da000025 +:0100db000024 +:0100dc000023 +:0100dd000022 +:0100de000021 +:0100df000020 +:0100e000001f +:0100e100001e +:0100e200001d +:0100e300001c +:0100e400001b +:0100e500001a +:0100e6000019 +:0100e7000018 +:0100e8000017 +:0100e9000016 +:0100ea000015 +:0100eb000014 +:0100ec000013 +:0100ed000012 +:0100ee000011 +:0100ef000010 +:0100f000000f +:0100f100000e +:0100f200000d +:0100f300000c +:0100f400000b +:0100f500000a +:0100f6000009 +:0100f7000008 +:0100f8000007 +:0100f9000006 +:0100fa000005 +:0100fb000004 +:0100fc000003 +:0100fd000002 +:0100fe000001 +:0100ff009070 +:0101000003fb +:0101010000fd +:0101020000fc +:0101030000fb +:0101040000fa +:0101050000f9 +:0101060000f8 +:0101070000f7 +:0101080000f6 +:0101090000f5 +:01010a0000f4 +:01010b0000f3 +:01010c0000f2 +:01010d0000f1 +:01010e0000f0 +:01010f0000ef +:0101100000ee +:0101110000ed +:0101120000ec +:0101130000eb +:0101140000ea +:0101150000e9 +:0101160000e8 +:0101170000e7 +:0101180000e6 +:0101190000e5 +:01011a0000e4 +:01011b0000e3 +:01011c0000e2 +:01011d0000e1 +:01011e0000e0 +:01011f0000df +:0101200000de +:0101210000dd +:0101220000dc +:0101230000db +:0101240000da +:0101250000d9 +:0101260000d8 +:0101270000d7 +:0101280000d6 +:0101290000d5 +:01012a0000d4 +:01012b0000d3 +:01012c0000d2 +:01012d0000d1 +:01012e0000d0 +:01012f0000cf +:0101300000ce +:0101310000cd +:0101320000cc +:0101330000cb +:0101340000ca +:0101350000c9 +:0101360000c8 +:0101370000c7 +:0101380000c6 +:0101390000c5 +:01013a0000c4 +:01013b0000c3 +:01013c0000c2 +:01013d0000c1 +:01013e0000c0 +:01013f00902f +:0101400003bb +:0101410000bd +:0101420000bc +:0101430000bb +:0101440000ba +:0101450000b9 +:0101460000b8 +:0101470000b7 +:0101480000b6 +:0101490000b5 +:01014a0000b4 +:01014b0000b3 +:01014c0000b2 +:01014d0000b1 +:01014e0000b0 +:01014f0000af +:0101500000ae +:0101510000ad +:0101520000ac +:0101530000ab +:0101540000aa +:0101550000a9 +:0101560000a8 +:0101570000a7 +:0101580000a6 +:0101590000a5 +:01015a0000a4 +:01015b0000a3 +:01015c0000a2 +:01015d0000a1 +:01015e0000a0 +:01015f00009f +:01016000009e +:01016100009d +:01016200009c +:01016300009b +:01016400009a +:010165000099 +:010166000098 +:010167000097 +:010168000096 +:010169000095 +:01016a000094 +:01016b000093 +:01016c000092 +:01016d000091 +:01016e000090 +:01016f00008f +:01017000008e +:01017100008d +:01017200008c +:01017300008b +:01017400008a +:010175000089 +:010176000088 +:010177000087 +:010178000086 +:010179000085 +:01017a000084 +:01017b000083 +:01017c000082 +:01017d000081 +:01017e000080 +:01017f0090ef +:01018000037b +:01018100007d +:01018200007c +:01018300007b +:01018400007a +:010185000079 +:010186000078 +:010187000077 +:010188000076 +:010189000075 +:01018a000074 +:01018b000073 +:01018c000072 +:01018d000071 +:01018e000070 +:01018f00006f +:01019000006e +:01019100006d +:01019200006c +:01019300006b +:01019400006a +:010195000069 +:010196000068 +:010197000067 +:010198000066 +:010199000065 +:01019a000064 +:01019b000063 +:01019c000062 +:01019d000061 +:01019e000060 +:01019f00005f +:0101a000005e +:0101a100005d +:0101a200005c +:0101a300005b +:0101a400005a +:0101a5000059 +:0101a6000058 +:0101a7000057 +:0101a8000056 +:0101a9000055 +:0101aa000054 +:0101ab000053 +:0101ac000052 +:0101ad000051 +:0101ae000050 +:0101af00004f +:0101b000004e +:0101b100004d +:0101b200004c +:0101b300004b +:0101b400004a +:0101b5000049 +:0101b6000048 +:0101b7000047 +:0101b8000046 +:0101b9000045 +:0101ba000044 +:0101bb000043 +:0101bc000042 +:0101bd000041 +:0101be000040 +:0101bf0090af +:0101c000033b +:0101c100003d +:0101c200003c +:0101c300003b +:0101c400003a +:0101c5000039 +:0101c6000038 +:0101c7000037 +:0101c8000036 +:0101c9000035 +:0101ca000034 +:0101cb000033 +:0101cc000032 +:0101cd000031 +:0101ce000030 +:0101cf00002f +:0101d000002e +:0101d100002d +:0101d200002c +:0101d300002b +:0101d400002a +:0101d5000029 +:0101d6000028 +:0101d7000027 +:0101d8000026 +:0101d9000025 +:0101da000024 +:0101db000023 +:0101dc000022 +:0101dd000021 +:0101de000020 +:0101df00001f +:0101e000001e +:0101e100001d +:0101e200001c +:0101e300001b +:0101e400001a +:0101e5000019 +:0101e6000018 +:0101e7000017 +:0101e8000016 +:0101e9000015 +:0101ea000014 +:0101eb000013 +:0101ec000012 +:0101ed000011 +:0101ee000010 +:0101ef00000f +:0101f000000e +:0101f100000d +:0101f200000c +:0101f300000b +:0101f400000a +:0101f5000009 +:0101f6000008 +:0101f7000007 +:0101f8000006 +:0101f9000005 +:0101fa000004 +:0101fb000003 +:0101fc000002 +:0101fd000001 +:0101fe000000 +:0101ff00906f +:0102000003fa +:0102010000fc +:0102020000fb +:0102030000fa +:0102040000f9 +:0102050000f8 +:0102060000f7 +:0102070000f6 +:0102080000f5 +:0102090000f4 +:01020a0000f3 +:01020b0000f2 +:01020c0000f1 +:01020d0000f0 +:01020e0000ef +:01020f0000ee +:0102100000ed +:0102110000ec +:0102120000eb +:0102130000ea +:0102140000e9 +:0102150000e8 +:0102160000e7 +:0102170000e6 +:0102180000e5 +:0102190000e4 +:01021a0000e3 +:01021b0000e2 +:01021c0000e1 +:01021d0000e0 +:01021e0000df +:01021f0000de +:0102200000dd +:0102210000dc +:0102220000db +:0102230000da +:0102240000d9 +:0102250000d8 +:0102260000d7 +:0102270000d6 +:0102280000d5 +:0102290000d4 +:01022a0000d3 +:01022b0000d2 +:01022c0000d1 +:01022d0000d0 +:01022e0000cf +:01022f0000ce +:0102300000cd +:0102310000cc +:0102320000cb +:0102330000ca +:0102340000c9 +:0102350000c8 +:0102360000c7 +:0102370000c6 +:0102380000c5 +:0102390000c4 +:01023a0000c3 +:01023b0000c2 +:01023c0000c1 +:01023d0000c0 +:01023e0000bf +:01023f00902e +:0102400003ba +:0102410000bc +:0102420000bb +:0102430000ba +:0102440000b9 +:0102450000b8 +:0102460000b7 +:0102470000b6 +:0102480000b5 +:0102490000b4 +:01024a0000b3 +:01024b0000b2 +:01024c0000b1 +:01024d0000b0 +:01024e0000af +:01024f0000ae +:0102500000ad +:0102510000ac +:0102520000ab +:0102530000aa +:0102540000a9 +:0102550000a8 +:0102560000a7 +:0102570000a6 +:0102580000a5 +:0102590000a4 +:01025a0000a3 +:01025b0000a2 +:01025c0000a1 +:01025d0000a0 +:01025e00009f +:01025f00009e +:01026000009d +:01026100009c +:01026200009b +:01026300009a +:010264000099 +:010265000098 +:010266000097 +:010267000096 +:010268000095 +:010269000094 +:01026a000093 +:01026b000092 +:01026c000091 +:01026d000090 +:01026e00008f +:01026f00008e +:01027000008d +:01027100008c +:01027200008b +:01027300008a +:010274000089 +:010275000088 +:010276000087 +:010277000086 +:010278000085 +:010279000084 +:01027a000083 +:01027b000082 +:01027c000081 +:01027d000080 +:01027e00007f +:01027f0090ee +:01028000037a +:01028100007c +:01028200007b +:01028300007a +:010284000079 +:010285000078 +:010286000077 +:010287000076 +:010288000075 +:010289000074 +:01028a000073 +:01028b000072 +:01028c000071 +:01028d000070 +:01028e00006f +:01028f00006e +:01029000006d +:01029100006c +:01029200006b +:01029300006a +:010294000069 +:010295000068 +:010296000067 +:010297000066 +:010298000065 +:010299000064 +:01029a000063 +:01029b000062 +:01029c000061 +:01029d000060 +:01029e00005f +:01029f00005e +:0102a000005d +:0102a100005c +:0102a200005b +:0102a300005a +:0102a4000059 +:0102a5000058 +:0102a6000057 +:0102a7000056 +:0102a8000055 +:0102a9000054 +:0102aa000053 +:0102ab000052 +:0102ac000051 +:0102ad000050 +:0102ae00004f +:0102af00004e +:0102b000004d +:0102b100004c +:0102b200004b +:0102b300004a +:0102b4000049 +:0102b5000048 +:0102b6000047 +:0102b7000046 +:0102b8000045 +:0102b9000044 +:0102ba000043 +:0102bb000042 +:0102bc000041 +:0102bd000040 +:0102be00003f +:0102bf0090ae +:0102c000033a +:0102c100003c +:0102c200003b +:0102c300003a +:0102c4000039 +:0102c5000038 +:0102c6000037 +:0102c7000036 +:0102c8000035 +:0102c9000034 +:0102ca000033 +:0102cb000032 +:0102cc000031 +:0102cd000030 +:0102ce00002f +:0102cf00002e +:0102d000002d +:0102d100002c +:0102d200002b +:0102d300002a +:0102d4000029 +:0102d5000028 +:0102d6000027 +:0102d7000026 +:0102d8000025 +:0102d9000024 +:0102da000023 +:0102db000022 +:0102dc000021 +:0102dd000020 +:0102de00001f +:0102df00001e +:0102e000001d +:0102e100001c +:0102e200001b +:0102e300001a +:0102e4000019 +:0102e5000018 +:0102e6000017 +:0102e7000016 +:0102e8000015 +:0102e9000014 +:0102ea000013 +:0102eb000012 +:0102ec000011 +:0102ed000010 +:0102ee00000f +:0102ef00000e +:0102f000000d +:0102f100000c +:0102f200000b +:0102f300000a +:0102f4000009 +:0102f5000008 +:0102f6000007 +:0102f7000006 +:0102f8000005 +:0102f9000004 +:0102fa000003 +:0102fb000002 +:0102fc000001 +:0102fd000000 +:0102fe0000ff +:0102ff00906e +:0103000003f9 +:0103010000fb +:0103020000fa +:0103030000f9 +:0103040000f8 +:0103050000f7 +:0103060000f6 +:0103070000f5 +:0103080000f4 +:0103090000f3 +:01030a0000f2 +:01030b0000f1 +:01030c0000f0 +:01030d0000ef +:01030e0000ee +:01030f0000ed +:0103100000ec +:0103110000eb +:0103120000ea +:0103130000e9 +:0103140000e8 +:0103150000e7 +:0103160000e6 +:0103170000e5 +:0103180000e4 +:0103190000e3 +:01031a0000e2 +:01031b0000e1 +:01031c0000e0 +:01031d0000df +:01031e0000de +:01031f0000dd +:0103200000dc +:0103210000db +:0103220000da +:0103230000d9 +:0103240000d8 +:0103250000d7 +:0103260000d6 +:0103270000d5 +:0103280000d4 +:0103290000d3 +:01032a0000d2 +:01032b0000d1 +:01032c0000d0 +:01032d0000cf +:01032e0000ce +:01032f0000cd +:0103300000cc +:0103310000cb +:0103320000ca +:0103330000c9 +:0103340000c8 +:0103350000c7 +:0103360000c6 +:0103370000c5 +:0103380000c4 +:0103390000c3 +:01033a0000c2 +:01033b0000c1 +:01033c0000c0 +:01033d0000bf +:01033e0000be +:01033f00902d +:0103400003b9 +:0103410000bb +:0103420000ba +:0103430000b9 +:0103440000b8 +:0103450000b7 +:0103460000b6 +:0103470000b5 +:0103480000b4 +:0103490000b3 +:01034a0000b2 +:01034b0000b1 +:01034c0000b0 +:01034d0000af +:01034e0000ae +:01034f0000ad +:0103500000ac +:0103510000ab +:0103520000aa +:0103530000a9 +:0103540000a8 +:0103550000a7 +:0103560000a6 +:0103570000a5 +:0103580000a4 +:0103590000a3 +:01035a0000a2 +:01035b0000a1 +:01035c0000a0 +:01035d00009f +:01035e00009e +:01035f00009d +:01036000009c +:01036100009b +:01036200009a +:010363000099 +:010364000098 +:010365000097 +:010366000096 +:010367000095 +:010368000094 +:010369000093 +:01036a000092 +:01036b000091 +:01036c000090 +:01036d00008f +:01036e00008e +:01036f00008d +:01037000008c +:01037100008b +:01037200008a +:010373000089 +:010374000088 +:010375000087 +:010376000086 +:010377000085 +:010378000084 +:010379000083 +:01037a000082 +:01037b000081 +:01037c000080 +:01037d00007f +:01037e00007e +:01037f0090ed +:010380000379 +:01038100007b +:01038200007a +:010383000079 +:010384000078 +:010385000077 +:010386000076 +:010387000075 +:010388000074 +:010389000073 +:01038a000072 +:01038b000071 +:01038c000070 +:01038d00006f +:01038e00006e +:01038f00006d +:01039000006c +:01039100006b +:01039200006a +:010393000069 +:010394000068 +:010395000067 +:010396000066 +:010397000065 +:010398000064 +:010399000063 +:01039a000062 +:01039b000061 +:01039c000060 +:01039d00005f +:01039e00005e +:01039f00005d +:0103a000005c +:0103a100005b +:0103a200005a +:0103a3000059 +:0103a4000058 +:0103a5000057 +:0103a6000056 +:0103a7000055 +:0103a8000054 +:0103a9000053 +:0103aa000052 +:0103ab000051 +:0103ac000050 +:0103ad00004f +:0103ae00004e +:0103af00004d +:0103b000004c +:0103b100004b +:0103b200004a +:0103b3000049 +:0103b4000048 +:0103b5000047 +:0103b6000046 +:0103b7000045 +:0103b8000044 +:0103b9000043 +:0103ba000042 +:0103bb000041 +:0103bc000040 +:0103bd00003f +:0103be00003e +:0103bf0090ad +:0103c0000339 +:0103c100003b +:0103c200003a +:0103c3000039 +:0103c4000038 +:0103c5000037 +:0103c6000036 +:0103c7000035 +:0103c8000034 +:0103c9000033 +:0103ca000032 +:0103cb000031 +:0103cc000030 +:0103cd00002f +:0103ce00002e +:0103cf00002d +:0103d000002c +:0103d100002b +:0103d200002a +:0103d3000029 +:0103d4000028 +:0103d5000027 +:0103d6000026 +:0103d7000025 +:0103d8000024 +:0103d9000023 +:0103da000022 +:0103db000021 +:0103dc000020 +:0103dd00001f +:0103de00001e +:0103df00001d +:0103e000001c +:0103e100001b +:0103e200001a +:0103e3000019 +:0103e4000018 +:0103e5000017 +:0103e6000016 +:0103e7000015 +:0103e8000014 +:0103e9000013 +:0103ea000012 +:0103eb000011 +:0103ec000010 +:0103ed00000f +:0103ee00000e +:0103ef00000d +:0103f000000c +:0103f100000b +:0103f200000a +:0103f3000009 +:0103f4000008 +:0103f5000007 +:0103f6000006 +:0103f7000005 +:0103f8000004 +:0103f9000003 +:0103fa000002 +:0103fb000001 +:0103fc000000 +:0103fd0000ff +:0103fe0000fe +:0103ff00906d +:0104000003f8 +:0104010000fa +:0104020000f9 +:0104030000f8 +:0104040000f7 +:0104050000f6 +:0104060000f5 +:0104070000f4 +:0104080000f3 +:0104090000f2 +:01040a0000f1 +:01040b0000f0 +:01040c0000ef +:01040d0000ee +:01040e0000ed +:01040f0000ec +:0104100000eb +:0104110000ea +:0104120000e9 +:0104130000e8 +:0104140000e7 +:0104150000e6 +:0104160000e5 +:0104170000e4 +:0104180000e3 +:0104190000e2 +:01041a0000e1 +:01041b0000e0 +:01041c0000df +:01041d0000de +:01041e0000dd +:01041f0000dc +:0104200000db +:0104210000da +:0104220000d9 +:0104230000d8 +:0104240000d7 +:0104250000d6 +:0104260000d5 +:0104270000d4 +:0104280000d3 +:0104290000d2 +:01042a0000d1 +:01042b0000d0 +:01042c0000cf +:01042d0000ce +:01042e0000cd +:01042f0000cc +:0104300000cb +:0104310000ca +:0104320000c9 +:0104330000c8 +:0104340000c7 +:0104350000c6 +:0104360000c5 +:0104370000c4 +:0104380000c3 +:0104390000c2 +:01043a0000c1 +:01043b0000c0 +:01043c0000bf +:01043d0000be +:01043e0000bd +:01043f00902c +:0104400003b8 +:0104410000ba +:0104420000b9 +:0104430000b8 +:0104440000b7 +:0104450000b6 +:0104460000b5 +:0104470000b4 +:0104480000b3 +:0104490000b2 +:01044a0000b1 +:01044b0000b0 +:01044c0000af +:01044d0000ae +:01044e0000ad +:01044f0000ac +:0104500000ab +:0104510000aa +:0104520000a9 +:0104530000a8 +:0104540000a7 +:0104550000a6 +:0104560000a5 +:0104570000a4 +:0104580000a3 +:0104590000a2 +:01045a0000a1 +:01045b0000a0 +:01045c00009f +:01045d00009e +:01045e00009d +:01045f00009c +:01046000009b +:01046100009a +:010462000099 +:010463000098 +:010464000097 +:010465000096 +:010466000095 +:010467000094 +:010468000093 +:010469000092 +:01046a000091 +:01046b000090 +:01046c00008f +:01046d00008e +:01046e00008d +:01046f00008c +:01047000008b +:01047100008a +:010472000089 +:010473000088 +:010474000087 +:010475000086 +:010476000085 +:010477000084 +:010478000083 +:010479000082 +:01047a000081 +:01047b000080 +:01047c00007f +:01047d00007e +:01047e00007d +:01047f0090ec +:010480000378 +:01048100007a +:010482000079 +:010483000078 +:010484000077 +:010485000076 +:010486000075 +:010487000074 +:010488000073 +:010489000072 +:01048a000071 +:01048b000070 +:01048c00006f +:01048d00006e +:01048e00006d +:01048f00006c +:01049000006b +:01049100006a +:010492000069 +:010493000068 +:010494000067 +:010495000066 +:010496000065 +:010497000064 +:010498000063 +:010499000062 +:01049a000061 +:01049b000060 +:01049c00005f +:01049d00005e +:01049e00005d +:01049f00005c +:0104a000005b +:0104a100005a +:0104a2000059 +:0104a3000058 +:0104a4000057 +:0104a5000056 +:0104a6000055 +:0104a7000054 +:0104a8000053 +:0104a9000052 +:0104aa000051 +:0104ab000050 +:0104ac00004f +:0104ad00004e +:0104ae00004d +:0104af00004c +:0104b000004b +:0104b100004a +:0104b2000049 +:0104b3000048 +:0104b4000047 +:0104b5000046 +:0104b6000045 +:0104b7000044 +:0104b8000043 +:0104b9000042 +:0104ba000041 +:0104bb000040 +:0104bc00003f +:0104bd00003e +:0104be00003d +:0104bf0090ac +:0104c0000338 +:0104c100003a +:0104c2000039 +:0104c3000038 +:0104c4000037 +:0104c5000036 +:0104c6000035 +:0104c7000034 +:0104c8000033 +:0104c9000032 +:0104ca000031 +:0104cb000030 +:0104cc00002f +:0104cd00002e +:0104ce00002d +:0104cf00002c +:0104d000002b +:0104d100002a +:0104d2000029 +:0104d3000028 +:0104d4000027 +:0104d5000026 +:0104d6000025 +:0104d7000024 +:0104d8000023 +:0104d9000022 +:0104da000021 +:0104db000020 +:0104dc00001f +:0104dd00001e +:0104de00001d +:0104df00001c +:0104e000001b +:0104e100001a +:0104e2000019 +:0104e3000018 +:0104e4000017 +:0104e5000016 +:0104e6000015 +:0104e7000014 +:0104e8000013 +:0104e9000012 +:0104ea000011 +:0104eb000010 +:0104ec00000f +:0104ed00000e +:0104ee00000d +:0104ef00000c +:0104f000000b +:0104f100000a +:0104f2000009 +:0104f3000008 +:0104f4000007 +:0104f5000006 +:0104f6000005 +:0104f7000004 +:0104f8000003 +:0104f9000002 +:0104fa000001 +:0104fb000000 +:0104fc0000ff +:0104fd0000fe +:0104fe0000fd +:0104ff00906c +:0105000003f7 +:0105010000f9 +:0105020000f8 +:0105030000f7 +:0105040000f6 +:0105050000f5 +:0105060000f4 +:0105070000f3 +:0105080000f2 +:0105090000f1 +:01050a0000f0 +:01050b0000ef +:01050c0000ee +:01050d0000ed +:01050e0000ec +:01050f0000eb +:0105100000ea +:0105110000e9 +:0105120000e8 +:0105130000e7 +:0105140000e6 +:0105150000e5 +:0105160000e4 +:0105170000e3 +:0105180000e2 +:0105190000e1 +:01051a0000e0 +:01051b0000df +:01051c0000de +:01051d0000dd +:01051e0000dc +:01051f0000db +:0105200000da +:0105210000d9 +:0105220000d8 +:0105230000d7 +:0105240000d6 +:0105250000d5 +:0105260000d4 +:0105270000d3 +:0105280000d2 +:0105290000d1 +:01052a0000d0 +:01052b0000cf +:01052c0000ce +:01052d0000cd +:01052e0000cc +:01052f0000cb +:0105300000ca +:0105310000c9 +:0105320000c8 +:0105330000c7 +:0105340000c6 +:0105350000c5 +:0105360000c4 +:0105370000c3 +:0105380000c2 +:0105390000c1 +:01053a0000c0 +:01053b0000bf +:01053c0000be +:01053d0000bd +:01053e0000bc +:01053f00902b +:0105400003b7 +:0105410000b9 +:0105420000b8 +:0105430000b7 +:0105440000b6 +:0105450000b5 +:0105460000b4 +:0105470000b3 +:0105480000b2 +:0105490000b1 +:01054a0000b0 +:01054b0000af +:01054c0000ae +:01054d0000ad +:01054e0000ac +:01054f0000ab +:0105500000aa +:0105510000a9 +:0105520000a8 +:0105530000a7 +:0105540000a6 +:0105550000a5 +:0105560000a4 +:0105570000a3 +:0105580000a2 +:0105590000a1 +:01055a0000a0 +:01055b00009f +:01055c00009e +:01055d00009d +:01055e00009c +:01055f00009b +:01056000009a +:010561000099 +:010562000098 +:010563000097 +:010564000096 +:010565000095 +:010566000094 +:010567000093 +:010568000092 +:010569000091 +:01056a000090 +:01056b00008f +:01056c00008e +:01056d00008d +:01056e00008c +:01056f00008b +:01057000008a +:010571000089 +:010572000088 +:010573000087 +:010574000086 +:010575000085 +:010576000084 +:010577000083 +:010578000082 +:010579000081 +:01057a000080 +:01057b00007f +:01057c00007e +:01057d00007d +:01057e00007c +:01057f0090eb +:010580000377 +:010581000079 +:010582000078 +:010583000077 +:010584000076 +:010585000075 +:010586000074 +:010587000073 +:010588000072 +:010589000071 +:01058a000070 +:01058b00006f +:01058c00006e +:01058d00006d +:01058e00006c +:01058f00006b +:01059000006a +:010591000069 +:010592000068 +:010593000067 +:010594000066 +:010595000065 +:010596000064 +:010597000063 +:010598000062 +:010599000061 +:01059a000060 +:01059b00005f +:01059c00005e +:01059d00005d +:01059e00005c +:01059f00005b +:0105a000005a +:0105a1000059 +:0105a2000058 +:0105a3000057 +:0105a4000056 +:0105a5000055 +:0105a6000054 +:0105a7000053 +:0105a8000052 +:0105a9000051 +:0105aa000050 +:0105ab00004f +:0105ac00004e +:0105ad00004d +:0105ae00004c +:0105af00004b +:0105b000004a +:0105b1000049 +:0105b2000048 +:0105b3000047 +:0105b4000046 +:0105b5000045 +:0105b6000044 +:0105b7000043 +:0105b8000042 +:0105b9000041 +:0105ba000040 +:0105bb00003f +:0105bc00003e +:0105bd00003d +:0105be00003c +:0105bf0090ab +:0105c0000337 +:0105c1000039 +:0105c2000038 +:0105c3000037 +:0105c4000036 +:0105c5000035 +:0105c6000034 +:0105c7000033 +:0105c8000032 +:0105c9000031 +:0105ca000030 +:0105cb00002f +:0105cc00002e +:0105cd00002d +:0105ce00002c +:0105cf00002b +:0105d000002a +:0105d1000029 +:0105d2000028 +:0105d3000027 +:0105d4000026 +:0105d5000025 +:0105d6000024 +:0105d7000023 +:0105d8000022 +:0105d9000021 +:0105da000020 +:0105db00001f +:0105dc00001e +:0105dd00001d +:0105de00001c +:0105df00001b +:0105e000001a +:0105e1000019 +:0105e2000018 +:0105e3000017 +:0105e4000016 +:0105e5000015 +:0105e6000014 +:0105e7000013 +:0105e8000012 +:0105e9000011 +:0105ea000010 +:0105eb00000f +:0105ec00000e +:0105ed00000d +:0105ee00000c +:0105ef00000b +:0105f000000a +:0105f1000009 +:0105f2000008 +:0105f3000007 +:0105f4000006 +:0105f5000005 +:0105f6000004 +:0105f7000003 +:0105f8000002 +:0105f9000001 +:0105fa000000 +:0105fb0000ff +:0105fc0000fe +:0105fd0000fd +:0105fe0000fc +:0105ff00906b +:0106000003f6 +:0106010000f8 +:0106020000f7 +:0106030000f6 +:0106040000f5 +:0106050000f4 +:0106060000f3 +:0106070000f2 +:0106080000f1 +:0106090000f0 +:01060a0000ef +:01060b0000ee +:01060c0000ed +:01060d0000ec +:01060e0000eb +:01060f0000ea +:0106100000e9 +:0106110000e8 +:0106120000e7 +:0106130000e6 +:0106140000e5 +:0106150000e4 +:0106160000e3 +:0106170000e2 +:0106180000e1 +:0106190000e0 +:01061a0000df +:01061b0000de +:01061c0000dd +:01061d0000dc +:01061e0000db +:01061f0000da +:0106200000d9 +:0106210000d8 +:0106220000d7 +:0106230000d6 +:0106240000d5 +:0106250000d4 +:0106260000d3 +:0106270000d2 +:0106280000d1 +:0106290000d0 +:01062a0000cf +:01062b0000ce +:01062c0000cd +:01062d0000cc +:01062e0000cb +:01062f0000ca +:0106300000c9 +:0106310000c8 +:0106320000c7 +:0106330000c6 +:0106340000c5 +:0106350000c4 +:0106360000c3 +:0106370000c2 +:0106380000c1 +:0106390000c0 +:01063a0000bf +:01063b0000be +:01063c0000bd +:01063d0000bc +:01063e0000bb +:01063f00902a +:0106400003b6 +:0106410000b8 +:0106420000b7 +:0106430000b6 +:0106440000b5 +:0106450000b4 +:0106460000b3 +:0106470000b2 +:0106480000b1 +:0106490000b0 +:01064a0000af +:01064b0000ae +:01064c0000ad +:01064d0000ac +:01064e0000ab +:01064f0000aa +:0106500000a9 +:0106510000a8 +:0106520000a7 +:0106530000a6 +:0106540000a5 +:0106550000a4 +:0106560000a3 +:0106570000a2 +:0106580000a1 +:0106590000a0 +:01065a00009f +:01065b00009e +:01065c00009d +:01065d00009c +:01065e00009b +:01065f00009a +:010660000099 +:010661000098 +:010662000097 +:010663000096 +:010664000095 +:010665000094 +:010666000093 +:010667000092 +:010668000091 +:010669000090 +:01066a00008f +:01066b00008e +:01066c00008d +:01066d00008c +:01066e00008b +:01066f00008a +:010670000089 +:010671000088 +:010672000087 +:010673000086 +:010674000085 +:010675000084 +:010676000083 +:010677000082 +:010678000081 +:010679000080 +:01067a00007f +:01067b00007e +:01067c00007d +:01067d00007c +:01067e00007b +:01067f0090ea +:010680000376 +:010681000078 +:010682000077 +:010683000076 +:010684000075 +:010685000074 +:010686000073 +:010687000072 +:010688000071 +:010689000070 +:01068a00006f +:01068b00006e +:01068c00006d +:01068d00006c +:01068e00006b +:01068f00006a +:010690000069 +:010691000068 +:010692000067 +:010693000066 +:010694000065 +:010695000064 +:010696000063 +:010697000062 +:010698000061 +:010699000060 +:01069a00005f +:01069b00005e +:01069c00005d +:01069d00005c +:01069e00005b +:01069f00005a +:0106a0000059 +:0106a1000058 +:0106a2000057 +:0106a3000056 +:0106a4000055 +:0106a5000054 +:0106a6000053 +:0106a7000052 +:0106a8000051 +:0106a9000050 +:0106aa00004f +:0106ab00004e +:0106ac00004d +:0106ad00004c +:0106ae00004b +:0106af00004a +:0106b0000049 +:0106b1000048 +:0106b2000047 +:0106b3000046 +:0106b4000045 +:0106b5000044 +:0106b6000043 +:0106b7000042 +:0106b8000041 +:0106b9000040 +:0106ba00003f +:0106bb00003e +:0106bc00003d +:0106bd00003c +:0106be00003b +:0106bf0090aa +:0106c0000336 +:0106c1000038 +:0106c2000037 +:0106c3000036 +:0106c4000035 +:0106c5000034 +:0106c6000033 +:0106c7000032 +:0106c8000031 +:0106c9000030 +:0106ca00002f +:0106cb00002e +:0106cc00002d +:0106cd00002c +:0106ce00002b +:0106cf00002a +:0106d0000029 +:0106d1000028 +:0106d2000027 +:0106d3000026 +:0106d4000025 +:0106d5000024 +:0106d6000023 +:0106d7000022 +:0106d8000021 +:0106d9000020 +:0106da00001f +:0106db00001e +:0106dc00001d +:0106dd00001c +:0106de00001b +:0106df00001a +:0106e0000019 +:0106e1000018 +:0106e2000017 +:0106e3000016 +:0106e4000015 +:0106e5000014 +:0106e6000013 +:0106e7000012 +:0106e8000011 +:0106e9000010 +:0106ea00000f +:0106eb00000e +:0106ec00000d +:0106ed00000c +:0106ee00000b +:0106ef00000a +:0106f0000009 +:0106f1000008 +:0106f2000007 +:0106f3000006 +:0106f4000005 +:0106f5000004 +:0106f6000003 +:0106f7000002 +:0106f8000001 +:0106f9000000 +:0106fa0000ff +:0106fb0000fe +:0106fc0000fd +:0106fd0000fc +:0106fe0000fb +:0106ff00906a +:0107000003f5 +:0107010000f7 +:0107020000f6 +:0107030000f5 +:0107040000f4 +:0107050000f3 +:0107060000f2 +:0107070000f1 +:0107080000f0 +:0107090000ef +:01070a0000ee +:01070b0000ed +:01070c0000ec +:01070d0000eb +:01070e0000ea +:01070f0000e9 +:0107100000e8 +:0107110000e7 +:0107120000e6 +:0107130000e5 +:0107140000e4 +:0107150000e3 +:0107160000e2 +:0107170000e1 +:0107180000e0 +:0107190000df +:01071a0000de +:01071b0000dd +:01071c0000dc +:01071d0000db +:01071e0000da +:01071f0000d9 +:0107200000d8 +:0107210000d7 +:0107220000d6 +:0107230000d5 +:0107240000d4 +:0107250000d3 +:0107260000d2 +:0107270000d1 +:0107280000d0 +:0107290000cf +:01072a0000ce +:01072b0000cd +:01072c0000cc +:01072d0000cb +:01072e0000ca +:01072f0000c9 +:0107300000c8 +:0107310000c7 +:0107320000c6 +:0107330000c5 +:0107340000c4 +:0107350000c3 +:0107360000c2 +:0107370000c1 +:0107380000c0 +:0107390000bf +:01073a0000be +:01073b0000bd +:01073c0000bc +:01073d0000bb +:01073e0000ba +:01073f009029 +:0107400003b5 +:0107410000b7 +:0107420000b6 +:0107430000b5 +:0107440000b4 +:0107450000b3 +:0107460000b2 +:0107470000b1 +:0107480000b0 +:0107490000af +:01074a0000ae +:01074b0000ad +:01074c0000ac +:01074d0000ab +:01074e0000aa +:01074f0000a9 +:0107500000a8 +:0107510000a7 +:0107520000a6 +:0107530000a5 +:0107540000a4 +:0107550000a3 +:0107560000a2 +:0107570000a1 +:0107580000a0 +:01075900009f +:01075a00009e +:01075b00009d +:01075c00009c +:01075d00009b +:01075e00009a +:01075f000099 +:010760000098 +:010761000097 +:010762000096 +:010763000095 +:010764000094 +:010765000093 +:010766000092 +:010767000091 +:010768000090 +:01076900008f +:01076a00008e +:01076b00008d +:01076c00008c +:01076d00008b +:01076e00008a +:01076f000089 +:010770000088 +:010771000087 +:010772000086 +:010773000085 +:010774000084 +:010775000083 +:010776000082 +:010777000081 +:010778000080 +:01077900007f +:01077a00007e +:01077b00007d +:01077c00007c +:01077d00007b +:01077e00007a +:01077f0090e9 +:010780000375 +:010781000077 +:010782000076 +:010783000075 +:010784000074 +:010785000073 +:010786000072 +:010787000071 +:010788000070 +:01078900006f +:01078a00006e +:01078b00006d +:01078c00006c +:01078d00006b +:01078e00006a +:01078f000069 +:010790000068 +:010791000067 +:010792000066 +:010793000065 +:010794000064 +:010795000063 +:010796000062 +:010797000061 +:010798000060 +:01079900005f +:01079a00005e +:01079b00005d +:01079c00005c +:01079d00005b +:01079e00005a +:01079f000059 +:0107a0000058 +:0107a1000057 +:0107a2000056 +:0107a3000055 +:0107a4000054 +:0107a5000053 +:0107a6000052 +:0107a7000051 +:0107a8000050 +:0107a900004f +:0107aa00004e +:0107ab00004d +:0107ac00004c +:0107ad00004b +:0107ae00004a +:0107af000049 +:0107b0000048 +:0107b1000047 +:0107b2000046 +:0107b3000045 +:0107b4000044 +:0107b5000043 +:0107b6000042 +:0107b7000041 +:0107b8000040 +:0107b900003f +:0107ba00003e +:0107bb00003d +:0107bc00003c +:0107bd00003b +:0107be00003a +:0107bf0090a9 +:0107c0000335 +:0107c1000037 +:0107c2000036 +:0107c3000035 +:0107c4000034 +:0107c5000033 +:0107c6000032 +:0107c7000031 +:0107c8000030 +:0107c900002f +:0107ca00002e +:0107cb00002d +:0107cc00002c +:0107cd00002b +:0107ce00002a +:0107cf000029 +:0107d0000028 +:0107d1000027 +:0107d2000026 +:0107d3000025 +:0107d4000024 +:0107d5000023 +:0107d6000022 +:0107d7000021 +:0107d8000020 +:0107d900001f +:0107da00001e +:0107db00001d +:0107dc00001c +:0107dd00001b +:0107de00001a +:0107df000019 +:0107e0000018 +:0107e1000017 +:0107e2000016 +:0107e3000015 +:0107e4000014 +:0107e5000013 +:0107e6000012 +:0107e7000011 +:0107e8000010 +:0107e900000f +:0107ea00000e +:0107eb00000d +:0107ec00000c +:0107ed00000b +:0107ee00000a +:0107ef000009 +:0107f0000008 +:0107f1000007 +:0107f2000006 +:0107f3000005 +:0107f4000004 +:0107f5000003 +:0107f6000002 +:0107f7000001 +:0107f8000000 +:0107f90000ff +:0107fa0000fe +:0107fb0000fd +:0107fc0000fc +:0107fd0000fb +:0107fe0000fa +:0107ff009069 +:0108000003f4 +:0108010000f6 +:0108020000f5 +:0108030000f4 +:0108040000f3 +:0108050000f2 +:0108060000f1 +:0108070000f0 +:0108080000ef +:0108090000ee +:01080a0000ed +:01080b0000ec +:01080c0000eb +:01080d0000ea +:01080e0000e9 +:01080f0000e8 +:0108100000e7 +:0108110000e6 +:0108120000e5 +:0108130000e4 +:0108140000e3 +:0108150000e2 +:0108160000e1 +:0108170000e0 +:0108180000df +:0108190000de +:01081a0000dd +:01081b0000dc +:01081c0000db +:01081d0000da +:01081e0000d9 +:01081f0000d8 +:0108200000d7 +:0108210000d6 +:0108220000d5 +:0108230000d4 +:0108240000d3 +:0108250000d2 +:0108260000d1 +:0108270000d0 +:0108280000cf +:0108290000ce +:01082a0000cd +:01082b0000cc +:01082c0000cb +:01082d0000ca +:01082e0000c9 +:01082f0000c8 +:0108300000c7 +:0108310000c6 +:0108320000c5 +:0108330000c4 +:0108340000c3 +:0108350000c2 +:0108360000c1 +:0108370000c0 +:0108380000bf +:0108390000be +:01083a0000bd +:01083b0000bc +:01083c0000bb +:01083d0000ba +:01083e0000b9 +:01083f009028 +:0108400003b4 +:0108410000b6 +:0108420000b5 +:0108430000b4 +:0108440000b3 +:0108450000b2 +:0108460000b1 +:0108470000b0 +:0108480000af +:0108490000ae +:01084a0000ad +:01084b0000ac +:01084c0000ab +:01084d0000aa +:01084e0000a9 +:01084f0000a8 +:0108500000a7 +:0108510000a6 +:0108520000a5 +:0108530000a4 +:0108540000a3 +:0108550000a2 +:0108560000a1 +:0108570000a0 +:01085800009f +:01085900009e +:01085a00009d +:01085b00009c +:01085c00009b +:01085d00009a +:01085e000099 +:01085f000098 +:010860000097 +:010861000096 +:010862000095 +:010863000094 +:010864000093 +:010865000092 +:010866000091 +:010867000090 +:01086800008f +:01086900008e +:01086a00008d +:01086b00008c +:01086c00008b +:01086d00008a +:01086e000089 +:01086f000088 +:010870000087 +:010871000086 +:010872000085 +:010873000084 +:010874000083 +:010875000082 +:010876000081 +:010877000080 +:01087800007f +:01087900007e +:01087a00007d +:01087b00007c +:01087c00007b +:01087d00007a +:01087e000079 +:01087f0090e8 +:010880000374 +:010881000076 +:010882000075 +:010883000074 +:010884000073 +:010885000072 +:010886000071 +:010887000070 +:01088800006f +:01088900006e +:01088a00006d +:01088b00006c +:01088c00006b +:01088d00006a +:01088e000069 +:01088f000068 +:010890000067 +:010891000066 +:010892000065 +:010893000064 +:010894000063 +:010895000062 +:010896000061 +:010897000060 +:01089800005f +:01089900005e +:01089a00005d +:01089b00005c +:01089c00005b +:01089d00005a +:01089e000059 +:01089f000058 +:0108a0000057 +:0108a1000056 +:0108a2000055 +:0108a3000054 +:0108a4000053 +:0108a5000052 +:0108a6000051 +:0108a7000050 +:0108a800004f +:0108a900004e +:0108aa00004d +:0108ab00004c +:0108ac00004b +:0108ad00004a +:0108ae000049 +:0108af000048 +:0108b0000047 +:0108b1000046 +:0108b2000045 +:0108b3000044 +:0108b4000043 +:0108b5000042 +:0108b6000041 +:0108b7000040 +:0108b800003f +:0108b900003e +:0108ba00003d +:0108bb00003c +:0108bc00003b +:0108bd00003a +:0108be000039 +:0108bf0090a8 +:0108c0000334 +:0108c1000036 +:0108c2000035 +:0108c3000034 +:0108c4000033 +:0108c5000032 +:0108c6000031 +:0108c7000030 +:0108c800002f +:0108c900002e +:0108ca00002d +:0108cb00002c +:0108cc00002b +:0108cd00002a +:0108ce000029 +:0108cf000028 +:0108d0000027 +:0108d1000026 +:0108d2000025 +:0108d3000024 +:0108d4000023 +:0108d5000022 +:0108d6000021 +:0108d7000020 +:0108d800001f +:0108d900001e +:0108da00001d +:0108db00001c +:0108dc00001b +:0108dd00001a +:0108de000019 +:0108df000018 +:0108e0000017 +:0108e1000016 +:0108e2000015 +:0108e3000014 +:0108e4000013 +:0108e5000012 +:0108e6000011 +:0108e7000010 +:0108e800000f +:0108e900000e +:0108ea00000d +:0108eb00000c +:0108ec00000b +:0108ed00000a +:0108ee000009 +:0108ef000008 +:0108f0000007 +:0108f1000006 +:0108f2000005 +:0108f3000004 +:0108f4000003 +:0108f5000002 +:0108f6000001 +:0108f7000000 +:0108f80000ff +:0108f90000fe +:0108fa0000fd +:0108fb0000fc +:0108fc0000fb +:0108fd0000fa +:0108fe0000f9 +:0108ff009068 +:0109000003f3 +:0109010000f5 +:0109020000f4 +:0109030000f3 +:0109040000f2 +:0109050000f1 +:0109060000f0 +:0109070000ef +:0109080000ee +:0109090000ed +:01090a0000ec +:01090b0000eb +:01090c0000ea +:01090d0000e9 +:01090e0000e8 +:01090f0000e7 +:0109100000e6 +:0109110000e5 +:0109120000e4 +:0109130000e3 +:0109140000e2 +:0109150000e1 +:0109160000e0 +:0109170000df +:0109180000de +:0109190000dd +:01091a0000dc +:01091b0000db +:01091c0000da +:01091d0000d9 +:01091e0000d8 +:01091f0000d7 +:0109200000d6 +:0109210000d5 +:0109220000d4 +:0109230000d3 +:0109240000d2 +:0109250000d1 +:0109260000d0 +:0109270000cf +:0109280000ce +:0109290000cd +:01092a0000cc +:01092b0000cb +:01092c0000ca +:01092d0000c9 +:01092e0000c8 +:01092f0000c7 +:0109300000c6 +:0109310000c5 +:0109320000c4 +:0109330000c3 +:0109340000c2 +:0109350000c1 +:0109360000c0 +:0109370000bf +:0109380000be +:0109390000bd +:01093a0000bc +:01093b0000bb +:01093c0000ba +:01093d0000b9 +:01093e0000b8 +:01093f009027 +:0109400003b3 +:0109410000b5 +:0109420000b4 +:0109430000b3 +:0109440000b2 +:0109450000b1 +:0109460000b0 +:0109470000af +:0109480000ae +:0109490000ad +:01094a0000ac +:01094b0000ab +:01094c0000aa +:01094d0000a9 +:01094e0000a8 +:01094f0000a7 +:0109500000a6 +:0109510000a5 +:0109520000a4 +:0109530000a3 +:0109540000a2 +:0109550000a1 +:0109560000a0 +:01095700009f +:01095800009e +:01095900009d +:01095a00009c +:01095b00009b +:01095c00009a +:01095d000099 +:01095e000098 +:01095f000097 +:010960000096 +:010961000095 +:010962000094 +:010963000093 +:010964000092 +:010965000091 +:010966000090 +:01096700008f +:01096800008e +:01096900008d +:01096a00008c +:01096b00008b +:01096c00008a +:01096d000089 +:01096e000088 +:01096f000087 +:010970000086 +:010971000085 +:010972000084 +:010973000083 +:010974000082 +:010975000081 +:010976000080 +:01097700007f +:01097800007e +:01097900007d +:01097a00007c +:01097b00007b +:01097c00007a +:01097d000079 +:01097e000078 +:01097f0090e7 +:010980000373 +:010981000075 +:010982000074 +:010983000073 +:010984000072 +:010985000071 +:010986000070 +:01098700006f +:01098800006e +:01098900006d +:01098a00006c +:01098b00006b +:01098c00006a +:01098d000069 +:01098e000068 +:01098f000067 +:010990000066 +:010991000065 +:010992000064 +:010993000063 +:010994000062 +:010995000061 +:010996000060 +:01099700005f +:01099800005e +:01099900005d +:01099a00005c +:01099b00005b +:01099c00005a +:01099d000059 +:01099e000058 +:01099f000057 +:0109a0000056 +:0109a1000055 +:0109a2000054 +:0109a3000053 +:0109a4000052 +:0109a5000051 +:0109a6000050 +:0109a700004f +:0109a800004e +:0109a900004d +:0109aa00004c +:0109ab00004b +:0109ac00004a +:0109ad000049 +:0109ae000048 +:0109af000047 +:0109b0000046 +:0109b1000045 +:0109b2000044 +:0109b3000043 +:0109b4000042 +:0109b5000041 +:0109b6000040 +:0109b700003f +:0109b800003e +:0109b900003d +:0109ba00003c +:0109bb00003b +:0109bc00003a +:0109bd000039 +:0109be000038 +:0109bf0090a7 +:0109c0000333 +:0109c1000035 +:0109c2000034 +:0109c3000033 +:0109c4000032 +:0109c5000031 +:0109c6000030 +:0109c700002f +:0109c800002e +:0109c900002d +:0109ca00002c +:0109cb00002b +:0109cc00002a +:0109cd000029 +:0109ce000028 +:0109cf000027 +:0109d0000026 +:0109d1000025 +:0109d2000024 +:0109d3000023 +:0109d4000022 +:0109d5000021 +:0109d6000020 +:0109d700001f +:0109d800001e +:0109d900001d +:0109da00001c +:0109db00001b +:0109dc00001a +:0109dd000019 +:0109de000018 +:0109df000017 +:0109e0000016 +:0109e1000015 +:0109e2000014 +:0109e3000013 +:0109e4000012 +:0109e5000011 +:0109e6000010 +:0109e700000f +:0109e800000e +:0109e900000d +:0109ea00000c +:0109eb00000b +:0109ec00000a +:0109ed000009 +:0109ee000008 +:0109ef000007 +:0109f0000006 +:0109f1000005 +:0109f2000004 +:0109f3000003 +:0109f4000002 +:0109f5000001 +:0109f6000000 +:0109f70000ff +:0109f80000fe +:0109f90000fd +:0109fa0000fc +:0109fb0000fb +:0109fc0000fa +:0109fd0000f9 +:0109fe0000f8 +:0109ff009067 +:010a000003f2 +:010a010000f4 +:010a020000f3 +:010a030000f2 +:010a040000f1 +:010a050000f0 +:010a060000ef +:010a070000ee +:010a080000ed +:010a090000ec +:010a0a0000eb +:010a0b0000ea +:010a0c0000e9 +:010a0d0000e8 +:010a0e0000e7 +:010a0f0000e6 +:010a100000e5 +:010a110000e4 +:010a120000e3 +:010a130000e2 +:010a140000e1 +:010a150000e0 +:010a160000df +:010a170000de +:010a180000dd +:010a190000dc +:010a1a0000db +:010a1b0000da +:010a1c0000d9 +:010a1d0000d8 +:010a1e0000d7 +:010a1f0000d6 +:010a200000d5 +:010a210000d4 +:010a220000d3 +:010a230000d2 +:010a240000d1 +:010a250000d0 +:010a260000cf +:010a270000ce +:010a280000cd +:010a290000cc +:010a2a0000cb +:010a2b0000ca +:010a2c0000c9 +:010a2d0000c8 +:010a2e0000c7 +:010a2f0000c6 +:010a300000c5 +:010a310000c4 +:010a320000c3 +:010a330000c2 +:010a340000c1 +:010a350000c0 +:010a360000bf +:010a370000be +:010a380000bd +:010a390000bc +:010a3a0000bb +:010a3b0000ba +:010a3c0000b9 +:010a3d0000b8 +:010a3e0000b7 +:010a3f009026 +:010a400003b2 +:010a410000b4 +:010a420000b3 +:010a430000b2 +:010a440000b1 +:010a450000b0 +:010a460000af +:010a470000ae +:010a480000ad +:010a490000ac +:010a4a0000ab +:010a4b0000aa +:010a4c0000a9 +:010a4d0000a8 +:010a4e0000a7 +:010a4f0000a6 +:010a500000a5 +:010a510000a4 +:010a520000a3 +:010a530000a2 +:010a540000a1 +:010a550000a0 +:010a5600009f +:010a5700009e +:010a5800009d +:010a5900009c +:010a5a00009b +:010a5b00009a +:010a5c000099 +:010a5d000098 +:010a5e000097 +:010a5f000096 +:010a60000095 +:010a61000094 +:010a62000093 +:010a63000092 +:010a64000091 +:010a65000090 +:010a6600008f +:010a6700008e +:010a6800008d +:010a6900008c +:010a6a00008b +:010a6b00008a +:010a6c000089 +:010a6d000088 +:010a6e000087 +:010a6f000086 +:010a70000085 +:010a71000084 +:010a72000083 +:010a73000082 +:010a74000081 +:010a75000080 +:010a7600007f +:010a7700007e +:010a7800007d +:010a7900007c +:010a7a00007b +:010a7b00007a +:010a7c000079 +:010a7d000078 +:010a7e000077 +:010a7f0090e6 +:010a80000372 +:010a81000074 +:010a82000073 +:010a83000072 +:010a84000071 +:010a85000070 +:010a8600006f +:010a8700006e +:010a8800006d +:010a8900006c +:010a8a00006b +:010a8b00006a +:010a8c000069 +:010a8d000068 +:010a8e000067 +:010a8f000066 +:010a90000065 +:010a91000064 +:010a92000063 +:010a93000062 +:010a94000061 +:010a95000060 +:010a9600005f +:010a9700005e +:010a9800005d +:010a9900005c +:010a9a00005b +:010a9b00005a +:010a9c000059 +:010a9d000058 +:010a9e000057 +:010a9f000056 +:010aa0000055 +:010aa1000054 +:010aa2000053 +:010aa3000052 +:010aa4000051 +:010aa5000050 +:010aa600004f +:010aa700004e +:010aa800004d +:010aa900004c +:010aaa00004b +:010aab00004a +:010aac000049 +:010aad000048 +:010aae000047 +:010aaf000046 +:010ab0000045 +:010ab1000044 +:010ab2000043 +:010ab3000042 +:010ab4000041 +:010ab5000040 +:010ab600003f +:010ab700003e +:010ab800003d +:010ab900003c +:010aba00003b +:010abb00003a +:010abc000039 +:010abd000038 +:010abe000037 +:010abf0090a6 +:010ac0000332 +:010ac1000034 +:010ac2000033 +:010ac3000032 +:010ac4000031 +:010ac5000030 +:010ac600002f +:010ac700002e +:010ac800002d +:010ac900002c +:010aca00002b +:010acb00002a +:010acc000029 +:010acd000028 +:010ace000027 +:010acf000026 +:010ad0000025 +:010ad1000024 +:010ad2000023 +:010ad3000022 +:010ad4000021 +:010ad5000020 +:010ad600001f +:010ad700001e +:010ad800001d +:010ad900001c +:010ada00001b +:010adb00001a +:010adc000019 +:010add000018 +:010ade000017 +:010adf000016 +:010ae0000015 +:010ae1000014 +:010ae2000013 +:010ae3000012 +:010ae4000011 +:010ae5000010 +:010ae600000f +:010ae700000e +:010ae800000d +:010ae900000c +:010aea00000b +:010aeb00000a +:010aec000009 +:010aed000008 +:010aee000007 +:010aef000006 +:010af0000005 +:010af1000004 +:010af2000003 +:010af3000002 +:010af4000001 +:010af5000000 +:010af60000ff +:010af70000fe +:010af80000fd +:010af90000fc +:010afa0000fb +:010afb0000fa +:010afc0000f9 +:010afd0000f8 +:010afe0000f7 +:010aff009066 +:010b000003f1 +:010b010000f3 +:010b020000f2 +:010b030000f1 +:010b040000f0 +:010b050000ef +:010b060000ee +:010b070000ed +:010b080000ec +:010b090000eb +:010b0a0000ea +:010b0b0000e9 +:010b0c0000e8 +:010b0d0000e7 +:010b0e0000e6 +:010b0f0000e5 +:010b100000e4 +:010b110000e3 +:010b120000e2 +:010b130000e1 +:010b140000e0 +:010b150000df +:010b160000de +:010b170000dd +:010b180000dc +:010b190000db +:010b1a0000da +:010b1b0000d9 +:010b1c0000d8 +:010b1d0000d7 +:010b1e0000d6 +:010b1f0000d5 +:010b200000d4 +:010b210000d3 +:010b220000d2 +:010b230000d1 +:010b240000d0 +:010b250000cf +:010b260000ce +:010b270000cd +:010b280000cc +:010b290000cb +:010b2a0000ca +:010b2b0000c9 +:010b2c0000c8 +:010b2d0000c7 +:010b2e0000c6 +:010b2f0000c5 +:010b300000c4 +:010b310000c3 +:010b320000c2 +:010b330000c1 +:010b340000c0 +:010b350000bf +:010b360000be +:010b370000bd +:010b380000bc +:010b390000bb +:010b3a0000ba +:010b3b0000b9 +:010b3c0000b8 +:010b3d0000b7 +:010b3e0000b6 +:010b3f009025 +:010b400003b1 +:010b410000b3 +:010b420000b2 +:010b430000b1 +:010b440000b0 +:010b450000af +:010b460000ae +:010b470000ad +:010b480000ac +:010b490000ab +:010b4a0000aa +:010b4b0000a9 +:010b4c0000a8 +:010b4d0000a7 +:010b4e0000a6 +:010b4f0000a5 +:010b500000a4 +:010b510000a3 +:010b520000a2 +:010b530000a1 +:010b540000a0 +:010b5500009f +:010b5600009e +:010b5700009d +:010b5800009c +:010b5900009b +:010b5a00009a +:010b5b000099 +:010b5c000098 +:010b5d000097 +:010b5e000096 +:010b5f000095 +:010b60000094 +:010b61000093 +:010b62000092 +:010b63000091 +:010b64000090 +:010b6500008f +:010b6600008e +:010b6700008d +:010b6800008c +:010b6900008b +:010b6a00008a +:010b6b000089 +:010b6c000088 +:010b6d000087 +:010b6e000086 +:010b6f000085 +:010b70000084 +:010b71000083 +:010b72000082 +:010b73000081 +:010b74000080 +:010b7500007f +:010b7600007e +:010b7700007d +:010b7800007c +:010b7900007b +:010b7a00007a +:010b7b000079 +:010b7c000078 +:010b7d000077 +:010b7e000076 +:010b7f0090e5 +:010b80000371 +:010b81000073 +:010b82000072 +:010b83000071 +:010b84000070 +:010b8500006f +:010b8600006e +:010b8700006d +:010b8800006c +:010b8900006b +:010b8a00006a +:010b8b000069 +:010b8c000068 +:010b8d000067 +:010b8e000066 +:010b8f000065 +:010b90000064 +:010b91000063 +:010b92000062 +:010b93000061 +:010b94000060 +:010b9500005f +:010b9600005e +:010b9700005d +:010b9800005c +:010b9900005b +:010b9a00005a +:010b9b000059 +:010b9c000058 +:010b9d000057 +:010b9e000056 +:010b9f000055 +:010ba0000054 +:010ba1000053 +:010ba2000052 +:010ba3000051 +:010ba4000050 +:010ba500004f +:010ba600004e +:010ba700004d +:010ba800004c +:010ba900004b +:010baa00004a +:010bab000049 +:010bac000048 +:010bad000047 +:010bae000046 +:010baf000045 +:010bb0000044 +:010bb1000043 +:010bb2000042 +:010bb3000041 +:010bb4000040 +:010bb500003f +:010bb600003e +:010bb700003d +:010bb800003c +:010bb900003b +:010bba00003a +:010bbb000039 +:010bbc000038 +:010bbd000037 +:010bbe000036 +:010bbf0090a5 +:010bc0000331 +:010bc1000033 +:010bc2000032 +:010bc3000031 +:010bc4000030 +:010bc500002f +:010bc600002e +:010bc700002d +:010bc800002c +:010bc900002b +:010bca00002a +:010bcb000029 +:010bcc000028 +:010bcd000027 +:010bce000026 +:010bcf000025 +:010bd0000024 +:010bd1000023 +:010bd2000022 +:010bd3000021 +:010bd4000020 +:010bd500001f +:010bd600001e +:010bd700001d +:010bd800001c +:010bd900001b +:010bda00001a +:010bdb000019 +:010bdc000018 +:010bdd000017 +:010bde000016 +:010bdf000015 +:010be0000014 +:010be1000013 +:010be2000012 +:010be3000011 +:010be4000010 +:010be500000f +:010be600000e +:010be700000d +:010be800000c +:010be900000b +:010bea00000a +:010beb000009 +:010bec000008 +:010bed000007 +:010bee000006 +:010bef000005 +:010bf0000004 +:010bf1000003 +:010bf2000002 +:010bf3000001 +:010bf4000000 +:010bf50000ff +:010bf60000fe +:010bf70000fd +:010bf80000fc +:010bf90000fb +:010bfa0000fa +:010bfb0000f9 +:010bfc0000f8 +:010bfd0000f7 +:010bfe0000f6 +:010bff009065 +:010c000003f0 +:010c010000f2 +:010c020000f1 +:010c030000f0 +:010c040000ef +:010c050000ee +:010c060000ed +:010c070000ec +:010c080000eb +:010c090000ea +:010c0a0000e9 +:010c0b0000e8 +:010c0c0000e7 +:010c0d0000e6 +:010c0e0000e5 +:010c0f0000e4 +:010c100000e3 +:010c110000e2 +:010c120000e1 +:010c130000e0 +:010c140000df +:010c150000de +:010c160000dd +:010c170000dc +:010c180000db +:010c190000da +:010c1a0000d9 +:010c1b0000d8 +:010c1c0000d7 +:010c1d0000d6 +:010c1e0000d5 +:010c1f0000d4 +:010c200000d3 +:010c210000d2 +:010c220000d1 +:010c230000d0 +:010c240000cf +:010c250000ce +:010c260000cd +:010c270000cc +:010c280000cb +:010c290000ca +:010c2a0000c9 +:010c2b0000c8 +:010c2c0000c7 +:010c2d0000c6 +:010c2e0000c5 +:010c2f0000c4 +:010c300000c3 +:010c310000c2 +:010c320000c1 +:010c330000c0 +:010c340000bf +:010c350000be +:010c360000bd +:010c370000bc +:010c380000bb +:010c390000ba +:010c3a0000b9 +:010c3b0000b8 +:010c3c0000b7 +:010c3d0000b6 +:010c3e0000b5 +:010c3f009024 +:010c400003b0 +:010c410000b2 +:010c420000b1 +:010c430000b0 +:010c440000af +:010c450000ae +:010c460000ad +:010c470000ac +:010c480000ab +:010c490000aa +:010c4a0000a9 +:010c4b0000a8 +:010c4c0000a7 +:010c4d0000a6 +:010c4e0000a5 +:010c4f0000a4 +:010c500000a3 +:010c510000a2 +:010c520000a1 +:010c530000a0 +:010c5400009f +:010c5500009e +:010c5600009d +:010c5700009c +:010c5800009b +:010c5900009a +:010c5a000099 +:010c5b000098 +:010c5c000097 +:010c5d000096 +:010c5e000095 +:010c5f000094 +:010c60000093 +:010c61000092 +:010c62000091 +:010c63000090 +:010c6400008f +:010c6500008e +:010c6600008d +:010c6700008c +:010c6800008b +:010c6900008a +:010c6a000089 +:010c6b000088 +:010c6c000087 +:010c6d000086 +:010c6e000085 +:010c6f000084 +:010c70000083 +:010c71000082 +:010c72000081 +:010c73000080 +:010c7400007f +:010c7500007e +:010c7600007d +:010c7700007c +:010c7800007b +:010c7900007a +:010c7a000079 +:010c7b000078 +:010c7c000077 +:010c7d000076 +:010c7e000075 +:010c7f0090e4 +:010c80000370 +:010c81000072 +:010c82000071 +:010c83000070 +:010c8400006f +:010c8500006e +:010c8600006d +:010c8700006c +:010c8800006b +:010c8900006a +:010c8a000069 +:010c8b000068 +:010c8c000067 +:010c8d000066 +:010c8e000065 +:010c8f000064 +:010c90000063 +:010c91000062 +:010c92000061 +:010c93000060 +:010c9400005f +:010c9500005e +:010c9600005d +:010c9700005c +:010c9800005b +:010c9900005a +:010c9a000059 +:010c9b000058 +:010c9c000057 +:010c9d000056 +:010c9e000055 +:010c9f000054 +:010ca0000053 +:010ca1000052 +:010ca2000051 +:010ca3000050 +:010ca400004f +:010ca500004e +:010ca600004d +:010ca700004c +:010ca800004b +:010ca900004a +:010caa000049 +:010cab000048 +:010cac000047 +:010cad000046 +:010cae000045 +:010caf000044 +:010cb0000043 +:010cb1000042 +:010cb2000041 +:010cb3000040 +:010cb400003f +:010cb500003e +:010cb600003d +:010cb700003c +:010cb800003b +:010cb900003a +:010cba000039 +:010cbb000038 +:010cbc000037 +:010cbd000036 +:010cbe000035 +:010cbf0090a4 +:010cc0000330 +:010cc1000032 +:010cc2000031 +:010cc3000030 +:010cc400002f +:010cc500002e +:010cc600002d +:010cc700002c +:010cc800002b +:010cc900002a +:010cca000029 +:010ccb000028 +:010ccc000027 +:010ccd000026 +:010cce000025 +:010ccf000024 +:010cd0000023 +:010cd1000022 +:010cd2000021 +:010cd3000020 +:010cd400001f +:010cd500001e +:010cd600001d +:010cd700001c +:010cd800001b +:010cd900001a +:010cda000019 +:010cdb000018 +:010cdc000017 +:010cdd000016 +:010cde000015 +:010cdf000014 +:010ce0000013 +:010ce1000012 +:010ce2000011 +:010ce3000010 +:010ce400000f +:010ce500000e +:010ce600000d +:010ce700000c +:010ce800000b +:010ce900000a +:010cea000009 +:010ceb000008 +:010cec000007 +:010ced000006 +:010cee000005 +:010cef000004 +:010cf0000003 +:010cf1000002 +:010cf2000001 +:010cf3000000 +:010cf40000ff +:010cf50000fe +:010cf60000fd +:010cf70000fc +:010cf80000fb +:010cf90000fa +:010cfa0000f9 +:010cfb0000f8 +:010cfc0000f7 +:010cfd0000f6 +:010cfe0000f5 +:010cff009064 +:010d000003ef +:010d010000f1 +:010d020000f0 +:010d030000ef +:010d040000ee +:010d050000ed +:010d060000ec +:010d070000eb +:010d080000ea +:010d090000e9 +:010d0a0000e8 +:010d0b0000e7 +:010d0c0000e6 +:010d0d0000e5 +:010d0e0000e4 +:010d0f0000e3 +:010d100000e2 +:010d110000e1 +:010d120000e0 +:010d130000df +:010d140000de +:010d150000dd +:010d160000dc +:010d170000db +:010d180000da +:010d190000d9 +:010d1a0000d8 +:010d1b0000d7 +:010d1c0000d6 +:010d1d0000d5 +:010d1e0000d4 +:010d1f0000d3 +:010d200000d2 +:010d210000d1 +:010d220000d0 +:010d230000cf +:010d240000ce +:010d250000cd +:010d260000cc +:010d270000cb +:010d280000ca +:010d290000c9 +:010d2a0000c8 +:010d2b0000c7 +:010d2c0000c6 +:010d2d0000c5 +:010d2e0000c4 +:010d2f0000c3 +:010d300000c2 +:010d310000c1 +:010d320000c0 +:010d330000bf +:010d340000be +:010d350000bd +:010d360000bc +:010d370000bb +:010d380000ba +:010d390000b9 +:010d3a0000b8 +:010d3b0000b7 +:010d3c0000b6 +:010d3d0000b5 +:010d3e0000b4 +:010d3f009023 +:010d400003af +:010d410000b1 +:010d420000b0 +:010d430000af +:010d440000ae +:010d450000ad +:010d460000ac +:010d470000ab +:010d480000aa +:010d490000a9 +:010d4a0000a8 +:010d4b0000a7 +:010d4c0000a6 +:010d4d0000a5 +:010d4e0000a4 +:010d4f0000a3 +:010d500000a2 +:010d510000a1 +:010d520000a0 +:010d5300009f +:010d5400009e +:010d5500009d +:010d5600009c +:010d5700009b +:010d5800009a +:010d59000099 +:010d5a000098 +:010d5b000097 +:010d5c000096 +:010d5d000095 +:010d5e000094 +:010d5f000093 +:010d60000092 +:010d61000091 +:010d62000090 +:010d6300008f +:010d6400008e +:010d6500008d +:010d6600008c +:010d6700008b +:010d6800008a +:010d69000089 +:010d6a000088 +:010d6b000087 +:010d6c000086 +:010d6d000085 +:010d6e000084 +:010d6f000083 +:010d70000082 +:010d71000081 +:010d72000080 +:010d7300007f +:010d7400007e +:010d7500007d +:010d7600007c +:010d7700007b +:010d7800007a +:010d79000079 +:010d7a000078 +:010d7b000077 +:010d7c000076 +:010d7d000075 +:010d7e000074 +:010d7f0090e3 +:010d8000036f +:010d81000071 +:010d82000070 +:010d8300006f +:010d8400006e +:010d8500006d +:010d8600006c +:010d8700006b +:010d8800006a +:010d89000069 +:010d8a000068 +:010d8b000067 +:010d8c000066 +:010d8d000065 +:010d8e000064 +:010d8f000063 +:010d90000062 +:010d91000061 +:010d92000060 +:010d9300005f +:010d9400005e +:010d9500005d +:010d9600005c +:010d9700005b +:010d9800005a +:010d99000059 +:010d9a000058 +:010d9b000057 +:010d9c000056 +:010d9d000055 +:010d9e000054 +:010d9f000053 +:010da0000052 +:010da1000051 +:010da2000050 +:010da300004f +:010da400004e +:010da500004d +:010da600004c +:010da700004b +:010da800004a +:010da9000049 +:010daa000048 +:010dab000047 +:010dac000046 +:010dad000045 +:010dae000044 +:010daf000043 +:010db0000042 +:010db1000041 +:010db2000040 +:010db300003f +:010db400003e +:010db500003d +:010db600003c +:010db700003b +:010db800003a +:010db9000039 +:010dba000038 +:010dbb000037 +:010dbc000036 +:010dbd000035 +:010dbe000034 +:010dbf0090a3 +:010dc000032f +:010dc1000031 +:010dc2000030 +:010dc300002f +:010dc400002e +:010dc500002d +:010dc600002c +:010dc700002b +:010dc800002a +:010dc9000029 +:010dca000028 +:010dcb000027 +:010dcc000026 +:010dcd000025 +:010dce000024 +:010dcf000023 +:010dd0000022 +:010dd1000021 +:010dd2000020 +:010dd300001f +:010dd400001e +:010dd500001d +:010dd600001c +:010dd700001b +:010dd800001a +:010dd9000019 +:010dda000018 +:010ddb000017 +:010ddc000016 +:010ddd000015 +:010dde000014 +:010ddf000013 +:010de0000012 +:010de1000011 +:010de2000010 +:010de300000f +:010de400000e +:010de500000d +:010de600000c +:010de700000b +:010de800000a +:010de9000009 +:010dea000008 +:010deb000007 +:010dec000006 +:010ded000005 +:010dee000004 +:010def000003 +:010df0000002 +:010df1000001 +:010df2000000 +:010df30000ff +:010df40000fe +:010df50000fd +:010df60000fc +:010df70000fb +:010df80000fa +:010df90000f9 +:010dfa0000f8 +:010dfb0000f7 +:010dfc0000f6 +:010dfd0000f5 +:010dfe0000f4 +:010dff009063 +:010e000003ee +:010e010000f0 +:010e020000ef +:010e030000ee +:010e040000ed +:010e050000ec +:010e060000eb +:010e070000ea +:010e080000e9 +:010e090000e8 +:010e0a0000e7 +:010e0b0000e6 +:010e0c0000e5 +:010e0d0000e4 +:010e0e0000e3 +:010e0f0000e2 +:010e100000e1 +:010e110000e0 +:010e120000df +:010e130000de +:010e140000dd +:010e150000dc +:010e160000db +:010e170000da +:010e180000d9 +:010e190000d8 +:010e1a0000d7 +:010e1b0000d6 +:010e1c0000d5 +:010e1d0000d4 +:010e1e0000d3 +:010e1f0000d2 +:010e200000d1 +:010e210000d0 +:010e220000cf +:010e230000ce +:010e240000cd +:010e250000cc +:010e260000cb +:010e270000ca +:010e280000c9 +:010e290000c8 +:010e2a0000c7 +:010e2b0000c6 +:010e2c0000c5 +:010e2d0000c4 +:010e2e0000c3 +:010e2f0000c2 +:010e300000c1 +:010e310000c0 +:010e320000bf +:010e330000be +:010e340000bd +:010e350000bc +:010e360000bb +:010e370000ba +:010e380000b9 +:010e390000b8 +:010e3a0000b7 +:010e3b0000b6 +:010e3c0000b5 +:010e3d0000b4 +:010e3e0000b3 +:010e3f009022 +:010e400003ae +:010e410000b0 +:010e420000af +:010e430000ae +:010e440000ad +:010e450000ac +:010e460000ab +:010e470000aa +:010e480000a9 +:010e490000a8 +:010e4a0000a7 +:010e4b0000a6 +:010e4c0000a5 +:010e4d0000a4 +:010e4e0000a3 +:010e4f0000a2 +:010e500000a1 +:010e510000a0 +:010e5200009f +:010e5300009e +:010e5400009d +:010e5500009c +:010e5600009b +:010e5700009a +:010e58000099 +:010e59000098 +:010e5a000097 +:010e5b000096 +:010e5c000095 +:010e5d000094 +:010e5e000093 +:010e5f000092 +:010e60000091 +:010e61000090 +:010e6200008f +:010e6300008e +:010e6400008d +:010e6500008c +:010e6600008b +:010e6700008a +:010e68000089 +:010e69000088 +:010e6a000087 +:010e6b000086 +:010e6c000085 +:010e6d000084 +:010e6e000083 +:010e6f000082 +:010e70000081 +:010e71000080 +:010e7200007f +:010e7300007e +:010e7400007d +:010e7500007c +:010e7600007b +:010e7700007a +:010e78000079 +:010e79000078 +:010e7a000077 +:010e7b000076 +:010e7c000075 +:010e7d000074 +:010e7e000073 +:010e7f0090e2 +:010e8000036e +:010e81000070 +:010e8200006f +:010e8300006e +:010e8400006d +:010e8500006c +:010e8600006b +:010e8700006a +:010e88000069 +:010e89000068 +:010e8a000067 +:010e8b000066 +:010e8c000065 +:010e8d000064 +:010e8e000063 +:010e8f000062 +:010e90000061 +:010e91000060 +:010e9200005f +:010e9300005e +:010e9400005d +:010e9500005c +:010e9600005b +:010e9700005a +:010e98000059 +:010e99000058 +:010e9a000057 +:010e9b000056 +:010e9c000055 +:010e9d000054 +:010e9e000053 +:010e9f000052 +:010ea0000051 +:010ea1000050 +:010ea200004f +:010ea300004e +:010ea400004d +:010ea500004c +:010ea600004b +:010ea700004a +:010ea8000049 +:010ea9000048 +:010eaa000047 +:010eab000046 +:010eac000045 +:010ead000044 +:010eae000043 +:010eaf000042 +:010eb0000041 +:010eb1000040 +:010eb200003f +:010eb300003e +:010eb400003d +:010eb500003c +:010eb600003b +:010eb700003a +:010eb8000039 +:010eb9000038 +:010eba000037 +:010ebb000036 +:010ebc000035 +:010ebd000034 +:010ebe000033 +:010ebf0090a2 +:010ec000032e +:010ec1000030 +:010ec200002f +:010ec300002e +:010ec400002d +:010ec500002c +:010ec600002b +:010ec700002a +:010ec8000029 +:010ec9000028 +:010eca000027 +:010ecb000026 +:010ecc000025 +:010ecd000024 +:010ece000023 +:010ecf000022 +:010ed0000021 +:010ed1000020 +:010ed200001f +:010ed300001e +:010ed400001d +:010ed500001c +:010ed600001b +:010ed700001a +:010ed8000019 +:010ed9000018 +:010eda000017 +:010edb000016 +:010edc000015 +:010edd000014 +:010ede000013 +:010edf000012 +:010ee0000011 +:010ee1000010 +:010ee200000f +:010ee300000e +:010ee400000d +:010ee500000c +:010ee600000b +:010ee700000a +:010ee8000009 +:010ee9000008 +:010eea000007 +:010eeb000006 +:010eec000005 +:010eed000004 +:010eee000003 +:010eef000002 +:010ef0000001 +:010ef1000000 +:010ef20000ff +:010ef30000fe +:010ef40000fd +:010ef50000fc +:010ef60000fb +:010ef70000fa +:010ef80000f9 +:010ef90000f8 +:010efa0000f7 +:010efb0000f6 +:010efc0000f5 +:010efd0000f4 +:010efe0000f3 +:010eff009062 +:010f000003ed +:010f010000ef +:010f020000ee +:010f030000ed +:010f040000ec +:010f050000eb +:010f060000ea +:010f070000e9 +:010f080000e8 +:010f090000e7 +:010f0a0000e6 +:010f0b0000e5 +:010f0c0000e4 +:010f0d0000e3 +:010f0e0000e2 +:010f0f0000e1 +:010f100000e0 +:010f110000df +:010f120000de +:010f130000dd +:010f140000dc +:010f150000db +:010f160000da +:010f170000d9 +:010f180000d8 +:010f190000d7 +:010f1a0000d6 +:010f1b0000d5 +:010f1c0000d4 +:010f1d0000d3 +:010f1e0000d2 +:010f1f0000d1 +:010f200000d0 +:010f210000cf +:010f220000ce +:010f230000cd +:010f240000cc +:010f250000cb +:010f260000ca +:010f270000c9 +:010f280000c8 +:010f290000c7 +:010f2a0000c6 +:010f2b0000c5 +:010f2c0000c4 +:010f2d0000c3 +:010f2e0000c2 +:010f2f0000c1 +:010f300000c0 +:010f310000bf +:010f320000be +:010f330000bd +:010f340000bc +:010f350000bb +:010f360000ba +:010f370000b9 +:010f380000b8 +:010f390000b7 +:010f3a0000b6 +:010f3b0000b5 +:010f3c0000b4 +:010f3d0000b3 +:010f3e0000b2 +:010f3f009021 +:010f400003ad +:010f410000af +:010f420000ae +:010f430000ad +:010f440000ac +:010f450000ab +:010f460000aa +:010f470000a9 +:010f480000a8 +:010f490000a7 +:010f4a0000a6 +:010f4b0000a5 +:010f4c0000a4 +:010f4d0000a3 +:010f4e0000a2 +:010f4f0000a1 +:010f500000a0 +:010f5100009f +:010f5200009e +:010f5300009d +:010f5400009c +:010f5500009b +:010f5600009a +:010f57000099 +:010f58000098 +:010f59000097 +:010f5a000096 +:010f5b000095 +:010f5c000094 +:010f5d000093 +:010f5e000092 +:010f5f000091 +:010f60000090 +:010f6100008f +:010f6200008e +:010f6300008d +:010f6400008c +:010f6500008b +:010f6600008a +:010f67000089 +:010f68000088 +:010f69000087 +:010f6a000086 +:010f6b000085 +:010f6c000084 +:010f6d000083 +:010f6e000082 +:010f6f000081 +:010f70000080 +:010f7100007f +:010f7200007e +:010f7300007d +:010f7400007c +:010f7500007b +:010f7600007a +:010f77000079 +:010f78000078 +:010f79000077 +:010f7a000076 +:010f7b000075 +:010f7c000074 +:010f7d000073 +:010f7e000072 +:010f7f0090e1 +:010f8000036d +:010f8100006f +:010f8200006e +:010f8300006d +:010f8400006c +:010f8500006b +:010f8600006a +:010f87000069 +:010f88000068 +:010f89000067 +:010f8a000066 +:010f8b000065 +:010f8c000064 +:010f8d000063 +:010f8e000062 +:010f8f000061 +:010f90000060 +:010f9100005f +:010f9200005e +:010f9300005d +:010f9400005c +:010f9500005b +:010f9600005a +:010f97000059 +:010f98000058 +:010f99000057 +:010f9a000056 +:010f9b000055 +:010f9c000054 +:010f9d000053 +:010f9e000052 +:010f9f000051 +:010fa0000050 +:010fa100004f +:010fa200004e +:010fa300004d +:010fa400004c +:010fa500004b +:010fa600004a +:010fa7000049 +:010fa8000048 +:010fa9000047 +:010faa000046 +:010fab000045 +:010fac000044 +:010fad000043 +:010fae000042 +:010faf000041 +:010fb0000040 +:010fb100003f +:010fb200003e +:010fb300003d +:010fb400003c +:010fb500003b +:010fb600003a +:010fb7000039 +:010fb8000038 +:010fb9000037 +:010fba000036 +:010fbb000035 +:010fbc000034 +:010fbd000033 +:010fbe000032 +:010fbf0090a1 +:010fc000e050 +:010fc100e04f +:010fc200e04e +:010fc300e04d +:010fc400e04c +:010fc500e04b +:010fc600e04a +:010fc700e049 +:010fc800e048 +:010fc900e047 +:010fca00e046 +:010fcb00e045 +:010fcc00e044 +:010fcd00e043 +:010fce00e042 +:010fcf00e041 +:010fd000e040 +:010fd100e03f +:010fd200e03e +:010fd300e03d +:010fd400e03c +:010fd500e03b +:010fd600e03a +:010fd700e039 +:010fd800e038 +:010fd900e037 +:010fda00e036 +:010fdb00e035 +:010fdc00e034 +:010fdd00e033 +:010fde00e032 +:010fdf00e031 +:010fe000e030 +:010fe100e02f +:010fe200e02e +:010fe300e02d +:010fe400e02c +:010fe500e02b +:010fe600e02a +:010fe700e029 +:010fe800e028 +:010fe900e027 +:010fea00e026 +:010feb00e025 +:010fec00e024 +:010fed00e023 +:010fee00e022 +:010fef00e021 +:010ff000e020 +:010ff100e01f +:010ff200e01e +:010ff300e01d +:010ff400e01c +:010ff500e01b +:010ff600e01a +:010ff700e019 +:010ff800e018 +:010ff900e017 +:010ffa00e016 +:010ffb00e015 +:010ffc00e014 +:010ffd00e013 +:010ffe00e012 +:010fff00e011 +:00000001ff diff --git a/bsp2/Designflow/src/vga_arc.vhd b/bsp2/Designflow/src/vga_arc.vhd new file mode 100644 index 0000000..3d2d158 --- /dev/null +++ b/bsp2/Designflow/src/vga_arc.vhd @@ -0,0 +1,223 @@ + ------------------------------------------------------------------------------- +-- Title : vga architecture +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-04-07 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: arch of top level module, the sub-modules are connected here +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-04-07 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; -- include package + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- + +architecture behav of vga is + + attribute syn_preserve : boolean; + attribute syn_preserve of behav : architecture is true; + + +------------------------------------------------------------------------------- +-- component declarations for the modules +------------------------------------------------------------------------------- + + component vga_driver + port ( + clk : in std_logic; + reset : in std_logic; + column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + h_enable : out std_logic; + v_enable : out std_logic; + hsync : out std_logic; + vsync : out std_logic; + d_hsync_state : out hsync_state_type; + d_vsync_state : out vsync_state_type; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter : out std_logic; + d_set_vsync_counter : out std_logic; + d_set_column_counter : out std_logic; + d_set_line_counter : out std_logic); + end component; + + + component vga_control + port ( + clk : in std_logic; + reset : in std_logic; + column_counter : in std_logic_vector(COL_CNT_WIDTH-1 downto 0); + line_counter : in std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + h_enable : in std_logic; + v_enable : in std_logic; + toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0); + toggle : out std_logic; + r, g, b : out std_logic + ); + end component; + + + component board_driver + port ( + reset : in std_logic; + seven_seg : out std_logic_vector(2*SEG_WIDTH-1 downto 0)); + end component; + + +-- declare signals needed for internal wiring of these components later + signal column_counter_sig : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal line_counter_sig : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal h_enable_sig : std_logic; + signal v_enable_sig : std_logic; + signal r_sig, g_sig, b_sig : std_logic; + signal hsync_sig, vsync_sig : std_logic; + +-- declare signals needed for prolongation of reset + signal dly_counter : std_logic_vector(1 downto 0); + signal dly_counter_next : std_logic_vector(1 downto 0); + constant MAX_DLY : std_logic_vector(1 downto 0) := "11"; + signal reset_dly : std_logic; -- + signal safe_reset : std_logic; + + +------------------------------------------------------------------------------- +-- prolong duration of reset to prevent glitches at power-up +------------------------------------------------------------------------------- + +begin + + DELAY_RESET_syn : process(clk_pin) -- synchronous capture + begin + if clk_pin'event and clk_pin = '1' then -- upon rising clock + dly_counter <= dly_counter_next; -- ... capture new counter value + end if; + end process; + + DELAY_RESET_next : process(dly_counter, reset_pin) -- next state logic + begin + if reset_pin = RES_ACT then -- upon reset + dly_counter_next <= (others => '0'); -- ...clear dly counter + elsif dly_counter < MAX_DLY then -- if no oflo + dly_counter_next <= dly_counter + '1'; -- ...increment dly counter + else + dly_counter_next <= dly_counter; -- freeze dly counter when oflo + end if; + end process; + + DELAY_RESET_out: process(dly_counter) + begin + if dly_counter < MAX_DLY then -- until dly counter reaches maximum + reset_dly <= RES_ACT; -- ...activate delayed reset signal + else -- upon counter oflo + reset_dly <= not(RES_ACT); -- ...finally deactivate delayed reset + end if; + end process; + + + + COMBINE_RESET: process(reset_pin, reset_dly) -- generate "safe" reset signal + begin + if reset_pin = RES_ACT or reset_dly = RES_ACT then -- ...by combining delayed reset with non-delayed reset input + safe_reset <= RES_ACT; + else + safe_reset <= not(RES_ACT); + end if; + end process; + + +------------------------------------------------------------------------------- +-- instantiate the components and connect to internal and external signals +------------------------------------------------------------------------------- + + +board_driver_unit : board_driver + port map ( + reset => safe_reset, + seven_seg => seven_seg_pin); + + +vga_driver_unit : vga_driver + port map ( + clk => clk_pin, + reset => safe_reset, + column_counter => column_counter_sig, + line_counter => line_counter_sig, + h_enable => h_enable_sig, + v_enable => v_enable_sig, + hsync => hsync_sig, + vsync => vsync_sig, + d_hsync_state => d_hsync_state, + d_vsync_state => d_vsync_state, + d_hsync_counter => d_hsync_counter, + d_vsync_counter => d_vsync_counter, + d_set_hsync_counter => d_set_hsync_counter, + d_set_vsync_counter => d_set_vsync_counter, + d_set_column_counter => d_set_column_counter, + d_set_line_counter => d_set_line_counter); + +-- make the wiring for hsync and vsync pins +-- (pin is output only => internal _sig version required to allow readback of signal) + vsync_pin <= vsync_sig; + hsync_pin <= hsync_sig; + + + vga_control_unit : vga_control + port map ( + clk => clk_pin, + reset => safe_reset, + column_counter => column_counter_sig, + line_counter => line_counter_sig, + h_enable => h_enable_sig, + v_enable => v_enable_sig, + toggle_counter => d_toggle_counter, + toggle => d_toggle, + r => r_sig, + g => g_sig, + b => b_sig); + +-- make the wiring for RGB pins: drive all pins for same color from one source ("8 color mode") + r0_pin <= r_sig; r1_pin <= r_sig; r2_pin <= r_sig; + g0_pin <= g_sig; g1_pin <= g_sig; g2_pin <= g_sig; + b0_pin <= b_sig; b1_pin <= b_sig; + + +-- make extra pin connections for debug signals + d_hsync <= hsync_sig; -- make duplicate of signal for debug connector + d_vsync <= vsync_sig; -- make duplicate of signal for debug connector + d_column_counter <= column_counter_sig; + d_line_counter <= line_counter_sig; + d_h_enable <= h_enable_sig; + d_v_enable <= v_enable_sig; + d_r <= r_sig; + d_g <= g_sig; + d_b <= b_sig; + d_state_clk <= clk_pin; -- make duplicate of signal for debug connector + + +end behav; + +------------------------------------------------------------------------------- +-- END ARCHITECTURE +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_beh_tb.vhd b/bsp2/Designflow/src/vga_beh_tb.vhd new file mode 100644 index 0000000..9530bed --- /dev/null +++ b/bsp2/Designflow/src/vga_beh_tb.vhd @@ -0,0 +1,194 @@ +------------------------------------------------------------------------------- +-- Title : vga testbench +-- Project : +------------------------------------------------------------------------------- +-- File : vga_tb.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-04-07 +-- Last update: 2006-09-29 +-- Platform : +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-04-07 1.0 handl Created +------------------------------------------------------------------------------- + + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- +entity vga_tb is + +end vga_tb; + + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- +architecture behaviour of vga_tb is + + constant cc : time := 39.7 ns; -- test clock period + component vga + port ( + clk_pin : in std_logic; + reset_pin : in std_logic; + r0_pin, r1_pin, r2_pin : out std_logic; + g0_pin, g1_pin, g2_pin : out std_logic; + b0_pin, b1_pin : out std_logic; + hsync_pin : out std_logic; + vsync_pin : out std_logic; + seven_seg_pin : out std_logic_vector(2*SEG_WIDTH-1 downto 0); + d_hsync, d_vsync : out std_logic; + d_column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + d_line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + d_set_column_counter, d_set_line_counter : out std_logic; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter, d_set_vsync_counter : out std_logic; + d_h_enable : out std_logic; + d_v_enable : out std_logic; + d_r, d_g, d_b : out std_logic; + d_hsync_state : out hsync_state_type; + d_vsync_state : out vsync_state_type; + d_state_clk : out std_logic; + d_toggle : out std_logic; + d_toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0)); + end component; + + signal clk_pin : std_logic; + signal reset_pin : std_logic; + signal r0_pin, r1_pin, r2_pin : std_logic; + signal g0_pin, g1_pin, g2_pin : std_logic; + signal b0_pin, b1_pin : std_logic; + signal hsync_pin : std_logic; + signal vsync_pin : std_logic; + signal seven_seg_pin : std_logic_vector(2*SEG_WIDTH-1 downto 0); + signal d_hsync, d_vsync : std_logic; + signal d_column_counter : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal d_line_counter : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal d_set_column_counter, d_set_line_counter : std_logic; + signal d_hsync_counter : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + signal d_vsync_counter : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + signal d_set_hsync_counter, d_set_vsync_counter : std_logic; + signal d_h_enable : std_logic; + signal d_v_enable : std_logic; + signal d_r, d_g, d_b : std_logic; + signal d_hsync_state : hsync_state_type; + signal d_vsync_state : vsync_state_type; + signal d_state_clk : std_logic; + signal d_toggle : std_logic; + signal d_toggle_counter : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); + + +begin + + vga_unit: vga + port map ( + clk_pin => clk_pin, + reset_pin => reset_pin, + r0_pin => r0_pin, + r1_pin => r1_pin, + r2_pin => r2_pin, + g0_pin => g0_pin, + g1_pin => g1_pin, + g2_pin => g2_pin, + b0_pin => b0_pin, + b1_pin => b1_pin, + hsync_pin => hsync_pin, + vsync_pin => vsync_pin, + seven_seg_pin => seven_seg_pin, + d_hsync => d_hsync, + d_vsync => d_vsync, + d_column_counter => d_column_counter, + d_line_counter => d_line_counter, + d_set_column_counter => d_set_column_counter, + d_set_line_counter => d_set_line_counter, + d_hsync_counter => d_hsync_counter, + d_vsync_counter => d_vsync_counter, + d_set_hsync_counter => d_set_hsync_counter, + d_set_vsync_counter => d_set_vsync_counter, + d_h_enable => d_h_enable, + d_v_enable => d_v_enable, + d_r => d_r, + d_g => d_g, + d_b => d_b, + d_hsync_state => d_hsync_state, + d_vsync_state => d_vsync_state, + d_state_clk => d_state_clk, + d_toggle => d_toggle, + d_toggle_counter => d_toggle_counter); + + +------------------------------------------------------------------------------- +-- generate simulation clock +------------------------------------------------------------------------------- + CLKGEN : process + begin + clk_pin <= '1'; + wait for cc/2; + clk_pin <= '0'; + wait for cc/2; + end process CLKGEN; + +------------------------------------------------------------------------------- +-- test the design +------------------------------------------------------------------------------- + TEST_IT : process + + -- wait for n clock cycles + procedure icwait(cycles : natural) is + begin + for i in 1 to cycles loop + wait until clk_pin = '1' and clk_pin'event; + end loop; + end; + + begin + ----------------------------------------------------------------------------- + -- initial reset + ----------------------------------------------------------------------------- + reset_pin <= '0'; + icwait(10); + reset_pin <= '1'; + icwait(10000000); + + --------------------------------------------------------------------------- + -- exit testbench + --------------------------------------------------------------------------- + assert false + report "Test finished" + severity error; + + end process test_it; + +end behaviour; + + +------------------------------------------------------------------------------- +-- configuration +------------------------------------------------------------------------------- +configuration vga_conf_beh of vga_tb is + for behaviour + for vga_unit : vga use entity work.vga(behav); + end for; + end for; +end vga_conf_beh; + + diff --git a/bsp2/Designflow/src/vga_control_arc.vhd b/bsp2/Designflow/src/vga_control_arc.vhd new file mode 100644 index 0000000..6329c7e --- /dev/null +++ b/bsp2/Designflow/src/vga_control_arc.vhd @@ -0,0 +1,129 @@ +------------------------------------------------------------------------------- +-- Title : vga_control architecture +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_control.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: generation of colors (RGB) +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- + +architecture behav of vga_control is + + + attribute syn_preserve : boolean; + attribute syn_preserve of behav : architecture is true; + + + -- signal and constant declarations + signal r_next, g_next, b_next : std_logic; -- auxiliary signals for next state logic + signal toggle_sig : std_logic; -- auxiliary signal to allow read back of toggle + signal toggle_counter_sig : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); -- auxiliary signal to allow read back of blinker + signal toggle_next : std_logic; -- auxiliary signal for next state logic + signal toggle_counter_next : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); -- auxiliary signal for next state logic +-- constant HALFPERIOD : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "1100000000010001111011000"; + constant HALFPERIOD : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "0000101101110001101100000"; + -- define half period of toggle frequency in clock ticks + +begin + ----------------------------------------------------------------------------- + -- draw rectangle on screen + ----------------------------------------------------------------------------- + + DRAW_SQUARE_syn: process(clk, reset) + begin + if (reset = RES_ACT) then -- draw black screen upon reset + r <= COLR_OFF; + g <= COLR_OFF; + b <= COLR_OFF; + elsif (clk'event and clk = '1') then -- synchronous capture + r <= r_next; + g <= g_next; + b <= b_next; + end if; + end process; + + + DRAW_SQUARE_next: process (column_counter, line_counter, v_enable, h_enable, toggle_sig) + begin + if v_enable = ENABLE and h_enable = ENABLE then + if (column_counter >= X_MIN and column_counter <= X_MAX and -- if pixel within the rectangle borders + line_counter >= Y_MIN and line_counter <= Y_MAX) then + r_next <= toggle_sig; -- ...red + g_next <= COLR_OFF; -- ...green + b_next <= not toggle_sig; -- ...blue + else -- if somewhere else on screen... + r_next <= COLR_OFF; + g_next <= COLR_OFF; -- ... draw background color + b_next <= COLR_OFF; + end if; + else -- if out of screen... + r_next <= COLR_OFF; + g_next <= COLR_OFF; -- ... do not activate any color + b_next <= COLR_OFF; -- (black screen) + end if; + end process; + + + ----------------------------------------------------------------------------- + -- control blinking of rectangle + ----------------------------------------------------------------------------- + + BLINKER_syn: process(clk, reset) + begin + if (reset = RES_ACT) then -- asyn reset + toggle_counter_sig <= (others => '0'); + toggle_sig <= COLR_OFF; + elsif(clk'event and clk = '1') then -- synchronous capture + toggle_counter_sig <= toggle_counter_next; + toggle_sig <= toggle_next; + end if; + end process; + + + BLINKER_next : process(toggle_counter_sig, toggle_sig) + begin + if toggle_counter_sig >= HALFPERIOD then -- after half period ... + toggle_counter_next <= (others => '0'); -- ... clear counter + toggle_next <= not(toggle_sig); -- ... and toggle colour. + else -- before half period ... + toggle_counter_next <= toggle_counter_sig + '1'; -- ... increment counter + toggle_next <= toggle_sig; -- ... and hold colour + end if; + end process; + + +-- assign auxiliary signals to module outputs +toggle <= toggle_sig; +toggle_counter <= toggle_counter_sig; + +end behav; + +------------------------------------------------------------------------------- +-- END ARCHITECTURE +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_control_arc.vhd~ b/bsp2/Designflow/src/vga_control_arc.vhd~ new file mode 100644 index 0000000..69a192e --- /dev/null +++ b/bsp2/Designflow/src/vga_control_arc.vhd~ @@ -0,0 +1,129 @@ +------------------------------------------------------------------------------- +-- Title : vga_control architecture +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_control.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: generation of colors (RGB) +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- + +architecture behav of vga_control is + + + attribute syn_preserve : boolean; + attribute syn_preserve of behav : architecture is true; + + + -- signal and constant declarations + signal r_next, g_next, b_next : std_logic; -- auxiliary signals for next state logic + signal toggle_sig : std_logic; -- auxiliary signal to allow read back of toggle + signal toggle_counter_sig : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); -- auxiliary signal to allow read back of blinker + signal toggle_next : std_logic; -- auxiliary signal for next state logic + signal toggle_counter_next : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); -- auxiliary signal for next state logic +-- constant HALFPERIOD : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "1100000000010001111011000"; + constant HALFPERIOD : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "101101110001101100000"; + -- define half period of toggle frequency in clock ticks + +begin + ----------------------------------------------------------------------------- + -- draw rectangle on screen + ----------------------------------------------------------------------------- + + DRAW_SQUARE_syn: process(clk, reset) + begin + if (reset = RES_ACT) then -- draw black screen upon reset + r <= COLR_OFF; + g <= COLR_OFF; + b <= COLR_OFF; + elsif (clk'event and clk = '1') then -- synchronous capture + r <= r_next; + g <= g_next; + b <= b_next; + end if; + end process; + + + DRAW_SQUARE_next: process (column_counter, line_counter, v_enable, h_enable, toggle_sig) + begin + if v_enable = ENABLE and h_enable = ENABLE then + if (column_counter >= X_MIN and column_counter <= X_MAX and -- if pixel within the rectangle borders + line_counter >= Y_MIN and line_counter <= Y_MAX) then + r_next <= toggle_sig; -- ...red + g_next <= COLR_OFF; -- ...green + b_next <= not toggle_sig; -- ...blue + else -- if somewhere else on screen... + r_next <= COLR_OFF; + g_next <= COLR_OFF; -- ... draw background color + b_next <= COLR_OFF; + end if; + else -- if out of screen... + r_next <= COLR_OFF; + g_next <= COLR_OFF; -- ... do not activate any color + b_next <= COLR_OFF; -- (black screen) + end if; + end process; + + + ----------------------------------------------------------------------------- + -- control blinking of rectangle + ----------------------------------------------------------------------------- + + BLINKER_syn: process(clk, reset) + begin + if (reset = RES_ACT) then -- asyn reset + toggle_counter_sig <= (others => '0'); + toggle_sig <= COLR_OFF; + elsif(clk'event and clk = '1') then -- synchronous capture + toggle_counter_sig <= toggle_counter_next; + toggle_sig <= toggle_next; + end if; + end process; + + + BLINKER_next : process(toggle_counter_sig, toggle_sig) + begin + if toggle_counter_sig >= HALFPERIOD then -- after half period ... + toggle_counter_next <= (others => '0'); -- ... clear counter + toggle_next <= not(toggle_sig); -- ... and toggle colour. + else -- before half period ... + toggle_counter_next <= toggle_counter_sig + '1'; -- ... increment counter + toggle_next <= toggle_sig; -- ... and hold colour + end if; + end process; + + +-- assign auxiliary signals to module outputs +toggle <= toggle_sig; +toggle_counter <= toggle_counter_sig; + +end behav; + +------------------------------------------------------------------------------- +-- END ARCHITECTURE +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_control_ent.vhd b/bsp2/Designflow/src/vga_control_ent.vhd new file mode 100644 index 0000000..2ff5a0a --- /dev/null +++ b/bsp2/Designflow/src/vga_control_ent.vhd @@ -0,0 +1,53 @@ +------------------------------------------------------------------------------- +-- Title : vga_control entity +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_control_ent.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: generation of colors (RGB) +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- + + +entity vga_control is + port(clk : in std_logic; + reset : in std_logic; + column_counter : in std_logic_vector(COL_CNT_WIDTH-1 downto 0); + toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0); + toggle : out std_logic; + line_counter : in std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + v_enable : in std_logic; + h_enable : in std_logic; + r, g, b : out std_logic + ); + +end vga_control; + +------------------------------------------------------------------------------- +-- END ENTITY +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_driver_arc.vhd b/bsp2/Designflow/src/vga_driver_arc.vhd new file mode 100644 index 0000000..1b89ac1 --- /dev/null +++ b/bsp2/Designflow/src/vga_driver_arc.vhd @@ -0,0 +1,402 @@ +------------------------------------------------------------------------------- +-- Title : vga_driver architecture +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_driver.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-01-24 +------------------------------------------------------------------------------- +-- Description: generate hsync and vsync +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-01-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- + +architecture behav of vga_driver is + + attribute syn_preserve : boolean; + attribute syn_preserve of behav : architecture is true; + + constant TIME_A : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1100011111"; + constant TIME_B : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "0001011010"; + constant TIME_BC : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "0010000111"; + constant TIME_BCD : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1100000111"; + + constant TIME_O : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1000001000"; + constant TIME_P : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "0000000001"; + constant TIME_PQ : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "0000100001"; + constant TIME_PQR : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1000000001"; + + signal h_sync : std_logic; + signal h_sync_next : std_logic; + + signal hsync_state : hsync_state_type; + signal hsync_state_next : hsync_state_type; + + signal h_enable_sig : std_logic; + signal h_enable_next : std_logic; + + signal set_hsync_counter : std_logic; + signal hsync_counter : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + signal hsync_counter_next : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + constant HSYN_CNT_MAX : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1111111111"; + + signal column_counter_sig : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal column_counter_next : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal set_column_counter : std_logic; + + signal v_sync : std_logic; + signal v_sync_next : std_logic; + + signal vsync_state : vsync_state_type; + signal vsync_state_next : vsync_state_type; + + signal v_enable_sig : std_logic; + signal v_enable_next : std_logic; + + signal set_vsync_counter : std_logic; + signal vsync_counter : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + signal vsync_counter_next : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + constant VSYN_CNT_MAX : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1111111111"; + + signal line_counter_sig : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal line_counter_next : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal set_line_counter : std_logic; + + + +begin + +---------------------------------------------------------------------------- +-- Column_Counter [0..639]: calculates column number for next pixel to be displayed +---------------------------------------------------------------------------- + + COLUMN_COUNT_syn: process(clk, reset, column_counter_next) + begin + if clk'event and clk = '1' then + if reset = RES_ACT then -- synchronous reset + column_counter_sig <= (others => '0'); + else + column_counter_sig <= column_counter_next; -- synchronous capture + end if; + end if; + end process; + + COLUMN_COUNT_next: process(set_column_counter, column_counter_sig) + begin + if set_column_counter = ENABLE then -- reset counter + column_counter_next <= (others => '0'); + else + if column_counter_sig < RIGHT_BORDER then + column_counter_next <= column_counter_sig + '1'; -- increment column + else + column_counter_next <= RIGHT_BORDER; -- ... but do not count beyond right border + end if; + end if; + end process; + +---------------------------------------------------------------------------- +-- Line_counter [0..479]: calculates line number for next pixel to be displayed +---------------------------------------------------------------------------- + + LINE_COUNT_syn: process(clk, reset, line_counter_next) + begin + if clk'event and clk = '1' then + if reset = RES_ACT then -- synchronous reset + line_counter_sig <= (others => '0'); + else + line_counter_sig <= line_counter_next; -- synchronous capture + end if; + end if; + end process; + + LINE_COUNT_next: process(set_line_counter, line_counter_sig, set_hsync_counter) + begin + if set_line_counter = ENABLE then -- reset counter + line_counter_next <= (others => '0'); + else + if line_counter_sig < BOTTOM_BORDER then + if set_hsync_counter = '1' then -- when enabled + line_counter_next <= line_counter_sig + '1'; -- ... increment line + else + line_counter_next <= line_counter_sig; + end if; + else + line_counter_next <= BOTTOM_BORDER; -- ... but do not count below bottom + end if; + end if; + end process; + + +---------------------------------------------------------------------------- +-- Hsync_Counter: generates time base for HSYNC State Machine +---------------------------------------------------------------------------- + + HSYNC_COUNT_syn: process(clk, reset, hsync_counter_next) + begin + if clk'event and clk = '1' then + if reset = RES_ACT then -- synchronous reset + hsync_counter <= (others => '0'); + else + hsync_counter <= hsync_counter_next; -- synchronous capture + end if; + end if; + end process; + + HSYNC_COUNT_next: process(set_hsync_counter, hsync_counter) + begin + if set_hsync_counter = ENABLE then -- reset counter + hsync_counter_next <= (others => '0'); + else + if hsync_counter < HSYN_CNT_MAX then + hsync_counter_next <= hsync_counter + '1'; -- increment time + else + hsync_counter_next <= HSYN_CNT_MAX; -- ... but do not count beyond max period + end if; + end if; + end process; + + +---------------------------------------------------------------------------- +-- HSYNC STATE MACHINE: generates hsync signal and controls hsync counter & column counter +---------------------------------------------------------------------------- + + HSYNC_FSM_syn: process (clk, reset) -- synchronous capture + begin + if clk'event and clk = '1' then + if reset = RES_ACT then + hsync_state <= RESET_STATE; + h_sync <= '1'; + v_enable_sig <= not(ENABLE); + else + hsync_state <= hsync_state_next; + h_sync <= h_sync_next; + v_enable_sig <= v_enable_next; + end if; + end if; + end process; + + HSYNC_FSM_next : process(hsync_state, hsync_counter, h_sync, v_enable_sig) -- next-state logic + begin -- default assignments + hsync_state_next <= hsync_state; -- ... hold current state + h_sync_next <= h_sync; -- ... and values + v_enable_next <= v_enable_sig; + + case hsync_state is + when RESET_STATE => + h_sync_next <= '0'; -- next signal values are defined here + v_enable_next <= not(ENABLE); + hsync_state_next <= B_STATE; -- ... as well as state transitions + when B_STATE => + h_sync_next <= '0'; + if hsync_counter = TIME_B then + hsync_state_next <= C_STATE; + end if; + when C_STATE => + h_sync_next <= '1'; + if hsync_counter = TIME_BC then + hsync_state_next <= pre_D_STATE; + end if; + when pre_D_STATE => + v_enable_next <= ENABLE; + hsync_state_next <= D_STATE; + when D_STATE => + v_enable_next <= ENABLE; + if hsync_counter = TIME_BCD then + hsync_state_next <= E_STATE; + end if; + when E_STATE => + v_enable_next <= not(ENABLE); + if hsync_counter = TIME_A then + hsync_state_next <= pre_B_STATE; + end if; + when pre_B_STATE => + h_sync_next <= '0'; + v_enable_next <= not(ENABLE); + hsync_state_next <= B_STATE; + when others => + null; + end case; + end process; + + HSYNC_FSM_out : process(hsync_state) -- output logic + begin + set_hsync_counter <= not(ENABLE); -- default assignments + set_column_counter <= not(ENABLE); + + case hsync_state is + when RESET_STATE => -- outputs for each state are defined here + set_hsync_counter <= ENABLE; + when pre_D_STATE => + set_column_counter <= ENABLE; + when pre_B_STATE => + set_hsync_counter <= ENABLE; + when others => + null; + end case; + end process; + + +---------------------------------------------------------------------------- +-- Vsync_Counter: generates time base for VSYNC State Machine +---------------------------------------------------------------------------- + + VSYNC_COUNT_syn: process(clk, reset, vsync_counter_next) + begin + if clk'event and clk = '1' then + if reset = RES_ACT then -- synchronous reset + vsync_counter <= (others => '0'); + else + vsync_counter <= vsync_counter_next; -- synchronous capture + end if; + end if; + end process; + + VSYNC_COUNT_next: process(set_vsync_counter, vsync_counter, set_hsync_counter) + begin + if set_vsync_counter = ENABLE then -- reset counter + vsync_counter_next <= (others => '0'); + else + if vsync_counter < VSYN_CNT_MAX then + if set_hsync_counter = '1' then -- if enabled + vsync_counter_next <= vsync_counter + '1'; -- ... increment time + else + vsync_counter_next <= vsync_counter; + end if; + else + vsync_counter_next <= VSYN_CNT_MAX; -- ... but do not count beyond max period + end if; + end if; + end process; + + +---------------------------------------------------------------------------- +-- VSYNC STATE MACHINE: generates vsync signal and controls vsync counter & line counter +---------------------------------------------------------------------------- + + VSYNC_FSM_syn : process (clk, reset) -- synchronous capture + begin + if clk'event and clk = '1' then + if reset = RES_ACT then + vsync_state <= RESET_STATE; + v_sync <= '1'; + h_enable_sig <= not(ENABLE); + else + vsync_state <= vsync_state_next; + v_sync <= v_sync_next; + h_enable_sig <= h_enable_next; + end if; + end if; + end process; + + VSYNC_FSM_next : process(vsync_state, vsync_counter, v_sync, h_enable_sig) + begin -- next state logic + vsync_state_next <= vsync_state; -- default assignments + v_sync_next <= v_sync; + h_enable_next <= h_enable_sig; + + case vsync_state is -- state transitions and next signals are defined here + when RESET_STATE => + v_sync_next <= '0'; + h_enable_next <= not(ENABLE); + vsync_state_next <= P_STATE; + when P_STATE => + v_sync_next <= '0'; + if vsync_counter = time_p then + vsync_state_next <= Q_STATE; + end if; + when Q_STATE => + v_sync_next <= '1'; + if vsync_counter = time_pq then + vsync_state_next <= pre_R_STATE; + end if; + when pre_R_STATE => + h_enable_next <= ENABLE; + vsync_state_next <= R_STATE; + when R_STATE => + h_enable_next <= ENABLE; + if vsync_counter = time_pqr then + vsync_state_next <= S_STATE; + end if; + when S_STATE => + h_enable_next <= not(ENABLE); + if vsync_counter = time_o then + vsync_state_next <= pre_P_STATE; + end if; + when pre_P_STATE => + v_sync_next <= '0'; + h_enable_next <= not(ENABLE); + vsync_state_next <= P_STATE; + when others => + null; + end case; + end process; + + VSYNC_FSM_out : process(vsync_state) + begin -- output logic + set_vsync_counter <= not(ENABLE); -- output values for each state defined here + set_line_counter <= not(ENABLE); + + case vsync_state is + when RESET_STATE => + set_vsync_counter <= ENABLE; + when pre_R_STATE => + set_line_counter <= ENABLE; + when pre_P_STATE => + set_vsync_counter <= ENABLE; + when others => + null; + end case; + end process; + + + +-- signal wiring for entity (introduced _sig to allow readback of output signals) + + column_counter <= column_counter_sig; + v_enable <= v_enable_sig; + line_counter <= line_counter_sig; + h_enable <= h_enable_sig; + + + hsync <= h_sync; + vsync <= v_sync; + + ----------------------------------------------------------------------------- + -- debug signals + ----------------------------------------------------------------------------- + d_hsync_state <= hsync_state; + d_vsync_state <= vsync_state; + d_hsync_counter <= hsync_counter; + d_vsync_counter <= vsync_counter; + d_set_hsync_counter <= set_hsync_counter; + d_set_vsync_counter <= set_vsync_counter; + d_set_column_counter <= set_column_counter; + d_set_line_counter <= set_line_counter; + +end behav; + +------------------------------------------------------------------------------- +-- END ARCHITECTURE +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_driver_ent.vhd b/bsp2/Designflow/src/vga_driver_ent.vhd new file mode 100644 index 0000000..f4c00be --- /dev/null +++ b/bsp2/Designflow/src/vga_driver_ent.vhd @@ -0,0 +1,60 @@ +------------------------------------------------------------------------------- +-- Title : vga_driver entity +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_driver_ent.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-12-15 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: generate vsync and hsync +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-12-15 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- + + +entity vga_driver is + port(clk : in std_logic; + reset : in std_logic; + column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + h_enable : out std_logic; + v_enable : out std_logic; + hsync, vsync : out std_logic; + + d_hsync_state : out hsync_state_type; + d_vsync_state : out vsync_state_type; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter : out std_logic; + d_set_vsync_counter : out std_logic; + d_set_column_counter : out std_logic; + d_set_line_counter : out std_logic + ); + +end vga_driver; + +------------------------------------------------------------------------------- +-- END ENTITY +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_ent.vhd b/bsp2/Designflow/src/vga_ent.vhd new file mode 100644 index 0000000..a32ebc0 --- /dev/null +++ b/bsp2/Designflow/src/vga_ent.vhd @@ -0,0 +1,73 @@ +------------------------------------------------------------------------------- +-- Title : vga entitiy +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_ent.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-04-07 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: entity of top level module, external pins defined here +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-04-07 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- + +entity vga is + port( +-- input pins from PCB board + clk_pin : in std_logic; -- clock pin + reset_pin : in std_logic; -- reset pins (from switch) +-- output pins to RGB connector / VGA screen + r0_pin, r1_pin, r2_pin : out std_logic; -- to RGB connector "red" + g0_pin, g1_pin, g2_pin : out std_logic; -- to RGB connector "green" + b0_pin, b1_pin : out std_logic; -- to RGB connector "blue" + hsync_pin : out std_logic; -- to RGB connector "Hsync" + vsync_pin : out std_logic; -- to RGB connector "Vsync" +-- output pins to 7-segment display + seven_seg_pin : out std_logic_vector(2*SEG_WIDTH-1 downto 0); +-- output pins provided for debugging only / logic analyzer + d_hsync, d_vsync : out std_logic; -- copy of hsync_pin, vsync_pin + d_column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + d_line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + d_set_column_counter, d_set_line_counter : out std_logic; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter, d_set_vsync_counter : out std_logic; + d_h_enable : out std_logic; + d_v_enable : out std_logic; + d_r, d_g, d_b : out std_logic; + d_hsync_state : out hsync_state_type; + d_vsync_state : out vsync_state_type; + d_state_clk : out std_logic; + d_toggle : out std_logic; + d_toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0) + ); + +end vga; + +------------------------------------------------------------------------------- +-- END ENTITY +------------------------------------------------------------------------------- diff --git a/bsp2/Designflow/src/vga_pak.vhd b/bsp2/Designflow/src/vga_pak.vhd new file mode 100644 index 0000000..61c8adf --- /dev/null +++ b/bsp2/Designflow/src/vga_pak.vhd @@ -0,0 +1,85 @@ +------------------------------------------------------------------------------- +-- Title : vga package +-- Project : LU Digital Design +------------------------------------------------------------------------------- +-- File : vga_pak.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-08-19 +-- Last update: 2006-02-24 +------------------------------------------------------------------------------- +-- Description: definitions of global constants and enumerated types +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-08-19 1.0 handl Created +-- 2006-02-24 2.0 ST revised +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + + +------------------------------------------------------------------------------- +-- PACKAGE +------------------------------------------------------------------------------- + +package vga_pak is + + constant RES_ACT : std_logic := '0'; -- define reset active LO + constant ENABLE : std_logic := '1'; -- define diverse enable HI + constant COLR_ON : std_logic := '1'; -- define VGA color on as HI + constant COLR_OFF : std_logic := '0'; -- define VGA color off as LO + constant SEG_WIDTH : integer := 7; -- display has 7 segments + constant BCD_WIDTH : integer := 4; -- BCD number has 4 bit + constant TOG_CNT_WIDTH : integer := 25; -- bitwidth of counter that controls blinking + + constant COL_CNT_WIDTH : integer := 10; -- width of the column counter + constant LINE_CNT_WIDTH : integer := 9; -- width of the line counter + constant HSYN_CNT_WIDTH : integer := 10; -- width of the h-sync counter + constant VSYN_CNT_WIDTH : integer := 10; -- width of the v-sync counter + + constant RIGHT_BORDER: std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "1001111111"; -- 640 columns (0...639) + constant BOTTOM_BORDER: std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "111011111"; -- 480 lines (0...479) + + -- define coordinates of rectangle + constant X_MIN : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0001100100"; -- 100 + constant X_MAX : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0011001000"; -- 200 + constant Y_MIN : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "001100100"; + constant Y_MAX : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "011001000"; + + -- define emumerated types for state machines + type hsync_state_type is (RESET_STATE, B_STATE, C_STATE, D_STATE, E_STATE, + pre_D_STATE, pre_B_STATE); + type vsync_state_type is (RESET_STATE, P_STATE, Q_STATE, R_STATE, S_STATE, + pre_R_STATE, pre_P_STATE); + + -- Definitions for 7-segment display gfedcba + constant DIGIT_ZERO : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000000"; + constant DIGIT_ONE : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111001"; + constant DIGIT_TWO : std_logic_vector(SEG_WIDTH-1 downto 0) := "0100100"; + constant DIGIT_THREE : std_logic_vector(SEG_WIDTH-1 downto 0) := "0110000"; + constant DIGIT_FOUR : std_logic_vector(SEG_WIDTH-1 downto 0) := "0011001"; + constant DIGIT_FIVE : std_logic_vector(SEG_WIDTH-1 downto 0) := "0010010"; + constant DIGIT_SIX : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000010"; + constant DIGIT_SEVEN : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111000"; + constant DIGIT_EIGHT : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000000"; + constant DIGIT_NINE : std_logic_vector(SEG_WIDTH-1 downto 0) := "0011000"; + constant DIGIT_MINUS : std_logic_vector(SEG_WIDTH-1 downto 0) := "0111111"; + constant DIGIT_A : std_logic_vector(SEG_WIDTH-1 downto 0) := "0001000"; + constant DIGIT_B : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000011"; + constant DIGIT_C : std_logic_vector(SEG_WIDTH-1 downto 0) := "0110001"; + constant DIGIT_D : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000010"; + constant DIGIT_E : std_logic_vector(SEG_WIDTH-1 downto 0) := "1001111"; + constant DIGIT_F : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000111"; + constant DIGIT_OFF : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111111"; + +end package; diff --git a/bsp2/Designflow/src/vga_pll.bdf b/bsp2/Designflow/src/vga_pll.bdf new file mode 100755 index 0000000..906c435 --- /dev/null +++ b/bsp2/Designflow/src/vga_pll.bdf @@ -0,0 +1,847 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2006 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.3")) +(pin + (input) + (rect 248 80 416 96) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "board_clk" (rect 5 0 52 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 256 136 304 152)) +) +(pin + (input) + (rect 544 96 712 112) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 29 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 512 176 560 192)) +) +(pin + (output) + (rect 928 256 1148 272) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_hsync" (rect 90 0 132 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 96 1188 112)) +) +(pin + (output) + (rect 928 272 1148 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_vsync" (rect 90 0 133 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 112 1188 128)) +) +(pin + (output) + (rect 928 288 1148 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_column_counter[9..0]" (rect 90 0 205 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 128 1188 272)) +) +(pin + (output) + (rect 928 320 1148 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_set_column_counter" (rect 90 0 200 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 160 1196 176)) +) +(pin + (output) + (rect 928 336 1148 352) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_set_line_counter" (rect 90 0 182 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 176 1188 192)) +) +(pin + (output) + (rect 928 352 1148 368) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_hsync_counter[9..0]" (rect 90 0 200 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 192 1196 248)) +) +(pin + (output) + (rect 928 368 1142 384) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_vsync_counter[9..0]" (rect 90 0 202 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 208 1182 264)) +) +(pin + (output) + (rect 928 384 1142 400) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_set_hsync_counter" (rect 90 0 196 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 224 1182 240)) +) +(pin + (output) + (rect 928 400 1142 416) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_set_vsync_counter" (rect 90 0 197 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 240 1182 256)) +) +(pin + (output) + (rect 928 448 1142 464) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_r" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 288 1182 304)) +) +(pin + (output) + (rect 928 464 1142 480) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_g" (rect 90 0 107 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 304 1182 320)) +) +(pin + (output) + (rect 928 480 1142 496) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_b" (rect 90 0 107 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 320 1182 336)) +) +(pin + (output) + (rect 928 496 1142 512) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_hsync_state[0..6]" (rect 90 0 189 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1216 480 1264 584)) +) +(pin + (output) + (rect 928 512 1136 528) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_vsync_state[0..6]" (rect 90 0 190 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1256 352 1312 456)) +) +(pin + (output) + (rect 928 304 1148 320) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_line_counter[8..0]" (rect 90 0 186 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1140 144 1188 272)) +) +(pin + (output) + (rect 928 416 1142 432) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_h_enable" (rect 90 0 145 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 256 1182 272)) +) +(pin + (output) + (rect 928 432 1142 448) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_v_enable" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1134 272 1182 288)) +) +(pin + (output) + (rect 928 528 1148 544) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_state_clk" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1404 88 1452 104)) +) +(pin + (output) + (rect 928 544 1148 560) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_toggle" (rect 90 0 131 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1468 112 1516 128)) +) +(pin + (output) + (rect 928 80 1104 96) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "r0_pin" (rect 90 0 119 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 400 1144 416)) +) +(pin + (output) + (rect 928 96 1104 112) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "r1_pin" (rect 90 0 119 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 416 1144 432)) +) +(pin + (output) + (rect 928 112 1104 128) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "r2_pin" (rect 90 0 119 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 432 1144 448)) +) +(pin + (output) + (rect 928 128 1104 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "g0_pin" (rect 90 0 121 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 448 1144 464)) +) +(pin + (output) + (rect 928 144 1104 160) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "g1_pin" (rect 90 0 121 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 464 1144 480)) +) +(pin + (output) + (rect 928 160 1104 176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "g2_pin" (rect 90 0 121 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 480 1144 496)) +) +(pin + (output) + (rect 928 176 1104 192) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "b0_pin" (rect 90 0 121 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 496 1144 512)) +) +(pin + (output) + (rect 928 192 1104 208) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "b1_pin" (rect 90 0 121 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 512 1144 528)) +) +(pin + (output) + (rect 928 240 1148 256) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "seven_seg_pin[13..0]" (rect 90 0 196 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1508 472 1572 672)) +) +(pin + (output) + (rect 928 560 1148 576) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d_toggle_counter[24..0]" (rect 90 0 205 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1492 128 1548 288)) +) +(pin + (output) + (rect 928 208 1104 224) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "hsync_pin" (rect 90 0 140 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 528 1144 544)) +) +(pin + (output) + (rect 928 224 1104 240) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "vsync_pin" (rect 90 0 141 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 1096 544 1144 560)) +) +(symbol + (rect 712 56 928 600) + (text "vga" (rect 5 0 23 12)(font "Arial" )) + (text "inst" (rect 8 528 25 540)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk_pin" (rect 0 0 34 12)(font "Arial" )) + (text "clk_pin" (rect 21 27 55 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "reset_pin" (rect 0 0 44 12)(font "Arial" )) + (text "reset_pin" (rect 21 43 65 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 216 32) + (output) + (text "r0_pin" (rect 0 0 29 12)(font "Arial" )) + (text "r0_pin" (rect 166 27 195 39)(font "Arial" )) + (line (pt 216 32)(pt 200 32)(line_width 1)) + ) + (port + (pt 216 48) + (output) + (text "r1_pin" (rect 0 0 29 12)(font "Arial" )) + (text "r1_pin" (rect 166 43 195 55)(font "Arial" )) + (line (pt 216 48)(pt 200 48)(line_width 1)) + ) + (port + (pt 216 64) + (output) + (text "r2_pin" (rect 0 0 29 12)(font "Arial" )) + (text "r2_pin" (rect 166 59 195 71)(font "Arial" )) + (line (pt 216 64)(pt 200 64)(line_width 1)) + ) + (port + (pt 216 80) + (output) + (text "g0_pin" (rect 0 0 31 12)(font "Arial" )) + (text "g0_pin" (rect 164 75 195 87)(font "Arial" )) + (line (pt 216 80)(pt 200 80)(line_width 1)) + ) + (port + (pt 216 96) + (output) + (text "g1_pin" (rect 0 0 31 12)(font "Arial" )) + (text "g1_pin" (rect 164 91 195 103)(font "Arial" )) + (line (pt 216 96)(pt 200 96)(line_width 1)) + ) + (port + (pt 216 112) + (output) + (text "g2_pin" (rect 0 0 31 12)(font "Arial" )) + (text "g2_pin" (rect 164 107 195 119)(font "Arial" )) + (line (pt 216 112)(pt 200 112)(line_width 1)) + ) + (port + (pt 216 128) + (output) + (text "b0_pin" (rect 0 0 31 12)(font "Arial" )) + (text "b0_pin" (rect 164 123 195 135)(font "Arial" )) + (line (pt 216 128)(pt 200 128)(line_width 1)) + ) + (port + (pt 216 144) + (output) + (text "b1_pin" (rect 0 0 31 12)(font "Arial" )) + (text "b1_pin" (rect 164 139 195 151)(font "Arial" )) + (line (pt 216 144)(pt 200 144)(line_width 1)) + ) + (port + (pt 216 160) + (output) + (text "hsync_pin" (rect 0 0 50 12)(font "Arial" )) + (text "hsync_pin" (rect 145 155 195 167)(font "Arial" )) + (line (pt 216 160)(pt 200 160)(line_width 1)) + ) + (port + (pt 216 176) + (output) + (text "vsync_pin" (rect 0 0 51 12)(font "Arial" )) + (text "vsync_pin" (rect 144 171 195 183)(font "Arial" )) + (line (pt 216 176)(pt 200 176)(line_width 1)) + ) + (port + (pt 216 192) + (output) + (text "seven_seg_pin[13..0]" (rect 0 0 106 12)(font "Arial" )) + (text "seven_seg_pin[13..0]" (rect 89 187 195 199)(font "Arial" )) + (line (pt 216 192)(pt 200 192)(line_width 3)) + ) + (port + (pt 216 208) + (output) + (text "d_hsync" (rect 0 0 42 12)(font "Arial" )) + (text "d_hsync" (rect 153 203 195 215)(font "Arial" )) + (line (pt 216 208)(pt 200 208)(line_width 1)) + ) + (port + (pt 216 224) + (output) + (text "d_vsync" (rect 0 0 43 12)(font "Arial" )) + (text "d_vsync" (rect 152 219 195 231)(font "Arial" )) + (line (pt 216 224)(pt 200 224)(line_width 1)) + ) + (port + (pt 216 240) + (output) + (text "d_column_counter[9..0]" (rect 0 0 115 12)(font "Arial" )) + (text "d_column_counter[9..0]" (rect 80 235 195 247)(font "Arial" )) + (line (pt 216 240)(pt 200 240)(line_width 3)) + ) + (port + (pt 216 256) + (output) + (text "d_line_counter[8..0]" (rect 0 0 96 12)(font "Arial" )) + (text "d_line_counter[8..0]" (rect 99 251 195 263)(font "Arial" )) + (line (pt 216 256)(pt 200 256)(line_width 3)) + ) + (port + (pt 216 272) + (output) + (text "d_set_column_counter" (rect 0 0 110 12)(font "Arial" )) + (text "d_set_column_counter" (rect 85 267 195 279)(font "Arial" )) + (line (pt 216 272)(pt 200 272)(line_width 1)) + ) + (port + (pt 216 288) + (output) + (text "d_set_line_counter" (rect 0 0 92 12)(font "Arial" )) + (text "d_set_line_counter" (rect 103 283 195 295)(font "Arial" )) + (line (pt 216 288)(pt 200 288)(line_width 1)) + ) + (port + (pt 216 304) + (output) + (text "d_hsync_counter[9..0]" (rect 0 0 110 12)(font "Arial" )) + (text "d_hsync_counter[9..0]" (rect 85 299 195 311)(font "Arial" )) + (line (pt 216 304)(pt 200 304)(line_width 3)) + ) + (port + (pt 216 320) + (output) + (text "d_vsync_counter[9..0]" (rect 0 0 112 12)(font "Arial" )) + (text "d_vsync_counter[9..0]" (rect 83 315 195 327)(font "Arial" )) + (line (pt 216 320)(pt 200 320)(line_width 3)) + ) + (port + (pt 216 336) + (output) + (text "d_set_hsync_counter" (rect 0 0 106 12)(font "Arial" )) + (text "d_set_hsync_counter" (rect 89 331 195 343)(font "Arial" )) + (line (pt 216 336)(pt 200 336)(line_width 1)) + ) + (port + (pt 216 352) + (output) + (text "d_set_vsync_counter" (rect 0 0 107 12)(font "Arial" )) + (text "d_set_vsync_counter" (rect 88 347 195 359)(font "Arial" )) + (line (pt 216 352)(pt 200 352)(line_width 1)) + ) + (port + (pt 216 368) + (output) + (text "d_h_enable" (rect 0 0 55 12)(font "Arial" )) + (text "d_h_enable" (rect 140 363 195 375)(font "Arial" )) + (line (pt 216 368)(pt 200 368)(line_width 1)) + ) + (port + (pt 216 384) + (output) + (text "d_v_enable" (rect 0 0 56 12)(font "Arial" )) + (text "d_v_enable" (rect 139 379 195 391)(font "Arial" )) + (line (pt 216 384)(pt 200 384)(line_width 1)) + ) + (port + (pt 216 400) + (output) + (text "d_r" (rect 0 0 15 12)(font "Arial" )) + (text "d_r" (rect 180 395 195 407)(font "Arial" )) + (line (pt 216 400)(pt 200 400)(line_width 1)) + ) + (port + (pt 216 416) + (output) + (text "d_g" (rect 0 0 17 12)(font "Arial" )) + (text "d_g" (rect 178 411 195 423)(font "Arial" )) + (line (pt 216 416)(pt 200 416)(line_width 1)) + ) + (port + (pt 216 432) + (output) + (text "d_b" (rect 0 0 17 12)(font "Arial" )) + (text "d_b" (rect 178 427 195 439)(font "Arial" )) + (line (pt 216 432)(pt 200 432)(line_width 1)) + ) + (port + (pt 216 448) + (output) + (text "d_hsync_state[0..6]" (rect 0 0 99 12)(font "Arial" )) + (text "d_hsync_state[0..6]" (rect 96 443 195 455)(font "Arial" )) + (line (pt 216 448)(pt 200 448)(line_width 3)) + ) + (port + (pt 216 464) + (output) + (text "d_vsync_state[0..6]" (rect 0 0 100 12)(font "Arial" )) + (text "d_vsync_state[0..6]" (rect 95 459 195 471)(font "Arial" )) + (line (pt 216 464)(pt 200 464)(line_width 3)) + ) + (port + (pt 216 480) + (output) + (text "d_state_clk" (rect 0 0 56 12)(font "Arial" )) + (text "d_state_clk" (rect 139 475 195 487)(font "Arial" )) + (line (pt 216 480)(pt 200 480)(line_width 1)) + ) + (port + (pt 216 496) + (output) + (text "d_toggle" (rect 0 0 41 12)(font "Arial" )) + (text "d_toggle" (rect 154 491 195 503)(font "Arial" )) + (line (pt 216 496)(pt 200 496)(line_width 1)) + ) + (port + (pt 216 512) + (output) + (text "d_toggle_counter[24..0]" (rect 0 0 115 12)(font "Arial" )) + (text "d_toggle_counter[24..0]" (rect 80 507 195 519)(font "Arial" )) + (line (pt 216 512)(pt 200 512)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 200 528)(line_width 1)) + ) +) +(symbol + (rect 416 56 512 152) + (text "vpll" (rect 5 0 22 12)(font "Arial" )) + (text "inst1" (rect 8 80 31 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "inclk0" (rect 0 0 28 12)(font "Arial" )) + (text "inclk0" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "c0" (rect 0 0 11 12)(font "Arial" )) + (text "c0" (rect 64 27 75 39)(font "Arial" )) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) +(connector + (pt 512 88) + (pt 712 88) +) diff --git a/bsp2/Designflow/src/vga_pll.tcl b/bsp2/Designflow/src/vga_pll.tcl new file mode 100755 index 0000000..c260434 --- /dev/null +++ b/bsp2/Designflow/src/vga_pll.tcl @@ -0,0 +1,184 @@ +# Copyright (C) 1991-2006 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + +# Quartus II: Generate Tcl File for Project +# File: vga_pll.tcl +# Generated on: Fri Sep 29 09:31:24 2006 + +# Load Quartus II Tcl Project package +package require ::quartus::project +package require ::quartus::flow + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "vga_pll"]} { + puts "Project vga_pll is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists vga_pll]} { + project_open -cmp vga_pll vga_pll + } else { + project_new -cmp vga_pll vga_pll + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + catch { set_global_assignment -name FAMILY Stratix } result + catch { set_global_assignment -name DEVICE EP1S25F672C6 } result + catch { set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10 SEPTEMBER 29, 2006" } result + catch { set_global_assignment -name LAST_QUARTUS_VERSION 6.0 } result + catch { set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" } result + catch { set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis } result + catch { set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" } result + catch { set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation } result + catch { set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation } result + catch { set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA } result + catch { set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 } result + catch { set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 } result + catch { set_global_assignment -name BSF_FILE ../../src/vpll.bsf } result + catch { set_global_assignment -name VHDL_FILE ../../src/vpll.vhd } result + catch { set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf } result + catch { set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm } result + + set_location_assignment PIN_E24 -to b0_pin + set_location_assignment PIN_T6 -to b1_pin + set_location_assignment PIN_N3 -to board_clk + set_location_assignment PIN_E23 -to g0_pin + set_location_assignment PIN_T5 -to g1_pin + set_location_assignment PIN_T24 -to g2_pin + set_location_assignment PIN_F1 -to hsync_pin + set_location_assignment PIN_E22 -to r0_pin + set_location_assignment PIN_T4 -to r1_pin + set_location_assignment PIN_T7 -to r2_pin + set_location_assignment PIN_A5 -to reset + set_location_assignment PIN_F2 -to vsync_pin + set_location_assignment PIN_Y5 -to d_hsync_state[0] + set_location_assignment PIN_F19 -to d_hsync_state[1] + set_location_assignment PIN_F17 -to d_hsync_state[2] + set_location_assignment PIN_Y2 -to d_hsync_state[3] + set_location_assignment PIN_F10 -to d_hsync_state[4] + set_location_assignment PIN_F9 -to d_hsync_state[5] + set_location_assignment PIN_F6 -to d_hsync_state[6] + set_location_assignment PIN_H4 -to d_hsync_counter[0] + set_location_assignment PIN_G25 -to d_hsync_counter[7] + set_location_assignment PIN_G22 -to d_hsync_counter[8] + set_location_assignment PIN_G18 -to d_hsync_counter[9] + set_location_assignment PIN_F5 -to d_vsync_state[0] + set_location_assignment PIN_F4 -to d_vsync_state[1] + set_location_assignment PIN_F3 -to d_vsync_state[2] + set_location_assignment PIN_M19 -to d_vsync_state[3] + set_location_assignment PIN_M18 -to d_vsync_state[4] + set_location_assignment PIN_M7 -to d_vsync_state[5] + set_location_assignment PIN_M4 -to d_vsync_state[6] + set_location_assignment PIN_G9 -to d_vsync_counter[0] + set_location_assignment PIN_G6 -to d_vsync_counter[7] + set_location_assignment PIN_G4 -to d_vsync_counter[8] + set_location_assignment PIN_G2 -to d_vsync_counter[9] + set_location_assignment PIN_K6 -to d_line_counter[0] + set_location_assignment PIN_K4 -to d_line_counter[1] + set_location_assignment PIN_J22 -to d_line_counter[2] + set_location_assignment PIN_M9 -to d_line_counter[3] + set_location_assignment PIN_M8 -to d_line_counter[4] + set_location_assignment PIN_M6 -to d_line_counter[5] + set_location_assignment PIN_M5 -to d_line_counter[6] + set_location_assignment PIN_L24 -to d_line_counter[7] + set_location_assignment PIN_L25 -to d_line_counter[8] + set_location_assignment PIN_L23 -to d_column_counter[0] + set_location_assignment PIN_L22 -to d_column_counter[1] + set_location_assignment PIN_L21 -to d_column_counter[2] + set_location_assignment PIN_L20 -to d_column_counter[3] + set_location_assignment PIN_L6 -to d_column_counter[4] + set_location_assignment PIN_L4 -to d_column_counter[5] + set_location_assignment PIN_L2 -to d_column_counter[6] + set_location_assignment PIN_K23 -to d_column_counter[7] + set_location_assignment PIN_K19 -to d_column_counter[8] + set_location_assignment PIN_K5 -to d_column_counter[9] + set_location_assignment PIN_L7 -to d_hsync + set_location_assignment PIN_L5 -to d_vsync + set_location_assignment PIN_F26 -to d_set_hsync_counter + set_location_assignment PIN_F24 -to d_set_vsync_counter + set_location_assignment PIN_F21 -to d_set_line_counter + set_location_assignment PIN_Y23 -to d_set_column_counter + set_location_assignment PIN_L3 -to d_r + set_location_assignment PIN_K24 -to d_g + set_location_assignment PIN_K20 -to d_b + set_location_assignment PIN_H18 -to d_v_enable + set_location_assignment PIN_J21 -to d_h_enable + set_location_assignment PIN_R8 -to seven_seg_pin[0] + set_location_assignment PIN_R9 -to seven_seg_pin[1] + set_location_assignment PIN_R19 -to seven_seg_pin[2] + set_location_assignment PIN_R20 -to seven_seg_pin[3] + set_location_assignment PIN_R21 -to seven_seg_pin[4] + set_location_assignment PIN_R22 -to seven_seg_pin[5] + set_location_assignment PIN_R23 -to seven_seg_pin[6] + set_location_assignment PIN_Y11 -to seven_seg_pin[7] + set_location_assignment PIN_N7 -to seven_seg_pin[8] + set_location_assignment PIN_N8 -to seven_seg_pin[9] + set_location_assignment PIN_R4 -to seven_seg_pin[10] + set_location_assignment PIN_R6 -to seven_seg_pin[11] + set_location_assignment PIN_AA11 -to seven_seg_pin[12] + set_location_assignment PIN_T2 -to seven_seg_pin[13] + set_location_assignment PIN_K3 -to d_state_clk + set_location_assignment PIN_H3 -to d_toggle + set_location_assignment PIN_H26 -to d_toggle_counter[0] + set_location_assignment PIN_G24 -to d_toggle_counter[15] + set_location_assignment PIN_G23 -to d_toggle_counter[16] + set_location_assignment PIN_G21 -to d_toggle_counter[17] + set_location_assignment PIN_G20 -to d_toggle_counter[18] + set_location_assignment PIN_G5 -to d_toggle_counter[19] + set_location_assignment PIN_G3 -to d_toggle_counter[20] + set_location_assignment PIN_G1 -to d_toggle_counter[21] + set_location_assignment PIN_F25 -to d_toggle_counter[22] + set_location_assignment PIN_F23 -to d_toggle_counter[23] + set_location_assignment PIN_T19 -to d_toggle_counter[24] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6] + set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state + set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin + + + # Commit assignments + export_assignments + +execute_flow -compile + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/bsp2/Designflow/src/vga_pos_tb.vhd b/bsp2/Designflow/src/vga_pos_tb.vhd new file mode 100644 index 0000000..ebcff70 --- /dev/null +++ b/bsp2/Designflow/src/vga_pos_tb.vhd @@ -0,0 +1,198 @@ +------------------------------------------------------------------------------- +-- Title : vga testbench +-- Project : +------------------------------------------------------------------------------- +-- File : vga_tb.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-04-07 +-- Last update: 2006-09-29 +-- Platform : +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-04-07 1.0 handl Created +------------------------------------------------------------------------------- + + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- +entity vga_pos_tb is + +end vga_pos_tb; + + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- +architecture structure of vga_pos_tb is + + constant cc : time := 39.7 ns; -- test clock period + + component vga + port ( + clk_pin : in std_logic; + reset_pin : in std_logic; + r0_pin, r1_pin, r2_pin : out std_logic; + g0_pin, g1_pin, g2_pin : out std_logic; + b0_pin, b1_pin : out std_logic; + hsync_pin : out std_logic; + vsync_pin : out std_logic; + seven_seg_pin : out std_logic_vector(2*SEG_WIDTH-1 downto 0); + d_hsync, d_vsync : out std_logic; + d_column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + d_line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + d_set_column_counter, d_set_line_counter : out std_logic; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter, d_set_vsync_counter : out std_logic; + d_h_enable : out std_logic; + d_v_enable : out std_logic; + d_r, d_g, d_b : out std_logic; + d_hsync_state : out std_logic_vector(0 to 6); + d_vsync_state : out std_logic_vector(0 to 6); + d_state_clk : out std_logic; + d_toggle : out std_logic; + d_toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0)); + end component; + + signal clk_pin : std_logic; + signal reset_pin : std_logic; + signal r0_pin, r1_pin, r2_pin : std_logic; + signal g0_pin, g1_pin, g2_pin : std_logic; + signal b0_pin, b1_pin : std_logic; + signal hsync_pin : std_logic; + signal vsync_pin : std_logic; + signal seven_seg_pin : std_logic_vector(2*SEG_WIDTH-1 downto 0); + signal d_hsync, d_vsync : std_logic; + signal d_column_counter : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal d_line_counter : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal d_set_column_counter, d_set_line_counter : std_logic; + signal d_hsync_counter : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + signal d_vsync_counter : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + signal d_set_hsync_counter, d_set_vsync_counter : std_logic; + signal d_h_enable : std_logic; + signal d_v_enable : std_logic; + signal d_r, d_g, d_b : std_logic; + signal d_hsync_state : std_logic_vector(0 to 6); + signal d_vsync_state : std_logic_vector(0 to 6); + signal d_state_clk : std_logic; + signal d_toggle : std_logic; + signal d_toggle_counter : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); + signal clk : std_logic; + +begin + + vga_unit: vga + port map ( + clk_pin => clk_pin, + reset_pin => reset_pin, + r0_pin => r0_pin, + r1_pin => r1_pin, + r2_pin => r2_pin, + g0_pin => g0_pin, + g1_pin => g1_pin, + g2_pin => g2_pin, + b0_pin => b0_pin, + b1_pin => b1_pin, + hsync_pin => hsync_pin, + vsync_pin => vsync_pin, + seven_seg_pin => seven_seg_pin, + d_hsync => d_hsync, + d_vsync => d_vsync, + d_column_counter => d_column_counter, + d_line_counter => d_line_counter, + d_set_column_counter => d_set_column_counter, + d_set_line_counter => d_set_line_counter, + d_hsync_counter => d_hsync_counter, + d_vsync_counter => d_vsync_counter, + d_set_hsync_counter => d_set_hsync_counter, + d_set_vsync_counter => d_set_vsync_counter, + d_h_enable => d_h_enable, + d_v_enable => d_v_enable, + d_r => d_r, + d_g => d_g, + d_b => d_b, + d_hsync_state => d_hsync_state, + d_vsync_state => d_vsync_state, + d_state_clk => d_state_clk, + d_toggle => d_toggle, + d_toggle_counter => d_toggle_counter); + + + +------------------------------------------------------------------------------- +-- generate simulation clock +------------------------------------------------------------------------------- + CLKGEN : process + begin + clk <= '1'; + wait for cc/2; + clk <= '0'; + wait for cc/2; + end process CLKGEN; + +------------------------------------------------------------------------------- +-- test the design +------------------------------------------------------------------------------- + TEST_IT : process + + -- wait for n clock cycles + procedure icwait(cycles : natural) is + begin + for i in 1 to cycles loop + wait until clk = '1' and clk'event; + end loop; + end; + + begin + ----------------------------------------------------------------------------- + -- initial reset + ----------------------------------------------------------------------------- + reset_pin <= '0'; + icwait(10); + reset_pin <= '1'; + icwait(1000000000); + + --------------------------------------------------------------------------- + -- exit testbench + --------------------------------------------------------------------------- + assert false + report "Test finished" + severity error; + + end process test_it; + + clk_pin <= clk; + +end structure; + +------------------------------------------------------------------------------- +-- configuration +------------------------------------------------------------------------------- +configuration vga_conf_pos of vga_pos_tb is + for structure + for vga_unit : vga use entity work.vga(structure); + end for; + end for; +end vga_conf_pos; + + + diff --git a/bsp2/Designflow/src/vga_pre_tb.vhd b/bsp2/Designflow/src/vga_pre_tb.vhd new file mode 100644 index 0000000..dc010f7 --- /dev/null +++ b/bsp2/Designflow/src/vga_pre_tb.vhd @@ -0,0 +1,197 @@ +------------------------------------------------------------------------------- +-- Title : vga testbench +-- Project : +------------------------------------------------------------------------------- +-- File : vga_tb.vhd +-- Author : Thomas Handl +-- Company : TU Wien +-- Created : 2004-04-07 +-- Last update: 2006-09-29 +-- Platform : +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2004 TU Wien +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2004-04-07 1.0 handl Created +------------------------------------------------------------------------------- + + +------------------------------------------------------------------------------- +-- LIBRARIES +------------------------------------------------------------------------------- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_unsigned.all; +use IEEE.std_logic_arith.all; + +use work.vga_pak.all; + + +------------------------------------------------------------------------------- +-- ENTITY +------------------------------------------------------------------------------- +entity vga_pre_tb is + +end vga_pre_tb; + + +------------------------------------------------------------------------------- +-- ARCHITECTURE +------------------------------------------------------------------------------- +architecture structure of vga_pre_tb is + + constant cc : time := 39.7 ns; -- test clock period + + component vga + port ( + clk_pin : in std_logic; + reset_pin : in std_logic; + r0_pin, r1_pin, r2_pin : out std_logic; + g0_pin, g1_pin, g2_pin : out std_logic; + b0_pin, b1_pin : out std_logic; + hsync_pin : out std_logic; + vsync_pin : out std_logic; + seven_seg_pin : out std_logic_vector(2*SEG_WIDTH-1 downto 0); + d_hsync, d_vsync : out std_logic; + d_column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0); + d_line_counter : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + d_set_column_counter, d_set_line_counter : out std_logic; + d_hsync_counter : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + d_vsync_counter : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + d_set_hsync_counter, d_set_vsync_counter : out std_logic; + d_h_enable : out std_logic; + d_v_enable : out std_logic; + d_r, d_g, d_b : out std_logic; + d_hsync_state : out std_logic_vector(0 to 6); + d_vsync_state : out std_logic_vector(0 to 6); + d_state_clk : out std_logic; + d_toggle : out std_logic; + d_toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0)); + end component; + + signal clk_pin : std_logic; + signal reset_pin : std_logic; + signal r0_pin, r1_pin, r2_pin : std_logic; + signal g0_pin, g1_pin, g2_pin : std_logic; + signal b0_pin, b1_pin : std_logic; + signal hsync_pin : std_logic; + signal vsync_pin : std_logic; + signal seven_seg_pin : std_logic_vector(2*SEG_WIDTH-1 downto 0); + signal d_hsync, d_vsync : std_logic; + signal d_column_counter : std_logic_vector(COL_CNT_WIDTH-1 downto 0); + signal d_line_counter : std_logic_vector(LINE_CNT_WIDTH-1 downto 0); + signal d_set_column_counter, d_set_line_counter : std_logic; + signal d_hsync_counter : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0); + signal d_vsync_counter : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0); + signal d_set_hsync_counter, d_set_vsync_counter : std_logic; + signal d_h_enable : std_logic; + signal d_v_enable : std_logic; + signal d_r, d_g, d_b : std_logic; + signal d_hsync_state : std_logic_vector(0 to 6); + signal d_vsync_state : std_logic_vector(0 to 6); + signal d_state_clk : std_logic; + signal d_toggle : std_logic; + signal d_toggle_counter : std_logic_vector(TOG_CNT_WIDTH-1 downto 0); + signal clk : std_logic; + +begin + + vga_unit: vga + port map ( + clk_pin => clk_pin, + reset_pin => reset_pin, + r0_pin => r0_pin, + r1_pin => r1_pin, + r2_pin => r2_pin, + g0_pin => g0_pin, + g1_pin => g1_pin, + g2_pin => g2_pin, + b0_pin => b0_pin, + b1_pin => b1_pin, + hsync_pin => hsync_pin, + vsync_pin => vsync_pin, + seven_seg_pin => seven_seg_pin, + d_hsync => d_hsync, + d_vsync => d_vsync, + d_column_counter => d_column_counter, + d_line_counter => d_line_counter, + d_set_column_counter => d_set_column_counter, + d_set_line_counter => d_set_line_counter, + d_hsync_counter => d_hsync_counter, + d_vsync_counter => d_vsync_counter, + d_set_hsync_counter => d_set_hsync_counter, + d_set_vsync_counter => d_set_vsync_counter, + d_h_enable => d_h_enable, + d_v_enable => d_v_enable, + d_r => d_r, + d_g => d_g, + d_b => d_b, + d_hsync_state => d_hsync_state, + d_vsync_state => d_vsync_state, + d_state_clk => d_state_clk, + d_toggle => d_toggle, + d_toggle_counter => d_toggle_counter); + + +------------------------------------------------------------------------------- +-- generate simulation clock +------------------------------------------------------------------------------- + CLKGEN : process + begin + clk <= '1'; + wait for cc/2; + clk <= '0'; + wait for cc/2; + end process CLKGEN; + +------------------------------------------------------------------------------- +-- test the design +------------------------------------------------------------------------------- + TEST_IT : process + + -- wait for n clock cycles + procedure icwait(cycles : natural) is + begin + for i in 1 to cycles loop + wait until clk = '1' and clk'event; + end loop; + end; + + begin + ----------------------------------------------------------------------------- + -- initial reset + ----------------------------------------------------------------------------- + reset_pin <= '0'; + icwait(10); + reset_pin <= '1'; + icwait(10000000); + + --------------------------------------------------------------------------- + -- exit testbench + --------------------------------------------------------------------------- + assert false + report "Test finished" + severity error; + + end process test_it; + + clk_pin <= clk; + +end structure; + +------------------------------------------------------------------------------- +-- configuration +------------------------------------------------------------------------------- +configuration vga_conf_pre of vga_pre_tb is + for structure + for vga_unit : vga use entity work.vga(beh); + end for; + end for; +end vga_conf_pre; + + + diff --git a/bsp2/Designflow/src/vpll.bsf b/bsp2/Designflow/src/vpll.bsf new file mode 100644 index 0000000..63c3118 --- /dev/null +++ b/bsp2/Designflow/src/vpll.bsf @@ -0,0 +1,49 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2004 Altera Corporation +Any megafunction design, and related netlist (encrypted or decrypted), +support information, device programming or simulation file, and any other +associated documentation or information provided by Altera or a partner +under Altera's Megafunction Partnership Program may be used only +to program PLD devices (but not masked PLD devices) from Altera. Any +other use of such megafunction design, netlist, support information, +device programming or simulation file, or any other related documentation +or information is prohibited for any other purpose, including, but not +limited to modification, reverse engineering, de-compiling, or use with +any other silicon devices, unless such use is explicitly licensed under +a separate agreement with Altera or a megafunction partner. Title to the +intellectual property, including patents, copyrights, trademarks, trade +secrets, or maskworks, embodied in any such megafunction design, netlist, +support information, device programming or simulation file, or any other +related documentation or information provided by Altera or a megafunction +partner, remains with Altera, the megafunction partner, or their respective +licensors. No other licenses, including any licenses needed under any third +party's intellectual property, are provided herein. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 112) + (text "vpll" (rect 5 0 22 12)(font "Arial" )) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "inclk0" (rect 0 0 28 12)(font "Arial" )) + (text "inclk0" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "c0" (rect 0 0 11 12)(font "Arial" )) + (text "c0" (rect 64 27 75 39)(font "Arial" )) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) diff --git a/bsp2/Designflow/src/vpll.vhd b/bsp2/Designflow/src/vpll.vhd new file mode 100644 index 0000000..dbb347f --- /dev/null +++ b/bsp2/Designflow/src/vpll.vhd @@ -0,0 +1,274 @@ +-- megafunction wizard: %ALTPLL% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: altpll + +-- ============================================================ +-- File Name: vpll.vhd +-- Megafunction Name(s): +-- altpll +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 4.1 Build 181 06/29/2004 SJ Full Version +-- ************************************************************ + + +--Copyright (C) 1991-2004 Altera Corporation +--Any megafunction design, and related netlist (encrypted or decrypted), +--support information, device programming or simulation file, and any other +--associated documentation or information provided by Altera or a partner +--under Altera's Megafunction Partnership Program may be used only +--to program PLD devices (but not masked PLD devices) from Altera. Any +--other use of such megafunction design, netlist, support information, +--device programming or simulation file, or any other related documentation +--or information is prohibited for any other purpose, including, but not +--limited to modification, reverse engineering, de-compiling, or use with +--any other silicon devices, unless such use is explicitly licensed under +--a separate agreement with Altera or a megafunction partner. Title to the +--intellectual property, including patents, copyrights, trademarks, trade +--secrets, or maskworks, embodied in any such megafunction design, netlist, +--support information, device programming or simulation file, or any other +--related documentation or information provided by Altera or a megafunction +--partner, remains with Altera, the megafunction partner, or their respective +--licensors. No other licenses, including any licenses needed under any third +--party's intellectual property, are provided herein. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY altera_mf; +USE altera_mf.altera_mf_components.all; + +ENTITY vpll IS + PORT + ( + inclk0 : IN STD_LOGIC := '0'; +-- pllena : IN STD_LOGIC := '1'; +-- areset : IN STD_LOGIC := '0'; + c0 : OUT STD_LOGIC +-- locked : OUT STD_LOGIC + ); +END vpll; + + +ARCHITECTURE SYN OF vpll IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); + SIGNAL sub_wire1 : STD_LOGIC ; + SIGNAL sub_wire2 : STD_LOGIC ; + SIGNAL sub_wire3_bv : BIT_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire3 : STD_LOGIC_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire4 : STD_LOGIC_VECTOR (5 DOWNTO 0); + SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire6 : STD_LOGIC ; + SIGNAL sub_wire7 : STD_LOGIC_VECTOR (1 DOWNTO 0); + SIGNAL sub_wire8 : STD_LOGIC_VECTOR (3 DOWNTO 0); + +signal pllena_int : std_logic; +signal areset_int : std_logic; +signal locked : std_logic; + + COMPONENT altpll + GENERIC ( + bandwidth_type : STRING; + clk0_duty_cycle : NATURAL; + lpm_type : STRING; + clk0_multiply_by : NATURAL; + invalid_lock_multiplier : NATURAL; + inclk0_input_frequency : NATURAL; + gate_lock_signal : STRING; + clk0_divide_by : NATURAL; + pll_type : STRING; + valid_lock_multiplier : NATURAL; + clk0_time_delay : STRING; + spread_frequency : NATURAL; + intended_device_family : STRING; + operation_mode : STRING; + compensate_clock : STRING; + clk0_phase_shift : STRING + ); + PORT ( + clkena : IN STD_LOGIC_VECTOR (5 DOWNTO 0); + inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + pllena : IN STD_LOGIC ; + extclkena : IN STD_LOGIC_VECTOR (3 DOWNTO 0); + locked : OUT STD_LOGIC ; + areset : IN STD_LOGIC ; + clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + sub_wire3_bv(0 DOWNTO 0) <= "0"; + sub_wire3 <= To_stdlogicvector(sub_wire3_bv); + sub_wire5_bv(0 DOWNTO 0) <= "0"; + sub_wire5 <= NOT(To_stdlogicvector(sub_wire5_bv)); + sub_wire1 <= sub_wire0(0); + c0 <= sub_wire1; + locked <= sub_wire2; + sub_wire4 <= sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire5(0 DOWNTO 0); + sub_wire6 <= inclk0; + sub_wire7 <= sub_wire3(0 DOWNTO 0) & sub_wire6; + sub_wire8 <= sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0); + +areset_int <= '0'; +pllena_int <= '1'; + + altpll_component : altpll + GENERIC MAP ( + bandwidth_type => "AUTO", + clk0_duty_cycle => 50, + lpm_type => "altpll", + clk0_multiply_by => 5435, + invalid_lock_multiplier => 5, + inclk0_input_frequency => 30003, + gate_lock_signal => "NO", + clk0_divide_by => 6666, + pll_type => "AUTO", + valid_lock_multiplier => 1, + clk0_time_delay => "0", + spread_frequency => 0, + intended_device_family => "Stratix", + operation_mode => "NORMAL", + compensate_clock => "CLK0", + clk0_phase_shift => "0" + ) + PORT MAP ( + clkena => sub_wire4, + inclk => sub_wire7, + pllena => pllena_int, + extclkena => sub_wire8, + areset => areset_int, + clk => sub_wire0, + locked => sub_wire2 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: SPREAD_USE STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +-- Retrieval info: PRIVATE: TIME_SHIFT0 STRING "0.00000000" +-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" +-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" +-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +-- Retrieval info: PRIVATE: USE_CLK0 STRING "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "33.330" +-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" +-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "299.970" +-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "1" +-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "33.330" +-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "27.175" +-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +-- Retrieval info: PRIVATE: DEV_FAMILY STRING "Stratix" +-- Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" +-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "9" +-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "5435" +-- Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" +-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "30003" +-- Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" +-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "6666" +-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" +-- Retrieval info: CONSTANT: CLK0_TIME_DELAY STRING "0" +-- Retrieval info: CONSTANT: SPREAD_FREQUENCY NUMERIC "0" +-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" +-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" +-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" +-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +-- Retrieval info: USED_PORT: pllena 0 0 0 0 INPUT VCC "pllena" +-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" +-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT VCC "@inclk[1..0]" +-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +-- Retrieval info: CONNECT: @extclkena 0 0 1 1 GND 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 4 GND 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 1 GND 0 0 0 0 +-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +-- Retrieval info: CONNECT: @pllena 0 0 0 0 pllena 0 0 0 0 +-- Retrieval info: CONNECT: @extclkena 0 0 1 2 GND 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 5 GND 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 2 GND 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 0 VCC 0 0 0 0 +-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +-- Retrieval info: CONNECT: @extclkena 0 0 1 3 GND 0 0 0 0 +-- Retrieval info: CONNECT: @extclkena 0 0 1 0 GND 0 0 0 0 +-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +-- Retrieval info: CONNECT: @clkena 0 0 1 3 GND 0 0 0 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.vhd TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.inc FALSE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.cmp TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.bsf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll_inst.vhd TRUE FALSE diff --git a/bsp2/Designflow/syn/rev_1/.recordref b/bsp2/Designflow/syn/rev_1/.recordref new file mode 100644 index 0000000..e69de29 diff --git a/bsp2/Designflow/syn/rev_1/backup/vga.srr b/bsp2/Designflow/syn/rev_1/backup/vga.srr new file mode 100644 index 0000000..2a81acf --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/backup/vga.srr @@ -0,0 +1,33 @@ +#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009 +#install: /opt/synplify/fpga_c200906 +#OS: Linux +#Hostname: ti12 + +#Implementation: rev_1 + +#Wed Oct 21 17:21:16 2009 + +$ Start of Compile +#Wed Oct 21 17:21:16 2009 + +Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009 +Copyright (C) 1994-2009, Synopsys Inc. All Rights Reserved + +@N: CD720 :"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|Top entity is set to vga. +VHDL syntax check successful! +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav +@E: CD395 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd":50:73:50:95|Constant width 21 does not match context width 25 +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav +1 errors during synthesis +@END +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Wed Oct 21 17:21:16 2009 + +###########################################################] diff --git a/bsp2/Designflow/syn/rev_1/rpt_vga.areasrr b/bsp2/Designflow/syn/rev_1/rpt_vga.areasrr new file mode 100644 index 0000000..63ef2cf --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/rpt_vga.areasrr @@ -0,0 +1,174 @@ +#### START OF AREA REPORT #####[ + +Part: EP1S25FC672-6 (Altera) + +------------------------------------------------------------------- +######## Utilization report for Top level view: vga ######## +=================================================================== + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 88 100 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga: 88 (29.43 % Utilization) + + +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 72 100 % +ARITHMETIC MODE 53 100 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga: 125 (41.81 % Utilization) + + +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga: 0 (0.00 % Utilization) + + +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga: 0 (0.00 % Utilization) + + +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga: 0 (0.00 % Utilization) + +----------------------------------------------------------------- +######## Utilization report for cell: vga_control ######## +Instance path: vga.vga_control +================================================================= + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 29 33 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga.vga_control: 29 (9.70 % Utilization) + + +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 19 26.4 % +ARITHMETIC MODE 19 35.8 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga.vga_control: 38 (12.71 % Utilization) + + +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga.vga_control: 0 (0.00 % Utilization) + + +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga.vga_control: 0 (0.00 % Utilization) + + +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga.vga_control: 0 (0.00 % Utilization) + +---------------------------------------------------------------- +######## Utilization report for cell: vga_driver ######## +Instance path: vga.vga_driver +================================================================ + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 57 64.8 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga.vga_driver: 57 (19.06 % Utilization) + + +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 53 73.6 % +ARITHMETIC MODE 34 64.2 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga.vga_driver: 87 (29.10 % Utilization) + + +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga.vga_driver: 0 (0.00 % Utilization) + + +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga.vga_driver: 0 (0.00 % Utilization) + + +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga.vga_driver: 0 (0.00 % Utilization) + + +##### END OF AREA REPORT #####] + diff --git a/bsp2/Designflow/syn/rev_1/rpt_vga_areasrr.htm b/bsp2/Designflow/syn/rev_1/rpt_vga_areasrr.htm new file mode 100644 index 0000000..ab047d4 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/rpt_vga_areasrr.htm @@ -0,0 +1,193 @@ + +#### START OF AREA REPORT #####[
+Part:			EP1S25FC672-6 (Altera)
+
+Click here to go to specific block report:
+
vga

vga_driver

vga_control

+------------------------------------------------------------------- +######## Utilization report for Top level view: vga ######## +=================================================================== + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 88 100 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga: 88 (29.43 % Utilization) + +
Top
+ +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 72 100 % +ARITHMETIC MODE 53 100 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga: 125 (41.81 % Utilization) + +
Top
+ +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga: 0 (0.00 % Utilization) + +
Top
+ +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga: 0 (0.00 % Utilization) + +
Top
+ +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga: 0 (0.00 % Utilization) + +
Top
+ +----------------------------------------------------------------- +######## Utilization report for cell: vga_control ######## +Instance path: vga.vga_control +================================================================= + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 29 33 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga.vga_control: 29 (9.70 % Utilization) + +
Top
+ +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 19 26.4 % +ARITHMETIC MODE 19 35.8 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga.vga_control: 38 (12.71 % Utilization) + +
Top
+ +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga.vga_control: 0 (0.00 % Utilization) + +
Top
+ +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga.vga_control: 0 (0.00 % Utilization) + +
Top
+ +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga.vga_control: 0 (0.00 % Utilization) + +
Top
+ +---------------------------------------------------------------- +######## Utilization report for cell: vga_driver ######## +Instance path: vga.vga_driver +================================================================ + +SEQUENTIAL ATOMS +**************** + +Name Total elements Utilization Notes +------------------------------------------------------ +REGISTERS 57 64.8 % +====================================================== +Total SEQUENTIAL ATOMS in the block vga.vga_driver: 57 (19.06 % Utilization) + +
Top
+ +COMBINATIONAL ATOMS +******************* + +Name Total elements Utilization Notes +------------------------------------------------------------ +ATOMS 53 73.6 % +ARITHMETIC MODE 34 64.2 % +============================================================ +Total COMBINATIONAL ATOMS in the block vga.vga_driver: 87 (29.10 % Utilization) + +
Top
+ +RAMS +**** + +Name Total elements Number of bits Utilization Notes +------------------------------------------------------------------------- +SYNC RAMS 0 0 0 % +LPMs 0 0 0 % +========================================================================= +Total RAMS in the block vga.vga_driver: 0 (0.00 % Utilization) + +
Top
+ +DSPs +**** + +Name Total elements Utilization Notes +------------------------------------------------- +MACs 0 0 % +================================================= +Total DSPs in the block vga.vga_driver: 0 (0.00 % Utilization) + +
Top
+ +Black Boxes +*********** + +Name Total elements Utilization Notes +-------------------------------------------------------- +BLACK BOXES 0 0 % +======================================================== +Total Black Boxes in the block vga.vga_driver: 0 (0.00 % Utilization) + +
Top
+ +##### END OF AREA REPORT #####] + diff --git a/bsp2/Designflow/syn/rev_1/run_options.txt b/bsp2/Designflow/syn/rev_1/run_options.txt new file mode 100644 index 0000000..e582c9d --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/run_options.txt @@ -0,0 +1,71 @@ +#-- Synplicity, Inc. +#-- Version C-2009.06 +#-- Project file /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/run_options.txt +#-- Written on Wed Oct 21 17:26:30 2009 + + +#project files +add_file -vhdl -lib work "../src/vga_pak.vhd" +add_file -vhdl -lib work "../src/vga_ent.vhd" +add_file -vhdl -lib work "../src/vga_arc.vhd" +add_file -vhdl -lib work "../src/board_driver_ent.vhd" +add_file -vhdl -lib work "../src/board_driver_arc.vhd" +add_file -vhdl -lib work "../src/vga_control_ent.vhd" +add_file -vhdl -lib work "../src/vga_control_arc.vhd" +add_file -vhdl -lib work "../src/vga_driver_ent.vhd" +add_file -vhdl -lib work "../src/vga_driver_arc.vhd" + + +#implementation: "rev_1" +impl -add rev_1 -type fpga + +#device options +set_option -technology STRATIX +set_option -part EP1S25 +set_option -package FC672 +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -use_fsm_explorer 0 +set_option -top_module "vga" + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# mapper_options +set_option -frequency 25.175 +set_option -write_verilog 0 +set_option -write_vhdl 1 + +# Altera STRATIX +set_option -run_prop_extract 1 +set_option -maxfan 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -no_sequential_opt 0 +set_option -fixgatedclocks 3 +set_option -fixgeneratedclocks 3 +set_option -quartus_version 9.0 + +#VIF options +set_option -write_vif 1 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "./rev_1/vga.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 +impl -active "rev_1" diff --git a/bsp2/Designflow/syn/rev_1/scratchproject.prs b/bsp2/Designflow/syn/rev_1/scratchproject.prs new file mode 100644 index 0000000..09934b5 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/scratchproject.prs @@ -0,0 +1,71 @@ +#-- Synplicity, Inc. +#-- Version C-2009.06 +#-- Project file /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/scratchproject.prs +#-- Written on Wed Oct 21 17:26:30 2009 + + +#project files +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd" +add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd" + + +#implementation: "rev_1" +impl -add /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1 -type fpga + +#device options +set_option -technology STRATIX +set_option -part EP1S25 +set_option -package FC672 +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -use_fsm_explorer 0 +set_option -top_module "vga" + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# mapper_options +set_option -frequency 25.175 +set_option -write_verilog 0 +set_option -write_vhdl 1 + +# Altera STRATIX +set_option -run_prop_extract 1 +set_option -maxfan 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -no_sequential_opt 0 +set_option -fixgatedclocks 3 +set_option -fixgeneratedclocks 3 +set_option -quartus_version 9.0 + +#VIF options +set_option -write_vif 1 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 +impl -active "rev_1" diff --git a/bsp2/Designflow/syn/rev_1/syntmp/sap.log b/bsp2/Designflow/syn/rev_1/syntmp/sap.log new file mode 100644 index 0000000..4b5d11a --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/sap.log @@ -0,0 +1,13 @@ +Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53 +Copyright (C) 1994-2009, Synopsys Inc. All Rights Reserved +Product Version C-2009.06 +@N: MF249 |Running in 32-bit mode. +@N: MF257 |Gated clock conversion enabled +@N|Running in logic synthesis mode without enhanced optimization +@W|Ignoring synthesis effort setting for the design. This is not supported by the current technology. + +@N: BN225 |Writing default property annotation file /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.sap. +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Wed Oct 21 17:26:30 2009 + +###########################################################] diff --git a/bsp2/Designflow/syn/rev_1/syntmp/sap_log_flink.htm b/bsp2/Designflow/syn/rev_1/syntmp/sap_log_flink.htm new file mode 100644 index 0000000..94ee5b3 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/sap_log_flink.htm @@ -0,0 +1,8 @@ + + +
+ +Log File Links:
+
rev_1
+
Hierarchical Area Report (/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/rpt_vga) (17:24 21-Oct)

+

Session Log
diff --git a/bsp2/Designflow/syn/rev_1/syntmp/sap_log_srr.htm b/bsp2/Designflow/syn/rev_1/syntmp/sap_log_srr.htm new file mode 100644 index 0000000..e69de29 diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga.msg b/bsp2/Designflow/syn/rev_1/syntmp/vga.msg new file mode 100644 index 0000000..a701b62 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga.msg @@ -0,0 +1,22 @@ +@TM:1256138598 +@N: :"":0:0:0:-1|Running in logic synthesis mode without enhanced optimization +@N: FA174 :"":0:0:0:-1|The following device usage report estimates place and route data. Please look at the place and route report for final resource usage.. +@N: MF249 :"":0:0:0:-1|Running in 32-bit mode. +@N: MF257 :"":0:0:0:-1|Gated clock conversion enabled +@N: MF276 :"":0:0:0:-1|Gated clock conversion enabled, but no gated clocks found in design +@N: MF333 :"":0:0:0:-1|Generated clock conversion enabled, but no generated clocks found in design +@N: MT320 :"":0:0:0:-1|This timing report estimates place and route data. Please look at the place and route timing report for final timing.. +@N: MT322 :"":0:0:0:-1|Clock constraints cover only FF-to-FF paths associated with the clock.. +@TM:1256138589 +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd":36:7:36:18|M +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd":37:7:37:17|M +@TM:1256138598 +@N: :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd":158:4:158:5|M +@N: :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd":267:4:267:5|M +@TM:1256138589 +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd":37:7:37:16|M +@N: :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|M +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|M +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|M +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|M +@N: CD720 :"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":123:18:123:21|M diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga.plg b/bsp2/Designflow/syn/rev_1/syntmp/vga.plg new file mode 100644 index 0000000..9be8937 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga.plg @@ -0,0 +1,13 @@ +@P: Part : EP1S25FC672-6 +@P: Worst Slack : 34.458 +@P: vga|clk_pin - Estimated Frequency : 190.0 MHz +@P: vga|clk_pin - Requested Frequency : 25.2 MHz +@P: vga|clk_pin - Estimated Period : 5.264 +@P: vga|clk_pin - Requested Period : 39.722 +@P: vga|clk_pin - Slack : 34.458 +@P: vga Part : ep1s25fc672-6 +@P: vga I/O ATOMs : 117 +@P: vga Total LUTs: : 179 of 25660 ( 0%) +@P: vga Logic resources : 181 ATOMs of 25660 ( 0%) +@P: vga DSP Blocks : 0 (0 nine-bit DSP elements) +@P: CPU Time : 0h:00m:04s diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl b/bsp2/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl new file mode 100644 index 0000000..c791b24 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl @@ -0,0 +1,5 @@ +source "/opt/synplify/fpga_c200906/lib/altera/quartus_cons.tcl" +syn_create_and_open_prj vga +source $::quartus(binpath)/prj_asd_import.tcl +syn_create_and_open_csf vga +syn_handle_cons vga diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm b/bsp2/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm new file mode 100644 index 0000000..8a1f00c --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm @@ -0,0 +1,7 @@ + + +
+ +Log File Links:
+
rev_1
+

Session Log
diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga_flink.htm b/bsp2/Designflow/syn/rev_1/syntmp/vga_flink.htm new file mode 100644 index 0000000..c09947c --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga_flink.htm @@ -0,0 +1,8 @@ + + +
+ +Log File Links:
+
rev_1
+
Hierarchical Area Report (/homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/rpt_vga) (17:26 21-Oct)

+

Session Log
diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga_srr.htm b/bsp2/Designflow/syn/rev_1/syntmp/vga_srr.htm new file mode 100644 index 0000000..c565093 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/syntmp/vga_srr.htm @@ -0,0 +1,315 @@ +
+
+#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009
+#install: /opt/synplify/fpga_c200906
+#OS: Linux 
+#Hostname: ti12
+
+#Implementation: rev_1
+
+#Wed Oct 21 17:26:30 2009
+
+$ Start of Compile
+#Wed Oct 21 17:26:30 2009
+
+Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : vga_ent.vhd(38) | Top entity is set to vga.
+VHDL syntax check successful!
+
+Compiler output is up to date.  No re-compile necessary
+
+@N:CD630 : vga_ent.vhd(38) | Synthesizing work.vga.behav 
+@N:CD231 : vga_pak.vhd(60) | Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N:CD231 : vga_pak.vhd(62) | Using onehot encoding for type vsync_state_type (reset_state="1000000")
+@N:CD630 : vga_control_ent.vhd(37) | Synthesizing work.vga_control.behav 
+Post processing for work.vga_control.behav
+@N:CD630 : vga_driver_ent.vhd(37) | Synthesizing work.vga_driver.behav 
+@N:CD231 : vga_pak.vhd(60) | Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N:CD231 : vga_pak.vhd(62) | Using onehot encoding for type vsync_state_type (reset_state="1000000")
+Post processing for work.vga_driver.behav
+@N:CD630 : board_driver_ent.vhd(36) | Synthesizing work.board_driver.behav 
+Post processing for work.board_driver.behav
+Post processing for work.vga.behav
+@END
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Wed Oct 21 17:26:30 2009
+
+###########################################################]
+Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+Product Version C-2009.06
+@N:MF249 :  | Running in 32-bit mode. 
+@N:MF257 :  | Gated clock conversion enabled  
+@N: :  | Running in logic synthesis mode without enhanced optimization 
+
+Automatic dissolve during optimization of view:work.vga(behav) of board_driver_unit(board_driver)
+Automatic dissolve at startup in view:work.vga(behav) of vga_control_unit(vga_control)
+
+Available hyper_sources - for debug and ip models
+	None Found
+
+Finished RTL optimizations (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+@N: : vga_driver_arc.vhd(267) | Found counter in view:work.vga_driver(behav) inst vsync_counter[9:0]
+@N: : vga_driver_arc.vhd(158) | Found counter in view:work.vga_driver(behav) inst hsync_counter[9:0]
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished factoring (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 55MB)
+
+
+
+#################### START OF GENERATED CLOCK OPTIMIZATION REPORT ####################[
+
+======================================================================================
+                                Instance:Pin        Generated Clock Optimization Status
+======================================================================================
+
+
+##################### END OF GENERATED CLOCK OPTIMIZATION REPORT #####################]
+
+Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished technology mapping (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB)
+
+Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB)
+
+Finished restoring hierarchy (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 68MB)
+
+
+Writing Analyst data base /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.srm
+Finished Writing Netlist Databases (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+Writing Verilog Netlist and constraint files
+Writing .vqm output for Quartus
+Writing Cross reference file for Quartus to /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.xrf
+Finished Writing Verilog Netlist and constraint files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+Writing VHDL Simulation files
+Finished Writing VHDL Simulation files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+@N:MF276 :  | Gated clock conversion enabled, but no gated clocks found in design  
+Finished Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+Starting Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+@N:MF333 :  | Generated clock conversion enabled, but no generated clocks found in design  
+Finished Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB)
+
+Found clock vga|clk_pin with period 39.72ns 
+
+
+##### START OF TIMING REPORT #####[
+# Timing Report written on Wed Oct 21 17:26:36 2009
+#
+
+
+Top view:               vga
+Requested Frequency:    25.2 MHz
+Wire load mode:         top
+Paths requested:        5
+Constraint File(s):    
+@N:MT320 :  | This timing report estimates place and route data. Please look at the place and route timing report for final timing.. 
+
+@N:MT322 :  | Clock constraints cover only FF-to-FF paths associated with the clock.. 
+
+
+
+Performance Summary 
+*******************
+
+
+Worst slack in design: 34.458
+
+                   Requested     Estimated     Requested     Estimated                Clock        Clock              
+Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group              
+----------------------------------------------------------------------------------------------------------------------
+vga|clk_pin        25.2 MHz      190.0 MHz     39.722        5.264         34.458     inferred     Inferred_clkgroup_0
+======================================================================================================================
+
+
+
+
+
+Clock Relationships
+*******************
+
+Clocks                    |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
+-----------------------------------------------------------------------------------------------------------------
+Starting     Ending       |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
+-----------------------------------------------------------------------------------------------------------------
+vga|clk_pin  vga|clk_pin  |  39.722      34.458  |  No paths    -      |  No paths    -      |  No paths    -    
+=================================================================================================================
+ Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
+       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
+
+
+
+Interface Information 
+*********************
+
+		No IO constraint found 
+
+
+
+====================================
+Detailed Report for Clock: vga|clk_pin
+====================================
+
+
+
+Starting Points with Worst Slack
+********************************
+
+                                           Starting                                                                 Arrival           
+Instance                                   Reference       Type                 Pin        Net                      Time        Slack 
+                                           Clock                                                                                      
+--------------------------------------------------------------------------------------------------------------------------------------
+vga_control_unit.toggle_counter_sig[6]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_6     0.176       34.458
+dly_counter[0]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[0]           0.176       34.465
+dly_counter[1]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[1]           0.176       34.584
+vga_control_unit.toggle_counter_sig[5]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_5     0.176       34.585
+vga_driver_unit.vsync_counter[6]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_6          0.176       34.836
+vga_driver_unit.vsync_counter[7]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_7          0.176       34.865
+vga_control_unit.toggle_counter_sig[8]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_8     0.176       34.921
+vga_driver_unit.vsync_counter[3]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_3          0.176       34.992
+vga_driver_unit.vsync_counter[8]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_8          0.176       34.992
+vga_control_unit.toggle_counter_sig[9]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_9     0.176       35.048
+======================================================================================================================================
+
+
+Ending Points with Worst Slack
+******************************
+
+                                           Starting                                                              Required           
+Instance                                   Reference       Type                 Pin      Net                     Time         Slack 
+                                           Clock                                                                                    
+------------------------------------------------------------------------------------------------------------------------------------
+vga_control_unit.toggle_counter_sig[0]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[1]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[2]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[3]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[4]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[5]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[6]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[7]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[8]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+vga_control_unit.toggle_counter_sig[9]     vga|clk_pin     stratix_lcell_ff     sclr     toggle_sig_0_0_0_g1     38.930       34.458
+====================================================================================================================================
+
+
+
+Worst Path Information
+View Worst Path in Analyst
+***********************
+
+
+Path information for path number 1: 
+    Requested Period:                        39.722
+    - Setup time:                            0.792
+    + Clock delay at ending point:           0.000 (ideal)
+    = Required time:                         38.930
+
+    - Propagation time:                      4.472
+    - Clock delay at starting point:         0.000 (ideal)
+    = Slack (critical) :                     34.458
+
+    Number of logic level(s):                6
+    Starting point:                          vga_control_unit.toggle_counter_sig[6] / regout
+    Ending point:                            vga_control_unit.toggle_counter_sig[0] / sclr
+    The start point is clocked by            vga|clk_pin [rising] on pin clk
+    The end   point is clocked by            vga|clk_pin [rising] on pin clk
+
+Instance / Net                                                                     Pin         Pin               Arrival     No. of    
+Name                                                          Type                 Name        Dir     Delay     Time        Fan Out(s)
+---------------------------------------------------------------------------------------------------------------------------------------
+vga_control_unit.toggle_counter_sig[6]                        stratix_lcell_ff     regout      Out     0.176     0.176       -         
+toggle_counter_sig_6                                          Net                  -           -       1.000     -           4         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglt6      stratix_lcell        dataa       In      -         1.176       -         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglt6      stratix_lcell        combout     Out     0.459     1.635       -         
+un1_toggle_counter_siglt6                                     Net                  -           -       0.376     -           1         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto9     stratix_lcell        datad       In      -         2.011       -         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto9     stratix_lcell        combout     Out     0.087     2.098       -         
+un1_toggle_counter_siglto9                                    Net                  -           -       0.376     -           1         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto12    stratix_lcell        datad       In      -         2.474       -         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto12    stratix_lcell        combout     Out     0.087     2.561       -         
+un1_toggle_counter_siglto12                                   Net                  -           -       0.376     -           1         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto15    stratix_lcell        datad       In      -         2.938       -         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto15    stratix_lcell        combout     Out     0.087     3.025       -         
+un1_toggle_counter_siglto15                                   Net                  -           -       0.376     -           1         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto18    stratix_lcell        datad       In      -         3.401       -         
+vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto18    stratix_lcell        combout     Out     0.087     3.488       -         
+un1_toggle_counter_siglto18                                   Net                  -           -       0.376     -           1         
+vga_control_unit.toggle_sig_0_0_0_g1                          stratix_lcell        datad       In      -         3.864       -         
+vga_control_unit.toggle_sig_0_0_0_g1                          stratix_lcell        combout     Out     0.087     3.951       -         
+toggle_sig_0_0_0_g1                                           Net                  -           -       0.521     -           22(6)     
+vga_control_unit.toggle_counter_sig[0]                        stratix_lcell_ff     sclr        In      -         4.472       -         
+=======================================================================================================================================
+Total path delay (propagation time + ICD at startpoint + setup - ICD at endpoint) of 5.264 is 1.862(35.4%) logic and 3.402(64.6%) route.
+Fanout format: logic fanout (physical fanout)
+Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
+*Arrival time includes intrinsic clock delay at start point and clock delay at startpoint
+
+
+
+##### END OF TIMING REPORT #####]
+
+##### START OF AREA REPORT #####[
+Design view:work.vga(behav)
+Selecting part EP1S25F672C6
+@N:FA174 :  | The following device usage report estimates place and route data. Please look at the place and route report for final resource usage.. 
+
+I/O ATOMs:       117
+
+Total LUTs:  179 of 25660 ( 0%)
+Logic resources:  181 ATOMs of 25660 ( 0%)
+
+Number of I/O registers
+			Output DDRs   :0
+
+ATOM count by mode:
+  normal:       128
+  arithmetic:   53
+
+DSP Blocks:     0  (0 nine-bit DSP elements).
+DSP Utilization: 0.00% of available 10 blocks (80 nine-bit).
+ShiftTap:       0  (0 registers)
+MRAM:           0  (0% of 2)
+M4Ks:           0  (0% of 138)
+M512s:          0  (0% of 224)
+Total ESB:      0 bits 
+
+ATOMs using regout pin: 88
+  also using enable pin: 12
+  also using combout pin: 1
+ATOMs using combout pin: 91
+Number of Inputs on ATOMs: 760
+Number of Nets:   54954
+
+##### END OF AREA REPORT #####]
+
+Mapper successful!
+Process took 0h:00m:05s realtime, 0h:00m:04s cputime
+# Wed Oct 21 17:26:36 2009
+
+###########################################################]
diff --git a/bsp2/Designflow/syn/rev_1/syntmp/vga_toc.htm b/bsp2/Designflow/syn/rev_1/syntmp/vga_toc.htm
new file mode 100644
index 0000000..26d593f
--- /dev/null
+++ b/bsp2/Designflow/syn/rev_1/syntmp/vga_toc.htm
@@ -0,0 +1,17 @@
+
+
+
+ +
+rev_1 (vga)
+Compiler Report
+Mapper Report
+Timing Report
+Performance Summary
+Clock Relationships
+Interface Information
+Detailed Report for Clock: vga|clk_pin
+   Starting Points with Worst Slack
+   Ending Points with Worst Slack
+   Worst Path Information
+Resource Utilization
diff --git a/bsp2/Designflow/syn/rev_1/verif/vga.vif b/bsp2/Designflow/syn/rev_1/verif/vga.vif new file mode 100644 index 0000000..0705776 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/verif/vga.vif @@ -0,0 +1,141 @@ +# +# Synplicity Verification Interface File +# Generated using Synplify-pro +# +# Copyright (C) 1994-2009, Synopsys Inc. All Rights Reserved + +# All rights reserved +# + +# Set logfile options +vif_set_result_file vga.vlf + +# Set technology for TCL script +vif_set_technology -architecture FPGA -vendor Altera + +# RTL and technology files +vif_add_file -original -vhdl -lib work ../../src/vga_pak.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_ent.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_arc.vhd +vif_add_file -original -vhdl -lib work ../../src/board_driver_ent.vhd +vif_add_file -original -vhdl -lib work ../../src/board_driver_arc.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_control_ent.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_control_arc.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_driver_ent.vhd +vif_add_file -original -vhdl -lib work ../../src/vga_driver_arc.vhd +vif_set_top_module -original -top vga + +vif_add_library -translated $env(QUARTUS_ROOTDIR)/eda/fv_lib/verilog +vif_add_file -translated -verilog vga.vqm +vif_set_top_module -translated -top vga +# Read FSM encoding + +# Memory map points + +# SRL map points + +# Compiler constant registers + +# Compiler constant latches + +# Compiler RTL sequential redundancies + +# RTL sequential redundancies + +# Technology sequential redundancies + +# Inversion map points + +# Port mappping and directions + +# Black box mapping + + +# Other sequential cells, including multidimensional arrays +vif_set_map_point -register -original vga_driver_unit/hsync_state[0] -translated vga_driver_unit/hsync_state_0_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[1] -translated vga_driver_unit/hsync_state_1_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[2] -translated vga_driver_unit/hsync_state_2_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[3] -translated vga_driver_unit/hsync_state_3_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[4] -translated vga_driver_unit/hsync_state_4_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[5] -translated vga_driver_unit/hsync_state_5_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[2] -translated vga_driver_unit/vsync_state_2_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[3] -translated vga_driver_unit/vsync_state_3_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[4] -translated vga_driver_unit/vsync_state_4_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[5] -translated vga_driver_unit/vsync_state_5_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[0] -translated vga_driver_unit/line_counter_sig_0_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[1] -translated vga_driver_unit/line_counter_sig_1_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[2] -translated vga_driver_unit/line_counter_sig_2_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[3] -translated vga_driver_unit/line_counter_sig_3_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[4] -translated vga_driver_unit/line_counter_sig_4_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[5] -translated vga_driver_unit/line_counter_sig_5_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[6] -translated vga_driver_unit/line_counter_sig_6_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[7] -translated vga_driver_unit/line_counter_sig_7_ +vif_set_map_point -register -original vga_driver_unit/line_counter_sig[8] -translated vga_driver_unit/line_counter_sig_8_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[6] -translated vga_driver_unit/vsync_state_6_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[1] -translated vga_driver_unit/vsync_state_1_ +vif_set_map_point -register -original vga_driver_unit/vsync_state[0] -translated vga_driver_unit/vsync_state_0_ +vif_set_map_point -register -original vga_driver_unit/hsync_state[6] -translated vga_driver_unit/hsync_state_6_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[0] -translated vga_driver_unit/column_counter_sig_0_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[1] -translated vga_driver_unit/column_counter_sig_1_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[2] -translated vga_driver_unit/column_counter_sig_2_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[3] -translated vga_driver_unit/column_counter_sig_3_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[4] -translated vga_driver_unit/column_counter_sig_4_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[5] -translated vga_driver_unit/column_counter_sig_5_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[6] -translated vga_driver_unit/column_counter_sig_6_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[7] -translated vga_driver_unit/column_counter_sig_7_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[8] -translated vga_driver_unit/column_counter_sig_8_ +vif_set_map_point -register -original vga_driver_unit/column_counter_sig[9] -translated vga_driver_unit/column_counter_sig_9_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[9] -translated vga_driver_unit/vsync_counter_9_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[8] -translated vga_driver_unit/vsync_counter_8_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[7] -translated vga_driver_unit/vsync_counter_7_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[6] -translated vga_driver_unit/vsync_counter_6_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[5] -translated vga_driver_unit/vsync_counter_5_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[4] -translated vga_driver_unit/vsync_counter_4_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[3] -translated vga_driver_unit/vsync_counter_3_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[2] -translated vga_driver_unit/vsync_counter_2_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[1] -translated vga_driver_unit/vsync_counter_1_ +vif_set_map_point -register -original vga_driver_unit/vsync_counter[0] -translated vga_driver_unit/vsync_counter_0_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[9] -translated vga_driver_unit/hsync_counter_9_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[8] -translated vga_driver_unit/hsync_counter_8_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[7] -translated vga_driver_unit/hsync_counter_7_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[6] -translated vga_driver_unit/hsync_counter_6_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[5] -translated vga_driver_unit/hsync_counter_5_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[4] -translated vga_driver_unit/hsync_counter_4_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[3] -translated vga_driver_unit/hsync_counter_3_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[2] -translated vga_driver_unit/hsync_counter_2_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[1] -translated vga_driver_unit/hsync_counter_1_ +vif_set_map_point -register -original vga_driver_unit/hsync_counter[0] -translated vga_driver_unit/hsync_counter_0_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[0] -translated vga_control_unit/toggle_counter_sig_0_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[1] -translated vga_control_unit/toggle_counter_sig_1_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[2] -translated vga_control_unit/toggle_counter_sig_2_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[3] -translated vga_control_unit/toggle_counter_sig_3_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[4] -translated vga_control_unit/toggle_counter_sig_4_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[5] -translated vga_control_unit/toggle_counter_sig_5_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[6] -translated vga_control_unit/toggle_counter_sig_6_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[7] -translated vga_control_unit/toggle_counter_sig_7_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[8] -translated vga_control_unit/toggle_counter_sig_8_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[9] -translated vga_control_unit/toggle_counter_sig_9_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[10] -translated vga_control_unit/toggle_counter_sig_10_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[11] -translated vga_control_unit/toggle_counter_sig_11_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[12] -translated vga_control_unit/toggle_counter_sig_12_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[13] -translated vga_control_unit/toggle_counter_sig_13_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[14] -translated vga_control_unit/toggle_counter_sig_14_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[15] -translated vga_control_unit/toggle_counter_sig_15_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[16] -translated vga_control_unit/toggle_counter_sig_16_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[17] -translated vga_control_unit/toggle_counter_sig_17_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[18] -translated vga_control_unit/toggle_counter_sig_18_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[19] -translated vga_control_unit/toggle_counter_sig_19_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[20] -translated vga_control_unit/toggle_counter_sig_20_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[21] -translated vga_control_unit/toggle_counter_sig_21_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[22] -translated vga_control_unit/toggle_counter_sig_22_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[23] -translated vga_control_unit/toggle_counter_sig_23_ +vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[24] -translated vga_control_unit/toggle_counter_sig_24_ +vif_set_map_point -register -original dly_counter[0] -translated dly_counter_0_ +vif_set_map_point -register -original dly_counter[1] -translated dly_counter_1_ + +# Constant Registers + +# Retimed Registers + +# Altera MAC annotations + diff --git a/bsp2/Designflow/syn/rev_1/vga.fse b/bsp2/Designflow/syn/rev_1/vga.fse new file mode 100644 index 0000000..e69de29 diff --git a/bsp2/Designflow/syn/rev_1/vga.htm b/bsp2/Designflow/syn/rev_1/vga.htm new file mode 100644 index 0000000..2e5be3d --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.htm @@ -0,0 +1,12 @@ + + +syntmp/vga_srr.htm log file + + + + + + + + + diff --git a/bsp2/Designflow/syn/rev_1/vga.map b/bsp2/Designflow/syn/rev_1/vga.map new file mode 100644 index 0000000..2b02f94 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.map @@ -0,0 +1 @@ +%%% protect protected_file diff --git a/bsp2/Designflow/syn/rev_1/vga.sap b/bsp2/Designflow/syn/rev_1/vga.sap new file mode 100644 index 0000000..feb1d5f --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.sap @@ -0,0 +1,153 @@ +%%% protect protected_file +@ER +8P_oN8PsHCks_M;H0 +H +oRCP_MDNLCH_#oN; +HOR3D FORm"hh; " +RNH3FODOC _8RoC"#sHC +";N3HROODF M_CNCLDR:"MP_oN8PsHCks_M3H0k_M4EM#$O0_#N_0C6 +";N3HR#O$M_#sCC"0RMC:#P_CM#_CobrHMg;9" +RobBN; +bHR3#D_OFRO 4N; +bOR3D FORm"hh; " +RNb3FODOC _8RoC"#sHC +"; +RoHEM_CNCLD_o#H;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"N; +HOR3D FO_NCMLRDC"PM:o8N_sCHPsM_kHk03MP4_#O$M_N#006C_"N; +H#R3$_MOsCC#0MR":P#CC#M_Cbo_HgMr9 +";oBbR;b +NR#3H_FODO4 R;b +NRD3OFRO "hhm +";N3bROODF 8_Co"CRsCH#" +; +oOHRFlDkMF_OkCM0sH_#o:rgj +9;N3HROODF hR"m"h ;H +NRD3OF_O CC8oRH"s#;C" +RobBN; +bHR3#D_OFRO 4N; +bOR3D FORm"hh; " +RNb3FODOC _8RoC"#sHC +"; +RoHEM#$O0_#Nr0Cj9:n;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"o; +b;RB +RNb3_H#OODF ;R4 +RNb3FODO" Rh mh"N; +bOR3D FO_oC8CsR"H"#C;o + +H#RE$_MOOMFk0rCsg9:j;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"o; +b;RB +RNb3_H#OODF ;R4 +RNb3FODO" Rh mh"N; +bOR3D FO_oC8CsR"H"#C;o + +H_RE#O$M;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"N; +HOR3D FO_NCMLRDC"PM:o8N_sCHPsM_kHk03ME4_#O$M_N#00nC_"N; +H#R3$_MO#RC0"#M:CMPC_o#C_MbHr"g9;b +oR +B;N3bRHO#_D FOR +4;N3bROODF hR"m"h ;b +NRD3OF_O CC8oRH"s#;C" +H +oR$P#M#O_0CN0rnj:9N; +HOR3D FORm"hh; " +RNH3FODOC _8RoC"#sHC +";oBbR;b +NR#3H_FODO4 R;b +NRD3OFRO "hhm +";N3bROODF 8_Co"CRsCH#" +; +oDHRH_MCOMFk0_Cs#rHoU9:j;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"o; +b;RB +RNb3_H#OODF ;R4 +RNb3FODO" Rh mh"N; +bOR3D FO_oC8CsR"H"#C;o + +H#RP$_MOOMFk0rCsg9:j;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"o; +b;RB +RNb3_H#OODF ;R4 +RNb3FODO" Rh mh"N; +bOR3D FO_oC8CsR"H"#C;o + +H_RP#O$M;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"N; +HOR3D FO_NCMLRDC"PM:o8N_sCHPsM_kHk03MP4_#O$M_N#00nC_"N; +H#R3$_MO#RC0"#M:CMPC_o#C_MbHr"g9;b +oR +B;N3bRHO#_D FOR +4;N3bROODF hR"m"h ;b +NRD3OF_O CC8oRH"s#;C" +R +8P_oNO0FMs_FDk0MH;o + +H;Ro +RNH3FODO" Rh mh"N; +HOR3D FO_oC8CsR"H"#C;H +NR#3N$_MOsCC#0MR":P#CC#M_Cbo_HgMr9 +";oBbR;b +NR#3H_FODO4 R;b +NRD3OFRO "hhm +";N3bROODF 8_Co"CRsCH#" +; +o0HRFDooCH_#oN; +HOR3D FORm"hh; " +RNH3FODOC _8RoC"#sHC +";N3HROODF M_CNCLDR:"MP_oNO0FMs_FDk0MH34kM_o0Fo_DCOMFk0_Cs#"Ho;H +NR#3N$_MOsCC#0MR":P#CC#M_Cbo_HgMr9 +";oBbR;b +NR#3H_FODO4 R;b +NRD3OFRO "hhm +";N3bROODF 8_Co"CRsCH#" +; +oLHR;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"N; +HNR3#O$M_#sCC"0RMC:#P_CM#_CobrHMg;9" +RobBN; +bHR3#D_OFRO 4N; +bOR3D FORm"hh; " +RNb3FODOC _8RoC"#sHC +"; +RoHsN; +HOR3D FORm"hh; " +RNH3FODOC _8RoC"#sHC +";N3HRNM#$OC_s#RC0"#M:CMPC_o#C_MbHr"g9;b +oR +B;N3bRHO#_D FOR +4;N3bROODF hR"m"h ;b +NRD3OF_O CC8oRH"s#;C" +H +oRo0Fo_DCOMFk0_Cs#rHo.jc:9N; +HOR3D FORm"hh; " +RNH3FODOC _8RoC"#sHC +";N3HRNM#$OC_s#RC0"#M:CMPC_o#C_MbHr"g9;b +oR +B;N3bRHO#_D FOR +4;N3bROODF hR"m"h ;b +NRD3OF_O CC8oRH"s#;C" +R +MI FsRNPoRELCN +P; +RoH8_D$OMFk0rCs49:j;H +NRD3OFRO "hhm +";N3HROODF 8_Co"CRsCH#"o; +b;RB +RNb3_H#OODF ;R4 +RNb3FODO" Rh mh"N; +bOR3D FO_oC8CsR"H"#C;P +NR$3#MF_OlDbHCF_bHRM04N; +POR3FHlbDbC_F0HM_lMNCoRPN +; + diff --git a/bsp2/Designflow/syn/rev_1/vga.srd b/bsp2/Designflow/syn/rev_1/vga.srd new file mode 100644 index 0000000000000000000000000000000000000000..e88aeb91214704ead6753959ad461501a62b736c GIT binary patch literal 27844 zcmZU(1yEbh7dBi^%yOp+3iWFMhic_>CI6;aQFYZ=~Yk*+ET@xr0JXi=2 z+?}t#|I9b^=AFK8Gm^@6rDnc14nwLk~0Q0P=+E59=vkf5nLI zb#2#mlkC2z-PC&+N2V0ePoyolGMd+Ge%N9Ej0?TV&Z;|)8l(flVK92?e%0jr)yL+^6yKZi+btEm(XLr-d) z&<&iEOw4~(&}}16Uq)Dy-LF51f8#h7cahpCs~=O-%wU4C`mDMD3k zfSBOt8G^|}_~hrmV|5KH#{}A9=QW2X!iTQspNZFmz#c2wD{GJc$EM2jVQdJz3Hbi> zf5TmqJC{YVzxR^YJZnpjbTYB?=c8+8y1zSoL->%S)Fvuq2h&0Xchi*3b&eGC>FC)o>0DY`S+AhQ zZ4o2q$9CZ-$(!N(5$k+T0$IgA{{AQps@2gS_r^xet3z%-bcMIbo$3+`F)m1?`tJA8 zhI_~4=5v|M8cfkvjHmFJ<3dr~)XJi~L1_EI;#0X6!Jwyxv~<-{?Ta@1I}gSSb$8J5 z`yKnEFn`FYl~tP73ac_ z)}`*ucKj61+1Z?UaPDlAypAc^NVOoe>H`X~WrBEnCCYO|t8G@dR((Wx^Fp2F8iQKu zw?%7R^0N`yO`EVz9~fMKh;c5T zU1uOfMB^Z_Req(P)dIRV<^IAlJ=Ze`g|p;6*3R}0seKpHlS6^JcwB#AeE}s%(OVRE z`h^=bmO#u~{VP6|#Yc&?$#5Bblu�COm`cQGF{=%=GL|?3f=Ln=jQ<4?=w2VI;G= zbeQLft+Y%ehLRE`M83y^T7{CnwEKv6w{5B29pUVWCtdP&zXj-?=+f@ zG(!D~ENy7Vs}Ezsh>D~Zy%}%LPJWdUmF|PLyu;RHR&w+0ltAsdwCggJ?Y`E}YJUQk zM&ho>wPd6RL@xD2+D^n%Rj?ryZwbGT{?#bi9n2zDW@oBMA)(wTNIghD9AJ`M5jaQX&Y5phV&gKBwiyy5l3gg)11P_#iqMwz(-M*f!EF~`2jS847+;(fATCGU}=Squ#c>9&LgSu+PPP4HE99Ng<<3Sq3Cw4OrHM;?d;c2ap5)nd< zbE#R%gSpQ?=9-t~#&hT9m*+hHkQ?vlSzG#DW@vuk<&dBWfH#?5m~FsfNRU?&=hZ;o z2KA7KkdxoQn_~uoAy3{{NboOEc<=r3K+OjAFZ$pF%U$U=PFy_<54}XiAJOcY%|@OH zq5R1;`Rd2(;{8G=CblVjJwrCmY9h9C{hR?+&69_{L(VBx&}?gGiKCLS^qO_?)uF#| z!tiC8MYZD_ervByd;hI0HJ3%}25YCb2x!(P`;k}yO=qEjL)-jJ^;y4Epn9xdyDvc4 zJq^j_0(EP<&7O0;cJ3eboV`F@B%i;#U1@*KG*7jyc>N~8%k1s?bx^xa4-Vm7SOjJQ z4He#KExnE>+*9&_-`>z&lJvJ-*w6J|g8h(QV#^P6+e*2z+MZXoJ>hb`uflj!)cIdu z&qdvJWCnWJPK6fg$KQ@jcD=za}TLvDh;T_DDRm4hob(6YWg-;{~rU=(coH3k4S!J zR`A!2@xYoVDxASV`ceXRX~kFtz9i1A2gO(;6Sy^SS!mBI>^|`a%e_ivVaT$b zU_g{0`4~@~y#}E_x(;xR+TExMD55M~P87tB%Bc;9EgZ4}9ui8{y7OR5+?H`~7LAd8 z@7Uyw-|e~%SrE%MKf%Y)v25lKFU0px=_B9Cx{A*f3CMA7h1bSN=Bdl%shd@N8ppTJ ziDUZ1&+`Op-uo_<boPLTh@htf@a*YhUIDvjmM~3qI zjb~R|d(6k1@IOfzRvw)!S(B*Enlxeh2;d)GlPv#}uSqPFAVp%0^+i(ABImtvK;qUx z97FJkrl4N!E%9?p$?U|<3TD=rDDdoN5gWe&!fWqXq3Sasx;^yFBzWm&(WhDYMwC0Mp>=yOZjsK)js zSn2}^Rbmx~z}feB|3$6;6T(>PI%*d`(1ia&o$&V_T=Eli)G>#p(DW5OWgQWsbE>!r zS_!A+UcZ0OVVfFDHVdPz>|yf1xn?~mZ!WgHz5X(ia_&+0 z8b*7&mSIQ81h;bdz9rg~eo|)2Av{^-hdhLb$h;Wq$f2>lpVpl3>w^fM+`StI;p9g7 z?m0~IevciWt5#6jKLhMs+Saj_c5H?1T$gv|%ikT24NU>#<5GT*-QMVwgx-(s$Q#KA zG>)I$kIk#iwfrHqpASGk-1%2^b%gx-CBK|a=YY{CKqHk%?@>P)^%H?SBC+TW2P&2b z{F~}~vdIUmyvw0`m}U2^)t}8( zS|s;_!p1YQE&W?c52y*qn~JE|t)+3ntyTk7%LC$BT-Uh11D$C)h_U^Y)BATaNn?|5 z24L&;8eX+?wBnvN5`rAsx=X-FF;5Kz^UeOk6LQwk1t;MJqSR%*d;1$5 zF~pn8CC{dDMA~+hB!splHOugewF~|g>HYD#Ky}Ca>VT^~7n~aavguE@IqQJILj!RK zalqy5_>W27k~zej?v$pAyR$b#y<79>*i;r~B^juDDKAP+F11bILn7)kg;!*2pS$@5 zN*tTDOL!YO4k_1q&TE@lAnd|&=sBiR)z+CDo4?rTO(r$ZGoLHYGRZ2R_hf%s2=6Yy zL44smO8S8dDSF$Ot2KsKEOvWfoC$|K*sorY-XBq2#s;3az>x80U~B!2t%iK~L8l}b zh6r=b!d$((_0{JF<}d6DeC-H6e%O{*!(9&ftQz24@)WG+Xu_OW>6##UI?YvlI_uLB zCZn3$cuC}pp)+2kOM93@p7}MIDrtmcyR3!*h0D$s&HEP!M!bH=7jD_$KGejF=b#Wv zp&TRMZLrUw>H`EPY@0CCi~*DI%tw(`bOJ;FJ;SF*Kd8(%BN8=b`@t(*jbDv z3iUeo0f6x-KP=x{eAp2LQ*-|BA?&jFWa&EYrRQH&VRDUti46M7N?AH^Z0o1<$KB4Q zJMz=nA@;iyW5G&ag`bdTBI8{EOR`c8&Po6o-1!TZ9|2d`^lj4BrzVlpN5thrCw=yJ zo%(y4AR)Z+u0%`G6bsS)UV9nB@}tDBqF!A)`TE)ZT>1<=U@2V-cbO;U>l{7yw?WfA zHS@QCn)xIP$Xvwq=IaWv+h?&*+Q0uM>;Fh~{ z>0+|=D@l6n?>_u9{YOsJPF@k4q|d+wmZA-so=7x@-2X>T>o2LFtpb)B8h^Y>r7;4hwkkKCSXz{6T*+A)2w@7IDovSBX40hEQ*G><{YANETgnq0M z`kZWH2agoYjlI6{ujxVu3jkDXiD<;7Sg0vIjKihG%LMo7M<31s11Z>pl50BZ0DY~N z?=pOyyN%t)SJt*_w%jGZB949)_tdceXyS+upNk!vQW$hG@cb3}-Ququ4=+K4KZkkY zbeW2I)=26w{+#%no3*V+=r>}r5ut@;@AkH593UpO;nw?p@uoeO@1ZV(M~OKVuPulW z(%D3W^?6NO9_*@$Ti@I^7R)7i5C1#uqFoTAp}^z(|J@Oo*;=aHaZlfN1Zc z?pPbK%&Mue^RoJDPg6k#IrGb(AaT4b6pu}{-joH-eZaBcrHcRpI1l|i^YCCTMz6gT z`o$BD)GE9!>fA8G{2Yz@ws3%jV%hkaQ~rH%FwX3j5%J7qlC)nz;mmim#%U+a#aZU9 zs7*#_d3TZRY6RHr(`K`gd}|#CsDnz>W$9eJX-&K-#)b3sbVuoR)SQi}t%;P*L{C${ zOOJwvov(~${=sG3SDUF8(=9tNjv#!1L$u?2wDj=GZNxVG!(DsV(&OpY(6x*HW+n0( zY|r&2YSd*Ot1I+&m_X0W>CU^v8o7G#HFlD@K|9gjv(5wFMv?HjY+CnnU4Y4yb@qT{@9}$2VDpNY>K>%OzMo?SRtw^ATa-bG&v=Hk-A0OclGTH#ufOgu`)>?0 z!pzJribfp&iSx^f#=k+BQeXBgGkL*A!OqSM zCyN;}M$S%*Nk*By7vTVh!=%OeFF=RSeU)Qfi}RqD&I}#jG3JX>_kbpMZq&>-jz*1e zSIlWd6XdG9GW@&4Et{)@NnfSAXyXj7tHWJH(;1pk0QAQBj(0sYuB(!jtuZ!f(U3vF z_`|>PaOD}5vuu`8k3g^yhrM$);W~VX>(#O6Q;#+EQ}k(X%aMK@P~onU`HC#WU3Y*H zeeEKep%=Hyvoi)@?;j{Qk0*?PZb+A*2Ds^QHec=%N7lT%hNj}Td6ymdA`NY;yN9MX zc6PcjI81uB1^n4D=TmcbKViDYS3_=;Sto*+B9b>h4Nn5XEiiz;hDP_K#5F^$5O~uD zDtgC!DyzDXg;Cxtc;|{X{jHX$869o0#_P!;33H&Zmghd#a?GK$%FfpQ?)`B^o6Un^ z>d8#Il({40z-8y=PV_Xpy=?0Aa2X)F7d?E=?t4jJame(=Yk1R8-E_V%y~pbtsfOYD z0SmC|EG*W;CwXs-ADz!{vBl`{c8Z!dl&mb{tz1XY@Rd%tS4r~L=3o157$-mX1V$=c z?lo-wE>gQRH*oE%CSP;?Fp)Omt0DUpJ&%Nx!*mrZR7$g^B>V7nF4YO*&9WKP+0t58 zdcJ_Nv%tdIz%v!#)2#U@&h%g+eZ=G41K~M7h&Edk>OQmh*>;R7TQ&)n;U^W>oBME_9buE7&hzypUgD z7I2#C&n_4V{>mU%hWR9hQ0a%}`t~O7xMWbDFCW2(`WOPT1#>TX>jgg0!B2zNXbh?` zGKu-FFD)h>DyWS@zDNdotD9kR{;&d7k1-ktA6Or%%XDBfie-TJO=6PolLc=8aPQp& zownjf)6dn)sDD`nM5`j_-7gi}a$zihcdzqi-Rm2cD_5J{9qEmDH6f8*9nuZVciz5g zv4yT0>3aTZ#8W@cgq$#_Ey&YspY6oX`%@o$ln*}cmUU}gHjg>ekFptMe_~cwzsJ(z z1!zNGJ%+(Bi!$3<{c&`jr;X9UXz-57+m4G#jLGnE&Pj8S8cB#u;VrF>%%I%D9K<@{PZu7(va#=FunAXZ8& zCu}@ zq~z={_>{?~{Nhc^?Qez~ zy^>v%Ticds^Oi)L4hHUk*(BFbfKSA##gY?st9h>B{QYr-QJVxd=ax2$5$PZBIygsr z8GIs{K5DL0$?hROFOr#E)t-{OZE<<=%JvEP%vTrZebzh^P}4LI&*}cR?BVa?JD+FL zXCimqr%C^&ZI6GRW9&z@hz?d8CGI6w@)dAQPbwSRa>e%bpzeR=EHZh`{!4-Z0eKYL zu2x;Y*|CSwwiRY!2-uv-=K+pAieY5>c8dj{wPoCa6lJ|#uc(2Us^!KqWUru3N6x?4 zBNqO%Z>I6dM8J}Dd%(WpOi7n0*ykt#u0j``3SyFd!lTnfEl*079~gBS-6`IGCuTuO$q@f9!l6zDvU(eXELnX`*<$L;RaJ%IN zM*m0@+riXk<|^zv1uHnm2n`BE0~}4BaUu#w1@5p>ajW!XQJ}uX6m4VUkBH)_1~-x% z-I+3OiEXm0>&;aqM4`x8+9RP>)0N3>!IW)5#=zoV4d!P&;&bWZAD_c9<7b67x!(5$ zn-1u9X#jr=4MO)~Zp+Z~wqTe|I;raF{-V zJ-Y8DY=*tXoJPa&MA$oE*+Ns+bWmc;;t{UNpyZYXIW7tm_#{=>qW)bHu3P|dihP8okjJqP z=a?9~*-b^WCv$gSvw}y}EYd+ZF+1u86e`m(=-y=f;QrI6v?9l)-9KsvU=rL04WlKg z>_KluDeF%@^4ZbKppRwjcFCsFrZY~w!$;&=Y+rwtVK#j%FB`Ub!W2=Z<9O=5MY}%% zx$JD&{46GQk(*zIRa*5!5G>hr6xig6=IHPIfjP+i7ZmQ?y-3QUo|ik($|{+JXq$v! zy9sW(JwI+y0h4?Iviij0p?4&rHl%L1!Q_pgz3v=tdU2<;V@^78S1*{a@iuY^$?)f+$8>4}59s)O;hZ&@a9WpWO0 zLP(h+?ptNz0`EY!3}7!6{sPPOrsLwnlShm^w33BN78h2vrtsCKS=q1Po2goD1>WBs zke2qn84|1yk9LQ9th_p|sC@{2PWh?r9Q7UhNpHL}^cP~jNHmXye~tG%xP6glE?fo< ze&F1ATTx)2TfWXs65>iI7VC_l^bT=->nKm%mcrBZ3l*X$3to2qiK?9PV@NO}~^BVqX&no_pOt36cG!4QoU! zx;VzJVcn4aw0vVqwE>(?fy;pi0~8L-%vv9=;7sZF+0+lC4)T%SJ0Ayra$h+{w?l~i9kP`qDXXkR zUkrnq&P69;IR*g}=#Rkf{ziL5q$eDiS59$BByYD>pM9`bl=2I6(HozKfrg`&bl!K& zwu!z`Lq5J3+Cjvz^kfdV->OPx8(F@{6)+hfyt?XhCggo}!>jA@Hu{@GD)d?{ub!rJ z_-@R7HzX#x$CC7i@5T<6iZqSBL}7rnOiROL;*tmo z-Ypv9gK{tdrX!X0B%_PfU6QGFp097@SgmAfN78=rikNG!1_Ks7*4H#j zG8ddwTQS1)^uYsu#~m1fR4Q!gTa2Xm6VhnUWF)oGcd8;lna1_cNSFl>%42f0YaG3=%CZ6B~h`8j{XFQ8M!jbCabh$*_xIFkD z@m=3ph9;+3P-5!r;VTQgPuP?7nBez_fy0HUjt*s}fQOWxK^yu%k`InK>nI&r>9LG^ z5&ztqrKavx1bOger@`zE_s0rJ}TVI;6E1{mDB$xz!4u zUQoXZH}}WUvWZ)R8(`L5N&gVyVriUQeI~%|QgkJCTVdYnQ~XVD^s7ga86KY0OPL`z z3Cjw_?ho*2_GMkWk@!%t7;v2;w}*@AxrsvXG4fwCC=K2ce~=&WdRPrd$QMM(Puwug zfi7~FBg$;T4B`aRtHscFPa6-d&umoZAa@1UGMc&^;Q8`5ngQJcGMlZRhq0J6i1%di>?K#a z0G}@7Mv0ji_p7J9IUkmCZlr|+-tE^1>fW9Q>B|S)9-@7pM_Ku@5+x77SNp;*0{k8O z(tGcE^cR(d|KhZl&X@R6x`xAF?j0JWwaF25>B_ECKTrOau~qt%EX*}Z$YWyB*Dtj^ z&~lM(p&T%2b+K|BNZXK|i|df;zub`?U_fOz*tB?!xz9Ww0_%JV z;U<5_mL+k_*2{LxQWl_YTBBE4$!8_?){8p_`le*PyR%UhGdkbv7kDa;wHPxpyYB#Z zZnCu%cv~|@geuKQEi&n0uUCC`^qE$6?Fpxh7F7w|{c_a8Hg&?ijskJ3hGLHJ3ZLhFX`QoQ7(Sz-9{qT|mkbUQO-)#>pn>HS?b z<%8)@Z@H`v#Zxrk9;eGCL+tplFDqZ0I0jUmzEa(1kVqGK<$)Q9huZ~=bEJ~!j^Og3 zus4n){v1bG9}BV_d+$oTgDnkhU6I#(?Oh`$Ri;eohqPp$HM$y4e#xwA5H(Q6!=Dr*Hsb-It z9~oGJ?OF*R^|9JNxJw!SwU5SYpL&~w6%rx$1;^yVqS;O2+n}P){i6mOycF3FyaJxF zv7>u9?z>nux6YxJUEy`27Q0w@U|e(hAr#pVDsk7vA*Ud>q(St#+V89vyP!hQtwQt_ z2}D#rxuFPS${P?E6J8|~X5U&5IQk(%#dOkq>2xDPb{u7{=kE%`C(d#B9S4tEgt4a8TgZ^6DyJ!n~wB-f|7};wa13oX}>3EyA zkam0hvwtzn*Z+6#YvzI1ynU}rgyZt&~(uaPdSUhW@fEaZMl zyfT|)M>anI6gW)IU1?s+9nn7>f0sSXdNxMne&~5knA=m7*V?D93E2;6}P(5 znlvRydoMOUpX$}q`U~F++ms4w zlHC8|l!WO8gR;FA!5XcR54zq!nFBeu-LPSsQ^uEW`@MUo4oRdn$VEuo z{U5<9uN#tNpdK(fSESVO1*fBS0cEt~GVAfS;0dGZ@0D3^5+gF$SN_5d@a17+%Bu&Q z`c2tR)iBg7fd>&Dh?xS=P}2v>a39T@o|QBf-HWf&up2Iz?NzG0S<<-qj4Q?DQaEj zf*a=UBBgZRZD)anO1~!M{bf9YdI8gCOa`z9lZfq3_=4!%elxh2nSzdLbamXu$E^+brpgQP6FqFFg{vurU>sePeoPsc$Uo z?vpFh4{i$Mnf<*e$JT%MZMwM9ujZpP$~ZgXMxbuqki1~SSGex33w^!qtS%2xG?rCs zh?S2kCr-qrVT=2kP;{ceO>+1R^_(a{d5V=p;5*#v!nULMbe_AIFBkF41)x!67>C?P zt;&5$tQ-)hz#J(mx61&3{0$hhkZpI2yYh{s_d9DoY5qgiaHEA>&OKf%w>kR$QU4&+H7~-=Nf1>MrH(h=|Tk(_u44B z_cM;Hci%)#7=nfe60I)N7o?=b(w073xbg#is_8%8QKroJdet9^@7IUs4N%IJ5~@FV z50jE4v+Sp`=nj*XS>s7r<2|L(mK3cKt^R=8Tx+*h}V=}eSeK>j{%KkU=>m{pZB1V1$582Be8FtDt<{fwSe zPIhfg6vSd^s3@0vKKG$vK>)wyE};za$i)8x9-uUXxjK&HZsz7=@G(&6XKwjv(3NDaHS;%a;~+1Ev~p1}Vpo_I6^cS5mDdi{ALRdT4-`P{Ud z(ejgNT(u@w$!gv2A9!9V`LSuYb&g#H(G!f!KCZGL;J!+g8)yS6jd(X`+ujd=I5gQT zU%%=9nikzvFq8(`l!Fy#6n8#9A)h^rDZL^-!7ssJzp(b}G%+0=$ueWd6{>$2Qo8A= z0Y+yAwqFBYz_jlex;~C+ouQnm4-KxInMhk9V)eeWpl)V!N@vF&nAmEcCKaEzpE$zQ z;S~OW{a@|z|5Gje;8Gw)_t1Px;iSF2wPXeEU)BCJ1y#g9AZJGNI?AinQhovoKR51K zQg0M^xN#s;QF+b&ZM&-6S-YB{|2#N%AQyciBZecn6~Lhzx%eT70j@nd^(N9XEplm^ zBO$6qmQLBkC)id&FO{8rXD-%KpMV@T`>;@gU{G^bV^E9```hE;X|YWB>xp}#xT0>t z`~i_?L;|cAQiIl4wO3_W+S3@nTI?z{cl#`2(75+IXPeKYVswel$0dZG4eFe4Dg`E6 z5WGkKE<9SZacsKY2n~5L(6c#2zYv?cm2kr72O9I2=8ROAk+5~5j!m#od%r8?SKF(i zmgVN8hS!hZRRfYe=H<|duE@Ht9MwHk{lYJvG(d#1SMnbJ#3+^&90r*Eq(M7etxK>J z|00P~H%L90TU@&)-UFQN6-iNfI!7@XpP(PHO*5&lw`Gabo;>DqKhaxE{^2##Yb0aW9G>s9%UVLP zT@FkL0R&TmGcerHssh1sY1f)n+VxTYx`sll8$vaYECbOGk9rLxD~1uGdvDVXKIvC& zqPY3Q*=Gfpz~sw5Y>C~}xbJX@?mmKZ79}`+8xr=v z(S03Y%qFKRkAIWKmqA<$uCp8niAju6D|YN)Ho(jX?lC~81+Dzj!uED&%gF%?vEEMQ z5GUN*25aYqy0gV2H4el5WzEyxg7X#;)^JuRmBHp;U>V%rM>ixDX6_f_?pLxX7j!L0 z3Go|r_v zbZQzOgL&faZl7xw4)U9j0{CScm}FlIKDrfr^!xwse^|}y(C5<+?`u0J!}u07a7tsO zh|l5~Jp5vPQZlvY#cSdx!O{)YO_`Jq~_e`tAxI*Lla-_ zq)Exptn^spF>x#1ey5oVA10MC#<|KD8jVf<5genuKwcbE;1o28W0xNz*3asgPXq}S zi)|3dK|16UHUE3fsHSuZUQZ=%m@Kj665)q5`6u+%itQL~W-Vt}0i%5UJ>;QsdKFWU zF|3#@={cD%yg8b()Jl~af3{R=xo4TQ9~>sr7I)pz4aw|Rb+#9E7+sf~jL1yLSPs}m zjkw|YYFdd?^oQFO!T1H5#9%=M3HGS)xPKcy2K~cnme=lM%HeA56Q`lSK}-EkLoelx zQw)r1>n)&pL!T`T-jR0PHIi|b zYAqWRUyHA84|haiXlHGjH>MOZw@3+S-0e^3M{(L!h5kj1y}t=9X6R4Gf!fZDaf76Z zJ`EF_V5Q8!OHRELfO}%1&Pmp8dvt#5P-r-{W;A#`BhuWE_d>KtD2`q!A6Q9u*F->&>dQ@X9Nb)*$s zcPj^y|ESe64r6>fPk90C`y-}Dc-d?*I7SiP+OR&~pyR)Q)##LtIIoaHezZSntKmly zG)Y=3GMPIu*_$w7HO9U3V*)raL9UkP>75v0f%91aeFUz&eEH19bHq6d;;aik#{cBX zQ!K#CNzoYN`_;r*j(i&b(*288Hm%>#Zz*B_?00?)pX6~M{y0-{Kk_v4ie1L(njX!+ znG6b5eOT&nd3V72!{gm}rHAr(cob6OKyDQ}xi6riMcqbg4i{0220cuoqdb%Sbq(b8 zCSQqGnEyFEM*rYYS3j`Q|1La~O`Mc3eaYDtAS-Gj;2ySkhYck2=15|Nae2ykGB{?= z7EJDLR7;`;_g`H}qaz0IKK_0vGO=SeNxhH%6L8u{`uhMjnA*-{>Y&m*_3+DI;T7|% zuku!RnHwEZOtQ=djJ;|Uqh42kU5TE$b6e)@71!|GaP9g@?v-Vo=VV0>yf0mcHYj%m zC=e+88hWj7*zXe;zGUf-lm0%%NLWiiHRxrpFVVnMK+z@PXkh2VO$c!PTsFI8AQi<$hPP0*g)@ofPUJ#%a! zp;8NVrkky$;wU(MmcGf4PeMgpqVaZvbpzixy_HU^>cXv<)t7#(5p!v#ww5})-yWyw zojrvi&Xr2oR9GV?fmW&^@@B; zQjFm5ltYv>z+;Kz?xVV*{MKKlCr-S~D@JdA#gza3I7V*NkSQ?|`-8iolEYv*dPw9z z`{V1s_}muTvXXm||M}(%-2W{NYo)bBu+~onMgo4^QPWwZhQK<@&$WN|Z&}K!e+^#d zUj9j3FtgI83ZaPW{{Uob%$*W@fe8&}7qAmi4(<|PA+i!vP&d!#D$|Y0)l*Q~*hwKJ zy7RbLCI9^K5;e&MG45mF5))H{pQ`Vg2#*C-kgNx-Zd?k+XMT73A?y$A+7>7uo zNb9vJFj)grS)I!QgR}uAeAsGqa=%wa9N74>xJ{tAjTLPOk3T5nXPk}2de3PDH25n) zLo_2{nP-|pFg`(BO)mUfvtySO$>Hs|*f;U_w8RUz5Rq5gz!W(lR+~jdo@n|<1QzD9 z{Ky77D)0(7Ie4jjMYZ6&0^cfj%gx^Y>Eq7_hfzT-rF-10Qt?EN8TTAaCV8H@-e;?) zs;Ydf`8no;C!PtCXBwmCasoBtIwfo%dP1b8*+*wprw}SAytJdBp+H#v`!YsK%ma){ zUpC`NU*-tla1Ik3^bj&rEF3#kJUY*9YmnICoz`#kpTnhM{a)&z2UaT)wV&CXQtmk=YsgGqZF1_prM0uzJ%4OejEf z^IEK_1XXWh-)L)NSZ@H6Ry|Mh==xS&e{j|XY9i@)D3AX*9K*c+ zo+4Mj=H;h1eZ?zet9TR+n_tXIN&MV6^&({j_?!M-#jLLi!Iyhf50h&W`jgJ|@yTQg z^oRI(YNDsnhdik+)pet2?)9>OB0)z!f!x?vVPv-NGEpGbWVmjYz3;9|Nrgp9l%GU# zxZmR{Gi^(ohVuA2BbyEv7X1M~zwbmtXSCr4R~m#WfCc_>YDcZB550lBOe0o#?a}7* zvB-atKXR|6uKQq8a+A;H5C>Wg#=7JNWAzA`J3c~j^~gM@WE`g0GLE2$jl+C5u0o|v z?L#+T%PwqSKEPhRG8{W{H1*9C&7!>IdSZ5sAW!vddeVn!C#i;fM7@}}QGi(rs|jpk zg&qkNv#~h@L}ppmvBOvC6?!FYz{)vt=%0OAtSPM-wH9r^=$N#Cn|twt=&m$q$GMgS z@bG8W72n{ASROJQL1U)HFU%`|`ab+AC*4yr>}E$Yi@Ne6(yOg%yLeW>t3Lx6IKP+^ zDdlYYUJOX+D~?KY;b_1$<7x;WQl;oS=Y}Xd?6{5q7&~{EV9nUi(Yi+H#nq01=3IPR zt%E*;R*l;Y$&cfM29H^6@nhF{fBdQ|iR&^@aL6ijME^A2VTMD4>Z0MNet>LO@-Wo9 zR)ZogO_627oi%mlSaF>-SdM=y&9n8f8o_14xCn7Yr&by{jaK}HNO2muj#l$w&nOI-u;fhz{&vo@nyra!Ex>%;z^+WGK-=Zj`N=DZ^$Z?OY>1rv+5qLZE(y$ukW##9NEHUMOVMA z=4}7E%Pe2#{v4j{LRM2&MTmEIDeopS0p!JKO^W~~4Co__JItns4K;H+m)vjKJ#f|bg0g{@(bFh;k2#x6FSkQCFOgQNxLBIF9ERb74%p3@9?#3Q3EYkmmG+{s zc!7|Id(8b!gy!ZQVqUZ(%(MN=H8LN=GSJGj@XiB}L?pN{2hW}mB&)Knk@5vk!3=xX zb21;n&k=JH=h_vyPs8UwE_eV2rOODek>G=VGQOEL1=ftBqVOtr^~tjq-7-Rw8HX!J zd5^IIgoJGn1-j@<`yBJ?_1!=9qu?(|HIG9nD(f~@`DJ0W#;4pw#H7ca=43jT@D$61 zgfJU%$x}N)t#~mxyY@Kwf|!7`*igwte!tpLe)jd%O_|2E`B^$$nEVJbn0m$hY=JG# z&!gX6esO)gz3AT|pNR}l@(Gz#wre@&HSQ%g`^pizu=mt}lkruV$JYE14yMrjI9KlA zk5kU<`P}A>`V}T$s*u_&4PE#cU zJ&7cI3J2Y+&o69zO#(?EeCMXxD|}UgOSSHwDF<-aG)H?@UdB4n1_Vg~!b1kuUQID4 z&B+V%fzBxHnEV4S?x&hN`>RM%ANLlC94vT&4DrzH=ymlLwaY42*fA_L32G%z388hdt`};t~34zxmqX&letJm|| z9$}jUA!)^IDG+%*l2y6d-H`zRlTM;2(F@5~t_Sa@9ul~;&5Z=F6F-cXi1X_i_{^kT zy@y>Dy{q^l86ddazn?7R{dsdQSIclDz4jop0*r>KY9a_z7Q&yDv=H zqiAkz9R;$WKHrsP6%2;bcM?R8;|`oWHYj}be(ncl2Q9W!c=b{XUhh|&jN2Ees8*n> z!LkEQ3}0x^*<*YtjYj%@&GA^~%c@yHZuK_H~ll_d`=zWFu7PQ$=KfPk&rr>W8Bm8rR^J}0Cm+_k{Jf>8fFy0dN}g? z!c}SYrU~OUTJ9^5J=SRV3I6U?jjt}33)1KYIFfoG+{jsN>6JQG2}MxJKZJBVoSg1k z6u=`|Gqo#ZIcXrl-r3TH{CY0`eXBHIf5&KD3Gv*s zPX)vRznU2O5yOICu5NF-vcyZ*uW6pwUD>k52Ic?yGT&6T|lhj?0-DEx~~)c@zEj@=ecjfSSr<3zQD$e zQ}Du#4wFzIno3}sr{0CgFj{`Z0=0yaNUa>%NF9>th*sN@N_IEZD-CUUGq*JwG%8dH zH46UDNa|D5+IYs{IP@IS28#@Ii*ZA_zH~-tkj>9sOSpi?ydM7jKrQd&G^NEDrnGb- zDX(#r_u?lfgX4sY$zxA7)y5hG$D>Gbp8=Glt1<7<%?y8Xs1Ja5<7sy2zhRS}){M zN{E%0$rVLf2;k?E;O^#)XjF9B>#tZwm79EtKzxeVcDbx?s%!g;o#LFO{gopNv4XQ@ z-wld9lN$>{Il*NpOsk@EuEN44(4xZ60k}U=!=bzn`ExhnY6m_J6F3E=g?7dr_c5F& z%j0nP-8mxEz3uYU-mWsJ%+XCMr8Lb#lVJkm)y@-KkB`b3TBjIJ?i?Ym92>S>)Cduf zL6>0^)fXVXmGa1u0L3M^Q-IlUtev9a83~I5Lbl;pY0Kfsmh1MSpH&KBv*)l%Jm>=5 zdrGw2AA{TcYG6Rk!7jycuK%RYw1ydtJ?o|ZFD)8**e;3;A0QbRaA!};|Jaa^Su-_p zr2UNlsLLw9QgIrP66zFUFaGz$zOO6t?+R6E%hStwzRXR-4d(}gBX^a-gF7FgN5Ye#-Uql0)jx(Dy3j?=gM+HWl1*FSM;!S_g>*j$77UY*b&BY?3d`~1!6psJGn;#i5>GS;pRze&>a0VIRQn|8N=XX?Gd0C*xA#XpJ95F0CK1?a01kXo-ysE$QXkB0JZ` za5n|^g^0~rZW zE3f6JmUdV{yEARDQm)8jaf>EC-lV6X0-a4>jYV-Y(8;yo&<4L*{$A>ME^$8Kxsyob zE9&_UubZm?!X@C1(I%FM_BV9kGft8fk zp=%i-W_p-z!k189Pt5(Yqg4+5ue3?sr$FYnx|~x5zpsq8kB$1tse>qz7pDFBwNIp^ zZpTZ#O&-S8FE`M;O%$4Jb2Y28Oq$;gD%aU$YC07ZzxrHI?%$#YRz*wFZ#Gz80-d(O zonPG#e-%3&Pkith89A5)$89)VwS2g3|2t(yDY_z8E?$2=OZ$Og#_kC%+j+{#`l+b@ zrcn=xN1N4xRR$1vKH*sjc zz;J)M+^)-|yuy>R;MWmmw%HT9A=S^P4*sQmr~hr#ydTS~#A;tZ?J*hW>)c}&Zg{Nz ziS4I(V%a=WnLv=wxpiH2ukK(gQkO4|D)y&-T9td?HhZ>yK2E~g4f>__`AdB;AbpoF z^d=|(6`0zf!qo3Yma~|C90HXm+}X~NSs9(v&)nudQ83&fHZ~+amqkpPjCgS6GH!h^ z7P;3JH*`Ikn5)$OEO{0pCqS14Mg0rg8x})4(^_i3X0EGo@dufI+z{Q1m&gl$xItu5 zXrk}_i5^W@lRGNCpAL}1DoeA}|5ago7clJl+PvqixsFt}yFeNAf`$0LJOjrh^~_4H zU_kMOs{!LlmB}~;1eXHi>+f-*GvE*H@MybNe-#|CGHJLxy7%!9WPAIuu!J9CP7!b( z0t;9qSHy!@w{VNRp-wIt7af<3d`Vq=P2fPvN1ckA)dN03UQS_1KJzcdM?ldd};y78l5UYO7Q z_9m+OBgbfVp=(!E2^n~P>$s|+bqVN)wYf=(QBs?B8X+==rb+}5JOO&rf&eAG(H^{e znoq>mem?Bz8>&-v+;LX0Ity4gJBZTe*((FO7tIXS{Zy}+b%~{{ zHFX(Z_2h5<`QfTH$kITE!)YibNV#j}H)2V&{Bl1Ku%Oo)Rm#NDwEeegEJAJ4m)6l4 zG1B!FbvtV5l(P^XLwsj|-J^I=KgPoe%`ogRuCQ}1H-Ji7QpU+X9f0Q_pOzTlym0K8 zk~qivYJ!rS)C)gXz9##?{VqjhY*_GF*k=zxx0vXs$5HvE=Y+={Prp8w`pb637Qg3SC$#pbRkRB;o5OR8hXQqgQJV~V7{V=fjdE8L|h^tE*QUvUzvw^>LCn6=|ZVV zc5*4+$a9W@I%c77rmkXt4zJwv?F<7TPNc7DxAJ(ts$efba64k@KG01yd^6DrTa$iG zBC+5T@l|~Cy$xC{{z~0*AgXWP4NH5UU4<*)3?Eg8&>kG;HpkDDW4sumjz+9^3mzBZU*`K@%_VE_o^my?c|-M>N+we#!~C{{l{{$Vk~31!3RsI6`@DET1{n^ zaOBko)V2Nw$nWHjdcm=+NhL@43U0q|h`@-n{WLP(<3}m$hFIG7SeBFys)h~mt0!g> zCYR;DaTihDHh42FMDwb<6bStbK2v0%L9_;c>v?D^wyx)e}rEb%_1!zg(PxX>d&#1X`wNpc>pPq84}Yi9dKX4)BYJh%Yt&Gx9Zq4K?z;G4|S z_YFgtWQ6#~Y(EQYqD;B+pgC=_oy&_A6t&;4egRIrBdeynEeilxJ&q#Y`sh>~@WOss zh-T->a|KYYU|q$27~&OHB_dfp<#%L3p_3YR^DNEc+-(u4ya(1svov=x0pbO)h%p|U z`qyn0MeFa=sq8z?OpSV^I3*6Hg^uk1`XPOky zyC0LPm8LY6{N5-DG4Hm%{gzt9#k@OvB}#sZ7t9ejV7|}c23$CFV69;p%Zfs>tap^W zbKxATh(dDicZg2ckor;A%Wb*1D~gn`hp{fRs&~;h^ZDMF#A_Z45j%R`GEMXjC;J;i z-A9C-uRq!?rv~MN<=sXBJ&X*WEx}q)9^Lmrw z6lICffR^iBYPornpN%=cy?hh>h*=OfPTH7i+_K4w#hvAio(PJL}TFD!Fq+>#gSg&@=N)z{;xc zf{{B5#VokBO32&vJ&cKi+7%veESgZ{kaVtrAQ>9;tow$n^ahhaP9=t=OOe!ZIM@C7V$~M*0viX1Xjhi55rdzJ9qt$Jz4p=%F$Y}Q>wML=(CKyOaK)fwKH2^e(vNmD@3OOV@_J#_4lJK{YY zv;5%89CswvaZ$@$CQGR;quPEl2C$Z?b<kBhG=EuM7%9BpI(BSgnkXt|VWxi&?O zX=dvTT!V)P5^IW=Z0v6|f?e6?*D%`j)7p#|OU%<9SX~^n(#bBsm(aMKK`lNQX!ON9 zhxV-=Zfi&iwfMVOS96!gGoT?>v2vVb_opFziYnCDg|)Ztaou!j9O4{@DZV}}CLbHl zG)hWRFXfr}k{~g05G_qfoib~l7XG^@&a5j7`r{9E^mCb>A#%QQdZzoD`>Mydc^RNG zo!glUT^~d25PKx6Y^T+0QutI znyYYoyMj0CHpo? z7w{3G(DT9Uui8yCm=kCEE!FM!Y9NqHTNjZ_gY8k0oftfkM?cM?yTz_Fe6EFPNuBhR zY(BlBc@g;K0aAag!l!?9L65f=8#Y6o^X0hhm$w5pM%J~?Rwk(z1KQA2QXr`N)WiE8 zE)As$p)mZ!%PD3*6h|-=7dD8U>InKN_+=AY7rJVa+1piAocytIl&0nJm}x9=4fqvOaM; z#wNyR<7M5wt-njCU%Tdx-yioN=(%ckKitZZp1$us&(+XWv^iBxpUzzT86cSnlyDkK z-L1o=n7s$sp*X%rRP`aSlGB6OH|}Gb|3;tCf8n~T!Q!;Y4a`&b>Y5H7c%9A@7jeb* z+>T0U^ln&4s^k3w@B*SfE7>*+PiGj@c8EXlVb>FU>!s3I!k14&=q+y*(ejn{gGW=>_hySoy_B7}YXnuEo z7HRSJ=DN3S7eP9Jb$J$fF*VK_$NDJk7v-tb5bh8}g}R0J$}}Aqn6YbdNve=&ioPQs zrX|6jwNK_IFP~>Q^=S(+FId{B`;v3TZq{MKCAt4`X?W5HY^&H|QlW;k;TqSA_FQ}$ zPbf-vJpZV)QZvuOlb&*KIi0tdGpmG@8$ixp0@$`op;DCE2nq23xmO4o>Ql?njI>t9 zNNq!!xY=QxzSzuxjs9MmxyGz%S@%D_)gCPzt3HOkK)5)jC?#w`JYJMWh$X)1AX}T( z9`bKtd|PC04WxCObuTlOA7hn|g_JM?l3^v+Y`VT36&|#3Wq~Ty>3)pRG&`7`_VZ)E zwEwQE>ZV~hy5=;B)9m@3W*S)ps>W$Q^KR*K{^2FFvUVDL;k#Pf(c|fbi6li5 z#8Xv{lZS6f{J&fX((@AL(JS6=@NU5f7c8ea^`Y8g+UwN%!lhlf!T&(dU$Fno)-zjw zE{2coucqoRovm+;>+|I5r`AWB9r`noat=4;nZoxfn+o$rvyl&bfrY6NR#Cv!Uz(!4 zv$-S1dfNsxVsoft3_qgFvfS~Ujw(vV>K;*o!g~Nh+&s{EMF}U#LoF#+d5To2?Y+`C z^KSWD|MyDpfulx?4&JXZ6hw&GPrjP2E1y#4Um-^2e6=6FjEXBs0X}g9gi1SQ=n*tr zc-w(~d?RY3-pI@-jV%hYH}v^uy;|ad(Fa2^i1Bl)V^7#Q8?W661 zsFp9SiXClziW@6Ks)X_qs zp)MzcE?4>r1L-l3pMEP5x{nqQWR)p@gQ)H&jje=##0BSQ=ifesxNKqWS?rKWmLK=~ zsGT}cTmHqi>wXvqjm|-_q})M)BlUTw1BCQkCJB)Ey!(=ky_KW{&p6?+z3J!RzsCVi zsqNs*FNEaOD&XZj;*)FQ&qp~Y>!5p1bCRV7np;rBw~>XPKT)@pe+`yEUAxUJsF8p8 z&W1J|%$MumkBn5m6Q!dx68;9ZwZA!9`9_?Ma-Bhln%3Pc))C9^}4-ohT@A385geC7N0!+5mcD0-tb&&S3u@5;u_0f!gu zhZly29Q!_9-xq_+8AE(FnL*FWn{}=qP0yvmDmV{jUS_hLARFOGL*r zthwn)uUPArq@L$-@Hj9e)>yS!SdTLV2~_*n-w#cCIVrpC)qC^Vc|S{3yr6~;yDvqW z_^lu})vsSS=I`3qT5dDe@=SoHif`z-Qo&~55Ch5HZ$0v5PwX#t=P8a2Z0wT{w!88t z+Lw3KVys?RtW~*x?KdT%52azk=uzAd%cAWfUv_(8=C`v1I*wTAlTPDF&Bn7I74(N- z%d0GxZLr$PD!WKbiEsBY;psKhVg!w0r?p%#DT44UQFnspoTYg)g-WiWx=+x5fL(!W z;$ak99p+c&?s;$=%-k_ye~TvRTV;0oH~ZCX?M2;DDl$@XK0}`4$F_`)O`ixVVH)fE z^d0^M&a;#apcOiFJ0(s)bHp+C!7EWPqjR|$W>qh&{|ktEeJ4OMfzCy_^^LQ#n<1OU zCO_<_7n%BSe;!X#tQ7F}I;rF8>eMBVlSlh}HI4`OvXewg02_E@&THh81|H~>ILjbk zN!uvv6KkfswYs0KzzaB|o>#5P$xZWew+yU4+OVTV%txkHEE*0BNi+S}8dKZ)rKJ3+S_%XDT3-c}R5COK-J_ch)oL5quJwFwATQbGlC;zPsR2M2e(OFzx2Fq9 z7+G74mzP$Z>B80lbz9REyuEecdqVN3Sl=Z~dM0Cv%L!d+Eub9gH2Wi#70}jT z99(z@sk3> zg8#&(&s%6dBcY0?BObjlfqN6WLHrsAW95V9P9Jdj5)|RX#i6$zVOBojkL7Ha876|A zMR|IW4h%@bz8@BMr1L%Jc*`ZkGuQcYfZhcERToa5?~mQC-ZYt~&JO8kj%W4VI+}CU z2XyVdA>Tx5--m3}>E~|2)3;jci3%d5qajs1vj;+;)s*JEfqyd&+z1~*XX#GP=R7`d ztG5QSrgYFg*Jn6kiby<@do?_d>TI!xWL>C2j$23U(xruimgDkG?jR@oJl9+vEC?My z>T|q|yn59&*GS1uTFuwFP*`v(NGzBy>(9lhSX&&lzGg@Bv7E2HafaG&7sb5>?qyD^ z4rc9IZTsR;Kkt%L`j3%0u1$D(tz;;g87yEmYb8Mf-m;N4z9sS6;=XOPJQ?qLy=C@| ze1J>hl`IK&8!E$aZ0oVeY(aG5Kq$VhJaEII9??64#Fy++b+R%_`kn)+pqw$X*#AZw zKN}gEw77QLRq35)e7krdC$22`>pp=Oz;=6&x8iPn2$ZQA6s{X?C(H@RmJ|AEk@Fm#L@`5 z>81Dl#UQ|Bb)%#daontx47b7&W*1BBsZZ>YCvb43oS>iMCBSUFb>a>`ZFiR^pcbhd zFf)CRs6{rD3dd~G|Bn(uco~kcszhSX%>O9uyXyt~=s}W-u>S|-49~<79uZIM8Tl`` z5G3LMeLYu_5_PUXuP*Svz4jXG_JFAU+LK&teUm~yD?Zm?_M-K^Ia?NdvaYtrv!d5CG(Dp*jSD42+FUDbB0g|jJ-2wb!p%A$OF{8CF>SzL4X zkfx(5qn=*j#U+*Xj+hm6p-v*%dB1oma~HSPNXMw+7B)doyW0l0j#|2AU94631NqF0 zvrD(G{M1jYJC6m10o!f?<3`3Sfgjqk)GkNE0u?$auBOHI=P#Q-SH^F(r}CmD;r`Mmv~C04E%8!|#!fJZxGf;F6l%O}3y1~j&R-@Suc;>`JJwh>cFMylYyia?u>GPt zw8wu$7l0kkda-(AXMZPp@^bHRnZCC3uN{bj(y8WATr@`d0|F@i;(>Gp7mdfEm+RvR zS}r69-?=kt#1#cmet?%9;o*lL0#Muju96)G$7p(2WT7-diPV|QN zC-LR$URV<%+n~R<^-t?|dPHU^K%By~q1U2(fd zYBh<;_YERS$={O%Gm^(PHsZ6-Ux>U<{jMPzkvvAGBJMWDw^8(|TWQGjv*@@tArq^F z>}Ou)Y)gXmB6t5nf&aip-AdPwusY4~piFSpGin|`H|pUETtvMuAX`Q0@7lv;l#Bc-!b z9&Hd8!PDY-Bl6_(*TS4Ru2+W~TbwOpbk4V(e*G&eWpXC+u`sMy3q~`&ci3hHwq^wh zW(C63p=bied8jvMc%lD#%Y^adf_KWKEd_gk!ucT-(Z7g!wZW}2&yHnoA&KxvzfmCJ6G z-p7J;w=9)out-fWZy#HK*)>D{^|ORCJP)?)Qy2HK(`DVe{(~7RrwR8;0hKs1Cp#7u zF&i(OK>F$n!4snD$!i?BSnsfFA(59aE-Z&{EzHl)axpc7Q85F@ont4R>6tJUn2uU( zkYhLpiqH%q5y63a=GYpD4O${Ld>m&;3`ag&FW9?=-PO{a(|VkZo-cbp=B)FyxfH3) z|7Ag)3RR2;RgASCGnM2LmZZ6_Korx$D zEa4R?sh7?emRFUqQQcxLL6T@5(f6bPZ0VPsEcX6NwgK+_J-mBo-1}xU;>s}5J4e^3 zNd;92L)9`jRoVpw?{RRvr1>7y{6qTxO+P2r94FU&TpG^hK488Xfa0#zeoweL18-ru0YRo;mCva|6#NIAME>As+kUk z4NlXlSIvI6?O2;vXzx#90s9l|)3;vnfx4^Q&q%a7S$Tub;l8Xw4{6;&_Yr087Ui4o z-5;EET28Z_B0h9oCDI|@E9zw_J;n||*DJ7lL$iO!IIZQrthXX7O6%@_@j72Zf1g$r z@dcpLxotDcb1Y*TX!av@=@`Bd0K+Rp9x4E;Y3is9e&2(MYgImzi!K!`s-XAxn@%8LE^m+z9(y@uC1EtYoppfjY63!0%~jLRIW{ zacrZ*2Xj6!LWs1NA8Gh4Y;rpXLc0RVI?k@A>{W)~Q@b#YU0an{kd~E-otvSu*uONxrZuNHcW7)6@Y zzDbDm<{8y$;BSZU><58#@JW~NE~@DW{U0XuO~zXXR_xDg-6hzvASqCz@XN(e!E}*D zqr%aq)T37KD{hg|ZPD>A^drM2i!BvqguFxLj;6*5&fB_sI&vQ7k1{{V%b2?7IB*3t zw&vE1Vp$5#7fARPjCz)2Oiph5^$Y5Q;_Ed5|Rd=O)3=Opn9;e;# z&;Zj@g`hj?$E@}Br~*o%MCX(xV1IkLJTM!Neu)L=SI!*V;ibRLG``p|Rb4$&NXDqT zr=b%fD!0}mm}BNNT;BA4!v!t>T$c4s!l(p&ez-@3+RM6bNAn^gz21?ddHO$uE$aOu za;MIWQ7v~*@uKd+sZY*n#d-$6S6V`C&jb$)?033?N^eqb6r5J2Z($Q?nmY_|47kC@ z`lCLK!ym>#YYwYjWa=%ET}y81ZMl4MDXEW>j9&PoyK{*=mI{1p0&eN}M2`y$ETz82 zPGo~XVT#^VA~^I-i()r7HpmMwcgYW$|L!SL!DXs(Wsd)|y*y6$;^y6I+Aj>xj^^`B zz6AhJz1^MKMvk4r^BOvPO}=i>=jPZJ&ZRG7PLc0NAZR3oqeh4?mpYOJJ(7bjQcUJ}y_V$sdbh+l7fc z3_!HPczUL>0BWc3 zPMZFuB9Nc^#)8T8lVj3q7lmaQ$Ac%|D?ol|6UK6h-Tv)NLs7+&D-m`1vB>BnxJgq z*?nC~ADwk|b8Vq-utQpkMg~Oc0E9>5GWwTMvd6GIR1$zv#u<*?4-p+wz2o zb1VuUrbBAVyWh<@aQ!DRYwfo~Ai3+@<=5^XRUu0%Zo|h)(e78QMT7kk2@T8P{fDFf z4H}P&!3!-0r z3knLyY^&ETE?R*K3Z1aZ_VBgDgev2iHNZD5p|QF^>FY&-BUgW@M70li088tOoBV4M zgn2x^55~r3AeLHOKe||3E}Xm zou|hrMMl2;SK(9)EuHk+sz-(>3{vo5-16}+GD4wXH`Bq++F-ZEI=5dfMt+q>A2`!# z*wP~)nX)THL@AK?R7l^}jK!9gYwK2>8{~I~kZGVi&bwBpY>af&Gyd_PRR8Zfd5B43J#XS1z4=jmLSTh{LYi8)>r~26IJ_lljpoI?cK$R2(6Cl{M zP$&0FhQgb2CJ7CN#cEkGjc}H7+3udICN}%7UB4EBuH04ykE1A$Bf7~}*qm<-!G$8N zeLF$H*fMAg;F+PY#|`tu^*jQ3u2lT*EB>5~8LoATzTH<-URCS2pO=j!_MriP~~{Tm$wFH`f7S0L=PS$3Jp7p4znpAuQeX`vu4RxQ|pzGkxtxY8F1E-dA(S_@7T}c*S;Cb~Sab=BrL` zl@37XkI?B9{c5|MPUFYWduhALZ$3j1oBM!L)mgtY+RIz%(y|0=x39xTzQ&(f@|pkV zunQ4l6jXf0MspDXRLpb#nm1eEuM_HTOt62Zv4prdm8@a*AH$`bIihChU*OoOD*VlZ znGJq+hYd6_e= zC2yW>=YM{B@cHg82jKXQ9=z#yN8YD|&wmrTLU7a;ykl*9ZmR9pyBu~IoKsG+$eBZK z#=yJo#6cKF!W}EQV2tIkL&;W(UMh0bi;Olk({puW7o1ps4A9pu^I@*=BaZ2gX zB;uz2j7sp?7P)Kn-n~up=F#i`B<~?W$rw1=fmzhkjv8FpB&e&lrzK8W4oyZcR)edY b?v&oeHfP*&{U_-X^9hiampWS>^U41ONnl>2 literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/syn/rev_1/vga.srm b/bsp2/Designflow/syn/rev_1/vga.srm new file mode 100644 index 0000000..9e46547 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.srm @@ -0,0 +1,8984 @@ +%%% protect protected_file +@ERMRq pa)qq_uR XOCFs_RVVuv)Q;O +NR 3#HPb_E_8DkR#C4N; +PHR3#Hbsl;R4 +RNP#_$MVOFsCC_#Js_bH"lRO"D ;P +NRE3P8#D_ RHb4F; +R +J;HDRO N; +H$R#M#_HOODF ;R4 +OHRD +s;N#HR$NM_#O$ME;R4 +bHRsCC#0N; +H$R#M#_N$EMOR +4;HMRCNH; +R +8;oOLRD + ;N#LR$oM_N80CO_D OODF M_CRM"CN +";N#LR$oM_N80CO_D 8NN0_RHM";8" +RNL#_$MoCN08 OD_08NNk_F0JR""b; +Rj@@:44::.4:R:fjjsR0k0CRsRkC0Csk;R +b@:@j4::44R:.fjj:RDVN#VCRNCD#RDVN# +C;b@R@j::nj::n6jRf:8jRV#VsCRRJJRR8ORD ORDsb#sCCC0RM +N; +RMRq pa)qq_uR XV(Vdj._qqb.Rs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +OHRD + ;N#HR$HM_#FODO4 R;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_R6h_R ODR7thR7thRBeB;R +bfjj:RPHMR08NNHL_R08NNHL_R08NN +L;bjRf:FjRsjRo_NH_d_RhnNR80,NO8NN0L;_H +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8RjR_HhR_68NN0N_,hnN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XV(VdjU_qqbURs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +OHRD + ;N#HR$HM_#FODO4 R;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_R6h_R ODR7thR7thRBeB;R +bfjj:RRFsoHd__RNdhR_n8NN0LN,80;NO +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8RdR_HhR_68NN0N_,hnN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XN_6.6666_UUUUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LF; +RkOF06Ro;R +bfjj:R8NMRRo6o86RNN0N,08NN +L;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReB +; + +RMRq pa)qq_uR XN_6dnnnn_UUUUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LF; +RlOFL0FkR;on +OFRFRk0o +U;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjFRGsnRoRRon8NN0NN,80;NL +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8RUURoR08NN8N,NL0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +RMRq pa)qq_uR XN(4j(q_66Uq_jRUjblsH;P +NRC3DVN_lOMsFNRlC" pBp;p" +RNP3bH#sRHl4N; +P8R3s_NIo#k0R +4;N3PR8CFM#Rsl4H; +RMOH;R +H8NN0NH; +R08NN +L;FFROlkLF0gRo;R +FO0FkR4o4;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:jGRFsoogRgHROMN,80;NN +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8R4o4R4O4RH8M,NN0N,08NN +L; +RMRq pa)qq_uR XN(4j(B_nnUB_jRUjblsH;P +NRC3DVN_lOMsFNRlC" pBp;p" +RNP3bH#sRHl4N; +P8R3s_NIo#k0R +4;N3PR8CFM#Rsl4H; +RMOH;R +H8NN0NH; +R08NN +L;FFROlkLF0_Rhn;_H +OFRFRk0o;4n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRMhPR_H6_R6h__4H_R6h_;R +bfjj:R8NMRno4_Nj_.4RonNR80,NLh__6H;_4 +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:HjRMOPRHHM_RMOH_4H_RMOH;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RRFso_4nj._FR6h_R08NNHN__O4,HHM__ +4;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb; +R:fjjMRHPNR80_NLHNR80_NLHNR80;NL +fbRjR:jGRFso_4dj._GRnh__hHR_86,NL0N_ +H;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc +; + +RMRq pa)qq_uR XNc4j4q_66bqRs;Hl +RNP3VDC_OlNsNFMl"CRppB p +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +P8R3F#MCs4lR;R +HO;HM +8HRNN0N;R +FOLFlFRk0o;4U +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jGRFsoR4UoR4UO,HM8NN0Nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB; + + + +RMRq pa)qq_uR XN(4jdB_nnbBRs;Hl +RNP3VDC_OlNsNFMl"CRppB p +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +P8R3F#MCs4lR;R +HO;HM +8HRNN0N;R +H8NN0LF; +RlOFL0FkR6h__ +H;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPHROMR_HO_HMHR_4O;HM +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jFosR.jj__RF.hR_c8NN0N__H4H,OM__H4N; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R +bfjj:RPHMR08NNHL_R08NNHL_R08NN +L;bjRf:GjRFosR.jj__RG.h__6H_RhcN,80_NLHN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XN_6.jjjj_UUUUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LF; +RkOF0.Rodb; +R:fjjMRN8.Rod.RodNR80,NN8NN0Lb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB; + + + +RMRq pa)qq_uR XN_cg RHbslN; +PDR3ClV_NFOsMCNlRB"p "pp;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3M8FCl#sR +4;HNR80;NN +8HRNL0N;R +FOLFlFRk0o;.c +fbRjR:jFosR.ocR.8cRNN0N,08NN +L;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReB +; + +RMRq pa)qq_uR XN4.c_jUjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R. +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN8.Ro6.Ro6NR80,NN8NN0LN,80,NO8NN08 +; + +RMRq pa)qq_uR XN4.c_jjj4sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R. +U;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN +N;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN +O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN8.RoU.RoUNR80_NNH,_48NN0L__H4N,80_NOH,_48NN08__H4 +; + +RMRq pa)qq_uR XNd44_jj44sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0dRo6b; +R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:R8NMR6odR6odR08NNHN__84,NL0N_4H_,08NNHO__ +4; +RMRq pa)qq_uR XNd44_((wwsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0cRojb; +R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RRFsoRcjoRcj8NN0N__H4N,80_NLH,_48NN0O__H4 +; + +RMRq pa)qq_uR XN4.c_w(wwsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0Rc +6;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN +N;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN +O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjsRFR6ocR6ocR08NNHN__84,NL0N_4H_,08NNHO__84,N80N_4H_; + + + +RMRq pa)qq_uR XN4.c_.jjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R6 +.;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN86Ro.6Ro.NR80,NN8NN0ON,80_NLH,_48NN08__H4 +; + +RMRq pa)qq_uR XN4.c_UjjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R6 +(;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN86Ro(6Ro(NR80,NN8NN0LN,80,NO8NN08__H4 +; + +RMRq pa)qq_uR XNd44_jjUUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0nRo4b; +R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:R8NMR4onR4onR08NN8N,NL0N,08NNHO__ +4; +RMRq pa)qq_uR XN4.c_jjjUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0Rn +c;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN +O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN8nRocnRocNR80,NN8NN0LN,80_NOH,_48NN08__H4 +; + +RMRq pa)qq_uR XN4.c_4jjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0Rn +g;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN +N;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRN8nRognRogNR80,NO8NN0N__H4N,80_NLH,_48NN08__H4 +; + +RMRq pa)qq_uR XN4.c_7BBUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R( +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjsRFR6o(_FH_c_RhnNR80,NN8NN08N; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R +bfjj:RGlkR6o(_lH_.(Ro6NR80RNO8NN0L_RhnN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XNd44_ww44sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0URojb; +R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjMRN8URo4URo4NR80_NNH,_48NN0L__H4b; +R:fjjsRFRjoURjoUR08NNoO,U +4;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReB +; + +RMRq pa)qq_uR XN_cgUUUURHbslN; +PDR3ClV_NFOsMCNlRB"p "pp;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3M8FCl#sR +4;HNR80;NN +8HRNL0N;R +FOLFlFRk0o;Uc +fbRjR:jNRM8oRUcoRUc8NN0NN,80;NL +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B; +RMRq pa)qq_uR XN4.c_(wwwsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0RU +6;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN +N;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN +O;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjsRFR6oUR6oUR08NN88,NN0N_4H_,08NNHL__84,NO0N_4H_; + + + +RMRq pa)qq_uR XNd44_UUjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0gRo4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:R8NMR4ogR4ogR08NN8N,NL0N,08NN +O; +RMRq pa)qq_uR XNd44_44jjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0gRodb; +R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:R8NMRdogRdogR08NN8O,NN0N_4H_,08NNHL__ +4; +RMRq pa)qq_uR XN4.c_jjw(sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:HjRM8PRNL0N_8HRNL0N_.H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN +N;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_NOHNR80_NOHR_j8NN0Ob; +R:fjjMRN8gRo(R_HhR_6h,_n8NN0O__HjN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R +bfjj:RRFso_g(Hd_NRnh_R08NN88,NN0N_.H_,08NNHL__ +.; +RMRq pa)qq_uR XN4.c_www(sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;jd +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:FjRs4RojodR4Rjd8NN0ON,80,N88NN0N__H4N,80_NLH;_4 +RMRq pa)qq_uR XN4.c_ww(wsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;jU +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jHRMP8NN08R_H8NN08__H4NR80;N8 +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:FjRs4RojoUR4RjU8NN0ON,80_NNH,_48NN0L__H4N,80_N8H;_4 +RMRq pa)qq_uR XN4.c_j4jjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;4c +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:NjRMo8R4R4coc44R08NN8O,N80N,08NNHN__84,NL0N_4H_; + + + +RMRq pa)qq_uR XN4.c_q.qqsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_N8HNR80_N8HNR80;N8 +fbRjR:jHRMP8NN0OR_H8NN0OR_H8NN0Ob; +R:fjjMRHPNR80_NLHNR80_NLHNR80;NL +fbRjR:jFosR4_4gHd_NRnh_R08NNHL_,08NNHO_,08NNH8_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jNRM8og44_hHR_86RNN0N,nh_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +RMRq pa)qq_uR XN4.c_j4wwsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;.d +fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRNO0N_8HRNO0N_.H_R08NN +O;bjRf:FjRs4Ro.jd_R.o4d_Rh6N,80_NOH;_. +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8R4_.djd_NR6h_R08NN88,NN0N_.H_,08NNHL__ +.; +RMRq pa)qq_uR XN4.c_4jwwsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;.g +fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRN80N_8HRN80N_.H_R08NN +8;bjRf:HjRM8PRNO0N_8HRNO0N_.H_R08NN +O;bjRf:FjRs4Ro.jg_R.o4g_Rh6N,80_NOH;_. +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8R4_.gjd_NR6h_R08NNHN__8.,NL0N_.H_,08NNH8__ +.; +RMRq pa)qq_uR XN4.c_w(jjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:HjRM8PRNL0N_8HRNL0N_.H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN +N;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_N8HNR80_N8HNR80;N8 +fbRjR:jNRM8o64d_hHR_86RNO0N,nh_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jFosR4_d6Hd_NRnh_R08NNHN__8.,NL0N_.H_,08NNH8_; + + + +RMRq pa)qq_uR XNd44_..qqsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0_Rh6b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RPHMR08NNHO_R08NNHO_R08NN +O;bjRf:HjRM8PRNL0N_8HRNL0N_8HRNL0N;R +bfjj:RRFsoj4c_NH_d_RhnNR80_NLHN,80_NOHN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R +bfjj:R8NMRco4jR_HhR_68NN0N_,hnN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XN4.c_w7jjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN +N;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_N8HNR80_N8HNR80;N8 +fbRjR:jNRM8od4c_hHR_86RNO0N,nh_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jFosR4_cdHd_NRnh_R08NN8L,NN0N_.H_,08NNH8_; + + + +RMRq pa)qq_uR XN4.c_.wwqsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;c( +fbRjR:jFosR4Rc(o(4cR08NNh8,_ +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_NOHNR80_NOHNR80;NO +fbRjR:jHRMP8NN0LR_H8NN0L__HjNR80;NL +fbRjR:jFosR4_cUHd_NRnh_R08NNHL__8j,NO0N_ +H;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb; +R:fjjMRN84RocHU_R6h_R08NNhN,_ +n;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc +; + +RMRq pa)qq_uR XN4.c_Bjq sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;64 +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:NjRMo8R4_64jd_NRch_R08NN8L,N80N_4H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jNRM8o446_Nj_dR_jhR_68NN0NN,80_NOH;_4 +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:FjRs4Ro6j4_R6o44_Rh6_,hcN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XN4.c_wwj4sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;6n +fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRN80N_8HRN80N_.H_R08NN +8;bjRf:FjRs4Ro6jn_R6o4nNR80,NOh;_6 +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8R4_6njd_NR6h_R08NNHN__8.,NL0N_.H_,08NNH8__ +.; +RMRq pa)qq_uR XN4.c_qqqAsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R4;n4 +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN +O;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:NjRMo8R4_n4jd_NR6h_R08NNHL__84,NO0N_4H_,08NNH8__ +4;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb; +R:fjjsRFRno44R_jo44nR08NNhN,_ +6;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc +; + +RMRq pa)qq_uR XVjVg4Uj_URUUblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +HC;MN +sFRCkoF0_Rh4o; +M_Rh4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +.;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jNRM8o64nRno46NR80,NN8NN0Lb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7RhC7RM +N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_Rno46O,#DHs__ +.; +RMRq pa)qq_uR XV.VgjU._jRjjblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +8HRNO0N;R +H8NN08H; +R OD;H +NRM#$_OH#D FOR +4;HOR#D +s;HMRCNF; +RosCFRk0h;_4 +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7RhC7RM +N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_Rno4nO,#DHs__ +.;bjRf:NjRMo8R4Rnnon4nR08NN8N,NL0N,08NN8O,N80N; + + + +RMRq pa)qq_uR XVgVU(qU_qRqqblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HDRO N; +H$R#M#_HOODF ;R4 +#HRO;Ds +CHRM +N;FCRso0FkR4h_;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjVR8VCs#RRwwhR_4hR_.ORD tRh7tRh7C;MN +fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R +bfjj:R8NMRD#Os_Rh.NR80,NN#sOD_.H_; + + + +RMRq pa)qq_uR XVjVg4 j_ R blsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +HC;MN +sFRCkoF0_Rh4o; +M_Rh4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +.;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jFosR4Rngog4nR08NN8N,NL0N;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh4_Rh.DRO hRt7hRt7MRCNb; +R:fjjMRHPOR#DHs_RD#Os__H.OR#D +s;bjRf:NjRM#8RORDshR_.og4n,D#Os__H. +; + +RMRq pa)qq_uR XV.Vgj.._jRjjblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +8HRNO0N;R +H8NN08H; +R OD;H +NRM#$_OH#D FOR +4;HOR#D +s;HMRCNF; +RosCFRk0h;_4 +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7RhC7RM +N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_R(o4jO,#DHs__ +.;bjRf:NjRMo8R4R(joj4(R08NN8N,NO0N,08NN88,NL0N_4H_; + + + +RMRq pa)qq_uR XVgVcUw_w(bwRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +8HRN80N;R +HO;D +RNH#_$MHD#OFRO 4F; +RosCFRk0h;_4 +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RPHMR08NNHO_R08NNHO__84RNO0N;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh44Ro(OcRDt Rht7Rhe7RB +B;bjRf:FjRs4Ro(ocR4R(c8NN08N,80_NNH,_48NN0L__H4N,80_NOH;_4 +RMRq pa)qq_uR XVcVUgAU_ARAAblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R +bfjj:RRFsoj4URUo4jNR80,NN8NN0L__H4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7Rhe7RB +B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_RUo4jO,#DHs__ +.; +RMRq pa)qq_uR XVcVUg7U_7R77blsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RRFso.4URUo4.NR80,NL8NN0N__H4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7Rhe7RB +B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_RUo4.O,#DHs__ +.; +RMRq pa)qq_uR XV(Vdjj_UUbjRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +OHRD + ;N#HR$HM_#FODO4 R;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_RUo4cDRO hRt7hRt7BReBb; +R:fjjMRN84RoUocR4RUc8NN0NN,80,NL8NN0O +; + +RMRq pa)qq_uR XV(Vd4w_((bwRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +OHRD + ;N#HR$HM_#FODO4 R;R +FOLFlFRk0on4U;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_RUo4nDRO hRt7hRt7BReBb; +R:fjjsRFRUo4n4RoU8nRNN0N_4H_,08NNHL__84,NO0N_4H_; + + + +RMRq pa)qq_uR XVgVcUj_jUbjRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +8HRN80N;R +HO;D +RNH#_$MHD#OFRO 4F; +RosCFRk0h;_4 +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RPHMR08NNH8_R08NNH8__84RN80N;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh44RogO4RDt Rht7Rhe7RB +B;bjRf:NjRMo8R4Rg4o44gR08NN8N,NL0N,08NN8O,N80N_4H_; + + + +RMRq pa)qq_uR XVgVcUB_jqb Rs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HNR80;NO +8HRN80N;R +HO;D +RNH#_$MHD#OFRO 4F; +RosCFRk0h;_4 +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh44RogO6RDt Rht7Rhe7RB +B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN +8;bjRf:NjRMo8R4_g6jd_NRch_R08NN8N,N80N_4H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jNRM8o64g_Nj_dR_jhR_68NN0LN,80_NOH;_4 +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:FjRs4Rogj6_Rgo46_Rh6_,hcN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XVUVdnw_wjbjRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN08H; +R OD;H +NRM#$_OH#D FOR +4;FCRso0FkR4h_;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_84RN80NR ODR7thR7thRBeB; + + + +RMRq pa)qq_uR XVcVUg(U_(R((blsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RRFsoj.jRjo.jNR80_NNH,_48NN0L__H4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_O.RDt Rht7Rhe7RB +B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_Rjo.jO,#DHs__ +.; +RMRq pa)qq_uR XO.M06Ugd_66qqsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3_H#V4VR;P +NRF38MsC#l;R4 +OHRH +M;HNR80;NN +OHRD + ;N#HR$HM_#FODO4 R;R +H#sOD;R +H#NDF8F; +RosCFRk0h;_4 +8HRNO0N;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +d;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jGRFsod.jRjo.dHROMN,80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_Rdh_R ODR7thR7thRBeB;R +bfjj:RGlkRF#DNh8R_o.R.Rjd8NN0ODR#F;N8 +fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R +bfjj:R8NMRD#Os_Rhd_Rh.O,#DHs__ +.; +RMRq pa)qq_uR XO.M06.gc_66qqj_qqbjRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +HO;HM +8HRNN0N;R +HO;D +RNH#_$MHD#OFRO 4H; +RD#OsH; +RF#DN +8;FCRso0FkR4h_;R +FO0FkRjo.(H; +R08NN +O;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_h4R_OdRDt Rht7Rhe7RB +B;bjRf:ljRk#GRD8FNR.h_Rjo.6NR80RNO#NDF8b; +R:fjjMRHPOR#DHs_RD#Os__H.OR#D +s;bjRf:NjRM#8RORDshR_dh,_.#sOD_.H_;R +bfjj:RsGFRjo.6.RojO6RH8M,NN0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jNRM8o(.jRjo.(HROMN,80;NN +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c; +RMRq pa)qq_uR XO.M0cjg6_nnnnU_UUbURs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R08NN +L;HDRO N; +H$R#M#_HOODF ;R4 +#HRO;Ds +#HRD8FN;R +FsFCokh0R_ +4;FFROko0R.;4j +8HRNO0N;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +d;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_Rdh_R ODR7thR7thRBeB;R +bfjj:RGlkRF#DNh8R_o.R.RjU8NN0ODR#F;N8 +fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R +bfjj:R8NMRD#Os_Rhd_Rh.O,#DHs__ +.;bjRf:GjRFosR.RjUoU.jR08NN8N,NL0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jNRM8oj.4R4o.jNR80,NN8NN0LN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XO.M0cjg6_6666q_qqbqRs;Hl +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NR#3H_RVV4N; +P8R3F#MCs4lR;R +H8NN0NH; +R OD;H +NRM#$_OH#D FOR +4;HOR#D +s;HDR#F;N8 +sFRCkoF0_Rh4F; +RkOF0NR80;NN +8HRNO0N;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +d;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_Rdh_R ODR7thR7thRBeB;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RGlkRF#DNh8R_8.RNN0N_4H_R08NN#ORD8FN;R +bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb; +R:fjjMRN8OR#DhsR_hdR_#.,O_DsH;_. +RMRq pa)qq_uR XN_cg((((RHbslN; +PDR3ClV_NFOsMCNlRB"p "pp;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3M8FCl#sR +4;HNR80;NN +8HRNL0N;R +FOLFlFRk0o..4;R +bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:RRFso..4R4o..NR80_NNH,_48NN0L__H4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB; + + + +RMRq pa)qq_uR XN_cg4444RHbslN; +PDR3ClV_NFOsMCNlRB"p "pp;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3M8FCl#sR +4;HNR80;NN +8HRNL0N;R +FOLFlFRk0o6.4;R +bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R +bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R +bfjj:R8NMR4o.6.Ro486RNN0N_4H_,08NNHL__ +4;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReB +; + +RMRq pa)qq_uR XNd44_ww sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0.Ro4 +U;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjsRFR4o.U.Ro48URNN0N,08NN8L,NO0N; + + + +RMRq pa)qq_uR XN4.c_jjjcsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;.j +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jHRMP8NN08R_H8NN08__H4NR80;N8 +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:NjRMo8R.R.joj..R08NN8L,NN0N_4H_,08NNHO__84,N80N_4H_; + + + +RMRq pa)qq_uR XN4.c_www sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;.n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:FjRs.Ro.onR.R.n8NN0NN,80,NL8NN0ON,80;N8 +RMRq pa)qq_uR XN4.c_jw jsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;.g +fbRjR:jNRM8og..R.o.gNR80,N8oj.d;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:jFosR.Rdjoj.dR08NN8N,NL0N,08NN +O; +RMRq pa)qq_uR XN4.c_((w(sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;d. +fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:HjRM8PRNO0N_8HRNO0N_.H_R08NN +O;bjRf:NjRMo8R._d.jd_NR6h_R08NN88,NO0N_.H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jFosR._d.j.Rodh.R_86,NN0N_.H_,08NNHL__ +.; +RMRq pa)qq_uR XNd44_ww((sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;FFROlkLF0.Rod +U;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN +L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN +N;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjsRFRdo.U.Rod8URNO0N,08NNHN__84,NL0N_4H_; + + + +RMRq pa)qq_uR XN4.c_jj4jsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;c. +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:NjRMo8R.Rc.o..cR08NN88,NN0N_4H_,08NNHL__84,NO0N_4H_; + + + +RMRq pa)qq_uR XN4.c_ w sRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;cU +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:NjRMo8R._cUjd_NR6h_R08NN8O,N80N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jFosR._cUj.Roc8URNN0N,08NNhL,_ +6; +RMRq pa)qq_uR XN4.c_w jjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_N8HNR80_N8HNR80;N8 +fbRjR:jNRM8o4.6_hHR_86RNO0N,nh_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jFosR._64Hd_NRnh_R08NN8N,NL0N,08NNH8_; + + + +RMRq pa)qq_uR XN4.c_. wdsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +c;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjkRlGdRlRch_R.h_R08NN88RNL0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0OR_H8NN0OR_H8NN0Ob; +R:fjjsRFR_l4C_Rh.NR80,NN8NN0O;_H +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c; +RMRq pa)qq_uR XN4.c_j(wjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLko0R.;n4 +fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO +fbRjR:jNRM8o4.nRno.4NR80,N8o..n;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:jFosR.Rn.o..nR08NNHN__84,NL0N_4H_,08NNHO__ +4; +RMRq pa)qq_uR XN4.c_jj(dsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p"N; +PHR3#Hbsl;R4 +RNP3N8sIk_o04#R;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R08NN +O;HNR80;N8 +OFRFFlLkh0R_ +6;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjMRHPNR80_NLHNR80_NLHNR80;NL +fbRjR:jFosR._n(Hd_NR(h_Rnh_,08NNHL_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0OR_H8NN0OR_H8NN0Ob; +R:fjjMRN8.RonH(_R6h_R08NNHO_,(h_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0NR_H8NN0NR_H8NN0Nb; +R:fjjMRN8.RonH(__RFdhR_n8NN08N,80_NNHN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XVcVcUw._wRjjblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +8;HDRO N; +H$R#M#_HOODF ;R4 +NHRO;Ds +sFRCkoF0_Rh4o; +M_Rh4N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjVR8VCs#RRwwhR_48NN08DRO ORNDtsRhe7RB +B; +RMRq pa)qq_uR XV6Vcgcc_jRjjblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +8HRNO0N;R +H8NN08H; +R OD;H +NRM#$_OH#D FOR +4;HORND +s;FCRso0FkR4h_;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_o4R.R(cORD NsODR7thRBeB;R +bfjj:R8NMR(o.c.Ro(8cRNL0N,08NN8O,N80N,08NNHN__ +4; +RMRq pa)qq_uR XV6VcgUc_jRjjblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +8HRNO0N;R +H8NN08H; +R OD;H +NRM#$_OH#D FOR +4;HORND +s;FCRso0FkR4h_;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.nb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_o4R.R(UORD NsODR7thRBeB;R +bfjj:R8NMR(o.U.Ro(8URNN0N,08NN8L,NO0N,08NN +8; +RMRq pa)qq_uR XVcVcjg._gRggblsH;P +NRC3DVN_lOMsFNRlC" pBpwp_w +";N3PRHs#bH4lR;P +NRs38NoI_kR0#4N; +PHR3#V_VR +4;N3PR8CFM#Rsl4H; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +HNsOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jGRFso4.URUo.4NR80,NL8NN0N__H4b; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB;R +bfjj:RV8VsR#CwhwR_o4R.RU4ORD NsODR7thRBeB; + + + +RMRq pa)qq_uR XV.V46_n.6666RHbslN; +PDR3ClV_NFOsMCNlRB"p _ppw;w" +RNP3bH#sRHl4N; +P8R3s_NIo#k0R +4;N3PRHV#_V;R4 +RNP3M8FCl#sR +4;HNR80;NN +OHRD + ;N#HR$HM_#FODO4 R;R +HNsOD;R +H#sOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh4_Rh.DRO ORNDtsRhe7RB +B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jNRM8#sODR.h_R08NNHN__#4,O_DsH;_. +RMRq pa)qq_uR XV.V46_gUnnnn_UUUUsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3_H#V4VR;P +NRF38MsC#l;R4 +8HRNN0N;R +H8NN0LH; +R OD;H +NRM#$_OH#D FOR +4;HORND +s;HOR#D +s;FCRso0FkR4h_;R +FO0FkRUo.no; +M_Rh4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +.;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_R.h_R ODRDNOshRt7BReBb; +R:fjjMRHPOR#DHs_RD#Os__H.OR#D +s;bjRf:NjRM#8RORDshR_.oc.U,D#Os__H.b; +R:fjjFRGs.RoUocR.RUc8NN0NN,80;NL +RNH3P#NCM_H#V0_D#No.(Rn4UjUn +c;bjRf:NjRMo8R.RUnon.UR08NN8N,NL0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +RMRq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3_H#V4VR;P +NRF38MsC#l;R4 +OHRH +M;HNR80;NN +8HRNL0N;R +HO;D +RNH#_$MHD#OFRO 4H; +RDNOsH; +RD#OsF; +RosCFRk0h;_4 +OFRFRk0og.U;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjVR8VCs#RRwwhR_4hR_.ORD NsODR7thRBeB;R +bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb; +R:fjjMRN8OR#DhsR_o.R.,U(#sOD_.H_;R +bfjj:RsGFRUo.(.RoUO(RH8M,NN0N;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jNRM8og.URUo.gHROMN,80,NN8NN0L +; + +RMRq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH +l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P +NR#3HblsHR +4;N3PR8IsN_0ok#;R4 +RNP3_H#V4VR;P +NRF38MsC#l;R4 +OHRH +M;HNR80;NN +8HRNL0N;R +HO;D +RNH#_$MHD#OFRO 4H; +RDNOsH; +RD#OsF; +RosCFRk0h;_4 +OFRFRk0oc.g;M +oR4h_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.N; +M#R3N_PCM_C0VoDN#.4R6 +n;bjRf:VjRNCD#R7thR7th;R +bfjj:Rk0sCBReBBReBb; +R:fjjVR8VCs#RRwwhR_4hR_.ORD NsODR7thRBeB;R +bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb; +R:fjjMRN8OR#DhsR_h.R_Hn_,D#Os__H.b; +R:fjjMRHP_Rh6R_Hh__6HR_4h;_6 +fbRjR:jNRM8oc.g_Nj_..Rog8cRNL0N,6h__4H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMPO_HMHHROM__H4HROMb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjsRFRgo.c__jFh.R_86RNN0N_4H_,MOH_4H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0LR_H8NN0LR_H8NN0Lb; +R:fjjFRGs.Rogj4__RG.h__nH_Rh6N,80_NLHN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XVdV4n_4UnBBnRHbslN; +PDR3ClV_NFOsMCNlRB"p _ppw;w" +RNP3bH#sRHl4N; +P8R3s_NIo#k0R +4;N3PRHV#_V;R4 +RNP3M8FCl#sR +4;HHROMH; +R08NN +N;HNR80;NL +OHRD + ;N#HR$HM_#FODO4 R;R +HNsOD;R +H#sOD;R +FsFCokh0R_ +4;ohMR_ +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_. +RNM3P#NCC_M0D_VN4o#Rn.6;R +bfjj:RDVN#tCRht7Rh +7;bjRf:0jRsRkCeRBBe;BB +fbRjR:j8sVV#wCRw_Rh4_Rh.DRO ORNDtsRhe7RB +B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds +fbRjR:jNRM8#sODR.h_R6h__#H,O_DsH;_. +fbRjR:jHRMPO_HMHHROM__H4HROMb; +R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb; +R:fjjsRFRgo.n__jFh.R_8cRNN0N_4H_,MOH_4H_;H +NRN3#PHC_M_#0VoDN#n.R(U4jU;nc +fbRjR:jHRMP8NN0LR_H8NN0LR_H8NN0Lb; +R:fjjFRGs.Rogjn__RG.h__6H_RhcN,80_NLHN; +H#R3N_PCH0M#_NVDoR#.nj(4UcUn; + + + +RMRq pa)qq_uR XVdV46_Un6qq6RHbslN; +PDR3ClV_NFOsMCNlRB"p _ppw;w" +RNP3bH#sRHl4N; +P8R3s_NIo#k0R +4;N3PRHV#_V;R4 +RNP3M8FCl#sR +4;HHROMH; +R08NN +N;HDRO N; +H$R#M#_HOODF ;R4 +NHRO;Ds +#HRO;Ds +sFRCkoF0_Rh4o; +M_Rh4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_ +.;N3MR#CNP_0MC_NVDoR#4.;6n +fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN +fbRjR:jGRFsog.gRgo.gHROMN,80;NN +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;bjRf:8jRV#VsCwRwR4h_R.h_R ODRDNOshRt7BReBb; +R:fjjMRHPOR#DHs_RD#Os__H.OR#D +s;bjRf:NjRM#8RORDshR_.og.g,D#Os__H. +; + +RMRvB )z_)YpRQA#N0s0_HGHuF_7bjRs;Hl +RNP3VDC_OlNsNFMl"CRQ;m" +RNP3bH#N48R;P +NR#3HblsHR +4;N3PR8CFM#Rsl4H; +R08NN;HM +bLRNF8H;H +NRM#$_H0s#00NC;R4 +fbRjR:jV#NDChRt7hRt7b; +R:fjjsR0keCRBeBRB +B;b@R@nc:c:c(:c.:4:_sjbRHMfjj:RH0sR4Q_3H0sR8bNH8FRNH0NMBReB +; + +RMRvB )z_)YpRQA#N0s0_HGHmF_uRajblsH;P +NRC3DVN_lOMsFNRlC""Qm;P +NR#3HbRN84N; +PHR3#Hbsl;R4 +RNP3M8FCl#sR +4;FFROlkLF0NRb8;HF +bHRNF8H;H +NRM#$_H0s#00NC;R4 +FHRCb; +R:fjjNRVDR#CtRh7t;h7 +fbRjR:j0CskRBeBRBeB; + + + +"VRMNFMl;C"RHyVDjCR +"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_ bN38PE"y;RVCHDRN. +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC +RcNRVR3_H#PDE8R +4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC +RnNRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN( +V3RRHP#_ER8D4V; +RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR +4.NRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV +NRHR3#E_P84DR;R +MRaqp _)qqXu Rs#0NG0H_CDODuDR);Qv +RNO30CGCNsMD8_CHMV_NRlC"s#0NG0H_CDOD;D" +RNP3VDC_OlNsNFMl"CRppB p +";N3PRHs#bH4lR;P +NRH3#lV8CN0kDRC'8PsbF,P8COMDs'N; +PbR3E#$_HR0C"apz"N; +PPR3E_8D#b HR +4;N3PRCCG0sDMN_sPCHoDF_lMNC#R"00sNHDG_ODCD"N; +PCR3Gs0CM_NDPDE8_lMNC#R"00sNHDG_ODCD"F; +RlOFL0Fk;H +NRH3#lV8CN0kDRz'hp;p' +sFRCkoF0N; +H#R3HCl8VDNk0hR'z'pp;R +FO0Fk;H +NRH3#lV8CN0kDRz'hp;p' +OFRNF#Ok +0;HDRO N; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'7th'H; +R08NN +N;N3HR#8HlCkVND'0Re'BB;H +NRJ3PlV8CN0kDRB'eB +';HNR80;NL +RNH3l#H8NCVkRD0'BeB'N; +HPR3JCl8VDNk0eR'B;B' +8HRNO0N;H +NRH3#lV8CN0kDRB'eB +';N3HRP8JlCkVND'0Re'BB;R +H8NN08N; +H#R3HCl8VDNk0eR'B;B' +RNH3lPJ8NCVkRD0'BeB'H; +RDNOsN; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'7th'N; +H$R#M#_N$EMOR +4;HOR#D +s;N3HR#8HlCkVND'0Rt'h7;H +NRJ3PlV8CN0kDRh't7 +';HDR#F;N8 +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +CHRM +N;N3HR#8HlCkVND'0Re'BB;H +NRJ3PlV8CN0kDRB'eB +';HHROMN; +H#R3HCl8VDNk0tR'h;7' +HHRMsPC0 +N;N3HR#8HlCkVND'0Rt'h7;H +NRJ3PlV8CN0kDRh't7 +';HDRNF;N8 +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +RNH#_$MNM#$O4ER;R +HsOCoNH#OMN; +H#R3HCl8VDNk0hR'z'pp;H +NRJ3PlV8CN0kDRh't7 +'; +"VRMNFMl;C"RHyVDjCR +"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_ bN38PE"y;RVCHDRN. +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC +RcNRVR3_H#PDE8R +4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC +RnNRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN( +V3RRHP#_ER8D4V; +RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR +4.NRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV +NRHR3#E_P84DR;R +MRaqp _)qqXu Rs#0NG0H_CDODVD_V)RuQ +v;N3ORCCG0sDMN_HC8VN_Ml"CR#N0s0_HGDDOCD +";N3OR#b H_8PED#_kC;R4 +RNP3VDC_OlNsNFMl"CRppB pw_w"N; +PHR3#Hbsl;R4 +RNP30CGCNsMDC_PsFHDoN_Ml"CR#N0s0_HGDDOCD +";N3PRCCG0sDMN_8PEDN_Ml"CR#N0s0_HGDDOCD +";N3PR#8HlCkVND'0R8bCPF8s,CDPOs;M' +RNP3$bE_0#HCwR"w +";N3PRPDE8_H# b;R4 +OFRFFlLk +0;N3HR#8HlCkVND'0Rhpzp'F; +RosCF;k0 +RNH3l#H8NCVkRD0'phzp +';N3HRHN#DM#8_CCJkMN0HDH_bM;R4 +OFRF;k0 +RNH3l#H8NCVkRD0'phzp +';FNRO#kOF0H; +R OD;H +NRH3#lV8CN0kDRh't7 +';N3HRP8JlCkVND'0Rt'h7;H +NRM#$_OH#D FOR +4;HNR80;NN +RNH3l#H8NCVkRD0'BeB'N; +HPR3JCl8VDNk0eR'B;B' +8HRNL0N;H +NRH3#lV8CN0kDRB'eB +';N3HRP8JlCkVND'0Re'BB;R +H8NN0ON; +H#R3HCl8VDNk0eR'B;B' +RNH3lPJ8NCVkRD0'BeB'H; +R08NN +8;N3HR#8HlCkVND'0Re'BB;H +NRJ3PlV8CN0kDRB'eB +';HORND +s;N3HR#8HlCkVND'0Rt'h7;H +NRJ3PlV8CN0kDRh't7 +';N3HRl Ns_$N#MsO_C0#CR +4;N#HR$NM_#O$ME;R4 +#HRO;Ds +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +#HRD8FN;H +NRH3#lV8CN0kDRh't7 +';N3HRP8JlCkVND'0Rt'h7;H +NRN3ls# _$_MOsCC#0;R4 +CHRM +N;N3HR#8HlCkVND'0Re'BB;H +NRJ3PlV8CN0kDRB'eB +';N3HRl Ns_FODOC _MDNLC;R4 +OHRH +M;N3HR#8HlCkVND'0Rt'h7;R +HHCMPs;0N +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +NHRD8FN;H +NRH3#lV8CN0kDRh't7 +';N3HRP8JlCkVND'0Rt'h7;H +NRM#$_$N#MROE4H; +RosCOON#H +M;N3HR#8HlCkVND'0Rhpzp'N; +HPR3JCl8VDNk0tR'h;7' +"VRMNFMl;C"RHyVDjCR +"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_ bN38PE"y;RVCHDRN. +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC +RcNRVR3_H#PDE8R +4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC +RnNRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN( +V3RRHP#_ER8D4V; +RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR +4.NRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV +NRHR3#E_P84DR;R +MRaqp _)qqXu Rs#0NG0H_RHFuv)Q;O +NRG3C0MCsNCD_8_HVMCNlR0"#sHN0GF_H"N; +PDR3ClV_NFOsMCNlRm"Q"N; +PHR3#Hbsl;R4 +RNP3bH#N48R;P +NRH3#lV8CN0kDRC'8PsbF,P8COMDs,P8CF;C' +RNP3$bE_0#HCQR"mwAz"N; +PHR3FH_PC4IR;P +NRE3P8#D_ RHb4N; +PCR3Gs0CM_NDPHCsD_FoMCNlR0"#sHN0GF_H"N; +PCR3Gs0CM_NDPDE8_lMNC#R"00sNHHG_F +";LNRb8;HF +RNH3bH#N48R;H +NRM#$_H0s#00NC;R4 +sFRCkoF0N; +H#R3HCl8VDNk0hR'z'pp;R +FOLFlF;k0 +RNH3l#H8NCVkRD0'phzp +';F8R8HCFso0Fk;H +NRH3#lV8CN0kDRz'hp;p' +8HRNH0NMN; +H#R3HCl8VDNk0hR'z'pp;H +NRJ3PlV8CN0kDRh't7 +';H8R8HNF80MNH;H +NRH3#lV8CN0kDRz'hp;p' +FHRCN; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'BeB'H; +R0FkO;D +RNH3l#H8NCVkRD0'phzp +';N3HRP8JlCkVND'0Rt'h7;R +HFOk0DM CNN; +H#R3HCl8VDNk0eR'B;B' +RNH3lPJ8NCVkRD0'BeB'H; +ROFCDM CNH; +ROHMD + ;N3HR#8HlCkVND'0Rhpzp'N; +HPR3JCl8VDNk0tR'h;7' +HHRM ODC;MN +RNH3l#H8NCVkRD0'BeB'N; +HPR3JCl8VDNk0eR'B;B' +NHRsCC#0N; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'7th'N; +HlR3N_s NM#$OC_s#RC04H; +RC#s#;C0 +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +RNH3slN $_#MsO_C0#CR +4;FVR8VN_80FN_k +0; +"VRMNFMl;C"RHyVDjCR +"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_ bN38PE"y;RVCHDRN. +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC +RcNRVR3_H#PDE8R +4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC +RnNRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN( +V3RRHP#_ER8D4V; +RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR +4.NRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV +NRHR3#E_P84DR;R +MRaqp _)qqXu Rs#0NG0H__HFVuVR);Qv +RNO30CGCNsMD8_CHMV_NRlC"s#0NG0H_"HF;O +NR 3#HPb_E_8DkR#C4N; +PHR3#Hbsl;R4 +RNP3bH#N48R;P +NRE3b$H_#0"CRQzmAw +";N3PRCCG0sDMN_sPCHoDF_lMNC#R"00sNHHG_F +";N3PRCCG0sDMN_8PEDN_Ml"CR#N0s0_HGH;F" +RNP3l#H8NCVkRD0'P8Cb,Fs8OCPD,sM8FCPC +';N3PRHPF_HRCI4N; +PPR3E_8D#b HR +4;LNRb8;HF +RNH3bH#N48R;H +NRM#$_H0s#00NC;R4 +sFRCkoF0N; +H#R3HCl8VDNk0hR'z'pp;R +FOLFlF;k0 +RNH3l#H8NCVkRD0'phzp +';F8R8HCFso0Fk;H +NRH3#lV8CN0kDRz'hp;p' +8HRNH0NMN; +H#R3HCl8VDNk0hR'z'pp;H +NRJ3PlV8CN0kDRh't7 +';H8R8HNF80MNH;H +NRH3#lV8CN0kDRz'hp;p' +FHRCN; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'BeB'H; +R0FkO;D +RNH3l#H8NCVkRD0'phzp +';N3HRP8JlCkVND'0Rt'h7;H +NRM#$_OH#D FOR +4;HkRF0 ODC;MN +RNH3l#H8NCVkRD0'BeB'N; +HPR3JCl8VDNk0eR'B;B' +FHRC ODC;MN +HHRM OD;H +NRH3#lV8CN0kDRz'hp;p' +RNH3lPJ8NCVkRD0'7th'N; +H$R#M#_HOODF ;R4 +HHRM ODC;MN +RNH3l#H8NCVkRD0'BeB'N; +HPR3JCl8VDNk0eR'B;B' +NHRsCC#0N; +H#R3HCl8VDNk0tR'h;7' +RNH3lPJ8NCVkRD0'7th'N; +HlR3N_s NM#$OC_s#RC04H; +RC#s#;C0 +RNH3l#H8NCVkRD0'7th'N; +HPR3JCl8VDNk0tR'h;7' +RNH3slN $_#MsO_C0#CR +4;FVR8VN_80FN_k +0; +"VRMNFMl;C"RHyVDjCR +"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_ bN38PE"y;RVCHDRN. +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd +V3RRHP#_ER8D4V; +RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC +RcNRVR3_H#PDE8R +4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC +RnNRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN( +V3RRHP#_ER8D4V; +RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV +NRHR3#E_P84DR;R +V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4 +RNVR#3H_8PED;R4 +"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR +4.NRVR3_H#PDE8R +4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV +NRHR3#E_P84DR;h +eqRv 'sIF o3PNs_8HsPC3ELCN;P'RPyRHRCIHj8R +qehv' RI Fs3NPo_H8sP3CsMDC0H'#0;RRyPIHCRRH84h +eqRv 'sIF o3PNC3LE'NP;RRyPIHCRRH8.h +eqRv 'sIF o3PNF_OMF0sDC3M0#DH0R';yHRPCHIR8 +Rdevhq IR'F3s P_oNO0FMs3FDLNCEPR';yHRPCHIR8 +Rc@ + + +ftell; +@ERMRI FsRNPo_H8sPRCsMDC0H;#0 +RNP3_H##sFkO4CR;P +NRH3DMFCMR;n( +RNP3PH#ER8D4N; +PHR3#E_P84DR;P +NRs3FHNohl"CRP_oN8PsHC;s" +RNP#_$Mb#sCCCsPR +4;N3PRNNDlON_b0OE_F0kMR +4;N3PRFosHPIHCMCNlRC'LE'NP;P +NRN3E#l0HHRMo4N; +PkR3HD_M_N#DOd Rc6cn4F; +RMDHCF_OkCM0sH_#o;_j +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FDCHM_kOFMs0C_o#H_ +4;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +DFRH_MCOMFk0_Cs#_Ho.N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FHRDMOC_F0kMC#s_Hdo_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +RMDHCF_OkCM0sH_#o;_c +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FDCHM_kOFMs0C_o#H_ +6;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +DFRH_MCOMFk0_Cs#_HonN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FHRDMOC_F0kMC#s_H(o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +RMDHCF_OkCM0sH_#o;_U +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +H8_D$OMFk0_Cs4N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRM"H"H; +R$8D_kOFMs0C_ +j;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";F#RP$_MO#00NC;_. +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$O0_#N_0C6N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RP$_MO#00NC;_d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$O0_#N_0CnN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RP$_MO#00NC;_c +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$O0_#N_0C4N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RP$_MO#00NC;_j +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$O0_#N_0C.N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RE$_MO#00NC;_c +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$O0_#N_0CjN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RE$_MO#00NC;_6 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$O0_#N_0C4N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F#RE$_MO#00NC;_d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$O0_#N_0CnN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFRODMkl_kOFMs0C_o#H_ +j;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +OFRFlDkMF_OkCM0sH_#o;_4 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FOkFDlOM_F0kMC#s_H.o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +RDOFk_lMOMFk0_Cs#_HodN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFRODMkl_kOFMs0C_o#H_ +c;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +OFRFlDkMF_OkCM0sH_#o;_6 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FOkFDlOM_F0kMC#s_Hno_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +RDOFk_lMOMFk0_Cs#_Ho(N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFRODMkl_kOFMs0C_o#H_ +U;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +OFRFlDkMF_OkCM0sH_#o;_g +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_g +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_U +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_( +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_n +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_6 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_c +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_. +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_4 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FPM#$OF_OkCM0s;_j +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_g +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_U +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_( +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_n +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_6 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_c +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_. +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_4 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FEM#$OF_OkCM0s;_j +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F8C_#0#_P$_MOOMFk0;Cs +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FP$_#M +O;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +EFR_M#$ON; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";F_RECLMND#C_H +o;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +PFR_NCML_DC#;Ho +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +HsCC#0H_bM;_O +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRH;M" +kFRM8n_DO$_F0kMCjs__ +G;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +8FR_0#C_$E#MOO_F0kMC +s;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +OHRDb _HOM_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs""HM;M +oRgkM_$E#MOO_F0kMC0sDgN; +MsR30MD_CM0_NRlC"NPo_H8sP_Csk0MH3gkM_$E#MOO_F0kMC0sDg +";oOMRDb _HOM_;M +NRD3OFRO "NPo| OD_MbH"N; +MOR3D FO_oC8CsR"H"#C;M +NR#3H_FODO4 R;M +oRgkM_$P#MOO_F0kMC0sDgN; +MsR30MD_CM0_NRlC"NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg +";okMRM8n_DO$_F0kMCjs__ +G;N3MRs_0DM_C0MCNlR "7p_qY) 1aC_MG30\k_Mn8_D$OMFk0_Csj"_G;M +oR$P#M#O_0CN0_GMC0__.#kJlG +N;N3MRs_0DM_C0MCNlRo"PNs_8HsPC_HkM0#3P$_MO#00NCC_MG.0__l#Jk"GN;M +oRCP_MDNLCH_#o__4j__jjj_o_FH_cN; +MsR30MD_CM0_NRlC"NPo_H8sP_Csk0MH3CP_MDNLCH_#o__4j__jjj_o_FH_c +";oEMR_NCML_DC#_Ho4__jj__joHj__;Fc +RNM3Ds0_0MC_lMNCPR"o8N_sCHPsM_kHE03_NCML_DC#_Ho4__jj__joHj__"Fc;M +oR$E#M#O_0CN0_jd__jj__j_o_ +j;N3MRs_0DM_C0MCNlRo"PNs_8HsPC_HkM0#3E$_MO#00NC__dj__jjo__j"_j;R +s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.c66j_6_66qqqqRHbsl#RE$_MOOMFk0rCsjS9 +sFCokE0=#O$M_kOFMs0C_fjRmn4(j6:d6j(dRO +SF=k0EM#$OF_OkCM0sF_Okj0r9mRf4jU.jg:djU.dRO +SDO =Db _HOM_ +NS80=NNEM#$OF_OkCM0sR_jf4Q4(:njd(Udg +URS08NNEO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQj.g6dj:g4(4USR +#sOD!_=t.R_Hf4Qcc:U(d.Ugg +URSF#DN=8!k_MgEM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;4 +ARj4,y?5jV2:0RA; +.,R4j5y!j:?V0;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MRgN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"6"NN;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d4:6Uc6:4U::6EM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$E#MOO_F0kMC4sr9s +SCkoF0#=E$_MOOMFk0_Cs4mRf4j(n:gd66R6( +FSOkE0=#O$M_kOFMs0C_kOF09r4R4fmUjc(:jdg6RjU +DSO D=O H_bM +_OS08NNEN=#O$M_kOFMs0C_f4RQ(44ndj:UncjUSR +8NN0O#=E$_MOOMFk0_CsM0CG_#4_JGlkNQRf.6gjjg:d(U44R# +SO!Ds=.t__fHRQcc4Ud(:Ugg.USR +#NDF8k!=MEg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$E#MOO_F0kMCOs_Frk0jf9RQ.4Ujdj:gdj.U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;6U +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgEM#$OF_OkCM0sgD0"s; +R4@@d6:4U::c4:6U6#:E$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHElR#O$M_kOFMs0Cr +.9SosCF=k0EM#$OF_OkCM0sR_.f(m4ndj:6d6U(SR +O0Fk=$E#MOO_F0kMCOs_Frk0.f9Rm(4Ucdj:g(j(USR +O=D O_D b_HMO8 +SNN0N=$E#MOO_F0kMC.s_R4fQ4j(n:cdUdRdU +NS80=NOEM#$OF_OkCM0sC_MG40__l#JkRGNfgQ.j:6jd4g(4 +URSD#Ost!=_H._RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$E#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHEM=#O$M_kOFMs0C_kOF09r4R4fQUjc(:jdg6RjU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MR(N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d4:6Uc6:4U::6EM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$E#MOO_F0kMCdsr9s +SCkoF0#=E$_MOOMFk0_CsdmRf4j(n:(d64Rj( +FSOkE0=#O$M_kOFMs0C_kOF09rdR4fmgjj4:4dgjRcU +DSO D=O H_bM +_OS08NNEN=#O$M_kOFMs0C_fdRQ(44ndj:UjcnUSR +8NN0O#=E$_MOOMFk0_CsM0CG_#4_JGlkNQRf.6gjjg:d(U44R# +SO!Ds=.t__fHRQcc4Ud(:Ugg.USR +#NDF8k!=MEg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$E#MOO_F0kMCOs_Frk0.f9RQ(4Ucdj:g(j(U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;6n +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgEM#$OF_OkCM0sgD0"s; +R4@@d6:4U::c4:6U6#:E$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHElR#O$M_kOFMs0Cr +c9SosCF=k0EM#$OF_OkCM0sR_cf(m4ndj:6j(.USR +O0Fk=$E#MOO_F0kMCOs_Frk0cf9Rm.4gUdj:g44dUSR +O=D O_D b_HMO8 +SNN0N=$E#MOO_F0kMCcs_R4fQ4j(n:cdUUR(U +NS80=NOEM#$OF_OkCM0sC_MG40__l#JkRGNfgQ.j:6jd4g(4 +URSD#Ost!=_H._RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$E#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHEM=#O$M_kOFMs0C_kOF09rdR4fQgjj4:4dgjRcU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MR6N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d4:6Uc6:4U::6EM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$E#MOO_F0kMC6sr9s +SCkoF0#=E$_MOOMFk0_Cs6mRf4j(n:nd66R(U +FSOkE0=#O$M_kOFMs0C_kOF09r6R4fmgj66:4dg6RUU +DSO D=O H_bM +_OS08NNEN=#O$M_kOFMs0C_f6RQ(44ndj:Uc64USR +8NN0O#=E$_MOOMFk0_CsM0CG_#4_JGlkNQRf.6gjjg:d(U44R# +SO!Ds=.t__fHRQcc4Ud(:Ugg.USR +#NDF8k!=MEg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$E#MOO_F0kMCOs_Frk0cf9RQ.4gUdj:g44dU +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;6c +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgEM#$OF_OkCM0sgD0"s; +R4@@d6:4U::c4:6U6#:E$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHElR#O$M_kOFMs0Cr +n9SosCF=k0EM#$OF_OkCM0sR_nf(m4ndj:6n(.USR +O0Fk=$E#MOO_F0kMCOs_Frk0nf9RmU4g.dj:g64UUSR +O=D O_D b_HMO8 +SNN0N=$E#MOO_F0kMCns_R4fQ4j(n:6dUcR4U +NS80=NOEM#$OF_OkCM0sC_MG40__l#JkRGNfgQ.j:6jd4g(4 +URSD#Ost!=_H._RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$E#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHEM=#O$M_kOFMs0C_kOF09r6R4fQgj66:4dg6RUU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MRdN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d4:6Uc6:4U::6EM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$E#MOO_F0kMC(sr9s +SCkoF0#=E$_MOOMFk0_Cs(mRf4j(n:(d6jRjj +FSOkE0=#O$M_kOFMs0C_kOF09r(R.fmjjjg:.dg4R.U +DSO D=O H_bM +_OS08NNEN=#O$M_kOFMs0C_f(RQ(44ndj:UU6nUSR +8NN0O#=E$_MOOMFk0_CsM0CG_#4_JGlkNQRf.6gjjg:d(U44R# +SO!Ds=.t__fHRQcc4Ud(:Ugg.USR +#NDF8k!=MEg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$E#MOO_F0kMCOs_Frk0nf9RQU4g.dj:g64UU +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;6. +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgEM#$OF_OkCM0sgD0"s; +R4@@d6:4U::c4:6U6#:E$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHElR#O$M_kOFMs0Cr +U9SosCF=k0EM#$OF_OkCM0sR_Uf(m4ndj:6cc(USR +O0Fk=$E#MOO_F0kMCOs_Frk0Uf9Rmd.jndj:gg.dUSR +O=D O_D b_HMO8 +SNN0N=$E#MOO_F0kMCUs_R4fQ4j(n:6dUgR6U +NS80=NOEM#$OF_OkCM0sC_MG40__l#JkRGNfgQ.j:6jd4g(4 +URSD#Ost!=_H._RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$E#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHEM=#O$M_kOFMs0C_kOF09r(R.fQjjjg:.dg4R.U;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MR4N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d4:6Uc6:4U::6EM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.d6gUq_66bqRsRHlEM#$OF_OkCM0s9rg +CSso0Fk=$E#MOO_F0kMCgs_R4fm(:njdj6n4 +URS OD= OD_MbH_SO +8NN0N#=E$_MOOMFk0_CsgQRf4n4(jg:d(U44R8 +SNO0N=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fQgjj6:(dg4R4U +OS#D=s!t__.HQRfcU4c(U:dgU.gR# +SD8FN!M=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR +O=HMEM#$OF_OkCM0sF_OkU0r9QRf.njdjg:d.UdgRo; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OH6MRjN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"6N;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g" +@sR@:4d.:n(cn:.(::6PM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.6cgjn_nnUn_URUUblsHR$P#MOO_F0kMCjsr9s +SCkoF0#=P$_MOOMFk0_CsjmRf4j(n:nd6jRjU +FSOkP0=#O$M_kOFMs0C_kOF09rjR.fm(c6d:jdg.RdU +DSO D=O H_bM +_OS08NNPN=#O$M_kOFMs0C_fjRQ(44ndj:Ugd(USR +8NN0L_=8#_C0EM#$OF_OkCM0sQRf.g4jcU:ddU(gR8 +SNO0N=$P#MOO_F0kMCMs_C_G04J_#lNkGR.fQUg.d:(dg4R4U +OS#D=s!tn_4_fHRQcc4Ud(:Ugg.USR +#NDF8k!=MPg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU(;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o#bRO;Ds +Rob#NDF8A; +4,R444y5?5j*j:?V0!2:fRj2;. +ARj4,y4!5??5jV2:0:R02;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCPR"#O$M_kOFMs0C"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRN_MbOMFk0_CsV4VR;H +NRD3N#O0_ERHMc +g;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRnUnU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MPg_#O$M_kOFMs0CD"0g;R +s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RP$_MOOMFk0rCs4S9 +sFCokP0=#O$M_kOFMs0C_f4Rmn4(j6:dcc4cRO +SF=k0PM#$OF_OkCM0sF_Ok40r9mRf.j(Ucg:djU6jRO +SDO =Db _HOM_ +NS80=NNPM#$OF_OkCM0sR_4f4Q4(:njdjUcn +URS08NNPO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQ..Uddg:g4(4USR +#sOD!_=t4Hn_RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$P#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHPM=#O$M_kOFMs0C_kOF09rjR.fQ(c6d:jdg.RdU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"P$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OHcMRUN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_P$_MOOMFk0DCs0;g" +@sR@:4d.:n(cn:.(::6PM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$P#MOO_F0kMC.sr9s +SCkoF0#=P$_MOOMFk0_Cs.mRf4j(n:6d6cRjc +FSOkP0=#O$M_kOFMs0C_kOF09r.R.fmUcj(:jdg(R(U +DSO D=O H_bM +_OS08NNPN=#O$M_kOFMs0C_f.RQ(44ndj:UdcdUSR +8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R# +SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg +URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U +HSOM#=P$_MOOMFk0_CsO0FkrR49f(Q.U:jcd6gjj;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNO0N;b +oRD#Oso; +bDR#F;N8 +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?j:?V002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$P#MOO_F0kMC;s" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3NbF_OkCM0sV_VR +4;N3HRN0D#_HOEM(Rc;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRN"6N;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg +";s@R@4.d:nc(::(.n:P6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlPM#$OF_OkCM0s9rd +CSso0Fk=$P#MOO_F0kMCds_R4fm(:njdn64U +cRSkOF0#=P$_MOOMFk0_CsO0FkrRd9fUm.d:ccdjg4c +URS OD= OD_MbH_SO +8NN0N#=P$_MOOMFk0_CsdQRf4n4(jU:dcUnjR8 +SNO0N=$P#MOO_F0kMCMs_C_G04J_#lNkGR.fQUg.d:(dg4R4U +OS#D=s!tn_4_fHRQcc4Ud(:Ugg.USR +#NDF8k!=MPg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$P#MOO_F0kMCOs_Frk0.f9RQj.U(dc:g(j(U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;cn +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s; +R4@@dn:.(::c.:n(6#:P$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHPlR#O$M_kOFMs0Cr +c9SosCF=k0PM#$OF_OkCM0sR_cf(m4ndj:66.gcSR +O0Fk=$P#MOO_F0kMCOs_Frk0cf9Rmn.U4dc:g44dUSR +O=D O_D b_HMO8 +SNN0N=$P#MOO_F0kMCcs_R4fQ4j(n:cdUUR(U +NS80=NOPM#$OF_OkCM0sC_MG40__l#JkRGNfUQ..:dgd4g(4 +URSD#Ost!=__4nHQRfcU4c(U:dgU.gR# +SD8FN!M=kg#_P$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR +O=HMPM#$OF_OkCM0sF_Okd0r9QRf.cUdcg:d4UjcRo; +bCRso0Fk;b +oRkOF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +O;o#bRO;Ds +Rob#NDF8o; +bHROMA; +4,R44.y5?5j*j:?V0!2:fRj2;. +ARj4,y.!5??5jV2:0:R02;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCPR"#O$M_kOFMs0C"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRN_MbOMFk0_CsV4VR;H +NRD3N#O0_ERHMc +6;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNN"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MPg_#O$M_kOFMs0CD"0g;R +s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RP$_MOOMFk0rCs6S9 +sFCokP0=#O$M_kOFMs0C_f6Rmn4(j6:d.cU(RO +SF=k0PM#$OF_OkCM0sF_Ok60r9mRf.UUUcg:d4U6URO +SDO =Db _HOM_ +NS80=NNPM#$OF_OkCM0sR_6f4Q4(:njd4U6c +URS08NNPO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQ..Uddg:g4(4USR +#sOD!_=t4Hn_RcfQ4(cU:gdU.RgU +DS#F!N8=gkM_$P#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO +SHPM=#O$M_kOFMs0C_kOF09rcR.fQUcn4:4dgdR4U;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Oo; +bOR#D +s;o#bRD8FN;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.5Vj?::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"P$_MOOMFk0"Cs;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3MOb_F0kMCVs_V;R4 +RNH3#ND0E_OHcMRcN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Nj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_P$_MOOMFk0DCs0;g" +@sR@:4d.:n(cn:.(::6PM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$P#MOO_F0kMCnsr9s +SCkoF0#=P$_MOOMFk0_CsnmRf4j(n:jd64R4n +FSOkP0=#O$M_kOFMs0C_kOF09rnR.fmgc46:4dgUR6U +DSO D=O H_bM +_OS08NNPN=#O$M_kOFMs0C_fnRQ(44ndj:U46cUSR +8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R# +SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg +URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U +HSOM#=P$_MOOMFk0_CsO0FkrR69fUQ.U:Ucd6g4U;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNO0N;b +oRD#Oso; +bDR#F;N8 +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?j:?V002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$P#MOO_F0kMC;s" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3NbF_OkCM0sV_VR +4;N3HRN0D#_HOEMdRc;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRN"6N;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg +";s@R@4.d:nc(::(.n:P6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlPM#$OF_OkCM0s9r( +CSso0Fk=$P#MOO_F0kMC(s_R4fm(:njdc6j4 +cRSkOF0#=P$_MOOMFk0_CsO0FkrR(9fgm.c:.cd4g.. +URS OD= OD_MbH_SO +8NN0N#=P$_MOOMFk0_Cs(QRf4n4(jU:d6UnUR8 +SNO0N=$P#MOO_F0kMCMs_C_G04J_#lNkGR.fQUg.d:(dg4R4U +OS#D=s!tn_4_fHRQcc4Ud(:Ugg.USR +#NDF8k!=MPg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU( +URSMOH=$P#MOO_F0kMCOs_Frk0nf9RQ4.g6dc:g64UU +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.j?5?0V:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;c. +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"N6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s; +R4@@dn:.(::c.:n(6#:P$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHPlR#O$M_kOFMs0Cr +U9SosCF=k0PM#$OF_OkCM0sR_Uf(m4ndj:6U4ncSR +O0Fk=$P#MOO_F0kMCOs_Frk0Uf9Rmn.ggdc:gg.dUSR +O=D O_D b_HMO8 +SNN0N=$P#MOO_F0kMCUs_R4fQ4j(n:6dUgR6U +NS80=NOPM#$OF_OkCM0sC_MG40__l#JkRGNfUQ..:dgd4g(4 +URSD#Ost!=__4nHQRfcU4c(U:dgU.gR# +SD8FN!M=kg#_P$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR +O=HMPM#$OF_OkCM0sF_Ok(0r9QRf..gccg:d.U4.Ro; +bCRso0Fk;b +oRkOF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +O;o#bRO;Ds +Rob#NDF8o; +bHROMA; +4,R44.y5?5j*j:?V0!2:fRj2;. +ARj4,y.!5??5jV2:0:R02;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCPR"#O$M_kOFMs0C"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRN_MbOMFk0_CsV4VR;H +NRD3N#O0_ERHMc +4;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNN"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MPg_#O$M_kOFMs0CD"0g;R +s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6d6U_qR6qblsHR$P#MOO_F0kMCgsr9s +SCkoF0#=P$_MOOMFk0_CsgmRf4j(n:dd6URdn +DSO D=O H_bM +_OS08NNPN=#O$M_kOFMs0C_fgRQ(44ndj:g4(4USR +8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R# +SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg +URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U +HSOM#=P$_MOOMFk0_CsO0FkrRU9fgQ.n:gcddg.g;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NO +Rob#sOD;b +oRF#DN +8;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$OF_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3bNM_kOFMs0C_RVV4N; +HNR3D_#0OMEHR;cj +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"66NN +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s; +R4@@d(:g:gc:(::6OkFDlOM_F0kMC#s_Hgor:Rj9f(d.jdj:g4(4UpRqaq )_ quXVRVUUcg_AAAAsRbHOlRFlDkMF_OkCM0sH_#o9rg +CSso0Fk=DOFk_lMOMFk0_Cs#_HogmRf4j(n:jd(6Rd4 +DSO D=O H_bM +_OS08NNkN=MO._FlDkMF_OkCM0sC_MGO0_FFlLkg0r9QRf.g(c.g:d(U44R8 +SNL0N=4kMjF_ODMkl_kOFMs0C_o#HDg0FRdfQ.j(j:(dg4R4U +OS#D=s!OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_44_R4fQg6d6:gdU.RgU;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#OsA; +4,R4j5y!4j?5?0V:22:VRN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CROkFDlOM_F0kMC#s_H;o" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMCgGR;H +NRO3#DVs_V;R4 +RNH3#ND0E_OHdMR(N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blLR"L"LL;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@4gd:(::cg6(::DOFk_lMOMFk0_Cs#rHog9:jR.fd(:jjd4g(4qURp)a qu_q VXRVjd(_UUjjsRbHOlRFlDkMF_OkCM0sH_#o9rU +CSso0Fk=DOFk_lMOMFk0_Cs#_HoUmRf4j(n:UdnjR(4 +DSO D=O H_bM +_OS08NNkN=MO._FlDkMF_OkCM0sC_MGO0_FFlLkU0r9QRf.g(c.g:d(U44R8 +SNL0N=4kMjF_ODMkl_kOFMs0C_o#HDg0FRdfQ.j(j:(dg4R4U +NS80=NOOkFDlOM_F0kMCMs_C_G0jJ_#lNkG_44_R4fQg6d6:(dg4R4U;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;A44R,!jy55.?4j?5?0V:22:0:R02;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCOR"FlDkMF_OkCM0sH_#o +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;RU +RNH3#ND0E_OHdMRnN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blUR"j"Uj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9Rdj.(jg:d(U44Raqp _)qqXu RdVV(Uj_jRUjblsHRDOFk_lMOMFk0_Cs#rHo(S9 +sFCokO0=FlDkMF_OkCM0sH_#oR_(f(m4ndj:(g.4nSR +O=D O_D b_HMO8 +SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR(9f(Q..:..d4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +8NN0OF=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:g4(4U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y.!5??545Vj?::02002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG(N; +HNR3D_#0OMEHR;d6 +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"UUjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@4gd:(::cg6(::DOFk_lMOMFk0_Cs#rHog9:jR.fd(:jjd4g(4qURp)a qu_q VXRVgUcUA_AAbARsRHlOkFDlOM_F0kMC#s_Hnor9s +SCkoF0F=ODMkl_kOFMs0C_o#H_fnRmn4(j(:dj4njRO +SDO =Db _HOM_ +NS80=NNk_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0nf9RQ..(.d.:g4(4USR +8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfdj.(jg:d(U44R# +SO!Ds=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6U:dgU.gRo; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;A44R,!jy554?j:?V0V2:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGnN; +H#R3O_DsV4VR;H +NRD3N#O0_ERHMd +c;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRLLLL"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +@sR@:4dgc(:::g(6F:ODMkl_kOFMs0C_o#Hrjg:9dRf.j(j:(dg4R4Uq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHo6S9 +sFCokO0=FlDkMF_OkCM0sH_#oR_6f(m4ndj:ndgd4SR +O=D O_D b_HMO8 +SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR69fnQ.g:6.d4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?545Vj?::02V;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +6;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;dd +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"LLLL +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9R4j(n:cdn(Rjgq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHocS9 +sFCokO0=FlDkMF_OkCM0sH_#oR_cf(m4ndj:njc(gSR +O=D O_D b_HMO8 +SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrRc9fnQ.g:6.d4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?545Vj?::02V;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +c;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;d. +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"LLLL +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9R4j(n:ddncRdgq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHodS9 +sFCokO0=FlDkMF_OkCM0sH_#oR_df(m4ndj:nddcgSR +O=D O_D b_HMO8 +SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrRd9fnQ.n:U.d4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?545Vj?::02V;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +d;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;d4 +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"LLLL +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9R4j(n:6dnURggq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHo.S9 +sFCokO0=FlDkMF_OkCM0sH_#oR_.f(m4ndj:ng6UgSR +O=D O_D b_HMO8 +SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR.9fnQ.n:U.d4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?545Vj?::02V;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +.;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;dj +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"LLLL +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9Rdj.(jg:d(U44Raqp _)qqXu RUVVc_gUAAAARHbslFRODMkl_kOFMs0C_o#Hr +49SosCF=k0OkFDlOM_F0kMC#s_H4o_R4fm(:njdjnU( +4RS OD= OD_MbH_SO +8NN0NM=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09r4R.fQj.44:(dg4R4U +NS80=NLkjM4_DOFk_lMOMFk0_Cs#DHo0RFgf.Qd(:jjd4g(4 +URSD#OsO!=FlDkMF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ4d:66d.Ugg;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;4 +ARj4,y4!5??5jV2:0:RV2;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCOR"FlDkMF_OkCM0sH_#o +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R4 +RNH3D#OsV_VR +4;N3HRN0D#_HOEMgR.;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRL"LL;L" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"s; +R4@@d(:g:gc:(::6OkFDlOM_F0kMC#s_Hgor:Rj9f(d.jdj:g4(4UpRqaq )_ quXVRVUUcg_((((sRbHOlRFlDkMF_OkCM0sH_#o9rj +CSso0Fk=DOFk_lMOMFk0_Cs#_HojmRf4j(n:UdnnRdU +DSO D=O H_bM +_OS08NNON=FlDkMF_OkCM0sH_#oR_jf4Q4(:njd4g(4 +URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ(d.jdj:g4(4USR +#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j554?j:?V002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGjN; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM. +U;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR(((("N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +@sR@:4d4:U(cU:4(::6EM#$O0_#Nr0Cj9:nR(f4ndj:n6.j.pRqaq )_ quXVRVd_UnwjwjRHbsl#RE$_MO#00NC9rn +CSso0Fk=$E#M#O_0CN0_fnRmn4(jn:d..j6RO +SDO =Db _HOM_ +NS80=N8k_Mn8_D$OMFk0_CsjR_GfnQdn:Ucd4g(4;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN08A; +4,R4j5y!d:?V0;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MO#00NC +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rj +RNH3#ND0E_OH.MR(N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"V"jj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:jdj:dc:j6j::$P#M#O_0CN0rnj:9cRf6(.j:(dg4R4Uq pa)qq_uR XVgVcUB_jqb RsRHlPM#$O0_#Nr0CjS9 +sFCokP0=#O$M_N#00jC_R4fm(:njd((j( +6RS OD= OD_MbH_SO +8NN0N#=P$_MO#00NCR_jf4Q4(:njd4g(4 +URS08NNPL=#O$M_N#00dC___HPj__j__ojjd_N_fjRQjd.nd(:g4(4USR +8NN0OM=knD_8$F_OkCM0s__jGQRfdUnncg:d(U44R8 +SN80N=$P#M#O_0CN0_GMC0__.#kJlGfNRQ.c6jd(:g4(4U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,54yd.?5?!V:5V4?:202:.!5?5j*j:?V052:4:?Vf2j22 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$P#M#O_0CN0"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +n;N3HRN0D#_HOEMnR.;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRO"jN;C" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +@sR@:4dd:jjcj:dj::6PM#$O0_#Nr0Cj9:nRnfdn:Ucd4g(4qURp)a qu_q VXRVUcg_UjjjsRbHPlR#O$M_N#004Cr9s +SCkoF0#=P$_MO#00NCR_4f(m4ndj:(.cdcSR +O=D O_D b_HMO8 +SNN0N=$P#M#O_0CN0_fcRQ(44ndj:g4(4USR +8NN0LM=k4P._#O$M_kOFMs0C_f(RQc.j6dc:g4(4USR +8NN0OM=k4Pd_#O$M_kOFMs0C_fcRQ4.n(d6:g4(4USR +8NN08M=knD_8$F_OkCM0s__jGQRfdUnncg:d(U44Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5?!V:55.?4j?5?0V:22:0:202RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$O0_#N"0C;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG6N; +HNR3D_#0OMEHR;.6 +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"Ujjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@4dd:jcj::jdj:P6:#O$M_N#00jCr:Rn9fj46Udj:6dg(4pRqaq )_ quXVRVd_(4(ww(RHbsl#RP$_MO#00NC9rn +FSOlkLF0M=knD_8$F_OkCM0s__jGmRf4U6jj6:dg4(dRs +SCkoF0#=P$_MO#00NCR_nf(m4ndj:(djngSR +O=D O_D b_HMO8 +SNN0N=#sCCb0_HOM_ +NS80=NL8_D$OMFk0_CsjQRf4n4(j6:dn4c4R8 +SNO0N=$8D_kOFMs0C_f4RQ(44ndj:6j(n4 +R;oObRFFlLk +0;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNL0N;b +oR08NN +O;A44R,5jy.4?5??5jV2:0::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"P$_MO#00NC +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rj +RNH3#ND0E_OH.MRcN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl(R"V"(V;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:64.:4c:.66::MDHCF_OkCM0sH_#o:rUjf9Rd.nUng:d(U44Raqp _)qqXu RUVVc_gU7777RHbslHRDMOC_F0kMC#s_HUor9s +SCkoF0H=DMOC_F0kMC#s_HUo_R4fm(:njd((4g +4RS OD= OD_MbH_SO +8NN0NM=k4Dj_H_MCOMFk0_Cs#DHo0RFUf.Qd.:d.d4g(4 +URS08NNkL=MD4_H_MCOMFk0_Cs#_HoOLFlFrk0gf9RQUdn.dn:g4(4USR +#sOD!H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fQgc4U:gdU.RgU;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#OsA; +4,R4j5y!4:?V!?5jV2:02 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"MDHCF_OkCM0sH_#o +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;RU +RNH3D#OsV_VR +4;N3HRN0D#_HOEMdR.;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR8"88;8" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"s; +R4@@d.:46::c4:.66H:DMOC_F0kMC#s_HUor:Rj9fUdn.dn:g4(4UpRqaq )_ quXVRVUUcg_7777sRbHDlRH_MCOMFk0_Cs#rHo(S9 +sFCokD0=H_MCOMFk0_Cs#_Ho(mRf4j(n:(d(4RUj +DSO D=O H_bM +_OS08NNkN=M_4jDCHM_kOFMs0C_o#HDU0FRdfQ...d:(dg4R4U +NS80=NLk_M4DCHM_kOFMs0C_o#H_lOFL0FkrRU9fnQdU:.nd4g(4 +URSD#OsD!=H_MCOMFk0_CsM0CG_#j_JGlkN__44QRf4Ug4cU:dgU.gRo; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;A44R,!jy5V4?:j!5?0V:2;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRH"DMOC_F0kMC#s_H;o" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC(GR;H +NRO3#DVs_V;R4 +RNH3#ND0E_OH.MR.N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl8R"8"88;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@44d:.c6::64.:D6:H_MCOMFk0_Cs#rHoU9:jRnfd6:6nd4g(4qURp)a qu_q VXRVgUcU7_77b7RsRHlDCHM_kOFMs0C_o#Hr +n9SosCF=k0DCHM_kOFMs0C_o#H_fnRmn4(j(:d.n4gRO +SDO =Db _HOM_ +NS80=NNkjM4_MDHCF_OkCM0sH_#oFD0UQRfdd...g:d(U44R8 +SNL0N=4kM_MDHCF_OkCM0sH_#oF_OlkLF09r(RdfQnn66:(dg4R4U +OS#D=s!DCHM_kOFMs0C_GMC0__j#kJlG4N__f4RQ44gUdc:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?54V5:!j:?V0R22;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCDR"H_MCOMFk0_Cs#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGnN; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM. +4;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR8888"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +@sR@:4d4:.6c.:46::6DCHM_kOFMs0C_o#HrjU:9dRfnn66:(dg4R4Uq pa)qq_uR XV(Vdjj_UUbjRsRHlDCHM_kOFMs0C_o#Hr +69SosCF=k0DCHM_kOFMs0C_o#H_f6Rmn4(j(:d.n4gRO +SDO =Db _HOM_ +NS80=NNkjM4_MDHCF_OkCM0sH_#oFD0UQRfdd...g:d(U44R8 +SNL0N=4kM_MDHCF_OkCM0sH_#oF_OlkLF09rnRdfQnn66:(dg4R4U +NS80=NODCHM_kOFMs0C_GMC0__j#kJlG4N__f4RQ44gUdc:g4(4U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y.!5??545Vj?::02002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"MDHCF_OkCM0sH_#o +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R6 +RNH3#ND0E_OH.MRjN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blUR"j"Uj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:64.:4c:.66::MDHCF_OkCM0sH_#o:rUjf9RdUn.ng:d(U44Raqp _)qqXu RUVVc_gU7777RHbslHRDMOC_F0kMC#s_Hcor9s +SCkoF0H=DMOC_F0kMC#s_Hco_R4fm(:njdgn(4 +nRS OD= OD_MbH_SO +8NN0NM=k4Dj_H_MCOMFk0_Cs#DHo0RFUf.Qd.:d.d4g(4 +URS08NNkL=MD4_H_MCOMFk0_Cs#_HoOLFlFrk06f9RQ.dnUdn:g4(4USR +#sOD!H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fQgc4U:gdU.RgU;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#OsA; +4,R4j5y!4:?V!?5jV2:02 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"MDHCF_OkCM0sH_#o +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rc +RNH3D#OsV_VR +4;N3HRN0D#_HOEMgR4;H +NRD3N#00_DRCM4N; +HNR3D_#0sCC[O80CR +4;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR8"88;8" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"s; +R4@@d.:46::c4:.66H:DMOC_F0kMC#s_HUor:Rj9f.dnUdn:g4(4UpRqaq )_ quXVRVUUcg_7777sRbHDlRH_MCOMFk0_Cs#rHodS9 +sFCokD0=H_MCOMFk0_Cs#_HodmRf4j(n:ndnnRcn +DSO D=O H_bM +_OS08NNkN=M_4jDCHM_kOFMs0C_o#HDU0FRdfQ...d:(dg4R4U +NS80=NLk_M4DCHM_kOFMs0C_o#H_lOFL0FkrRc9fnQd.:Und4g(4 +URSD#OsD!=H_MCOMFk0_CsM0CG_#j_JGlkN__44QRf4Ug4cU:dgU.gRo; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;A44R,!jy5V4?:j!5?0V:2;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRH"DMOC_F0kMC#s_H;o" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMCdGR;H +NRO3#DVs_V;R4 +RNH3#ND0E_OH4MRUN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl8R"8"88;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@44d:.c6::64.:D6:H_MCOMFk0_Cs#rHoU9:jRnfdj:4nd4g(4qURp)a qu_q VXRVgUcU7_77b7RsRHlDCHM_kOFMs0C_o#Hr +.9SosCF=k0DCHM_kOFMs0C_o#H_f.Rmn4(jn:dg4dcRO +SDO =Db _HOM_ +NS80=NNkjM4_MDHCF_OkCM0sH_#oFD0UQRfdd...g:d(U44R8 +SNL0N=4kM_MDHCF_OkCM0sH_#oF_OlkLF09rdRdfQnnj4:(dg4R4U +OS#D=s!DCHM_kOFMs0C_GMC0__j#kJlG4N__f4RQ44gUdc:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RA44y,j!?54V5:!j:?V0R22;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCDR"H_MCOMFk0_Cs#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG.N; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM4 +(;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR8888"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +@sR@:4d4:.6c.:46::6DCHM_kOFMs0C_o#HrjU:9dRfnnj4:(dg4R4Uq pa)qq_uR XVcVUg7U_7R77blsHRMDHCF_OkCM0sH_#o9r4 +CSso0Fk=MDHCF_OkCM0sH_#oR_4f(m4ndj:ndgd4SR +O=D O_D b_HMO8 +SNN0N=4kMjH_DMOC_F0kMC#s_H0oDFfURQ.d.dd.:g4(4USR +8NN0LM=k4H_DMOC_F0kMC#s_HOo_FFlLk.0r9QRfd4njng:d(U44R# +SO!Ds=MDHCF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ44:Ucd.Ugg;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;4 +ARj4,y4!5?!V:5Vj?:202RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +4;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;4n +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"8888 +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:64.:4c:.66::MDHCF_OkCM0sH_#o:rUjf9Rdd...g:d(U44Raqp _)qqXu RUVVc_gUAAAARHbslHRDMOC_F0kMC#s_Hjor9s +SCkoF0H=DMOC_F0kMC#s_Hjo_R4fm(:njd4ngj +nRS OD= OD_MbH_SO +8NN0NM=k4H_DMOC_F0kMC#s_HOo_FFlLk40r9QRf.cgcng:d(U44R8 +SNL0N=4kMjH_DMOC_F0kMC#s_H0oDFfURQ.d.dd.:g4(4USR +#sOD!H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fQgc4U:gdU.RgU;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#OsA; +4,R4j5y!4j?5?0V:22:VRN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +j;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR;46 +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"LLLL +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:(4U:4c:U6(::CP_MDNLCH_#ocRf.n6(:gdUUR6Uq pa)qq_uR XVjVg4 j_ R blsHRCP_MDNLCH_#os +SCkoF0_=PCLMND#C_HfoRmn4(jU:d(U44RO +SDO =Db _HOM_ +NS80=NNEM#$O0_#N_0CdQRf4n4(jg:d(U44R8 +SNL0N=$E#M#O_0CN0_f4RQ(44ndj:g4(4USR +#sOD=nkM_$8D_kOFMs0C_Gj_RdfQncnU:gdU.RgU +MSCN_=PCLMND#C_H4o__jj__oj_j__HFfcRQ6c.(dn:U6gUU +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RobC;MN +RA44y,j!?54Vj:5?0V:2;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR_"PCLMND#C_H;o" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRO3#DVs_V;R4 +RNH3#ND0E_OH4MRcN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDC4N; +HDR3ko0blCR"C"CC;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HROODF M_CNCLDR:"MP_oN8PsHCks_M3H0PM_CNCLD_o#H_j4__jj___ojHc_F"s; +R4@@dj:dj::cd:jj6_:ECLMND#C_HfoRc(.6nU:dgUU6Raqp _)qqXu RgVVj_4j RHbsl_RECLMND#C_HSo +sFCokE0=_NCML_DC#RHof(m4ndj:ncgd4SR +O=D O_D b_HMO8 +SNN0N=$P#M#O_0CN0_fdRQ(44ndj:g4(4USR +8NN0L#=P$_MO#00NCR_4f4Q4(:njd4g(4 +URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC +SMEN=_NCML_DC#_Ho4__jj__joHj__RFcf.Qc6:(ndUUg6;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRNCM;4 +ARj4,y4!5?5V:j:?V0R22;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCER"_NCML_DC#"Ho;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM4 +d;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRCCCC"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3CE_MDNLCH_#o__4j__jjj_o_FH_c +";s@R@44d:Uc(::(4U:E6:_M#$O.Rfcc(c:(dg4R4Uq pa)qq_uR XVgVcUw_w(bwRsRHlE$_#MSO +sFCokE0=_M#$OmRf4j(n:jdUjRdn +DSO D=O H_bM +_OS08NNsN=C0#C_MbH_SO +8NN0LD=8$F_OkCM0sR_jf4Q4(:njd4g(4 +URS08NN8O=DO$_F0kMC4s_R4fQ4j(n:(dg4R4U +NS80=N8E$_#M4O__jj__oj_4QRf.cc(cg:d(U44Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j!?5dV5:!.4?5??5jV2:0::020R22;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNCER"_M#$O +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3#ND0E_OH4MR.N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"V"(V;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@d@4:jdj:dc:j6j::#P_$RMOf(.ccdc:g4(4UpRqaq )_ quXVRVc_gUwww(RHbsl_RP#O$M +CSso0Fk=#P_$RMOf(m4ndj:UdjjnSR +O=D O_D b_HMO8 +SNN0N=#sCCb0_HOM_ +NS80=NL8_D$OMFk0_CsjQRf4n4(jg:d(U44R8 +SNO0N=$8D_kOFMs0C_f4RQ(44ndj:g4(4USR +8NN08_=P#O$M_j4__jj__Ro4fcQ.(:ccd4g(4;UR +RobsFCok +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d:?V!?5.554?j:?V002:22:02 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"#P_$"MO;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HNR3D_#0OMEHR;44 +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"(VVV +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";s@R@4dd:jcj::jdj:P6:#O$M_N#00jCr:Rn9f.c6jd(:U6gUUpRqaq )_ quXVRVgjj4_ sRbHPlR#O$M_N#006Cr9s +SCkoF0#=P$_MO#00NCR_6f(m4ndj:n4n(dSR +O=D O_D b_HMO8 +SNN0N=$P#M#O_0CN0_fnRQ(44ndj:g4(4USR +8NN0L#=P$_MO#00NCR_jf4Q4(:njd4g(4 +URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC +SMPN=#O$M_N#00MC_C_G0.J_#lNkGRcfQ6(.j:gdUUR6U;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#Oso; +bMRCNA; +4,R4j5y!4:?V5Vj?:202RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$O0_#N"0C;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG4N; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM4 +j;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRCCCC"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3$P#M#O_0CN0_GMC0__.#kJlG;N" +@sR@:4dd:jjcj:dj::6PM#$O0_#Nr0Cj9:nR6fc.:j(dUUg6qURp)a qu_q VXRVjg..j_.jbjRsRHlPM#$O0_#Nr0CcS9 +sFCokP0=#O$M_N#00cC_R4fm(:njdjn.( +4RS OD= OD_MbH_SO +8NN0N#=P$_MOOMFk0_CsjQRf4n4(jg:d(U44R8 +SNL0N=$P#MOO_F0kMCgs_R4fQ4j(n:(dg4R4U +NS80=NOPM#$O0_#N_0C6QRf4n4(jg:d(U44R8 +SN80N=4kMc#_P$_MOOMFk0_CsUQRf.4gdgg:d(U44R# +SO=Dsk_Mn8_D$OMFk0_CsjR_GfnQdn:Ucd.Ugg +URSNCM=$P#M#O_0CN0_GMC0__.#kJlGfNRQ.c6jd(:U6gUU +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;o#bRO;Ds +RobC;MN +RA44y,j55d?.4?5?!V:5Vj?:202::V2V;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"P$_MO#00NC +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R. +RNH3D#OsV_VR +4;N3HRN0D#_HOEM;Rg +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;R4 +RNH30DkbRol"j.jj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NRD3OF_O CLMND"CRMo:PNs_8HsPC_HkM0#3P$_MO#00NCC_MG.0__l#Jk"GN;R +s@d@4:jdj:dc:j6j::$P#M#O_0CN0rnj:9cRf6(.j:gdUUR6Uq pa)qq_uR XVgVU(qU_qRqqblsHR$P#M#O_0CN0r +d9SosCF=k0PM#$O0_#N_0CdmRf4j(n:(dngRjd +DSO D=O H_bM +_OS08NNPN=#O$M_N#004C_R4fQ4j(n:(dg4R4U +OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR +C=MNPM#$O0_#N_0CM0CG_#._JGlkNQRfcj6.(U:dgUU6Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob#sOD;b +oRNCM;4 +ARj4,yj!5?0V:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$P#M#O_0CN0"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +d;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR +U;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRNNNN"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3$P#M#O_0CN0_GMC0__.#kJlG;N" +@sR@:4dd:jjcj:dj::6PM#$O0_#Nr0Cj9:nR6fc.:j(dUUg6qURp)a qu_q VXRVjg..j_UjbjRsRHlPM#$O0_#Nr0C.S9 +sFCokP0=#O$M_N#00.C_R4fm(:njd(nnj +dRS OD= OD_MbH_SO +8NN0N#=P$_MOOMFk0_CsjQRf4n4(jg:d(U44R8 +SNL0N=$P#MOO_F0kMCgs_R4fQ4j(n:(dg4R4U +NS80=NOPM#$O0_#N_0CdQRf4n4(jg:d(U44R8 +SN80N=4kMc#_P$_MOOMFk0_CsUQRf.4gdgg:d(U44R# +SO=Dsk_Mn8_D$OMFk0_CsjR_GfnQdn:Ucd.Ugg +URSNCM=$P#M#O_0CN0_GMC0__.#kJlGfNRQ.c6jd(:U6gUU +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;o#bRO;Ds +RobC;MN +RA44y,j!?5d55.?4j?5?0V:22:0::020;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"P$_MO#00NC +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rc +RNH3D#OsV_VR +4;N3HRN0D#_HOEM;R( +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;R4 +RNH30DkbRol"jUjj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NRD3OF_O CLMND"CRMo:PNs_8HsPC_HkM0#3P$_MO#00NCC_MG.0__l#Jk"GN;R +s@d@4:(4U:4c:U6(::$E#M#O_0CN0rnj:9cRf.((c:gdUUR6Uq pa)qq_uR XVjVg4 j_ R blsHR$E#M#O_0CN0r +69SosCF=k0EM#$O0_#N_0C6mRf4j(n:.dngRUd +DSO D=O H_bM +_OS08NNEN=#O$M_N#00nC_R4fQ4j(n:(dg4R4U +NS80=NLEM#$O0_#N_0CjQRf4n4(jg:d(U44R# +SO=Dsk_Mn8_D$OMFk0_CsjR_GfnQdn:Ucd.Ugg +URSNCM=$E#M#O_0CN0_jd__jj__j_o_fjRQ(c.cd(:U6gUU +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RobC;MN +RA44y,j!?54Vj:5?0V:2;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR#"E$_MO#00NC +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R4 +RNH3D#OsV_VR +4;N3HRN0D#_HOEM;Rn +RNH3#ND0D_0C4MR;H +NRD3N#s0_CO[C0RC84N; +HlR3FR8C4N; +HCR3MDNLC;R4 +RNH30DkbRol"CCCC +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NRD3OF_O CLMND"CRMo:PNs_8HsPC_HkM0#3E$_MO#00NC__dj__jjo__j"_j;R +s@d@4:(4U:4c:U6(::$E#M#O_0CN0rnj:9cRf.((c:gdUUR6Uq pa)qq_uR XV.VgjU._jRjjblsHR$E#M#O_0CN0r +c9SosCF=k0EM#$O0_#N_0CcmRf4j(n:cdn.R6d +DSO D=O H_bM +_OS08NNEN=#O$M_N#006C_R4fQ4j(n:(dg4R4U +NS80=NLkjM4_$E#MOO_F0kMCds_R.fQjd.U:(dg4R4U +NS80=NOkjM4_$E#MOO_F0kMC4s_R.fQj6n.:(dg4R4U +NS80=N8kjM4_$E#MOO_F0kMCcs_R.fQjd.U:(dg4R4U +OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR +C=MNEM#$O0_#N_0Cd__jj__j__ojjQRfcc.((U:dgUU6Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08o; +bOR#D +s;oCbRM +N;A44R,!jy55d?.4?5??5jV2:0::02002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$E#M#O_0CN0"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +.;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR +6;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRUjjj"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3$E#M#O_0CN0_jd__jj__j_o_;j" +@sR@:4d4:U(cU:4(::6EM#$O0_#Nr0Cj9:nR.fc(:c(dUUg6qURp)a qu_q VXRV(UgUq_qqbqRsRHlEM#$O0_#Nr0CdS9 +sFCokE0=#O$M_N#00dC_R4fm(:njdgn(( +dRS OD= OD_MbH_SO +8NN0N#=E$_MO#00NCR_4f4Q4(:njd4g(4 +URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC +SMEN=#O$M_N#00dC__jj___j_ojj_RcfQ.((c:gdUUR6U;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o#bRO;Ds +RobC;MN +RA44y,j!?5jV2:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$O0_#N"0C;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGdN; +H#R3O_DsV4VR;H +NRD3N#O0_ERHMcN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDC4N; +HDR3ko0blNR"N"NN;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HROODF M_CNCLDR:"MP_oN8PsHCks_M3H0EM#$O0_#N_0Cd__jj__j__ojj +";s@R@44d:Uc(::(4U:E6:#O$M_N#00jCr:Rn9f(c.cd(:U6gUUpRqaq )_ quXVRVgjj4_UUUUsRbHElR#O$M_N#00.Cr9s +SCkoF0#=E$_MO#00NCR_.f(m4ndj:njn(dSR +O=D O_D b_HMO8 +SNN0N=$E#M#O_0CN0_fdRQ(44ndj:g4(4USR +8NN0LM=k4E._#O$M_kOFMs0CR.fQn64(:(dg4R4U +OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR +C=MNEM#$O0_#N_0Cd__jj__j__ojjQRfcc.((U:dgUU6Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oCbRM +N;A44R,!jy554?j:?V002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$E#M#O_0CN0"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +c;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR +d;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRUUUU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3$E#M#O_0CN0_jd__jj__j_o_;j" +@sR@:4d4:U(cU:4(::6EM#$O0_#Nr0Cj9:nR.fc(:c(dUUg6qURp)a qu_q VXRVjg..j_UjbjRsRHlEM#$O0_#Nr0C4S9 +sFCokE0=#O$M_N#004C_R4fm(:njd4(c6 +dRS OD= OD_MbH_SO +8NN0N#=E$_MO#00NCR_cf4Q4(:njd4g(4 +URS08NNkL=M_44EM#$OF_OkCM0sR_.fjQ..:Udd4g(4 +URS08NNkO=M_4jEM#$OF_OkCM0sR_4fjQ.n:.6d4g(4 +URS08NNk8=M_44EM#$OF_OkCM0sR_dfjQ..:Udd4g(4 +URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC +SMEN=#O$M_N#00dC__jj___j_ojj_RcfQ.((c:gdUUR6U;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;b +oRD#Oso; +bMRCNA; +4,R4j5y!d.?5??545Vj?::02002:22:0RN; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$O0_#N"0C;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG6N; +H#R3O_DsV4VR;H +NRD3N#O0_ERHM.N; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDC4N; +HDR3ko0blUR"j"jj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HROODF M_CNCLDR:"MP_oN8PsHCks_M3H0EM#$O0_#N_0Cd__jj__j__ojj +";s@R@44d:Uc(::(4U:E6:#O$M_N#00jCr:Rn9f(c.cd(:U6gUUpRqaq )_ quXVRVgjj4_UUUUsRbHElR#O$M_N#00jCr9s +SCkoF0#=E$_MO#00NCR_jf(m4ndj:n.dd.SR +O=D O_D b_HMO8 +SNN0N=$E#M#O_0CN0_f.RQ(44ndj:g4(4USR +8NN0LM=k4Ed_#O$M_kOFMs0CR.fQn64(:(dg4R4U +OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR +C=MNEM#$O0_#N_0Cd__jj__j__ojjQRfcc.((U:dgUU6Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oCbRM +N;A44R,!jy554?j:?V002:2 +R;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$E#M#O_0CN0"N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +n;N3HR#sOD_RVV4N; +HNR3D_#0OMEHR +4;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +4;N3HRDbk0o"lRUUUU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3FODOC _MDNLCMR":NPo_H8sP_Csk0MH3$E#M#O_0CN0_jd__jj__j_o_;j" +@sR@:4dgc(:::g(6:+jPM#$O0_#N_0CM0CG_#._JGlkNcRf4c.(:6dUgR.6q pa)qq_uR XN4.c_qqqAsRbHPlR#O$M_N#00MC_C_G0.J_#lNkG +FSOlkLF0#=P$_MO#00NCC_MG.0__l#JkRGNf4mc.:(cdgU6. +6RS08NNkN=M8n_DO$_F0kMCjs__fGRQndnUdc:Ud4d6SR +8NN0L#=P$_MO#00NCC_MG40__l#Jk_GN4QRfd6dg4U:d.6njR8 +SNO0N=$P#M#O_0CN0_GMC0__4#kJlGdN_RdfQd4g6:ddU(Rg6 +NS80=N8k_M4PM#$O0_#N_0CM0CG_#4_JGlkNR_jfnQdn:ggdjU66;6R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,4!?5djj*5?0V:2.:5?:fj5f4?j2:V2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blNR"N"NL;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +fsRd4UUcU:d66g.Raqp _)qqXu RcN.4j_wwb4RsRHlEM#$O0_#N_0Cd__jj__j__ojjO +SFFlLkE0=#O$M_N#00dC__jj___j_ojj_RdfmUcU4:6dUgR.6 +NS80=NNEM#$O0_#N_0CM0CG_#4_JGlkNR_4f(Q.d:n6ddU4d +6RS08NNEL=#O$M_N#00MC_C_G04J_#lNkG_f.RQd.(nd6:Uj.n6SR +8NN0OM=knD_8$F_OkCM0s__jGQRfdUnncU:dd6(gR8 +SN80N=4kM_$E#M#O_0CN0_GMC0__4#kJlGjN_RdfQ.(jn:6dUjR66;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,yd!5??5.V2:0:?5.V5:!4:?V5Vj?:2022;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"j"V4;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d.:jncj:.n+:(jM:k4#_E$_MO#00NCC_MG40__l#JkRGNfd.Ujd6:Ug4.dpRqaq )_ quX.RNcj4_qRB blsHR4kM_$E#M#O_0CN0_GMC0__4#kJlGjN_ +FSOlkLF0M=k4#_E$_MO#00NCC_MG40__l#Jk_GNjmRf.jUd6U:d4d.gR8 +SNN0N=$E#M#O_0CN0_f.RQ(44ndj:(jn(dSR +8NN0L#=E$_MO#00NCR_df4Q4(:njdg((( +dRS08NNkO=M_4dEM#$OF_OkCM0sQRf.(n46(:dgd4nR8 +SN80N=4kM.#_E$_MOOMFk0RCsfnQ.4:(6dcUj.;dR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,455d?.:?V!5j*j:?V0:22!?5.5V4?::025V4?:2fj2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"N"OC;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:4gc4:dg+:(jM:k4#_P$_MO#00NCC_MG40__l#JkRGNfgd.dd(:Ug4.dpRqaq )_ quX.RNcw4_wR.qblsHR4kM_$P#M#O_0CN0_GMC0__4#kJlGjN_ +FSOlkLF0M=k4#_P$_MO#00NCC_MG40__l#Jk_GNjmRfdd.g(U:d4d.gR8 +SNN0N=$P#M#O_0CN0_f.RQ(44ndj:(jn(dSR +8NN0LM=k4P._#O$M_kOFMs0C_fnRQc.j6dc:(((gdSR +8NN0OM=k4P6_#O$M_kOFMs0C_fcRQ4.n(d6:(ng4dSR +8NN08#=P$_MO#00NCC_MG40__l#Jk_GN.QRfdn.j(U:djdc.Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R445y!d:?V!?5.5V4?:*!j5Vj?:202:j!f2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"V".N;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +fsR.jUd6g:ddnd6Raqp _)qqXu R4N4dj_UUbjRsRHlPM#$O0_#N_0CdP_H_jj__j_o_Nj_d +_jSlOFL0Fk=$P#M#O_0CN0_Hd_P__jjo__j__jNjd_R.fmU6dj:ddgdR6n +NS80=NNPM#$O0_#N_0C.QRf4n4(jU:dUn(nR8 +SNL0N=4kM.#_P$_MOOMFk0_CsnQRf.6jccg:djnjdR8 +SNO0N=4kM6#_P$_MOOMFk0_CscQRf.(n46g:d4n..Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +RA44y,j!?5.554?j:?V002:22:0RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"UUjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dd:4g::g4:dgcjj+:hpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#RHofn.64dc:gjj6jpRqaq )_ quX.RNcw4_wR(wblsHRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#DHo0 +FUSlOFL0Fk=4kMjH_DMOC_F0kMC#s_H0oDFfURmn.64dc:gjj6jSR +8NN0NH=DMOC_F0kMC#s_Hno_R4fQ4j(n:6dUgR4j +NS80=NLDCHM_kOFMs0C_o#H_f(RQ(44ndj:UU(4jSR +8NN0OH=DMOC_F0kMC#s_HUo_R4fQ4j(n:UdUdR(j +NS80=N8kjM4_MDHCF_OkCM0sH_#oFD06QRf.cc(cU:dgjndRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d:?V!?5.554?j:?V002:22:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"V(;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44j:nj4::44n:+4c.o:PNs_8HsPC_HkM0dRf(c66:6dUdRn6q pa)qq_uR XN4.c_4jwwsRbHtlR_S. +OLFlF=k0t__.HmRfd6(6cU:d66dnR8 +SNN0N=$E#M#O_0CN0_fjRQ(44ndj:U(j(6SR +8NN0L#=E$_MO#00NCR_nf4Q4(:njdjU.c +6RS08NNkO=MEg_#O$M_kOFMs0CDR0gfnQ.d:cnd.Udd +6RS08NNk8=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugcc6 +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,5jyd.?5?0V:2.:5??54Vj:5?0V:202:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"V"4V;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:.n4d4:.dn:.:+jPM#$O0_#N_0CM0CG_#4_JGlkNR_4f4djUdg:(cUUdpRqaq )_ quX.RNc74_jRwjblsHR$P#M#O_0CN0_GMC0__4#kJlG4N_ +FSOlkLF0#=P$_MO#00NCC_MG40__l#Jk_GN4mRfdUj4g(:dUdUcR8 +SNN0N=$P#MOO_F0kMCjs_R4fQ4j(n:cd(.R6d +NS80=NLPM#$OF_OkCM0sR_gf4Q4(:njd6(6. +dRS08NNPO=#O$M_N#006C_R4fQ4j(n:nd((R4d +NS80=N8kcM4_$P#MOO_F0kMCUs_R.fQggd4:(d(gR(d;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,yd!5??5.5V4?:j!5?0V:202:2.:5?0V:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl8R"j"Vj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:d44d4:dd4:d:+jPM#$O0_#N_0CM0CG_#4_JGlkNR_.fd.Ujd6:(nnn4pRqaq )_ quX4RN4.d_qR.qblsHR$P#M#O_0CN0_GMC0__4#kJlG.N_ +FSOlkLF0#=P$_MO#00NCC_MG40__l#Jk_GN.mRf.jUd6(:dn4nnR8 +SNN0N=$P#M#O_0CN0_fcRQ(44ndj:((.j4SR +8NN0LM=k4P._#O$M_kOFMs0C_f(RQc.j6dc:(cdd4SR +8NN0OM=k4Pd_#O$M_kOFMs0C_fcRQ4.n(d6:(dc64 +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +AR44,y?5.5V4?:*!j5Vj?:202:j!f2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRN"..;N" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4dd:d4g:4d:dgc:d+Pj:#O$M_N#00MC_C_G04J_#lNkG_fdRdUj4gU:djdjdRaqp _)qqXu RcN.4j_(wbjRsRHlPM#$O0_#N_0CM0CG_#4_JGlkN +_dSlOFL0Fk=$P#M#O_0CN0_GMC0__4#kJlGdN_Rdfmjg4U:jdUjRdd +NS80=NNPM#$OF_OkCM0sR_jf4Q4(:njdc(6c +dRS08NNPL=#O$M_kOFMs0C_fgRQ(44ndj:(4n(dSR +8NN0O#=P$_MO#00NCR_df4Q4(:njdg((j +dRS08NNk8=M_4cPM#$OF_OkCM0sR_UfgQ.d:4gd4(gn;dR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j55d?.4?5??5jV2:0::02V!2:5V.?:202RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"V(jj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@jn:44::j4:n44.c+:NPo_H8sP_Csk0MHR(fd6:6cddU6nq6Rp)a qu_q NXR._c4jww4RHbsl_Rt4Sn +OLFlF=k0tn_4_fHRm6d(6dc:Un6d6SR +8NN0N#=P$_MO#00NCR_jf4Q4(:njd(Uj( +6RS08NNPL=#O$M_N#00nC_R4fQ4j(n:.dUjRc6 +NS80=NOk_MgPM#$OF_OkCM0sgD0R.fQnndc:ddU.Rd6 +NS80=N8k_Mn8_D$OMFk0_CsjR_GfnQdn:UcdcUcg;6R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j55d?.:?V052:.4?5?5V:j:?V0:220R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRjVV4"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:444:4g:4c4:4:+jBzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_HfoR.46ncg:dj.jdRaqp _)qqXu RcN.4w_4jbwRsRHlBzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_H0oDFSg +OLFlF=k0kjM4_DOFk_lMOMFk0_Cs#DHo0RFgf6m.n:4cdjgjd +.RS08NNON=FlDkMF_OkCM0sH_#oR_(f4Q4(:njdcU6c +.RS08NNOL=FlDkMF_OkCM0sH_#oR_Uf4Q4(:njd(Un4 +.RS08NNOO=FlDkMF_OkCM0sH_#oR_gf4Q4(:njdgU(j +.RS08NNk8=M_4jOkFDlOM_F0kMC#s_H0oDnQRf.cc(cU:dg.4nRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5??5.5V4?:?5jV2:022:0:?5.V2:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"4j;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:44U:44:.Ud:d+Ej:#O$M_N#00MC_C_G04J_#lNkG_f.R.jdnd(:dUdUcRaqp _)qqXu RcN.4q_.qbqRsRHlEM#$O0_#N_0CM0CG_#4_JGlkN +_.SlOFL0Fk=$E#M#O_0CN0_GMC0__4#kJlG.N_R.fmddnj:Ud(URcd +NS80=NNEM#$O0_#N_0CcQRf4n4(j(:dcd.6R8 +SNL0N=4kM4#_E$_MOOMFk0_Cs.QRf.Uj.d(:d6d6.R8 +SNO0N=4kMj#_E$_MOOMFk0_Cs4QRf..jn6(:dnd(4R8 +SN80N=4kM4#_E$_MOOMFk0_CsdQRf.Uj.d(:d(dg(Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R44dy5??5.5V4?:*!j5Vj?:202:j!f2f:!j;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl.R"N"NN;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d.:4d4.4:4dd:.:+jEM#$O0_#N_0CM0CG_#4_JGlkNR_4fn.djdd:(((6dpRqaq )_ quX.RNc.4_qRqqblsHR$E#M#O_0CN0_GMC0__4#kJlG4N_ +FSOlkLF0#=E$_MO#00NCC_MG40__l#Jk_GN4mRf.jdnd(:d(d6(R8 +SNN0N=$E#M#O_0CN0_f6RQ(44ndj:(U.gdSR +8NN0LM=k4Ej_#O$M_kOFMs0C_fdRQ..jUdd:(6c.dSR +8NN0OM=k4Ej_#O$M_kOFMs0C_f4RQn.j.d6:(c6cdSR +8NN08M=k4Ej_#O$M_kOFMs0C_fcRQ..jUdd:(jn(d +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,54yd.?5??54Vj:!*?5jV2:02f:!j!2:fRj2;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR.NNN"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:4.d::44.:d4dj.+:Y]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCsf...cd.:(d6.jpRqaq )_ quX.RNc44_jRjjblsHRY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0 +CsSlOFL0Fk=4kMd#_E$_MOOMFk0RCsf.m..:c.d.(6d +jRS08NNEN=#O$M_kOFMs0C_fnRQ(44ndj:(cjnjSR +8NN0L#=E$_MOOMFk0_Cs(QRf4n4(j(:d4jg4R8 +SNO0N=4kMd#_E$_MOOMFk0_Cs.QRf.4j4.(:ddj4jR8 +SN80N=4kMd#_E$_MOOMFk0_Cs(QRf.Uj.d(:dcjdnRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5??5.5V4?:?5jV2:022:V:RV2;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR4jjj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:.4(:4g:(d.:n:+j]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0RCsf...cd.:(dg44pRqaq )_ quX.RNcw4_(RwwblsHRY]1hBB_mazh_GMC0k\3MEg_#O$M_kOFMs0CD +0gSlOFL0Fk=gkM_$E#MOO_F0kMC0sDgmRf.c...(:dg44dR8 +SNN0N=$E#MOO_F0kMCUs_R4fQ4j(n:cd(6Rc4 +NS80=NLEM#$OF_OkCM0sR_gf4Q4(:njdU(64 +4RS08NNkO=MEg_#O$M_kOFMs0CD_0gdQRf.4j4.(:d(4jjR8 +SN80N=4kMd#_E$_MOOMFk0_Cs(QRf.Uj.d(:dU4.nRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d.?5?!V:554?j:?V002:2V2:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR("VV;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:Ug4::4.U:+dnj1:eY_hBBhmzaC_MG30\k_MgPM#$OF_OkCM0s.Rf...c:gd(4Rd4q pa)qq_uR XN4.c_www(sRbHelR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMC0sDgO +SFFlLkk0=MPg_#O$M_kOFMs0CDR0gf.m..:c.d4(gd +4RS08NNPN=#O$M_kOFMs0C_fcRQ(44ndj:(cc64SR +8NN0L#=P$_MOOMFk0_Cs6QRf4n4(j(:d64U4R8 +SNO0N=gkM_$P#MOO_F0kMC0sDgR_6fjQ.4:4.dj((j +4RS08NNk8=MPg_#O$M_kOFMs0CD_0gnQRf.4j4.(:dU4.nRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d:?V5V.?:4!5??5jV2:0:2022 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"VV;(" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:.4n:4.:.nc:d+]j:1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0s.Rf...c:nd(cRgjq pa)qq_uR XN4.c_jUjjsRbH]lR1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0sO +SFFlLkk0=M_4.EM#$OF_OkCM0smRf.c...(:dnjcgR8 +SNN0N=$E#MOO_F0kMCjs_R4fQ4j(n:4d(gRjj +NS80=NLEM#$OF_OkCM0sR_4f4Q4(:njd4(d( +jRS08NNkO=M_4.EM#$OF_OkCM0sR_dfjQ.4:4.dd(cn +jRS08NNk8=M_4.EM#$OF_OkCM0sR_cfjQ.4:4.dn(6.;jR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j!?5d55.?4j?5?0V:22:0::020;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blUR"j"jj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d4:dggd:4gj:c+pj:Q_h BhmzaC_MG30\kjM4_MDHCF_OkCM0sH_#o.Rfj.gU:6dUURnUq pa)qq_uR XN4.c_jjw(sRbHplRQ_h BhmzaC_MG30\kjM4_MDHCF_OkCM0sH_#oFD06O +SFFlLkk0=M_4jDCHM_kOFMs0C_o#HD60FR.fmj.gU:6dUURnU +NS80=NNDCHM_kOFMs0C_o#H_f4RQ(44ndj:U(4.USR +8NN0LH=DMOC_F0kMC#s_H.o_R4fQ4j(n:.dU6RcU +NS80=NODCHM_kOFMs0C_o#H_f6RQ(44ndj:Udd(USR +8NN08M=k4Dj_H_MCOMFk0_Cs#DHo0.c_R.fQj.44:cdUgRgU;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5d5V.?::025V.?:?545Vj?::020222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jjV( +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dc:dc4:4:cdc:+d.j1:eY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0CR.f..:c.d.(6dqjRp)a qu_q NXR4_4d4jj4RHbsl1ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C_Sc +OLFlF=k0k6M4_$P#MOO_F0kMCcs_R.fm...c:6d(.Rdj +NS80=NNPM#$OF_OkCM0sR_4f4Q4(:njdn(jc +jRS08NNPL=#O$M_kOFMs0C_fcRQ(44ndj:(44gjSR +8NN0OM=k4P6_#O$M_kOFMs0C_fdRQ4.j4d.:(jd4j +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y?5.5V4?:?5jV2:022:VRN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"44jj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dd:d44:4:4dd:+ddj1:eY_hBw_1vM0CG\M3k4Pd_#O$M_kOFMs0CR.f..:c.d6(jgqURp)a qu_q NXR4_4dUjjURHbsl1ReY_hBw_1vM0CG\M3k4Pd_#O$M_kOFMs0C_Sc +OLFlF=k0kdM4_$P#MOO_F0kMCcs_R.fm...c:jd(6RgU +NS80=NNPM#$OF_OkCM0sR_jf4Q4(:njdjnnj +URS08NNPL=#O$M_kOFMs0C_f6RQ(44ndj:n((.USR +8NN0OM=k4Pd_#O$M_kOFMs0C_fdRQ4.j4d.:nnUcU +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y.!5??545Vj?::02002:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRj"UU;j" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44d:4g4::444:+c4jm:Bphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HRjf.g:U.dcU6jqjRp)a qu_q NXR._c4www(RHbslmRBphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HD +0nSlOFL0Fk=4kMjF_ODMkl_kOFMs0C_o#HDR0nfjm.g:U.dcU6j +jRS08NNON=FlDkMF_OkCM0sH_#oR_cf4Q4(:njdUUj4 +jRS08NNOL=FlDkMF_OkCM0sH_#oR_nf4Q4(:njdjU.U +jRS08NNOO=FlDkMF_OkCM0sH_#oR_6f4Q4(:njd.Ud( +jRS08NNk8=M_4jOkFDlOM_F0kMC#s_H0oDnR_cfjQ.4:4.d6Ucd;jR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j!?5dV5:!.4?5??5jV2:0::020R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVVV("N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:g4n:4(:ndg:.:+jEM#$OF_OkCM0sC_MG40__l#JkRGNfg.4ndc:gdjj.pRqaq )_ quX.RNcj4_jRUjblsHR$E#MOO_F0kMCMs_C_G04J_#lNkG +FSOlkLF0#=E$_MOOMFk0_CsM0CG_#4_JGlkNmRf.n4gcg:dj.jdR8 +SNN0N=#sCCb0_HOM_ +NS80=NL8_D$OMFk0_CsjQRf4n4(jU:dn.(4R8 +SNO0N=$8D_kOFMs0C_f4RQ(44ndj:Uj(g.SR +8NN08_=8#_C0EM#$OF_OkCM0sQRf.g4jcU:dg.4nRo; +bFROlkLF0o; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j5Vd?:.!5??545Vj?::02002:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"j"Uj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:dg4d4:ddg:c:+jeh1YB1_wvC_MG30\kcM4_$P#MOO_F0kMCfsR.c6jc(:ddUngRaqp _)qqXu RgNc_UUUUsRbHelR1BYh_vw1_GMC0k\3M_4cPM#$OF_OkCM0s +_USlOFL0Fk=4kMc#_P$_MOOMFk0_CsUmRf.c6jc(:ddUngR8 +SNN0N=4kM.#_P$_MOOMFk0_CsnQRf.6jccn:dgU4jR8 +SNL0N=4kM.#_P$_MOOMFk0_Cs(QRf.6jcc(:djUd(Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0LA; +4,R4j5y!4j?5?0V:22:0RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"UUUU +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dd:4g::g4:dgcjj+:MDHCF_OkCM0sC_MGj0__l#Jk_GN44Rf6jjU:6dU4Rgcq pa)qq_uR XN4.c_UjjjsRbHDlRH_MCOMFk0_CsM0CG_#j_JGlkN__44O +SFFlLkD0=H_MCOMFk0_CsM0CG_#j_JGlkN__44mRf4U6jjU:d6c4gR8 +SNN0N=#sCCb0_HOM_ +NS80=NL8_D$OMFk0_CsjQRf4n4(jU:d4cU(R8 +SNO0N=$8D_kOFMs0C_f4RQ(44ndj:UndjcSR +8NN08#=P$_MO#00NCR_4f4Q4(:njddUc.;cR +RobOLFlF;k0 +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5?!V:55.?4j?5?0V:22:0:202RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"Ujjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +Rjf.g:U.ddgd6qnRp)a qu_q NXR._c4BUB7RHbsl_RP#O$M_j4__jj__ +o4SlOFL0Fk=#P_$_MO4__jj__jof4Rmg.jUd.:g6ddnSR +8NN0N#=P$_MO#00NCR_.f4Q4(:njd(UUn +nRS08NNPL=_M#$OQRf4n4(jg:djnjdR8 +SNO0N=$P#M#O_0CN0_fcRQ(44ndj:g.4.nSR +8NN08M=k4#_P$_MO#00NC__.jQRf.4j4.g:d.ncURo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R445y!d4?5?0V:2.:5??54Vj:!*?5jV2:024:5?:fj0222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"8OOU +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +RUfdU:4cdjUngqnRp)a qu_q NXR4_4dw44wRHbsl_RECLMND#C_H4o__jj__oj_j__HFSc +OLFlF=k0EM_CNCLD_o#H_j4__jj___ojHc_FRdfmUcU4:ndUjRgn +NS80=NNPM#$O0_#N_0CcQRf4n4(jU:d4n6jR8 +SNL0N=$P#M#O_0CN0_f6RQ(44ndj:U(.(nSR +8NN0OM=knD_8$F_OkCM0s__jGQRfdUnncU:ddngnRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +RA44y,j!?5.V5:!4:?V5Vj?:2022 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR4"VV;4" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:((U::U.(:+d.j#:P$_MOOMFk0_CsM0CG_#4_JGlkN.Rf4d46:jdgjRd.q pa)qq_uR XN4.c_UjjjsRbHPlR#O$M_kOFMs0C_GMC0__4#kJlGSN +OLFlF=k0PM#$OF_OkCM0sC_MG40__l#JkRGNf4m.4:6ddjgjd +.RS08NNsN=C0#C_MbH_SO +8NN0LD=8$F_OkCM0sR_jf4Q4(:njd(Un4 +.RS08NN8O=DO$_F0kMC4s_R4fQ4j(n:(dUgRj. +NS80=N88C_#0#_P$_MOOMFk0RCsfjQ..:Udd4Ugn;.R +RobOLFlF;k0 +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5?!V:55.?4j?5?0V:22:0:202RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"Ujjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +RUfdU:4cdjUngqnRp)a qu_q NXR4_4dw44wRHbsl_RPCLMND#C_H4o__jj__oj_j__HFSc +OLFlF=k0PM_CNCLD_o#H_j4__jj___ojHc_FRdfmUcU4:ndUjRgn +NS80=NNEM#$O0_#N_0CcQRf4n4(jU:d4n6jR8 +SNL0N=$E#M#O_0CN0_f6RQ(44ndj:U(.(nSR +8NN0OM=knD_8$F_OkCM0s__jGQRfdUnncU:ddngnRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +RA44y,j!?5.V5:!4:?V5Vj?:2022 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR4"VV;4" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s.Rfj.gU:ddgdR6nq pa)qq_uR XN4.c_7BBUsRbHElR_M#$O__4j__jj4_o +FSOlkLF0_=E#O$M_j4__jj__Ro4fjm.g:U.ddgd6 +nRS08NNEN=#O$M_N#00.C_R4fQ4j(n:UdU(Rnn +NS80=NLE$_#MfORQ(44ndj:gdjjnSR +8NN0O#=E$_MO#00NCR_cf4Q4(:njd.g4. +nRS08NNk8=ME4_#O$M_N#00dC__fjRQ4.j4d.:gU.cn +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,!4y55d?4:?V052:.4?5?!V:jj*5?0V:252:4j?f:2022 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRO"O8;U" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44d:4g4::444:+c4jF:ODMkl_kOFMs0C_GMC0__j#kJlG4N_R6f4j:UjdjU6.qdRp)a qu_q NXR._c4jjjURHbslFRODMkl_kOFMs0C_GMC0__j#kJlG4N__S4 +OLFlF=k0OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_44_R4fm6jjU:6dUjR.d +NS80=NNsCC#0H_bM +_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:4dU(Rjd +NS80=NO8_D$OMFk0_Cs4QRf4n4(jU:d.dUgR8 +SN80N=$E#M#O_0CN0_f4RQ(44ndj:U6c4d +R;oObRFFlLk +0;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5dV5:!.4?5??5jV2:0::020R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRjjjU"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:n..::44.:.ndjc+:Y]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0RCsfd4n6dj:(64UUpRqaq )_ quX.RNcj4_jR4jblsHRY]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0_CscO +SFFlLkk0=M_4.EM#$OF_OkCM0sR_cfnm4d:6jdU(46 +URS08NNEN=#O$M_kOFMs0C_fnRQ(44ndj:nn(.USR +8NN0L#=E$_MOOMFk0_Cs(QRf4n4(jn:dUU6dR8 +SNO0N=$E#MOO_F0kMCgs_R4fQ4j(n:gdn(R.U +NS80=N8EM#$OF_OkCM0sR_df4Q4(:njdg(jU;UR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j5Vd?:?5.5V4?:?5jV2:022:V2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRj"j4;j" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:.4n:4.:.nc:d+]j:1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0s4Rfnjd6:jd(6RgUq pa)qq_uR XN4.c_jjjUsRbH]lR1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0s +_dSlOFL0Fk=4kM.#_E$_MOOMFk0_CsdmRf46ndj(:djU6gR8 +SNN0N=$E#MOO_F0kMC.s_R4fQ4j(n:ndnjRjU +NS80=NLEM#$OF_OkCM0sR_Uf4Q4(:njd.n(( +URS08NNEO=#O$M_kOFMs0C_fcRQ(44ndj:nnUcUSR +8NN08#=E$_MOOMFk0_Cs6QRf4n4(jn:dgU(.Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5?5V:.:?V!?545Vj?::020222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jjjU +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@d4:.U4:4:U.4:+ddj1:]Y_hBw_1vM0CG\M3k4E4_#O$M_kOFMs0CRnf4d:6jdj(ccqjRp)a qu_q NXR._c4jUjjRHbsl1R]Y_hBw_1vM0CG\M3k4E4_#O$M_kOFMs0C_Sd +OLFlF=k0k4M4_$E#MOO_F0kMCds_R4fmnjd6:cd(jRcj +NS80=NNEM#$OF_OkCM0sR_jf4Q4(:njdcng6 +jRS08NNEL=#O$M_kOFMs0C_f4RQ(44ndj:(.j(jSR +8NN0O#=E$_MOOMFk0_CsdQRf4n4(j(:d4jg4R8 +SN80N=$E#MOO_F0kMCcs_R4fQ4j(n:dd(4R(j;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5dV.:5?!V:554?j:?V002:2R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRjUjj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:U.4::44.:4Udjd+:Y]1hwB_1Mv_C\G034kM4#_E$_MOOMFk0RCsfd4n6dj:(g46jpRqaq )_ quX4RN4jd_URjUblsHRY]1hwB_1Mv_C\G034kM4#_E$_MOOMFk0_Cs.O +SFFlLkk0=M_44EM#$OF_OkCM0sR_.fnm4d:6jd6(4g +jRS08NNEN=#O$M_kOFMs0C_f.RQ(44ndj:nj(jjSR +8NN0L#=E$_MOOMFk0_Cs(QRf4n4(jn:dUj.(R8 +SNO0N=$E#MOO_F0kMCns_R4fQ4j(n:gdncRnj;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;A44R,5jy.:?V!?545Vj?::020R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRjUUj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:.4(:4g:(d.:n:+j]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0RCsfd4n6dj:(dd.gpRqaq )_ quX.RNc(4_wRwwblsHRY]1hBB_mazh_GMC0k\3MEg_#O$M_kOFMs0CD_0gdO +SFFlLkk0=MEg_#O$M_kOFMs0CD_0gdmRf46ndj(:ddg.dR8 +SNN0N=$E#MOO_F0kMCns_R4fQ4j(n:UdnnRcg +NS80=NLEM#$OF_OkCM0sR_(f4Q4(:njdgng4 +gRS08NNEO=#O$M_kOFMs0C_fcRQ(44ndj:(j44gSR +8NN08#=E$_MOOMFk0_Cs6QRf4n4(j(:d.gdnRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5??5.554?j:?V002:22:0:R02;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR(VVV"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:4.d::44.:d4dj.+:Y]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCsfd4n6dj:ndgdUpRqaq )_ quX.RNcj4_jRUjblsHRY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0_Cs.O +SFFlLkk0=M_4dEM#$OF_OkCM0sR_.fnm4d:6jddngd +URS08NNEN=#O$M_kOFMs0C_fURQ(44ndj:ncc(USR +8NN0L#=E$_MOOMFk0_CsgQRf4n4(jn:dnUj4R8 +SNO0N=$E#MOO_F0kMCcs_R4fQ4j(n:(dn.RjU +NS80=N8EM#$OF_OkCM0sR_6f4Q4(:njdcnUn;UR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j5Vd?:.!5??545Vj?::02002:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"j"Uj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d.:U4gU:.4n:d+ej:1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCfsR46ndj(:dcgcgRaqp _)qqXu RcN.4w_(wbwRsRHleh1YBm_Bz_haM0CG\M3kg#_P$_MOOMFk0DCs0ng_ +FSOlkLF0M=kg#_P$_MOOMFk0DCs0ng_R4fmnjd6:cd(cRgg +NS80=NNPM#$OF_OkCM0sR_.f4Q4(:njdgngj +gRS08NNPL=#O$M_kOFMs0C_fdRQ(44ndj:((44gSR +8NN0O#=P$_MOOMFk0_CsjQRf4n4(j(:d.gdnR8 +SN80N=$P#MOO_F0kMC4s_R4fQ4j(n:dd(nR.g;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5d55.?4j?5?0V:22:0::020;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl(R"V"VV;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d.:U4gU:.4n:d+ej:1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCfsR46ndj(:ddg.dRaqp _)qqXu RcN.4w_(wbwRsRHleh1YBm_Bz_haM0CG\M3kg#_P$_MOOMFk0DCs06g_ +FSOlkLF0M=kg#_P$_MOOMFk0DCs06g_R4fmnjd6:dd(.Rdg +NS80=NNPM#$OF_OkCM0sR_Uf4Q4(:njdnnUc +gRS08NNPL=#O$M_kOFMs0C_fgRQ(44ndj:n4gggSR +8NN0O#=P$_MOOMFk0_CsnQRf4n4(j(:d4g4jR8 +SN80N=$P#MOO_F0kMC(s_R4fQ4j(n:.d(dRng;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5d55.?4j?5?0V:22:0::020;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl(R"V"VV;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d.:4d4.4:4dd:.:+j]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMCfsR46ndj(:d.j((Raqp _)qqXu RcN.4j_UjbjRsRHl]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMCcs_ +FSOlkLF0M=k4Ej_#O$M_kOFMs0C_fcRmd4n6dj:((.(jSR +8NN0N#=E$_MOOMFk0_CscQRf4n4(jn:dUj4UR8 +SNL0N=$E#MOO_F0kMCns_R4fQ4j(n:gdncR6j +NS80=NOEM#$OF_OkCM0sR_4f4Q4(:njdn(jc +jRS08NNE8=#O$M_kOFMs0C_fdRQ(44ndj:(j4gj +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,!jy55d?.4?5??5jV2:0::02002:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRj"Uj;j" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:44d:44:.d.:d+]j:1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0s4Rfnjd6:jd(dR.jq pa)qq_uR XNd44_jj44sRbH]lR1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0s +_dSlOFL0Fk=4kMj#_E$_MOOMFk0_CsdmRf46ndj(:djjd.R8 +SNN0N=$E#MOO_F0kMCjs_R4fQ4j(n:6dn(Rdj +NS80=NLEM#$OF_OkCM0sR_(f4Q4(:njdjn(j +jRS08NNEO=#O$M_kOFMs0C_f.RQ(44ndj:ngU4j +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y?5.V4:5?5V:j:?V0222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jj44 +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dc:dc4:4:cdc:+d.j1:eY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0CRnf4d:6jddngdqURp)a qu_q NXR._c4jjj.RHbsl1ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C_Sd +OLFlF=k0k6M4_$P#MOO_F0kMCds_R4fmnjd6:gdndRdU +NS80=NNPM#$OF_OkCM0sR_gf4Q4(:njd(ncc +URS08NNPL=#O$M_kOFMs0C_f.RQ(44ndj:n4njUSR +8NN0O#=P$_MOOMFk0_CsdQRf4n4(jn:d(U.jR8 +SN80N=$P#MOO_F0kMCjs_R4fQ4j(n:UdncRnU;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5dV.:5??54V5:!j:?V0:22VR22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRjjj."N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:4dd::44d:d4djd+:Ye1hwB_1Mv_C\G034kMd#_P$_MOOMFk0RCsfd4n6dj:njc(npRqaq )_ quX.RNcj4_jRj4blsHRYe1hwB_1Mv_C\G034kMd#_P$_MOOMFk0_CsdO +SFFlLkk0=M_4dPM#$OF_OkCM0sR_dfnm4d:6jd(ncj +nRS08NNPN=#O$M_kOFMs0C_fnRQ(44ndj:n4j4nSR +8NN0L#=P$_MOOMFk0_Cs(QRf4n4(jn:d4ndUR8 +SNO0N=$P#MOO_F0kMCUs_R4fQ4j(n:.dn6R(n +NS80=N8PM#$OF_OkCM0sR_gf4Q4(:njdUndd;nR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j5Vd?:?5.V4:5?5V:j:?V02222 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRj"jj;4" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44d:4g4::444:+c4jm:Bphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HRnf4d:6jd(UjnqURp)a qu_q NXR._c4(wwwRHbslmRBphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HD_0ncO +SFFlLkk0=M_4jOkFDlOM_F0kMC#s_H0oDnR_cfnm4d:6jd(Ujn +URS08NNON=FlDkMF_OkCM0sH_#oR_.f4Q4(:njd4(n( +URS08NNOL=FlDkMF_OkCM0sH_#oR_df4Q4(:njdc((c +URS08NNOO=FlDkMF_OkCM0sH_#oR_jf4Q4(:njdn(Ud +URS08NNO8=FlDkMF_OkCM0sH_#oR_4f4Q4(:njdU(gg;UR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j55d?.4?5??5jV2:0::02002:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"(V;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44d:dgg::g4d:+cjjQ:phB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HRnf4d:6jd.U4dqnRp)a qu_q NXR4_4d(ww(RHbslQRphB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HD_0c.O +SFFlLkk0=M_4jDCHM_kOFMs0C_o#HD_0c.mRf46ndjU:d4n.dR8 +SNN0N=MDHCF_OkCM0sH_#oR_df4Q4(:njdn(nc +nRS08NNDL=H_MCOMFk0_Cs#_HocQRf4n4(j(:d(ng4R8 +SNO0N=MDHCF_OkCM0sH_#oR_jf4Q4(:njd4(gj;nR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0OA; +4,R4j.y5??545Vj?::02002:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"((;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:44d:44:.d.:d+]j:1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0s4Rfnjd6:4d(4RnUq pa)qq_uR XNd44_jj44sRbH]lR1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0s +_4SlOFL0Fk=4kMj#_E$_MOOMFk0_Cs4mRf46ndj(:d4U4nR8 +SNN0N=$E#MOO_F0kMC6s_R4fQ4j(n:ndn6R(U +NS80=NLEM#$OF_OkCM0sR_Uf4Q4(:njdUn(c +URS08NNEO=#O$M_kOFMs0C_fgRQ(44ndj:ndgjU +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;4 +ARj4,y?5.V4:5?5V:j:?V0222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jj44 +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@d.:dn4:4:nd.:+d.j1:eY_hBw_1vM0CG\M3k4P._#O$M_kOFMs0CRnf4d:6jdjn6jqcRp)a qu_q NXR._c4j4jjRHbsl1ReY_hBw_1vM0CG\M3k4P._#O$M_kOFMs0C_Sn +OLFlF=k0k.M4_$P#MOO_F0kMCns_R4fmnjd6:6dnjRjc +NS80=NNPM#$OF_OkCM0sR_(f4Q4(:njdcnj4 +cRS08NNPL=#O$M_kOFMs0C_fURQ(44ndj:nU4ncSR +8NN0O#=P$_MOOMFk0_Cs6QRf4n4(jn:d.cU(R8 +SN80N=$P#MOO_F0kMCns_R4fQ4j(n:cdn4Rdc;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5dV.:5?5V:4:?V5Vj?:2022;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"j"j4;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:.n4d4:.dn:.:+jeh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMCfsR46ndjn:dnc.(Raqp _)qqXu RcN.4j_jjb4RsRHleh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMC(s_ +FSOlkLF0M=k4P._#O$M_kOFMs0C_f(Rmd4n6dj:n(n.cSR +8NN0N#=P$_MOOMFk0_CsdQRf4n4(jn:d4cnUR8 +SNL0N=$P#MOO_F0kMCcs_R4fQ4j(n:.dngR6c +NS80=NOPM#$OF_OkCM0sR_4f4Q4(:njd4ncc +cRS08NNP8=#O$M_kOFMs0C_f.RQ(44ndj:nj6cc +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,5jyd:?V5V.?:?54Vj:5?0V:2222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jjj4 +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@dd:.44:4:4.d:+d.j1:]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0CRnf4d:6jdc(j.q(Rp)a qu_q NXR._c4UjjjRHbsl1R]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0C_S( +OLFlF=k0kdM4_$E#MOO_F0kMC(s_R4fmnjd6:jd(cR.( +NS80=NNEM#$OF_OkCM0sR_.f4Q4(:njdUn6d +(RS08NNEL=#O$M_kOFMs0C_fdRQ(44ndj:nj(4(SR +8NN0O#=E$_MOOMFk0_CsjQRf4n4(jn:dU(.gR8 +SN80N=$E#MOO_F0kMC4s_R4fQ4j(n:gdn6R6(;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,yd!5??5.554?j:?V002:22:0:R02;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRUjjj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:n.j:.c:j(n:+kj:ME4_#O$M_N#00dC_Rnf4d:6jd(UU.qcRp)a qu_q NXRc g_ R blsHR4kM_$E#M#O_0CN0_jd_ +FSOlkLF0M=k4#_E$_MO#00NC__djmRf46ndjU:dUc(.R8 +SNN0N=$E#M#O_0CN0_fdRQ(44ndj:Udc4cSR +8NN0L#=E$_MO#00NCR_4f4Q4(:njdcU6j;cR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +RA44y,j!?54Vj:5?0V:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blCR"C"CC;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:4gc4:dg+:(jM:k4#_P$_MO#00NCR_.fd4n6dj:U.U(cpRqaq )_ quXcRNg _ b RsRHlk_M4PM#$O0_#N_0C. +_jSlOFL0Fk=4kM_$P#M#O_0CN0_j._R4fmnjd6:UdU(R.c +NS80=NNPM#$O0_#N_0CdQRf4n4(jU:dcc4dR8 +SNL0N=$P#M#O_0CN0_f4RQ(44ndj:Uj6cc +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;A44R,!jy5V4?:?5jV2:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRC"CC;C" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4.d:ccU::U.c:j(+:#8_CE0_#O$M_kOFMs0CRnf4d:6jdn(ncq.Rp)a qu_q NXRc g_ R blsHR#8_CE0_#O$M_kOFMs0C +FSOlkLF0_=8#_C0EM#$OF_OkCM0smRf46ndj(:dn.ncR8 +SNN0N=$E#M#O_0CN0_fnRQ(44ndj:(6.j.SR +8NN0L#=E$_MO#00NCR_jf4Q4(:njdd(d.;.R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +RA44y,j!?54Vj:5?0V:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blCR"C"CC;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4dd:n4cn:d4+:(j_:8#_C0PM#$OF_OkCM0s4Rfnjd6:6dU.R.gq pa)qq_uR XN_cg RHbsl_R8#_C0PM#$OF_OkCM0sO +SFFlLk80=_0#C_$P#MOO_F0kMCfsRmd4n6dj:U.6.gSR +8NN0N#=P$_MO#00NCR_nf4Q4(:njdnUjd +gRS08NNPL=#O$M_N#00jC_R4fQ4j(n:4dUgRjg;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;4 +ARj4,y4!5?5V:j:?V0R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRCCCC"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:44c::d44:c46j.+:4kM_MDHCF_OkCM0sH_#o:rg4f9Rdndjcg:ddnd6Raqp _)qqXu RjN4(nd_BRnBblsHR4kM_MDHCF_OkCM0sH_#o9rg +FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLkg0r9mRfdndjcg:ddnd6R8 +SNN0N=MDHCF_OkCM0sH_#oR_(f4Q4(:njd(UUn +nRS08NNDL=H_MCOMFk0_Cs#_HoUQRf4n4(jg:djnjdRO +SHkM=MD4_H_MCOMFk0_Cs#_HoO0FkrR(9fUQ.d:ccdnUUd;nR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blnR"O"nO;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9dRfdcjn:ddgdR6nq pa)qq_uR XNc4j4q_66bqRsRHlk_M4DCHM_kOFMs0C_o#Hr +U9SlOFL0Fk=4kM_MDHCF_OkCM0sH_#oF_OlkLF09rURdfmdcjn:ddgdR6n +NS80=NNDCHM_kOFMs0C_o#H_f(RQ(44ndj:UnU(nSR +O=HMk_M4DCHM_kOFMs0C_o#H_kOF09rnR.fQUcdc:UdUnRdn;b +oRlOFL0Fk;b +oR08NN +N;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"66NN +";N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo's; +R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49fd.Ucdc:UdUnnpRqaq )_ quX4RNj_((nBBn_UUjjsRbHklRMD4_H_MCOMFk0_Cs#rHo(S9 +OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrR(9f.md(:gcddgd6 +nRSkOF0M=k4H_DMOC_F0kMC#s_HOo_Frk0(f9Rmd.Ucdc:UdUnnSR +8NN0NH=DMOC_F0kMC#s_H6o_R4fQ4j(n:.dU4Rgn +NS80=NLDCHM_kOFMs0C_o#H_fnRQ(44ndj:Ug.4nSR +O=HMk_M4DCHM_kOFMs0C_o#H_kOF09r6R.fQUcj(:UdUdRnn;b +oRlOFL0Fk;b +oRkOF0o; +bNR80;NN +Rob8NN0Lo; +bHROMA; +4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"O"Uj;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9.RfUcdc:UdUnRdnq pa)qq_uR XN(4j(q_66Uq_jRUjblsHR4kM_MDHCF_OkCM0sH_#o9rn +FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLkn0r9mRfdg.(cg:ddnd6RO +SF=k0k_M4DCHM_kOFMs0C_o#H_kOF09rnR.fmUcdc:UdUnRdn +NS80=NNDCHM_kOFMs0C_o#H_f6RQ(44ndj:Ug.4nSR +8NN0LH=DMOC_F0kMC#s_Hno_R4fQ4j(n:.dU4Rgn +HSOMM=k4H_DMOC_F0kMC#s_HOo_Frk0cf9RQj.U(dc:UnUdn +R;oObRFFlLk +0;oObRF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNU"N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;R +s@d@4:44c::d44:c46j.+:4kM_MDHCF_OkCM0sH_#o:rg4f9R.(UjcU:dUndnRaqp _)qqXu RjN4(n(_B_nBUjjURHbslMRk4H_DMOC_F0kMC#s_H6or9O +SFFlLkk0=MD4_H_MCOMFk0_Cs#_HoOLFlFrk06f9Rm6d..dc:g6ddnSR +O0Fk=4kM_MDHCF_OkCM0sH_#oF_Ok60r9mRf.(UjcU:dUndnR8 +SNN0N=MDHCF_OkCM0sH_#oR_df4Q4(:njdgU4. +nRS08NNDL=H_MCOMFk0_Cs#_HocQRf4n4(jU:d4ng.RO +SHkM=MD4_H_MCOMFk0_Cs#_HoO0FkrRd9f(Q.U:jcdjUUg;nR +RobOLFlF;k0 +RobO0Fk;b +oR08NN +N;o8bRNL0N;b +oRMOH;4 +AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;. +ARj4,y.!5??545Vj?::02002:2 +R;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRO"nU;j" +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';s@R@44d:cd4:4c:44.:6+kj:MD4_H_MCOMFk0_Cs#rHog9:4RUf.j:(cddUUnqnRp)a qu_q NXR4(j(_66qqj_UUbjRsRHlk_M4DCHM_kOFMs0C_o#Hr +c9SlOFL0Fk=4kM_MDHCF_OkCM0sH_#oF_OlkLF09rcRdfm.c6.:ddgdR6n +FSOkk0=MD4_H_MCOMFk0_Cs#_HoO0FkrRc9fUm.j:(cddUUn +nRS08NNDN=H_MCOMFk0_Cs#_HodQRf4n4(jU:d4ng.R8 +SNL0N=MDHCF_OkCM0sH_#oR_cf4Q4(:njdgU4. +nRSMOH=4kM_MDHCF_OkCM0sH_#oF_Ok.0r9QRf.j(UcU:dUnjgRo; +bFROlkLF0o; +bFROk +0;o8bRNN0N;b +oR08NN +L;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Uj;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9.Rf(cUj:UdUjRgnq pa)qq_uR XN(4j(B_nnUB_jRUjblsHR4kM_MDHCF_OkCM0sH_#o9rd +FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLkd0r9mRfd6..cg:ddnd6RO +SF=k0k_M4DCHM_kOFMs0C_o#H_kOF09rdR.fm(cUj:UdUjRgn +NS80=NNDCHM_kOFMs0C_o#H_f4RQ(44ndj:U64nnSR +8NN0LH=DMOC_F0kMC#s_H.o_R4fQ4j(n:4dUnR6n +HSOMM=k4H_DMOC_F0kMC#s_HOo_Frk04f9RQ6.(ddc:U.(Un +R;oObRFFlLk +0;oObRF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"UnOj +";N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo's; +R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49fU.(jdc:UgUjnpRqaq )_ quX4RNj_((6qq6_UUjjsRbHklRMD4_H_MCOMFk0_Cs#rHo.S9 +OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrR.9f.md.:6cddgd6 +nRSkOF0M=k4H_DMOC_F0kMC#s_HOo_Frk0.f9RmU.(jdc:UgUjnSR +8NN0NH=DMOC_F0kMC#s_H4o_R4fQ4j(n:4dUnR6n +NS80=NLDCHM_kOFMs0C_o#H_f.RQ(44ndj:U64nnSR +O=HMk_M4DCHM_kOFMs0C_o#H_ON_Frk04f9RQ6.(ddc:U.(Un +R;oObRFFlLk +0;oObRF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNU"N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;R +s@d@4:44c::d44:c46j.+:4kM_MDHCF_OkCM0sH_#o:rg4f9R.d(6cU:d(nU.Raqp _)qqXu R.N6_jjjjU_UUbURsRHlk_M4DCHM_kOFMs0C_o#H_4Nr9O +SF=k0k_M4DCHM_kOFMs0C_o#H_ON_Frk04f9Rm6.(ddc:U.(UnSR +8NN0N_=8#_C0EM#$OF_OkCM0sQRf.g4jcU:d4ndUR8 +SNL0N=MDHCF_OkCM0sH_#oR_jf4Q4(:njddU4U;nR +RobO0Fk;b +oR08NN +N;o8bRNL0N;4 +ARj4,y;VR +RA.4y,j!?545Vj?::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bljR"j"UU;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9.Rf(c6d:(dUUR.nq pa)qq_uR XN_6dnnnn_UUUUsRbHklRMD4_H_MCOMFk0_Cs#rHo4S9 +OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrR49f6m.n:Ucddgd6 +nRSkOF0M=k4H_DMOC_F0kMC#s_HOo_Frk04f9Rm6.(ddc:U.(UnSR +8NN0N_=8#_C0EM#$OF_OkCM0sQRf.g4jcU:d4ndUR8 +SNL0N=MDHCF_OkCM0sH_#oR_jf4Q4(:njddU4U;nR +RobOLFlF;k0 +RobO0Fk;b +oR08NN +N;o8bRNL0N;4 +AR44,y?54jj*5?0V:2f:!j;2R +RA.4y,j!?545Vj?::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"n"UU;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4d4:4.d44:46.:c:+jk_M.OkFDlOM_F0kMCMs_CrG0g9:jRdf.(:djddgd6qnRp)a qu_q NXR4dj(_nnBBsRbHklRMO._FlDkMF_OkCM0sC_MGg0r9O +SFFlLkk0=MO._FlDkMF_OkCM0sC_MGO0_FFlLkg0r9mRf.dd(jg:ddnd6R8 +SNN0N=DOFk_lMOMFk0_Cs#_HoUQRf4n4(jU:dUn(nR8 +SNL0N=DOFk_lMOMFk0_Cs#_HogQRf4n4(jg:djnjdRO +SHkM=MO._FlDkMF_OkCM0sC_MGO0_Frk0(f9RQj4g4dj:UdUnn +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;oObRH +M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRO"nn;O" +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';s@R@44d:4d.:44:4.c:6+kj:MO._FlDkMF_OkCM0sC_MGg0r:Rj9f(.dddj:g6ddnpRqaq )_ quX4RNj_c46qq6RHbslMRk.F_ODMkl_kOFMs0C_GMC09rU +FSOlkLF0M=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09rUR.fmdj(d:ddgdR6n +NS80=NNOkFDlOM_F0kMC#s_HUo_R4fQ4j(n:UdU(Rnn +HSOMM=k.F_ODMkl_kOFMs0C_GMC0F_Okn0r9QRf44gjjU:dUnndRo; +bFROlkLF0o; +bNR80;NN +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRN"66;N" +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';s@R@44d:4d.:44:4.c:6+kj:MO._FlDkMF_OkCM0sC_MGg0r:Rj9fj4g4dj:UdUnnpRqaq )_ quX4RNj_((nBBn_UUjjsRbHklRMO._FlDkMF_OkCM0sC_MG(0r9O +SFFlLkk0=MO._FlDkMF_OkCM0sC_MGO0_FFlLk(0r9mRf.ndcjg:ddnd6RO +SF=k0k_M.OkFDlOM_F0kMCMs_C_G0O0FkrR(9fgm4j:4jdnUUd +nRS08NNON=FlDkMF_OkCM0sH_#oR_nf4Q4(:njd4U.g +nRS08NNOL=FlDkMF_OkCM0sH_#oR_(f4Q4(:njd4U.g +nRSMOH=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r6R4fQUj(c:UdUdRnn;b +oRlOFL0Fk;b +oRkOF0o; +bNR80;NN +Rob8NN0Lo; +bHROMA; +4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"O"Uj;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +@sR@:4d4:4.d44:46.:c:+jk_M.OkFDlOM_F0kMCMs_CrG0g9:jRgf4j:4jdnUUdqnRp)a qu_q NXR4(j(_66qqj_UUbjRsRHlk_M.OkFDlOM_F0kMCMs_CrG0nS9 +OLFlF=k0k_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0nf9Rmc.dndj:g6ddnSR +O0Fk=.kM_DOFk_lMOMFk0_CsM0CG_kOF09rnR4fmgjj4:UdUnRdn +NS80=NNOkFDlOM_F0kMC#s_Hno_R4fQ4j(n:.dU4Rgn +NS80=NLOkFDlOM_F0kMC#s_H(o_R4fQ4j(n:.dU4Rgn +HSOMM=k.F_ODMkl_kOFMs0C_GMC0F_Okc0r9QRf4cU(jU:dUndnRo; +bFROlkLF0o; +bFROk +0;o8bRNN0N;b +oR08NN +L;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Uj;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +@sR@:4d4:4.d44:46.:c:+jk_M.OkFDlOM_F0kMCMs_CrG0g9:jRUf4(:cjddUUnqnRp)a qu_q NXR4(j(_nnBBj_UUbjRsRHlk_M.OkFDlOM_F0kMCMs_CrG06S9 +OLFlF=k0k_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk06f9Rm4.dgdj:g6ddnSR +O0Fk=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r6R4fmUj(c:UdUdRnn +NS80=NNOkFDlOM_F0kMC#s_Hco_R4fQ4j(n:4dUgR.n +NS80=NLOkFDlOM_F0kMC#s_H6o_R4fQ4j(n:4dUgR.n +HSOMM=k.F_ODMkl_kOFMs0C_GMC0F_Okd0r9QRf4(UcjU:dUnjgRo; +bFROlkLF0o; +bFROk +0;o8bRNN0N;b +oR08NN +L;oObRH +M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRnjOU"N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;R +s@d@4:.44::d44:4.6jc+:.kM_DOFk_lMOMFk0_CsM0CGrjg:94RfUj(c:UdUdRnnq pa)qq_uR XN(4j(q_66Uq_jRUjblsHR.kM_DOFk_lMOMFk0_CsM0CGr +c9SlOFL0Fk=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrRc9fdm.4:gjddgd6 +nRSkOF0M=k.F_ODMkl_kOFMs0C_GMC0F_Okc0r9mRf4cU(jU:dUndnR8 +SNN0N=DOFk_lMOMFk0_Cs#_HocQRf4n4(jU:d4ng.R8 +SNL0N=DOFk_lMOMFk0_Cs#_Ho6QRf4n4(jU:d4ng.RO +SHkM=MO._FlDkMF_OkCM0sC_MGO0_Frk0.f9RQc4U(dj:UgUjn +R;oObRFFlLk +0;oObRF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNU"N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;R +s@d@4:.44::d44:4.6jc+:.kM_DOFk_lMOMFk0_CsM0CGrjg:94RfUjc(:UdUjRgnq pa)qq_uR XN(4j(B_nnUB_jRUjblsHR.kM_DOFk_lMOMFk0_CsM0CGr +d9SlOFL0Fk=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrRd9f.m.g:.jddgd6 +nRSkOF0M=k.F_ODMkl_kOFMs0C_GMC0F_Okd0r9mRf4(UcjU:dUnjgR8 +SNN0N=DOFk_lMOMFk0_Cs#_Ho.QRf4n4(jU:d4nn6R8 +SNL0N=DOFk_lMOMFk0_Cs#_HodQRf4n4(jU:d4nn6RO +SHkM=MO._FlDkMF_OkCM0sC_MGO0_Frk04f9RQ.4Ujdj:U.(Un +R;oObRFFlLk +0;oObRF;k0 +Rob8NN0No; +bNR80;NL +RobO;HM +RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"UnOj +";N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo's; +R4@@d4:4.4:d:.44:+6cjM:k.F_ODMkl_kOFMs0C_GMC0:rgjf9R4(UcjU:dUnjgRaqp _)qqXu RjN4(6(_q_6qUjjURHbslMRk.F_ODMkl_kOFMs0C_GMC09r. +FSOlkLF0M=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09r.R.fm.jg.:ddgdR6n +FSOkk0=MO._FlDkMF_OkCM0sC_MGO0_Frk0.f9Rmc4U(dj:UgUjnSR +8NN0NF=ODMkl_kOFMs0C_o#H_f.RQ(44ndj:U64nnSR +8NN0LF=ODMkl_kOFMs0C_o#H_fdRQ(44ndj:U64nnSR +O=HMk_M.OkFDlOM_F0kMCMs_C_G0O0FkrRj9fUQ4.:jjdUU(.;nR +RobOLFlF;k0 +RobO0Fk;b +oR08NN +N;o8bRNL0N;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"U6Nj +";N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo's; +R4@@d4:4.4:d:.44:+6cjM:k.F_ODMkl_kOFMs0C_GMC0:rgjf9R4jU.jU:d(nU.Raqp _)qqXu RdN6_nnnnU_UUbURsRHlk_M.OkFDlOM_F0kMCMs_CrG04S9 +OLFlF=k0k_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk04f9Rmd4n6dj:g6ddnSR +O0Fk=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r4R4fmUj.j:(dUUR.n +NS80=NNOkFDlOM_F0kMC#s_Hjo_R4fQ4j(n:4dUdRUn +NS80=NLOkFDlOM_F0kMC#s_H4o_R4fQ4j(n:4dUdRUn;b +oRlOFL0Fk;b +oRkOF0o; +bNR80;NN +Rob8NN0LA; +4,R444y5?5j*j:?V0!2:fRj2;. +ARj4,y4!5??5jV2:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRnUnU"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@d@4:.44::d44:4.6jc+:.kM_DOFk_lMOMFk0_CsM0CGrjg:94RfUj.j:(dUUR.nq pa)qq_uR XN_6.6666_UUUUsRbHklRMO._FlDkMF_OkCM0sC_MGj0r9O +SF=k0k_M.OkFDlOM_F0kMCMs_C_G0O0FkrRj9fUm4.:jjdUU(. +nRS08NNON=FlDkMF_OkCM0sH_#oR_jf4Q4(:njddU4U +nRS08NNOL=FlDkMF_OkCM0sH_#oR_4f4Q4(:njddU4U;nR +RobO0Fk;b +oR08NN +N;o8bRNL0N;4 +ARj4,y?5jV2:0RA; +.,R4j5y!4j?5?0V:22:0RN; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"U66U +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'b; +R4@@:44::.4:+0.:sRkCfjj:Rk0sCsR0keCRB +B;NsHRCFoHMPR'o;N' +fbRjR:jV#NDChRt7hRt7@; + + + + +ftell; +@ERMRI FsRNPo_MOF0DsFR0MCD0H#;P +NR#3H_k#FsROC4N; +PDR3HMMCFgRdUN; +PHR3#8PED;R4 +RNP3_H#PDE8R +4;N3PRFosHhCNlRo"PNF_OMF0sD +";N#PR$bM_sCC#sRPC4N; +PNR3DOlN_0bNEF_OkRM04N; +PFR3sPHoHMCINRlC'ELCN;P' +RNP3#EN0HHlM4oR;P +NRH3k__MD#ODN cRdcn6(;R +HDCHM_kOFMs0C_o#H_ +j;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HHRDMOC_F0kMC#s_H.o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs""HM;R +HDCHM_kOFMs0C_o#H_ +4;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HHRDMOC_F0kMC#s_Hdo_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs""HM;R +HDCHM_kOFMs0C_o#H_ +n;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HHRDMOC_F0kMC#s_H6o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs""HM;R +HDCHM_kOFMs0C_o#H_ +c;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HHRDMOC_F0kMC#s_H(o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs""HM;R +HDCHM_kOFMs0C_o#H_ +U;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +j;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +4;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +.;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +U;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +d;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +6;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +c;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +g;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +(;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HFRODMkl_kOFMs0C_o#H_ +n;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";FFR0oCoD_kOFMs0C_o#H_ +j;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +0FRFDooCF_OkCM0sH_#o;_4 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H.o_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +Ro0Fo_DCOMFk0_Cs#_HodN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_ +c;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +0FRFDooCF_OkCM0sH_#o;_6 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_Hno_;H +NRD3#bHFsos8HRM"HF"k0;H +NRF3bsD0N8RHs"0Fk"F; +Ro0Fo_DCOMFk0_Cs#_Ho(N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_ +U;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +0FRFDooCF_OkCM0sH_#o;_g +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H4o_jN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;44 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H4o_.N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;4d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H4o_cN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;46 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H4o_nN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;4( +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H4o_UN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;4g +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H.o_jN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;.4 +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H.o_.N; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";FFR0oCoD_kOFMs0C_o#H_;.d +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoDOC_F0kMC#s_H.o_cN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";H_RECLMND#C_H +o;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";F;Ro +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +FLN; +H#R3DsbFHHo8sHR"M0Fk"N; +HbR3FNs0Ds8HRk"F0 +";H_RPCLMND#C_H +o;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";F;Rs +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRF"k0;R +F0oFoD#C_H +o;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sFR"k;0" +kHRM8n_DO$_F0kMCjs__ +G;N3HR#FDbs8HoH"sRHkMF0 +";N3HRb0FsNHD8sHR"M +";HDRO H_bM;_O +RNH3b#DFosH8RHs"FHMk;0" +RNH3sbF08NDH"sRH;M" +RoMO_D b_HMON; +MOR3D FORo"PND|O H_bM +";N3MROODF 8_Co"CRsCH#"N; +MHR3#D_OFRO 4s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:n(cUcpRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#ocr.9s +SCkoF0F=0oCoD_kOFMs0C_o#H_R.cf(m4ndj:n(cUcSR +O=D O_D b_HMO8 +SN80N=7th +OSNDks=M8n_DO$_F0kMCjs__ +G;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};A44R,!jy5Vd?:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8GcR.;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVjVj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:njdncpRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#odr.9s +SCkoF0F=0oCoD_kOFMs0C_o#H_R.df(m4ndj:njdncSR +O=D O_D b_HMO8 +SN80N=7th +OSNDks=M8n_DO$_F0kMCjs__ +G;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};A44R,!jy5Vd?:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8GdR.;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVjVj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:n.(dcpRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#o.r.9s +SCkoF0F=0oCoD_kOFMs0C_o#H_R..f(m4ndj:n.(dcSR +O=D O_D b_HMO8 +SN80N=7th +OSNDks=M8n_DO$_F0kMCjs__ +G;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};A44R,!jy5Vd?:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G.R.;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVjVj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:nnnjcpRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#o4r.9s +SCkoF0F=0oCoD_kOFMs0C_o#H_R.4f(m4ndj:nnnjcSR +O=D O_D b_HMO8 +SN80N=7th +OSNDks=M8n_DO$_F0kMCjs__ +G;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};A44R,!jy5Vd?:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G4R.;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVjVj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRV64dU6n_qR6qblsHRo0Fo_DCOMFk0_Cs#rHo. +j9SosCF=k00oFoDOC_F0kMC#s_H.o_jmRf4j(n:jd((Rnn +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#oj_.R4fQ4j(n:(dg4R4U +OSNDks=M8n_DO$_F0kMCjs__SG +#sOD!F=0oCoD_o#H_jj__oj_4QRfc.c(.U:dgU.gRO +SH0M=FDooCF_OkCM0sH_#oF_Ok40rUf9RQn.jddj:gg.dU +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oRD#Oso; +bHROMA; +4,R44.y5?5j*j:?V0!2:fRj2;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8GjR.;H +NRO3#DVs_V;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"6N;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_4UnBBnRHbslFR0oCoD_kOFMs0C_o#Hr94g +CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4fgRmn4(jn:dgncgRO +SDO =Db _HOM_ +NS80=NN0oFoDOC_F0kMC#s_H4o_UQRf4n4(jg:d(U44R8 +SNL0N=o0Fo_DCOMFk0_Cs#_Ho4fgRQ(44ndj:g4(4USR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg +URSMOH=o0Fo_DCOMFk0_Cs#_HoO0Fkr94(R.fQjjdn:.dgdRgU;b +oRosCF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#Oso; +bHROMA; +4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RN; +HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC4GRgN; +H#R3O_DsV4VR;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRnOOn"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G" +@sR@:4.gcg:::gg6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rc.c(.U:dgU.gRaqp _)qqXu R4VVd.n._66qqj_UUbjRsRHl0oFoDOC_F0kMC#s_H4orUS9 +sFCok00=FDooCF_OkCM0sH_#oU_4R4fm(:njd4nnd +cRSkOF0F=0oCoD_kOFMs0C_o#H_kOF0Ur49mRf.djnjg:d.UdgRO +SDO =Db _HOM_ +NS80=NN0oFoDOC_F0kMC#s_H4o_UQRf4n4(jU:d6Ug6R8 +SNL0N=o0Fo_DCOMFk0_Cs#_Ho4fgRQ(44ndj:U66gUSR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg +URSMOH=o0Fo_DCOMFk0_Cs#_HoO0Fkr94nR.fQjjdn:.dg4R.U;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR;4U +RNH3D#OsV_VR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRN"6U;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRVn4d.n._B_nBUjjURHbslFR0oCoD_kOFMs0C_o#Hr94( +CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4f(Rmn4(jn:dccUnRO +SF=k00oFoDOC_F0kMC#s_HOo_Frk04R(9fjm.d:njddg.g +URS OD= OD_MbH_SO +8NN0NF=0oCoD_kOFMs0C_o#H_R4nf4Q4(:njdgU66 +URS08NN0L=FDooCF_OkCM0sH_#o(_4R4fQ4j(n:6dUgR6U +OSNDks=M8n_DO$_F0kMCjs__SG +#sOD!F=0oCoD_o#H_jj__oj_4QRfc.c(.U:dgU.gRO +SH0M=FDooCF_OkCM0sH_#oF_Ok40r6f9RQj.jgdj:g..4U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRMOH;4 +AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;. +ARj4,y.!5??545Vj?::02002:2 +R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG4 +(;N3HR#sOD_RVV4N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"UnOj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R +s@.@4::ggcg:g:06:FDooCF_OkCM0sH_#ocr.:Rj9f(cc.d.:Ugg.UpRqaq )_ quXVRV4.dn.q_66Uq_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo4 +n9SosCF=k00oFoDOC_F0kMC#s_H4o_nmRf4j(n:(dndR.c +FSOk00=FDooCF_OkCM0sH_#oF_Ok40rnf9Rmd.jndj:g..4USR +O=D O_D b_HMO8 +SNN0N=o0Fo_DCOMFk0_Cs#_Ho4fnRQ(44ndj:UU6nUSR +8NN0LF=0oCoD_kOFMs0C_o#H_R4(f4Q4(:njdnU6U +URSDNOsM=knD_8$F_OkCM0s__jG# +SO!Ds=o0Fo_DC#_Hoj__jj4_oRcfQc.(.:gdU.RgU +HSOMF=0oCoD_kOFMs0C_o#H_kOF0cr49QRf.gjjjg:d4UU6Ro; +bCRso0Fk;b +oRkOF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8GnR4;H +NRO3#DVs_V;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Uj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH0lRFDooCF_OkCM0sH_#o6r49s +SCkoF0F=0oCoD_kOFMs0C_o#H_R46f(m4ndj:ng.n.SR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr946R.fmjjjg:.dg4R.U +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#oc_4R4fQ4j(n:6dUnRUU +NS80=NL0oFoDOC_F0kMC#s_H4o_6QRf4n4(jU:d6UnURN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.USR +O=HM0oFoDOC_F0kMC#s_HOo_Frk04Rd9fgQ4U:.jdUg46;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#Oso; +bHROMA; +4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR;46 +RNH3D#OsV_VR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRO"nU;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRVn4d.6._q_6qUjjURHbslFR0oCoD_kOFMs0C_o#Hr94c +CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4fcRmn4(jn:d4.6jRO +SF=k00oFoDOC_F0kMC#s_HOo_Frk04Rc9fjm.j:gjdUg46 +URS OD= OD_MbH_SO +8NN0NF=0oCoD_kOFMs0C_o#H_R4cf4Q4(:njdcU64 +URS08NN0L=FDooCF_OkCM0sH_#o6_4R4fQ4j(n:6dUcR4U +OSNDks=M8n_DO$_F0kMCjs__SG +#sOD!F=0oCoD_o#H_jj__oj_4QRfc.c(.U:dgU.gRO +SH0M=FDooCF_OkCM0sH_#oF_Ok40r.f9RQU4g.dj:gU46U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC4GRcN; +H#R3O_DsV4VR;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G" +@sR@:4.gcg:::gg6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rc.c(.U:dgU.gRaqp _)qqXu R4VVd.n._nnBBj_UUbjRsRHl0oFoDOC_F0kMC#s_H4ordS9 +sFCok00=FDooCF_OkCM0sH_#od_4R4fm(:njd.njd +.RSkOF0F=0oCoD_kOFMs0C_o#H_kOF0dr49mRf4.gUjg:d4UU6RO +SDO =Db _HOM_ +NS80=NN0oFoDOC_F0kMC#s_H4o_.QRf4n4(jU:d6Uc4R8 +SNL0N=o0Fo_DCOMFk0_Cs#_Ho4fdRQ(44ndj:U46cUSR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg +URSMOH=o0Fo_DCOMFk0_Cs#_HoO0Fkr944R4fQgj66:4dg6RUU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8GdR4;H +NRO3#DVs_V;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"O"Uj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#o.r49s +SCkoF0F=0oCoD_kOFMs0C_o#H_R4.f(m4ndj:6nUjjSR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr94.R4fmgjU.:4dg6RUU +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#o._4R4fQ4j(n:6dU4RcU +NS80=NL0oFoDOC_F0kMC#s_H4o_dQRf4n4(jU:d6U4cRN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.USR +O=HM0oFoDOC_F0kMC#s_HOo_Frk04Rj9fgQ46:6jddg44;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#Oso; +bHROMA; +4,R44.y5?5j*j:?V0!2:fRj2;. +ARj4,y.!5??545Vj?::02002:2 +R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCG4 +.;N3HR#sOD_RVV4N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"U6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R +s@.@4::ggcg:g:06:FDooCF_OkCM0sH_#ocr.:Rj9f(cc.d.:Ugg.UpRqaq )_ quXVRV4.dn.B_nnUB_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo4 +49SosCF=k00oFoDOC_F0kMC#s_H4o_4mRf4j(n:nd6UR(j +FSOk00=FDooCF_OkCM0sH_#oF_Ok40r4f9Rm64g6dj:gU46USR +O=D O_D b_HMO8 +SNN0N=o0Fo_DCOMFk0_Cs#_Ho4fjRQ(44ndj:Uc64USR +8NN0LF=0oCoD_kOFMs0C_o#H_R44f4Q4(:njd4U6c +URSDNOsM=knD_8$F_OkCM0s__jG# +SO!Ds=o0Fo_DC#_Hoj__jj4_oRcfQc.(.:gdU.RgU +HSOMF=0oCoD_kOFMs0C_o#H_kOF09rgR4fQgj.U:4dgdR4U;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G4R4;H +NRO3#DVs_V;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"O"Uj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#ojr49s +SCkoF0F=0oCoD_kOFMs0C_o#H_R4jf(m4ndj:6j6njSR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr94jR4fmgj66:4dgdR4U +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#oj_4R4fQ4j(n:cdUUR(U +NS80=NL0oFoDOC_F0kMC#s_H4o_4QRf4n4(jU:dcUU(RN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.USR +O=HM0oFoDOC_F0kMC#s_HOo_Frk0Uf9RQ.4gUdj:gc4jU +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC4GRjN; +H#R3O_DsV4VR;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6jNU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G" +@sR@:4.gcg:::gg6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rc.c(.U:dgU.gRaqp _)qqXu R4VVd.n._nnBBj_UUbjRsRHl0oFoDOC_F0kMC#s_Hgor9s +SCkoF0F=0oCoD_kOFMs0C_o#H_fgRmn4(j6:d.U.dRO +SF=k00oFoDOC_F0kMC#s_HOo_Frk0gf9Rm.4gUdj:g44dUSR +O=D O_D b_HMO8 +SNN0N=o0Fo_DCOMFk0_Cs#_HoUQRf4n4(jU:dcUU(R8 +SNL0N=o0Fo_DCOMFk0_Cs#_HogQRf4n4(jU:dcUU(RN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.USR +O=HM0oFoDOC_F0kMC#s_HOo_Frk0(f9RQj4g4dj:gc4jU +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRMOH;4 +AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;. +ARj4,y.!5??545Vj?::02002:2 +R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGgN; +H#R3O_DsV4VR;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRnjOU"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk0.N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G" +@sR@:4.gcg:::gg6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rc.c(.U:dgU.gRaqp _)qqXu R4VVd.n._66qqj_UUbjRsRHl0oFoDOC_F0kMC#s_HUor9s +SCkoF0F=0oCoD_kOFMs0C_o#H_fURmn4(j6:djUgnRO +SF=k00oFoDOC_F0kMC#s_HOo_Frk0Uf9Rm.4gUdj:gc4jUSR +O=D O_D b_HMO8 +SNN0N=o0Fo_DCOMFk0_Cs#_HoUQRf4n4(jU:dcUnjR8 +SNL0N=o0Fo_DCOMFk0_Cs#_HogQRf4n4(jU:dcUnjRN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.USR +O=HM0oFoDOC_F0kMC#s_HOo_Frk0nf9RQj4g4dj:g(j(U +R;osbRCkoF0o; +bFROk +0;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob#sOD;b +oRMOH;4 +AR44,y?5.jj*5?0V:2f:!j;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMCUGR;H +NRO3#DVs_V;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0bl6R"N"Uj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH0lRFDooCF_OkCM0sH_#o9r( +CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho(mRf4j(n:dd6cR.U +FSOk00=FDooCF_OkCM0sH_#oF_Ok(0r9mRf44gjjg:d4UjcRO +SDO =Db _HOM_ +NS80=NN0oFoDOC_F0kMC#s_Hno_R4fQ4j(n:cdUnRjU +NS80=NL0oFoDOC_F0kMC#s_H(o_R4fQ4j(n:cdUnRjU +OSNDks=M8n_DO$_F0kMCjs__SG +#sOD!F=0oCoD_o#H_jj__oj_4QRfc.c(.U:dgU.gRO +SH0M=FDooCF_OkCM0sH_#oF_Ok60r9QRf4cU(jg:djU((Ro; +bCRso0Fk;b +oRkOF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RobO;HM +RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R +RA.4y,j!?5.554?j:?V002:22:0RN; +HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC(GR;H +NRO3#DVs_V;R4 +RNH38lFC;R. +RNH3NCMLRDCjN; +HDR3ko0blnR"O"Uj;H +NR$3#M_OElCF8R +4;N3HR#_klDOk0_bHMk.0R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4g.:g::cg6g::o0Fo_DCOMFk0_Cs#rHo.jc:9cRfc.(.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#o9rn +CSso0Fk=o0Fo_DCOMFk0_Cs#_HonmRf4j(n:ndcdRdn +FSOk00=FDooCF_OkCM0sH_#oF_Okn0r9mRf44gjjg:djU((RO +SDO =Db _HOM_ +NS80=NN0oFoDOC_F0kMC#s_Hno_R4fQ4j(n:cdUdRdU +NS80=NL0oFoDOC_F0kMC#s_H(o_R4fQ4j(n:cdUdRdU +OSNDks=M8n_DO$_F0kMCjs__SG +#sOD!F=0oCoD_o#H_jj__oj_4QRfc.c(.U:dgU.gRO +SH0M=FDooCF_OkCM0sH_#oF_Okc0r9QRf4cU(jg:djU6jRo; +bCRso0Fk;b +oRkOF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;o#bRO;Ds +RobO;HM +RA44y,45j.?*?5jV2:0:j!f2 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rn +RNH3D#OsV_VR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRN"6U;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRVn4d.n._B_nBUjjURHbslFR0oCoD_kOFMs0C_o#Hr +69SosCF=k00oFoDOC_F0kMC#s_H6o_R4fm(:njdnc(j +nRSkOF0F=0oCoD_kOFMs0C_o#H_kOF09r6R4fmUj(c:jdg(R(U +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#oR_cf4Q4(:njddUcd +URS08NN0L=FDooCF_OkCM0sH_#oR_6f4Q4(:njddUcd +URSDNOsM=knD_8$F_OkCM0s__jG# +SO!Ds=o0Fo_DC#_Hoj__jj4_oRcfQc.(.:gdU.RgU +HSOMF=0oCoD_kOFMs0C_o#H_kOF09rdR4fQUjc(:jdg6RjU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02 +R;A4.R,!jy55.?4j?5?0V:22:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R6 +RNH3D#OsV_VR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRO"nU;j" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R. +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRVn4d.6._q_6qUjjURHbslFR0oCoD_kOFMs0C_o#Hr +c9SosCF=k00oFoDOC_F0kMC#s_Hco_R4fm(:njdj(cn +URSkOF0F=0oCoD_kOFMs0C_o#H_kOF09rcR4fmUj(c:jdg6RjU +DSO D=O H_bM +_OS08NN0N=FDooCF_OkCM0sH_#oR_cf4Q4(:njdjUcn +URS08NN0L=FDooCF_OkCM0sH_#oR_6f4Q4(:njdjUcn +URSDNOsM=knD_8$F_OkCM0s__jG# +SO!Ds=o0Fo_DC#_Hoj__jj4_oRcfQc.(.:gdU.RgU +HSOMF=0oCoD_kOFMs0C_o#H_kOF09r.R4fQUjc(:jdg.RdU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +c;N3HR#sOD_RVV4N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"U6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R +s@.@4::ggcg:g:06:FDooCF_OkCM0sH_#ocr.:Rj9f(cc.d.:Ugg.UpRqaq )_ quXVRV4.dn.B_nnUB_jRUjblsHRo0Fo_DCOMFk0_Cs#rHodS9 +sFCok00=FDooCF_OkCM0sH_#oR_df(m4ndj:(gd(USR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0FkrRd9fUm4c:(jd6gjj +URS OD= OD_MbH_SO +8NN0NF=0oCoD_kOFMs0C_o#H_f.RQ(44ndj:UncjUSR +8NN0LF=0oCoD_kOFMs0C_o#H_fdRQ(44ndj:UncjUSR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg +URSMOH=o0Fo_DCOMFk0_Cs#_HoO0FkrR49fUQ4.:jjd.gjd;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#Oso; +bHROMA; +4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +d;N3HR#sOD_RVV4N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"UnOj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R +s@.@4::ggcg:g:06:FDooCF_OkCM0sH_#ocr.:Rj9f(cc.d.:Ugg.UpRqaq )_ quXVRV4.dn.q_66Uq_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo.S9 +sFCok00=FDooCF_OkCM0sH_#oR_.f(m4ndj:(gd(USR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0FkrR.9fUm4c:(jd.gjd +URS OD= OD_MbH_SO +8NN0NF=0oCoD_kOFMs0C_o#H_f.RQ(44ndj:Ugd(USR +8NN0LF=0oCoD_kOFMs0C_o#H_fdRQ(44ndj:Ugd(USR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg +URSMOH=.kM_o0Fo_DCOMFk0_CsM0CG_kOF09rjR4fQUj.j:gdUgRnU;b +oRosCF;k0 +RobO0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}o; +bNR80;NN +Rob8NN0Lo; +bOR#D +s;oObRH +M;A44R,54y.*?j5Vj?::02!2fjRA; +.,R4j5y!.4?5??5jV2:0::020;2R +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4N; +H#R3$NM_HD_OFRO {H +NRNPo| OD_MbHRN{ +HHRs#4CR;; +} + +};N3HRksMVNHO_MG8CR +.;N3HR#sOD_RVV4N; +HlR3FR8C.N; +HCR3MDNLC;Rj +RNH30DkbRol"U6Nj +";N3HR#O$MEF_l84CR;H +NRk3#lk_D0HO_M0bkR +.;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;H +NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R +s@.@4::ggcg:g:06:FDooCF_OkCM0sH_#ocr.:Rj9f(cc.d.:Ugg.UpRqaq )_ quXVRV4g.6Un_nnUn_URUUblsHRo0Fo_DCOMFk0_Cs#rHo4S9 +sFCok00=FDooCF_OkCM0sH_#oR_4f(m4ndj:(.d6USR +O0Fk=o0Fo_DCOMFk0_Cs#_HoO0FkrR49fUm4.:jjd.gjd +URS OD= OD_MbH_SO +8NN0NF=0oCoD_kOFMs0C_o#H_fjRQ(44ndj:Ugd(USR +8NN0LF=0oCoD_kOFMs0C_o#H_f4RQ(44ndj:Ugd(USR +NsOD=nkM_$8D_kOFMs0C_Gj_ +OS#D=s!0oFoD#C_Hjo__jj__Ro4fcQc(:..d.Ugg;UR +RobsFCok +0;oObRF;k0 +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;b +oR08NN +N;o8bRNL0N;b +oRD#OsA; +4,R444y5?5j*j:?V0!2:fRj2;. +ARj4,y4!5??5jV2:0:R02;H +NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o" +RNH#_$Mb#sCCCsPR +4;N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;R4 +RNH3D#OsV_VR +4;N3HRlCF8R +.;N3HRCLMNDjCR;H +NRk3D0lboRn"nU;U" +RNH3M#$OlE_FR8C4N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.g:g:gc:g::60oFoDOC_F0kMC#s_H.orc9:jRcfc(:..d.UggqURp)a qu_q VXRV64.n6._6R66blsHRo0Fo_DCOMFk0_Cs#rHojS9 +sFCok00=FDooCF_OkCM0sH_#oR_jf(m4ndj:(.d6USR +O=D O_D b_HMO8 +SNN0N=o0Fo_DCOMFk0_Cs#_HojQRf4n4(jg:d(U44RN +SO=Dsk_Mn8_D$OMFk0_Csj +_GSD#Os0!=FDooCH_#o__jj__jof4RQ(cc.d.:Ugg.U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oRD#OsA; +4,R4jjy5?0V:2 +R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HkR3MNVsOM_H8RCGjN; +H#R3O_DsV4VR;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6666"N; +H#R3$EMO_8lFC;R4 +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';N3HROODF PR"oON|Db _H;M" +RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G" +@sR@:4.gcg:::gg6F:0oCoD_o#HRcfc(:..d4g(4qURp)a qu_q VXRVjcc.g_ggbgRsRHl0oFoD#C_HSo +sFCok00=FDooCH_#omRf4j(n:(dU4R4U +DSO D=O H_bM +_OS08NN0N=FDooCH_#oQRf4n4(jg:d(U44R8 +SNL0N=o0Fo_DC#_Hoj__jj4_oRcfQc.(.:(dg4R4U +OSNDks=M8n_DO$_F0kMCjs__ +G;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};o8bRNN0N;b +oR08NN +L;A44R,!4y5j4?*?5jV2:0:j!f2 +R;N3HRs_0DFosHMCNlRF"0oCoD_o#H"N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"gggg +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4n.:j::cn6j::fsRdd6cgg:d(U44Raqp _)qqXu RcVV6_gcUjjjRHbsl +RsSosCF=k0sO +SDO =Db _HOM_ +NS80=NN0oFoD#C_HfoRQ(44ndj:g4(4USR +8NN0L_=PCLMND#C_HfoRQ(44ndj:g4(4USR +8NN0O_=LM0CG_#j_JGlkN__(cQRfdjjU(g:d(U44R8 +SN80N=ML_C_G0jJ_#lNkG_6(_RdfQ6gcd:(dg4R4U +OSNDks=M8n_DO$_F0kMCjs__ +G;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j!?5d55.?4j?5?0V:22:0::020;2R +RNH3Ds0_HFsolMNCsR""N; +H$R#Ms_bCs#CP4CR;H +NR$3#MH_N_FODO{ R +RNHP|oNO_D bRHM{H +NR#sHC;R4 + +};}N; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jUjj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo'N; +HOR3D FORo"PND|O H_bM +";N3HRNM#$OC_s#RC0"7M: Ypq_1) Ma_C\G0\M3knD_8$F_OkCM0s__jG +";s@R@4n.:j::cn6j::fLRdd6cgg:d(U44Raqp _)qqXu RcVV6_gccjjjRHbsl +RLSosCF=k0LO +SDO =Db _HOM_ +NS80=NN0oFoD#C_HfoRQ(44ndj:g4(4USR +8NN0L_=PCLMND#C_HfoRQ(44ndj:g4(4USR +8NN0O_=LM0CG_#j_JGlkN__(cQRfdjjU(g:d(U44R8 +SN80N=ML_C_G0jJ_#lNkG_6(_RdfQ6gcd:(dg4R4U +OSNDks=M8n_DO$_F0kMCjs__ +G;oObRD + ;N3bR#_$MNOH_D FORN{ +boRPND|O H_bM +R{NsbRHR#C4}; +;; +} +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j55d?.4?5??5jV2:0::V2VV2:2 +R;N3HRs_0DFosHMCNlR""L;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRcjjj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +R4@@.j:n:nc:j::6ojRf:qjRp)a qu_q VXRVUcc.w_wjbjRsRHlos +SCkoF0 +=oS OD= OD_MbH_SO +8NN08h=t7N +SO=Dsk_Mn8_D$OMFk0_Csj;_G +RobO;D +RNb3M#$__NHOODF +R{NPbRoON|Db _H{MR +RNbsCH#R +4;}}; +;4 +ARj4,yd!5?0V:2 +R;N3HRs_0DFosHMCNlR""o;H +NRM#$_Cbs#PCsC;R4 +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVjVj"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"N; +HNR3#O$M_#sCC"0RM :7p_qY) 1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s; +Rgfd6:4jdjUcUqnRp)a qu_q NXR._c4jd(jRHbslFR0oCoD_o#H_jj__oj_4O +SFFlLk00=FDooCH_#o__jj__jof4Rm6dg4dj:UUcjnSR +8NN0NF=0oCoD_kOFMs0C_o#H_R4gf4Q4(:njdc(gg +nRS08NN0L=FDooCF_OkCM0sH_#oj_.R4fQ4j(n:jdU(Rnn +NS80=NO0oFoD#C_Hjo__jj___o4.QRf.4j4.U:d4ng6R8 +SN80N=4kM_o0Fo_DCOMFk0_Cs#DHo0UF4RdfQUjnc:ddU.R4n;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5d5V.?:?545Vj?::020:225V.?:?54V2:02;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"("jd;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.4:44(4:44U:d+Ac:piQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHofUdc(dU:(6gccpRqaq )_ quX.RNc(4_wR((blsHRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#oFD04SU +OLFlF=k0k_M40oFoDOC_F0kMC#s_H0oDFR4UfcmdU:(Udc(g6 +cRS08NN0N=FDooCF_OkCM0sH_#o(_4R4fQ4j(n:cd(URnc +NS80=NL0oFoDOC_F0kMC#s_H4o_UQRf4n4(j(:dnc4dR8 +SNO0N=o0Fo_DCOMFk0_Cs#_Ho4fnRQ(44ndj:(.(dcSR +8NN08M=k4F_0oCoD_kOFMs0C_o#HD40F6QRfdjcjU(:dUc6URo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R44dy5??5.j4*5??5jV2:0::020f2:j;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bl(R"V"((;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.(46:jn:(:+njc_:LM0CG_#j_JGlkNdRf4n6j:ddg4RU6q pa)qq_uR XN4.c_j(wjsRbHLlR_GMC0__j#kJlG(N__S6 +OLFlF=k0LC_MGj0__l#Jk_GN(R_6f4md6:jnd4gdU +6RS08NNON=FlDkMF_OkCM0sH_#oR_nf4Q4(:njd6UUg +6RS08NNOL=FlDkMF_OkCM0sH_#oR_(f4Q4(:njdUUgn +6RS08NNkO=MP6__NCMLDDC0RF6fcQ.(:ccdjg46 +6RS08NNL8=_GMC0__j#kJlG(N__fdRQndjddn:g4.d6 +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,5jyd.?5??545Vj?::02002:22:VRN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"j(Vj +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@.6:(::4j(nn:j:+cLC_MGj0__l#JkRGNfU.n(dc:gUd46pRqaq )_ quX.RNc 4_wR.dblsHRML_C_G0jJ_#lNkG_c(_ +FSOlkLF0_=LM0CG_#j_JGlkN__(cmRf.(nUcg:dd64UR8 +SNN0N=MDHCF_OkCM0sH_#oR_Uf4Q4(:njd6UUg +6RS08NNDL=H_MCOMFk0_Cs#_Ho(QRf4n4(jU:dg6UnR8 +SNO0N=4kMd__PCLMND0CDFfnRQ(.ccdc:g64j6SR +8NN08_=LM0CG_#j_JGlkN__(cR_NfcQ.(:ccddg.4;6R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,4!?5d55.?4:?Vjj*5?0V:2V2:25:!.4?5?!V:f:j25V4?:2022 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"C.;d" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4(.:6j:4::(nncj+:ML_C_G0jJ_#lNkGRjf.g:U.d6UU6qdRp)a qu_q NXR._c4jww4RHbsl_RLM0CG_#j_JGlkN__(c +_NSlOFL0Fk=ML_C_G0jJ_#lNkG_c(__fNRmg.jUd.:U6U6dSR +8NN0NH=DMOC_F0kMC#s_Hco_R4fQ4j(n:ddUgRnd +NS80=NLDCHM_kOFMs0C_o#H_f6RQ(44ndj:Ud6.dSR +8NN0OH=DMOC_F0kMC#s_Hno_R4fQ4j(n:ndUcR.d +NS80=N8k(M4_CP_MDNLCFD0dQRf.4j4.U:d(dnURo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4jdy5??5.V2:0:?5.5V4?:?5jV2:022:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"j4;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4(.:6j:4::(nncj+:ML_C_G0jJ_#lNkGRnf.U:(cd6UU6qdRp)a qu_q NXR._c4 jjwRHbsl_RLM0CG_#j_JGlkN__(dO +SFFlLkL0=_GMC0__j#kJlG(N__fdRmU.n(dc:U6U6dSR +8NN0NF=ODMkl_kOFMs0C_o#H_f(RQ(44ndj:UndgdSR +8NN0LF=ODMkl_kOFMs0C_o#H_fgRQ(44ndj:Ud6.dSR +8NN0O_=LM0CG_#j_JGlkN__(.QRf.4j4.U:dndc.R8 +SN80N=gkM_CP_MDNLCFD0nQRf.jnjcU:d(dnURo; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d.?5??54Vj:5?0V:202:2.:5?0V:2;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blCR"j"Vj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.4:44(4:44U:d+Ac:piQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHof.djcdn:(.cU.pRqaq )_ quX.RNcw4_wR(wblsHRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#oFD04S6 +OLFlF=k0k_M40oFoDOC_F0kMC#s_H0oDFR46fjmd.:cndU(c. +.RS08NN0N=FDooCF_OkCM0sH_#od_4R4fQ4j(n:jd(.Rd. +NS80=NL0oFoDOC_F0kMC#s_H4o_cQRf4n4(j(:d4.6jR8 +SNO0N=o0Fo_DCOMFk0_Cs#_Ho4f6RQ(44ndj:(g.n.SR +8NN08M=k4F_0oCoD_kOFMs0C_o#HD40F.QRf.(gdn(:dd.g6Ro; +bFROlkLF0o; +bNR80;NN +Rob8NN0Lo; +bNR80;NO +Rob8NN08A; +4,R4j5y!d:?V!?5.554?j:?V002:22:02 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboRV"V(;V" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@4(.:6U:d::(6ncj+:q7)WT_1z q)_GMC0k\3MP6__NCMLRDCfg.jUd.:Ug(.dpRqaq )_ quX.RNcw4_ R blsHRq7)WT_1z q)_GMC0k\3MP6__NCMLDDC0 +F6SlOFL0Fk=6kM_CP_MDNLCFD06mRf.Ujg.U:d(d.gR8 +SNN0N=DOFk_lMOMFk0_Cs#_HocQRf4n4(jU:d.d(jR8 +SNL0N=DOFk_lMOMFk0_Cs#_Ho6QRf4n4(jU:dddg(R8 +SNO0N=DOFk_lMOMFk0_Cs#_HodQRf4n4(jU:d6d4nR8 +SN80N=6kM_CP_MDNLC.D0R.fQj.44:ndUcR.d;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +AR44,yd!5??5.V*:j5V4?:?5jV2:02f2:j;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"C"CC;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.4:44(4:44U:d+Ac:piQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHofn.64dc:(gj4jpRqaq )_ quX.RNcj4_4RjjblsHRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#oFD04S. +OLFlF=k0k_M40oFoDOC_F0kMC#s_H0oDFR4.f6m.n:4cd4(jg +jRS08NN0N=FDooCF_OkCM0sH_#oj_4R4fQ4j(n:6dnnRjj +NS80=NL0oFoDOC_F0kMC#s_H4o_4QRf4n4(jn:dnjU(R8 +SNO0N=o0Fo_DCOMFk0_Cs#_Ho4f.RQ(44ndj:nnUjjSR +8NN08M=k4F_0oCoD_kOFMs0C_o#HDg0FR.fQcc(c:gdndR.j;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,y?5d5V.?:?54Vj:5?0V:2:22V;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"4"jj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.(4n:jn:(:+d.c):7q1W_T)zq C_MG30\kdM4_CP_MDNLC.Rfj.gU:(dU.Rgdq pa)qq_uR XN4.c_((w(sRbH7lR)_qW1qTz)M _C\G034kMd__PCLMND0CDFSn +OLFlF=k0kdM4_CP_MDNLCFD0nmRf.Ujg.U:d(d.gR8 +SNN0N=MDHCF_OkCM0sH_#oR_6f4Q4(:njd(U.j +dRS08NNDL=H_MCOMFk0_Cs#_HonQRf4n4(jU:dddg(R8 +SNO0N=MDHCF_OkCM0sH_#oR_df4Q4(:njd4U6n +dRS08NNk8=M_4dPM_CNCLDDc0F_fjRQ4.j4d.:U.ncd +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,54yd.?5?5j*4j?5?0V:22:0::02fRj2;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR((V("N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@.@4::(64(j:6.:d+7c:)_qW1qTz)M _C\G03gkM_CP_MDNLC.Rf...c:ddUgR.4q pa)qq_uR XNd44_ww((sRbH7lR)_qW1qTz)M _C\G03gkM_CP_MDNLCFD0nO +SFFlLkk0=MPg__NCMLDDC0RFnf.m..:c.dgUd. +4RS08NNON=FlDkMF_OkCM0sH_#oR_6f4Q4(:njdd(gd +4RS08NNOL=FlDkMF_OkCM0sH_#oR_nf4Q4(:njdnUjj +4RS08NNkO=MPg__NCMLDDC0RFcfjQ.4:4.d(U4g;4R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0OA; +4,R4j5y!.:?V!?545Vj?::020R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRV((V"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@.@4:444:4(:4d4:U:+cAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#HRjf.g:U.d6n66qURp)a qu_q NXR._c4((w(RHbslpRAQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_H0oDFSg +OLFlF=k0k_M40oFoDOC_F0kMC#s_H0oDFfgRmg.jUd.:n666USR +8NN0NF=0oCoD_kOFMs0C_o#H_fURQ(44ndj:nnjgUSR +8NN0LF=0oCoD_kOFMs0C_o#H_fgRQ(44ndj:nd..USR +8NN0OF=0oCoD_kOFMs0C_o#H_f(RQ(44ndj:n.dcUSR +8NN08M=k4F_0oCoD_kOFMs0C_o#HDR0nfjQ.4:4.dnncU;UR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,455d?.*?j554?j:?V002:22:0:2fjRN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"((V( +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@.n:(::dU(nn:j:+c7W)q_z1Tq_) M0CG\M3k4P(__NCMLRDCfd4n6dj:U.dg4pRqaq )_ quX.RNcw4_ RjjblsHRq7)WT_1z q)_GMC0k\3M_4(PM_CNCLDDd0F +FSOlkLF0M=k4P(__NCMLDDC0RFdfnm4d:6jdgUd. +4RS08NNDN=H_MCOMFk0_Cs#_Ho4QRf4n4(j(:dg4ddR8 +SNL0N=MDHCF_OkCM0sH_#oR_.f4Q4(:njdnUjj +4RS08NNDO=H_MCOMFk0_Cs#_HojQRf4n4(jU:d44(gR8 +SN80N=MDHCF_OkCM0sH_#oR_df4Q4(:njdjUd6;4R +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +Rob8NN0Oo; +bNR80;N8 +RA44y,j!?5d5V.?:?54Vj:5?0V:2:220;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blVR"C"jj;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +fsR46ndj(:dUc4gRaqp _)qqXu RcN.4w_wwb RsRHl0oFoD#C_Hjo__jj___o4.O +SFFlLk00=FDooCH_#o__jj__jo.4_R4fmnjd6:Ud(4Rgc +NS80=NN0oFoDOC_F0kMC#s_H.o_dQRf4n4(j(:ddcnjR8 +SNL0N=o0Fo_DCOMFk0_Cs#_Ho.fcRQ(44ndj:((cUcSR +8NN0OF=0oCoD_kOFMs0C_o#H_R.4f4Q4(:njdj(nn +cRS08NN08=FDooCF_OkCM0sH_#o._.R4fQ4j(n:(d(dR.c;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;o8bRN80N;4 +ARj4,yd!5?5V:.:?V5V4?:?5jV2:02R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVCVV"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@.@4::(64(j:nj:n+Lc:_GMC0__j#kJlGfNR46ndjU:d.4nnRaqp _)qqXu RcN.4j_jjbcRsRHlLC_MGj0__l#Jk_GN( +_.SlOFL0Fk=ML_C_G0jJ_#lNkG_.(_R4fmnjd6:.dUnRn4 +NS80=NNOkFDlOM_F0kMC#s_HUo_R4fQ4j(n:Ud(jR(4 +NS80=NLEM_CNCLD_o#HR4fQ4j(n:gd(dRc4 +NS80=NOOkFDlOM_F0kMC#s_Hgo_R4fQ4j(n:jdU6Rd4 +NS80=N8DCHM_kOFMs0C_o#H_fURQ(44ndj:Ug4(4 +R;oObRFFlLk +0;o8bRNN0N;b +oR08NN +L;o8bRNO0N;b +oR08NN +8;A44R,5jyd:?V5V.?:?545Vj?::02V222RN; +HlR3FR8C4N; +HCR3MDNLC;Rj +RNH30DkbRol"jjjc +";N3HR#_klDOk0_bHMk40R;H +NRosCHRFM'NPo's; +R4@@.6:(::4j(d6:.:+c7W)q_z1Tq_) M0CG\M3kg__PCLMNDfCR46ndj(:dUgj.Raqp _)qqXu R4N4d4_jjb4RsRHl7W)q_z1Tq_) M0CG\M3kg__PCLMND0CDFSc +OLFlF=k0k_MgPM_CNCLDDc0FR4fmnjd6:Ud(jR.g +NS80=NNOkFDlOM_F0kMC#s_Hdo_R4fQ4j(n:dd(cRdg +NS80=NLOkFDlOM_F0kMC#s_Hco_R4fQ4j(n:cd((Rjg +NS80=NOOkFDlOM_F0kMC#s_H.o_R4fQ4j(n:6d(URgg;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;A44R,5jy.:?V5V4?:?5jV2:02;2R +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0bljR"4"j4;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +@sR@:4.(d6:U6:(:+njc):7q1W_T)zq C_MG30\k_M6PM_CNCLDRnf4d:6jdnU.nq4Rp)a qu_q NXR4_4dw wRHbsl)R7q1W_T)zq C_MG30\k_M6PM_CNCLDD +0.SlOFL0Fk=6kM_CP_MDNLC.D0R4fmnjd6:.dUnRn4 +NS80=NNOkFDlOM_F0kMC#s_H4o_R4fQ4j(n:Ud(jR(4 +NS80=NLOkFDlOM_F0kMC#s_H.o_R4fQ4j(n:gd(dRc4 +NS80=NOOkFDlOM_F0kMC#s_Hjo_R4fQ4j(n:jdU6Rd4;b +oRlOFL0Fk;b +oR08NN +N;o8bRNL0N;b +oR08NN +O;A44R,!jy5V.?:?54Vj:5?0V:2R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRVCCV"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@.@4::(n4(j:n.:d+7c:)_qW1qTz)M _C\G034kMd__PCLMNDfCR46ndjU:d.4nnRaqp _)qqXu RgNc_4444sRbH7lR)_qW1qTz)M _C\G034kMd__PCLMND0CDFjc_ +FSOlkLF0M=k4Pd__NCMLDDC0_FcjmRf46ndjU:d.4nnR8 +SNN0N=MDHCF_OkCM0sH_#oR_cf4Q4(:njdj(U( +4RS08NNDL=H_MCOMFk0_Cs#_Ho.QRf4n4(j(:dg4dcRo; +bFROlkLF0o; +bNR80;NN +Rob8NN0LA; +4,R4j4y5?5V:j:?V0R22;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR4444"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +s@.@4:444:4(:4d4:U:+cAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#HRnf4d:6jdgnj.qnRp)a qu_q NXRc(g_(R((blsHRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#onD0 +FSOlkLF0M=k4F_0oCoD_kOFMs0C_o#HDR0nfnm4d:6jdgnj. +nRS08NN0N=FDooCF_OkCM0sH_#oR_nf4Q4(:njdd6nd +nRS08NN0L=FDooCF_OkCM0sH_#oR_6f4Q4(:njdn6(j;nR +RobOLFlF;k0 +Rob8NN0No; +bNR80;NL +RA44y,j554?j:?V002:2 +R;N3HRlCF8R +4;N3HRCLMNDjCR;H +NRk3D0lboR("((;(" +RNH3l#k_0DkOM_HbRk04N; +HCRsoMHFRo'PN +';s@R@44.:4.6:g4:46.:6+kc:M0._FDooCF_OkCM0sC_MG.0rj9:jRUf4.:jjdgUgnqURp)a qu_q NXR66._6_66UUUURHbslMRk.F_0oCoD_kOFMs0C_GMC09rj +FSOkk0=M0._FDooCF_OkCM0sC_MGO0_Frk0jf9Rm.4Ujdj:UnggUSR +8NN0NF=0oCoD_kOFMs0C_o#H_fjRQ(44ndj:U.d6USR +8NN0LF=0oCoD_kOFMs0C_o#H_f4RQ(44ndj:U.d6U +R;oObRF;k0 +Rob8NN0No; +bNR80;NL +RA44y,j5Vj?:R02;. +ARj4,y4!5??5jV2:0:R02;H +NRF3l8.CR;H +NRM3CNCLDR +j;N3HRDbk0o"lR6U6U"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;R +b@:@44::44+:..N:VDR#Cfjj:RDVN#VCRNCD#R7th;H +NRosCHRFM'NPo'b; +R:fjjsR0keCRBeBRB +B;@ + + +ftell; +@E@MR@dn:U::(dgU:RFRIsP RoLNRCPEN;P +NR#3H_k#FsROC4N; +PDR3HMMCFgRcnN; +PHR3#8PED;R4 +RNP3_H#PDE8R +4;N3PR#_$MVblNRF"Is\ R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNN_b E3P8\\"MsIF "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_0CM38PE\M"\I FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oNN3sOP\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sLO/F8Ns_H8sP_CsC3M0P\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sLO/F8Ns_H8sP_CsN3sOP\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E"8\\FMIs\ R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNF_OMF0sDs_NOE3P8\\"MsIF "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/b.7HC#oDMVF#I/sPO/o8N_sCHPss_NOE3P8\\"M +";N#PR$bM_sCC#sRPC4N; +P$R#MN_bs00HHRFM"oVbNu= 461.w(Bn."-n;P +NRD3VF#I_0CN0RN{ +PVR3D_FIbbsF#b_NbCDH8;R4 +RNP3FVDIF_DF_b#L sFCjMR;P +NRD3VFkI_MkHJHCVH8;R4 +RNP3FVDI0_#Fsb_CHk#Mlo_FC8oM;R4 +RNP3FVDIC_8ObFlR +4;N3PRVIDF_blNbRC84N; +PVR3D_FIEsHCNEsO$C_s#s0FC48R;P +NRD3VFNI_Vs0C_oDFH#O_$EM0C##HR +4;}N; +PlR3NFb_bF0HM"#RyamuQ1mh:|\"-Fbs8b0$C$|#MHbDVb$_s-F|CsMO$|b0-Fbs|N-bs 0|u.416nwB(n.-|N-lGMVN|j6j|H-bb-C|VoHGN80COODF d#||H-VGMoCC0sNCD8OF#O |-d|#_$MNCD0slN_FD8C||FM-b0F_PDCClD_FD8kCo|PNl|-N-b|PcJl4V|-s|CJ.463(-6|#|NbfQQ7)o/PNN3#b8|-COPHCLDH|b/F0$/#MHbDVV$/b_oNOj.jg/jnD/HLNCD0sNN/Ds0CN|3P-P8CHDOCH/L|F/b0#b$MD$HV/oVbN._OjjjgnH/DLD/N0NCs/NJks#0k_gQQj0/#sHN0G|3P-P8CHDOCH/L|F/b0#b$MD$HV/oVbN._OjjjgnH/DLD/N0NCs/NJks#0k_gQQjD/N0NCs_3lVP8|-COPHCLDH|b/F0$/#MHbDVV$/b_oNOj.jg/jnD/HLNCD0sJN/k0NskQ#_Q/gjNCD0sDN_bPl3|C-8PCHOD|HL/0Fb/M#$bVDH$b/VoON_.gjjjDn/HNL/Ds0CNk/JNks0#Q_QgNj/Ds0CNs_bH0lHH#PC3-P|#|NbfQQ7)o/PNN3#b\\"MzyB)":\/0Fb/M#$bVDH$b/VoON_.gjjjDn/HGMk/Nl_Ds0CN:\"4d.cjjdn(Mg\"N; +POR3FHlbDbC_F0HM_lMNCPR'o;N' +RNP3VsCFHD8MPo_H_CIH48R;P +NR83OLN_#P{CR +RNP4jjjRo"PNC|8VCHM_FODO- RHCM0sDMNRn{RR{}RROb:Db _H}MRRN-Ml{CRRNPo| OD_MbHR-}Rs_CVsCH#Rj{R3jjjjRjj}sR-CVV_NRDD{gR43jUngR6j}kR-MsOC0MNH0{$RRjj3jjjjjRR}-sbCHRF8{gRd34(.gRjj}OR-D FOoksFbRR{QCMVs8sC_ ODoksFbR_j}sR-HR#C{3Rjjjjjj}jRRN-VD{DRR34gUgnj6}jR"N; +P$R#MC_sVCCsM_OCOODF +R{NPPRoON|Db _H{MR +RNP3M#$_VsCOODF $_0bnCR;; +} + +};}N; +PMR3NNsC8FCOl4bR;P +NRC38ObFl_HbslN#_sRCN4N; +PNR3DOlN_0bNEF_OkRM04N; +PbR3N_0EORM04N; +PFR3Lb#F0M8FC;R4 +RNP3l0HCN#0l4bR.46ndgU(4N; +POR3bE_OC#O k6lRgg(dn6(.;P +NRM33DD_NDHkMJRkC4N; +P3R370FMuMsH0Fusb0Cs$#vC#CNo#;Rj +RNP3N30_0MC_D8CNF$_M;Rj +RNP3N3aQFoMssCaC$CabCCh0D7CNj$R;P +NRa33N#q#kplCF1N8EDHC8oHMR +j;N3PR3_MDsDCbN0OCCbOEI4sR;P +NRa33NDBNOsuF0H7sPRC#jN; +P3R3aNNBDFOusC07DRN$jN; +P3R3a#NzCbQlsCFPR +j;N3PR3zaN#FC)kR0CjN; +P3R3VOFsCk_N0FFOMs#0R +j;N3PR3_MDI0sHCk_N0FFOMs#0_O#8R +4;N3PR3sVFI8Ns_MNMF00NCk_N0FFOMs#0R +4;N3PR3_MDNFk0O#FM0jsR;P +NRV33FCsO_0NkFMOF#_0ssNCDGF_HR +j;N3PR3_b#8HC#o#M_0CN0R +j;N3PRk0H_HMlHoO_#NRDC4jjjjN; +PER3NH#0loHMR +4;N3PRkMH_DD_#NRO d6cc( +n;H@R@n4:c:c(:4d:4: OD_MbHR OD_MbH;H +NR03sDs_FHNoMl"CRO_D b"HM;H +NR83OL +R{N4HRjRjj{H +NR[FLCRO0{H +NR4jR;; +} + +};}N; +HOR38OL_b +R{NjHRRd"6d_jn4_U(njcgjc_n6_4..c(dgg_6d_4n4..ncU_..4n_j(U._64.n4c_4ncc_((4c._ng"4.;; +} +RNH3#EN_NMLON _040R;H +NRF3bsD0N8RHs""HM;b +oR OD_MbH;b +NR83OL +R{N4bRjRjj{b +NR[FLCRO0{b +NR4jR;; +} + +};}N; +bHR3#N_o0_C8OODF ;R4 +RNb3_H#OODF ;R4 +RNb3FODO" RP|oNO_D b"HM;R +H@:@nc(.:::c.4s6:C0#C_MbHR#sCCb0_H +M;N3HRs_0DFosHMCNlRC"s#_C0b"HM;H +NRF3bsD0N8RHs""HM;R +F@:@nc(c:::cc4s.:jH_bMjRs_MbH;H +NR03sDs_FHNoMl"CRsbj_H;M" +RNH3sbF08NDH"sRF"k0;R +F@:@nc4c:6c:c::.jsb4_HsMR4H_bMN; +HsR30FD_sMHoNRlC"_s4b"HM;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::cc.cd:cU:.:_s.bRHMsb._H +M;N3HRs_0DFosHMCNlR."s_MbH"N; +HbR3FNs0Ds8HRk"F0 +";F@R@n6:c:c(:6.:4:_ojbRHMobj_H +M;N3HRs_0DFosHMCNlRj"o_MbH"N; +HbR3FNs0Ds8HRk"F0 +";F@R@n6:c::46c.6:j4:o_MbHR_o4b;HM +RNH3Ds0_HFsolMNCoR"4H_bM +";N3HRb0FsNHD8sFR"k;0" +@FR@cn:6d:.::c6.oU:.H_bM.Ro_MbH;H +NR03sDs_FHNoMl"CRob._H;M" +RNH3sbF08NDH"sRF"k0;R +F@:@nc(n:::cn4L.:jH_bMjRL_MbH;H +NR03sDs_FHNoMl"CRLbj_H;M" +RNH3sbF08NDH"sRF"k0;R +F@:@nc4n:6n:c::.jLb4_HLMR4H_bMN; +HsR30FD_sMHoNRlC"_L4b"HM;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::c(((:c::46EM#$OH_bM#RE$_MOb;HM +RNH3Ds0_HFsolMNCER"#O$M_MbH"N; +HbR3FNs0Ds8HRk"F0 +";F@R@nU:c:c(:U6:4:$P#MbO_HPMR#O$M_MbH;H +NR03sDs_FHNoMl"CRPM#$OH_bM +";N3HRb0FsNHD8sFR"k;0" +@FR@6n:j::(64j:gC:#P_CM#_CobrHM4jd:9CR#P_CM#_CobrHM4jd:9CR#P_CM#_CobrHM4jd:9N; +HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M" +RNH3sbF08NDH"sRF"k0;R +F@:@n6(.:::6.48d:_$E#M8OR_$E#M +O;N3HRs_0DFosHMCNlR_"8EM#$O +";N3HRb0FsNHD8sFR"k;0" +@FR@6n:.n:4::6..8.:_$P#M8OR_$P#M +O;N3HRs_0DFosHMCNlR_"8PM#$O +";N3HRb0FsNHD8sFR"k;0" +@FR@6n:d::(6.d:._:8OkFDlOM_F0kMCgsr:Rj98F_ODMkl_kOFMs0Crjg:9_R8OkFDlOM_F0kMCgsr:;j9 +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::6c(c:6::.j8H_DMOC_F0kMCUsr:Rj98H_DMOC_F0kMCUsr:Rj98H_DMOC_F0kMCUsr:;j9 +RNH3Ds0_HFsolMNC8R"_MDHCF_OkCM0s +";N3HRb0FsNHD8sFR"k;0" +@FR@6n:6::(6.6:n_:8#_C0OkFDlOM_F0kMC8sR_0#C_DOFk_lMOMFk0;Cs +RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::66.6g:6n:c:#8_CD0_H_MCOMFk0RCs8C_#0H_DMOC_F0kMC +s;N3HRs_0DFosHMCNlR_"8#_C0DCHM_kOFMs0C"N; +HbR3FNs0Ds8HRk"F0 +";F@R@nn:6:6(:n4:.:E8_#O$M_kOFMs0Crjg:9_R8EM#$OF_OkCM0s:rgj89R_$E#MOO_F0kMCgsr:;j9 +RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s" +RNH3sbF08NDH"sRF"k0;R +F@:@n6((:::6(.84:_$P#MOO_F0kMCgsr:Rj98#_P$_MOOMFk0rCsg9:jRP8_#O$M_kOFMs0Crjg:9N; +HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N; +HbR3FNs0Ds8HRk"F0 +";F@R@nU:6:6(:U6:.:#8_CE0_#O$M_kOFMs0CR#8_CE0_#O$M_kOFMs0C;H +NR03sDs_FHNoMl"CR8C_#0#_E$_MOOMFk0"Cs;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::6U.6U:Un:c:#8_CP0_#O$M_kOFMs0CR#8_CP0_#O$M_kOFMs0C;H +NR03sDs_FHNoMl"CR8C_#0#_P$_MOOMFk0"Cs;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::6g(g:6::4n8__ECLMND8CR_CE_MDNLCN; +HsR30FD_sMHoNRlC"E8__NCML"DC;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::nj(j:n::4n8__PCLMND8CR_CP_MDNLCN; +HsR30FD_sMHoNRlC"P8__NCML"DC;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::n4(4:n:8g:_8sR_ +s;N3HRs_0DFosHMCNlR_"8s +";N3HRb0FsNHD8sFR"k;0" +@FR@nn:4.:4::n448c:_8oR_ +o;N3HRs_0DFosHMCNlR_"8o +";N3HRb0FsNHD8sFR"k;0" +@FR@nn:4(:4::n448g:_8LR_ +L;N3HRs_0DFosHMCNlR_"8L +";N3HRb0FsNHD8sFR"k;0" +@FR@nn:.::(n4.:g_:8EM#$O0_#Nr0Cj9:nRE8_#O$M_N#00jCr:Rn98#_E$_MO#00NC:rjn +9;N3HRs_0DFosHMCNlR_"8EM#$O0_#N"0C;H +NRF3bsD0N8RHs"0Fk"F; +Rn@@::nd(d:n::4g8#_P$_MO#00NC:rjn89R_$P#M#O_0CN0rnj:9_R8PM#$O0_#Nr0Cj9:n;H +NR03sDs_FHNoMl"CR8#_P$_MO#00NC +";N3HRb0FsNHD8sFR"k;0" +@FR@nn:c::(n4c:(_:8#00NCD_O _R8#00NCD_O N; +HsR30FD_sMHoNRlC"#8_0CN0_ OD"N; +HbR3FNs0Ds8HRk"F0 +";F@R@n6:n:n(:6c:4:08_FDooC_R80oFoD +C;N3HRs_0DFosHMCNlR_"80oFoD;C" +RNH3sbF08NDH"sRF"k0;R +F@:@nn(n:::nn.8.:_o0Fo_DCOMFk0rCs.jc:9_R80oFoDOC_F0kMC.src9:jR08_FDooCF_OkCM0scr.:;j9 +RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H +NRF3bsD0N8RHs"0Fk"o; +LDRO H_bMN; +LOR38{LR +RNL4jjjRN{ +LLRF[0CORN{ +LRRj4}; +;; +} + +};N#LR$OM_D FO_VHMCCss8;R4 +RNL3FODO" RP|oNO_D b"HM;L +NRD3OF_O CC8oRH"s#;C" +RoMtd_d;M +NRosCHRFM'NPo'N; +MHR3#D_OFRO 4N; +MHR3#N_o0_C8OODF ;R4 +RoMO_D b_HMON; +MOR3D FORo"PND|O H_bM +";N3MROODF 8_Co"CRsCH#"N; +MHR3#D_OFRO 4N; +MHR3#N_o0_C8OODF ;R4 +RoMO_D b;HM +RNM3_H#OODF ;R4 +RNM3_H#oCN08D_OFRO 4b; +R4@@:44::.4:+0.:sRkCfjj:Rk0sCsR0keCRB +B;NsHRCFoHMPR'o;N' +@bR@44::44::..+:DVN#fCRjR:jV#NDCNRVDR#Ct;h7 +RNHsHCoF'MRP'oN;R +s@j@4:d44:4c:46d::$8D_kOFMs0Crj4:94Rf(:njdnc(jq4Rp)a qu_q VXRVjd(_qqUUsRbH8lRDO$_F0kMC4sr9s +SCkoF0D=8$F_OkCM0s9r4R4fm(:njdnc(j +4RS OD= OD_MbH_SO +8NN0NC=s#_C0b_HMO8 +SNL0N=$8D_kOFMs0CrRj9f4Q4(:njd4g(4 +URS08NN8O=DO$_F0kMC4sr9QRf4n4(jg:d(U44Ro; +bCRso0Fk;b +oR OD;b +NR$3#MH_N_FODO{ R +RNbP|oNO_D bRHM{b +NR#sHC;R4 + +};}N; +bHR3#N_o0_C8OODF ;R4 +RNb3_H#OODF ;R4 +Rob8NN0Lo; +bNR80;NO +RA44y,4!?5.jj*5?0V:24:5?:fj0R22;H +NRM#$_Cbs#PCsC;R4 +RNH3Ds0_HFsolMNC8R"DO$_F0kMC;s" +RNH3M#$__NHOODF +R{NPHRoON|Db _H{MR +RNHsCH#R +4;}}; +;H +NRM3kVOsN_8HMC4GR;H +NRD3N#O0_ERHMd +g;N3HRN0D#_C0DM;R4 +RNH3#ND0C_s[0COC48R;H +NRF3l84CR;H +NRM3CNCLDR +j;N3HRDbk0o"lRNUUN"N; +H#R3kDl_k_0OHkMb0;R4 +RNHsHCoF'MRP'oN;H +NRD3OFRO "NPo| OD_MbH"s; +R4@@j4:4d::c4:4d6D:8$F_OkCM0s:r4jf9R4j(n:ndccR44q pa)qq_uR XV(Vdj._qqb.RsRHl8_D$OMFk0rCsjS9 +sFCok80=DO$_F0kMCjsr9mRf4j(n:ndccR44 +DSO D=O H_bM +_OS08NNsN=C0#C_MbH_SO +8NN0LD=8$F_OkCM0s9rjR4fQ4j(n:(dg4R4U +NS80=NO8_D$OMFk0rCs4f9RQ(44ndj:g4(4U +R;osbRCkoF0o; +bDRO N; +b#R3$NM_HD_OFRO {b +NRNPo| OD_MbHRN{ +bHRs#4CR;; +} + +};N3bRHo#_N80C_FODO4 R;b +NR#3H_FODO4 R;b +oR08NN +L;o8bRNO0N;4 +AR44,y.!5?5j*j:?V0!2:5V4?:j!f2;2R +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRD"8$F_OkCM0s +";N3HR#_$MNOH_D FORN{ +HoRPND|O H_bM +R{NsHRHR#C4}; +;; +} +RNH3VkMs_NOHCM8G;Rj +RNH3#ND0E_OHdMRUN; +HNR3D_#00MDCR +4;N3HRN0D#_[sCCCO08;R4 +RNH38lFC;R4 +RNH3NCMLRDCjN; +HDR3ko0blNR"."N.;H +NRk3#lk_D0HO_M0bkR +4;NsHRCFoHMPR'o;N' +RNH3FODO" RP|oNO_D b"HM;R +s@:@nc(.:::c.4s6:C0#C_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_amujsRbHslRC0#C_MbH_ +HMS8bNHsF=C0#C_MbH +FSOlkLF0C=s#_C0b_HMOF +SCh=t7N; +HbR3FNs0Ds8HRM"H"N; +HsR30FD_sMHoNRlC"#sCCb0_H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"bHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nc(4:::c44Od:Db _HfMRjR:jvB )z_)YpRQA#N0s0_HGHmF_uRajblsHR OD_MbH_ +HMS8bNHOF=Db _HSM +OLFlF=k0O_D b_HMOF +SCh=t7N; +HbR3FNs0Ds8HRM"H"N; +HER3NM#_L NO_0N0R +4;N3HRO_8LO{bR +RNHj6R"dndj_(4U_gncjnj_c.64_d.(c6g_gnd4_n4...c_U_.n4.jU(._46_nc4c4cn4_((nc_..g4"}; +;H +NR03sDs_FHNoMl"CRO_D b"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8RM"Hb"k0;H +NR$3#MD_OF_O bRN84N; +HCRsoMHFRo'PN +';N3HROODF N_o0oHMR +4;s@R@nn:n:n(:n.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0cr.9b +SNF8H=08_FDooCF_OkCM0scr.98 +SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ocr.9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nn(n:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr9.d +NSb8=HF8F_0oCoD_kOFMs0Cr9.d +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr9.d;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(n:::nn.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0. +.9S8bNH8F=_o0Fo_DCOMFk0rCs. +.9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo.;.9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k.0r4S9 +bHN8F_=80oFoDOC_F0kMC.sr4S9 +8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.or4 +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:n:n(:n.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0jr.9b +SNF8H=08_FDooCF_OkCM0sjr.98 +SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ojr.9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nn(n:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr94g +NSb8=HF8F_0oCoD_kOFMs0Cr94g +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94g;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(n:::nn.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04 +U9S8bNH8F=_o0Fo_DCOMFk0rCs4 +U9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4;U9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k40r(S9 +bHN8F_=80oFoDOC_F0kMC4sr(S9 +8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4or( +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:n:n(:n.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0nr49b +SNF8H=08_FDooCF_OkCM0snr498 +SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#onr49N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nn(n:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr946 +NSb8=HF8F_0oCoD_kOFMs0Cr946 +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr946;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(n:::nn.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04 +c9S8bNH8F=_o0Fo_DCOMFk0rCs4 +c9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4;c9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k40rdS9 +bHN8F_=80oFoDOC_F0kMC4srdS9 +8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4ord +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:n:n(:n.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0.r49b +SNF8H=08_FDooCF_OkCM0s.r498 +SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o.r49N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nn(n:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr944 +NSb8=HF8F_0oCoD_kOFMs0Cr944 +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr944;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(n:::nn.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04 +j9S8bNH8F=_o0Fo_DCOMFk0rCs4 +j9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4;j9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kg0r9b +SNF8H=08_FDooCF_OkCM0s9rg +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;g9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kU0r9b +SNF8H=08_FDooCF_OkCM0s9rU +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;U9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k(0r9b +SNF8H=08_FDooCF_OkCM0s9r( +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;(9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kn0r9b +SNF8H=08_FDooCF_OkCM0s9rn +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;n9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k60r9b +SNF8H=08_FDooCF_OkCM0s9r6 +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;69 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kc0r9b +SNF8H=08_FDooCF_OkCM0s9rc +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;c9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kd0r9b +SNF8H=08_FDooCF_OkCM0s9rd +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;d9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k.0r9b +SNF8H=08_FDooCF_OkCM0s9r. +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;.9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k40r9b +SNF8H=08_FDooCF_OkCM0s9r4 +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;49 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:n::(n.n:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_kj0r9b +SNF8H=08_FDooCF_OkCM0s9rj +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr;j9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:6::(n46:c_:80oFoDfCRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_0Fk +NSb8=HF8F_0oCoD +NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_o#H;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"08_FDooC +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(c:::nc48(:_N#00OC_Df RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl80_#N_0CO_D F +k0S8bNH8F=_N#00OC_DS +8NN0HtM=_;dd +Rob8NN0H +M;N3bRHo#_N80C_FODO4 R;b +NR#3H_FODO4 R;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"#8_0CN0_ OD"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:d::(n4d:g_:8PM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$O0_#N_0CFrk0jS9 +bHN8F_=8PM#$O0_#Nr0CjS9 +8NN0HPM=o8N_sCHPsM_kHP03#O$M_N#00jCr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8PM#$O0_#N"0C;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:n:n(:dg:4:P8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_N#00FC_k40r9b +SNF8H=P8_#O$M_N#004Cr98 +SNH0NMo=PNs_8HsPC_HkM0#3P$_MO#00NC9r4;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M_N#00;C" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nd(d:n::4g8#_P$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MO#00NCk_F09r. +NSb8=HF8#_P$_MO#00NC9r. +NS80MNH=NPo_H8sP_Csk0MH3$P#M#O_0CN0r;.9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_P$_MO#00NC +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(d:::nd48g:_$P#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#M#O_0CN0_0Fkr +d9S8bNH8F=_$P#M#O_0CN0r +d9S08NN=HMP_oN8PsHCks_M3H0PM#$O0_#Nr0Cd +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$P#M#O_0CN0"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:d::(n4d:g_:8PM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$O0_#N_0CFrk0cS9 +bHN8F_=8PM#$O0_#Nr0CcS9 +8NN0HPM=o8N_sCHPsM_kHP03#O$M_N#00cCr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8PM#$O0_#N"0C;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:n:n(:dg:4:P8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_N#00FC_k60r9b +SNF8H=P8_#O$M_N#006Cr98 +SNH0NMo=PNs_8HsPC_HkM0#3P$_MO#00NC9r6;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M_N#00;C" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::nd(d:n::4g8#_P$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MO#00NCk_F09rn +NSb8=HF8#_P$_MO#00NC9rn +NS80MNH=NPo_H8sP_Csk0MH3$P#M#O_0CN0r;n9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_P$_MO#00NC +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(.:::n.48g:_$E#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#M#O_0CN0_0Fkr +j9S8bNH8F=_$E#M#O_0CN0r +j9S08NN=HMP_oN8PsHCks_M3H0EM#$O0_#Nr0Cj +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:.::(n4.:g_:8EM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$O0_#N_0CFrk04S9 +bHN8F_=8EM#$O0_#Nr0C4S9 +8NN0HPM=o8N_sCHPsM_kHE03#O$M_N#004Cr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8EM#$O0_#N"0C;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n.:n:n(:.g:4:E8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_N#00FC_k.0r9b +SNF8H=E8_#O$M_N#00.Cr98 +SNH0NMo=PNs_8HsPC_HkM0#3E$_MO#00NC9r.;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8_#O$M_N#00;C" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::n.(.:n::4g8#_E$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MO#00NCk_F09rd +NSb8=HF8#_E$_MO#00NC9rd +NS80MNH=NPo_H8sP_Csk0MH3$E#M#O_0CN0r;d9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_E$_MO#00NC +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nn(.:::n.48g:_$E#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#M#O_0CN0_0Fkr +c9S8bNH8F=_$E#M#O_0CN0r +c9S08NN=HMP_oN8PsHCks_M3H0EM#$O0_#Nr0Cc +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:.::(n4.:g_:8EM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$O0_#N_0CFrk06S9 +bHN8F_=8EM#$O0_#Nr0C6S9 +8NN0HPM=o8N_sCHPsM_kHE03#O$M_N#006Cr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8EM#$O0_#N"0C;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n.:n:n(:.g:4:E8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_N#00FC_kn0r9b +SNF8H=E8_#O$M_N#00nCr98 +SNH0NMo=PNs_8HsPC_HkM0#3E$_MO#00NC9rn;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8_#O$M_N#00;C" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::n44n(:4g:4:L8_R:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8Lk_F0b +SNF8H=L8_ +NS80MNH=NPo_MOF0DsF_HkM0;3L +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8"_L;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n4:n::4.n44:c_:8ojRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_Fo_kS0 +bHN8F_=8o8 +SNH0NMo=PNF_OMF0sDM_kHo03;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"o8_"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@nn:4::(ng4::s8_R:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8sk_F0b +SNF8H=s8_ +NS80MNH=NPo_MOF0DsF_HkM0;3s +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8"_s;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nj:n:n(:jn:4:P8__NCMLRDCfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8__NCML_DCF +k0S8bNH8F=_CP_MDNLC8 +SNH0NMo=PNs_8HsPC_HkM0_3PCLMND#C_H +o;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_CP_MDNLC +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(g:::6g48n:_CE_MDNLCjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_CE_MDNLCk_F0b +SNF8H=E8__NCML +DCS08NN=HMP_oN8PsHCks_M3H0EM_CNCLD_o#H;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8__NCML"DC;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nU:6::.U6cU:n_:8#_C0PM#$OF_OkCM0sjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_0#C_$P#MOO_F0kMCFs_kS0 +bHN8F_=8#_C0PM#$OF_OkCM0s8 +SNH0NMo=PNs_8HsPC_HkM0_38#_C0PM#$OF_OkCM0sN; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8#_C0PM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(U:::6U.86:_0#C_$E#MOO_F0kMCfsRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8C_#0#_E$_MOOMFk0_CsF +k0S8bNH8F=_0#C_$E#MOO_F0kMCSs +8NN0HPM=o8N_sCHPsM_kH803_0#C_$E#MOO_F0kMC +s;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_0#C_$E#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6(((:6::.48#_P$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$OF_OkCM0sk_F09rg +NSb8=HF8#_P$_MOOMFk0rCsgS9 +8NN0HPM=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr;g9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_P$_MOOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n(:6:6(:(4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_kU0r9b +SNF8H=P8_#O$M_kOFMs0Cr +U9S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rU;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:(::(6.(:4_:8PM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOOMFk0_CsFrk0(S9 +bHN8F_=8PM#$OF_OkCM0s9r( +NS80MNH=NPo_H8sP_Csk0MH3$P#MOO_F0kMC(sr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6((:::6(.84:_$P#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_kOFMs0C_0Fkr +n9S8bNH8F=_$P#MOO_F0kMCnsr98 +SNH0NMo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCsn +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6(((:6::.48#_P$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$OF_OkCM0sk_F09r6 +NSb8=HF8#_P$_MOOMFk0rCs6S9 +8NN0HPM=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr;69 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_P$_MOOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n(:6:6(:(4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_kc0r9b +SNF8H=P8_#O$M_kOFMs0Cr +c9S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rc;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:(::(6.(:4_:8PM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOOMFk0_CsFrk0dS9 +bHN8F_=8PM#$OF_OkCM0s9rd +NS80MNH=NPo_H8sP_Csk0MH3$P#MOO_F0kMCdsr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6((:::6(.84:_$P#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_kOFMs0C_0Fkr +.9S8bNH8F=_$P#MOO_F0kMC.sr98 +SNH0NMo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCs. +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6(((:6::.48#_P$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$OF_OkCM0sk_F09r4 +NSb8=HF8#_P$_MOOMFk0rCs4S9 +8NN0HPM=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr;49 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_P$_MOOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n(:6:6(:(4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_kj0r9b +SNF8H=P8_#O$M_kOFMs0Cr +j9S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rj;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:n::(6.n:4_:8EM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOOMFk0_CsFrk0gS9 +bHN8F_=8EM#$OF_OkCM0s9rg +NS80MNH=NPo_H8sP_Csk0MH3$E#MOO_F0kMCgsr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8EM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(n:::6n.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr +U9S8bNH8F=_$E#MOO_F0kMCUsr98 +SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsU +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6n(n:6::.48#_E$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$OF_OkCM0sk_F09r( +NSb8=HF8#_E$_MOOMFk0rCs(S9 +8NN0HPM=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr;(9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:6:6(:n4:.:E8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#MOO_F0kMCFs_kn0r9b +SNF8H=E8_#O$M_kOFMs0Cr +n9S08NN=HMP_oN8PsHCks_M3H0EM#$OF_OkCM0s9rn;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:n::(6.n:4_:8EM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOOMFk0_CsFrk06S9 +bHN8F_=8EM#$OF_OkCM0s9r6 +NS80MNH=NPo_H8sP_Csk0MH3$E#MOO_F0kMC6sr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8EM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(n:::6n.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr +c9S8bNH8F=_$E#MOO_F0kMCcsr98 +SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsc +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6n(n:6::.48#_E$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$OF_OkCM0sk_F09rd +NSb8=HF8#_E$_MOOMFk0rCsdS9 +8NN0HPM=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr;d9 +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:6:6(:n4:.:E8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#MOO_F0kMCFs_k.0r9b +SNF8H=E8_#O$M_kOFMs0Cr +.9S08NN=HMP_oN8PsHCks_M3H0EM#$OF_OkCM0s9r.;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:n::(6.n:4_:8EM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOOMFk0_CsFrk04S9 +bHN8F_=8EM#$OF_OkCM0s9r4 +NS80MNH=NPo_H8sP_Csk0MH3$E#MOO_F0kMC4sr9N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR_"8EM#$OF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(n:::6n.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr +j9S8bNH8F=_$E#MOO_F0kMCjsr98 +SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsj +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::66.6g:6n:c:#8_CD0_H_MCOMFk0RCsfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR#8_CD0_H_MCOMFk0_CsF +k0S8bNH8F=_0#C_MDHCF_OkCM0s8 +SNH0NMo=PNs_8HsPC_HkM0#3P$_MO#00NC9r4;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"#8_CD0_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n6:6:6(:6n:.:#8_CO0_FlDkMF_OkCM0sjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_0#C_DOFk_lMOMFk0_CsF +k0S8bNH8F=_0#C_DOFk_lMOMFk0 +CsS08NN=HMP_oN8PsHCks_M3H0EM#$O0_#Nr0C4 +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +U9S8bNH8F=_MDHCF_OkCM0s9rU +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rU;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +(9S8bNH8F=_MDHCF_OkCM0s9r( +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r(;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +n9S8bNH8F=_MDHCF_OkCM0s9rn +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rn;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +69S8bNH8F=_MDHCF_OkCM0s9r6 +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r6;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +c9S8bNH8F=_MDHCF_OkCM0s9rc +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rc;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +d9S8bNH8F=_MDHCF_OkCM0s9rd +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rd;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +.9S8bNH8F=_MDHCF_OkCM0s9r. +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r.;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +49S8bNH8F=_MDHCF_OkCM0s9r4 +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r4;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:6:6(:cj:.:D8_H_MCOMFk0rCsU9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8DCHM_kOFMs0C_0Fkr +j9S8bNH8F=_MDHCF_OkCM0s9rj +NS80MNH=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rj;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:6:6(:d.:.:O8_FlDkMF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_ODMkl_kOFMs0C_0Fkr +g9S8bNH8F=_DOFk_lMOMFk0rCsgS9 +8NN0HPM=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rg;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(d:::6d.8.:_DOFk_lMOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8OkFDlOM_F0kMCFs_kU0r9b +SNF8H=O8_FlDkMF_OkCM0s9rU +NS80MNH=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHoU +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:6:6(:d.:.:O8_FlDkMF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_ODMkl_kOFMs0C_0Fkr +(9S8bNH8F=_DOFk_lMOMFk0rCs(S9 +8NN0HPM=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r(;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(d:::6d.8.:_DOFk_lMOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8OkFDlOM_F0kMCFs_kn0r9b +SNF8H=O8_FlDkMF_OkCM0s9rn +NS80MNH=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHon +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:6:6(:d.:.:O8_FlDkMF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_ODMkl_kOFMs0C_0Fkr +69S8bNH8F=_DOFk_lMOMFk0rCs6S9 +8NN0HPM=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r6;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(d:::6d.8.:_DOFk_lMOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8OkFDlOM_F0kMCFs_kc0r9b +SNF8H=O8_FlDkMF_OkCM0s9rc +NS80MNH=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHoc +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:6:6(:d.:.:O8_FlDkMF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_ODMkl_kOFMs0C_0Fkr +d9S8bNH8F=_DOFk_lMOMFk0rCsdS9 +8NN0HPM=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rd;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(d:::6d.8.:_DOFk_lMOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8OkFDlOM_F0kMCFs_k.0r9b +SNF8H=O8_FlDkMF_OkCM0s9r. +NS80MNH=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo. +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nd:6:6(:d.:.:O8_FlDkMF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_ODMkl_kOFMs0C_0Fkr +49S8bNH8F=_DOFk_lMOMFk0rCs4S9 +8NN0HPM=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r4;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(d:::6d.8.:_DOFk_lMOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8OkFDlOM_F0kMCFs_kj0r9b +SNF8H=O8_FlDkMF_OkCM0s9rj +NS80MNH=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHoj +9;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC8R"_DOFk_lMOMFk0"Cs;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n.:6::4n6..:._:8PM#$OjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MFO_kS0 +bHN8F_=8PM#$O8 +SNH0NMo=PNs_8HsPC_HkM0_3P#O$M;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P8_#O$M"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:.::(64.:d_:8EM#$OjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#MFO_kS0 +bHN8F_=8EM#$O8 +SNH0NMo=PNs_8HsPC_HkM0_3E#O$M;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"E8_#O$M"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:j::(64j:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_H0sr94d +NSb8=HF#CCPMC_#oH_bMdr498 +SNH0NMB=eBN; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";N3HR0RsH"s30H +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nj:6:6(:jg:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HMFrk04 +.9S8bNH#F=CMPC_o#C_MbHr94. +NS80MNH=p7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCjs__ +G;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:j::(64j:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_0Fkr944 +NSb8=HF#CCPMC_#oH_bM4r498 +SNH0NM =7p_qY) 1aC_MG30\k_Mn8_D$OMFk0_Csj;_G +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR#CCPMC_#oH_bM +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(j:::6j4#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMk_F0jr49b +SNF8H=P#CC#M_Cbo_H4MrjS9 +8NN0H7M= Ypq_1) Ma_C\G03nkM_$8D_kOFMs0C_Gj_;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6j(j:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_HFM_kg0r9b +SNF8H=P#CC#M_Cbo_HgMr98 +SNH0NM =7p_qY) 1aC_MG30\k_Mn8_D$OMFk0_Csj;_G +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR#CCPMC_#oH_bM +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(j:::6j4#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMk_F09rU +NSb8=HF#CCPMC_#oH_bM9rU +NS80MNH=p7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCjs__ +G;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:j::(64j:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_0Fkr +(9S8bNH#F=CMPC_o#C_MbHr +(9S08NN=HM7q pY _)1_ aM0CG\M3knD_8$F_OkCM0s__jGN; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nj:6:6(:jg:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HM0rsHnS9 +bHN8FC=#P_CM#_CobrHMnS9 +8NN0HeM=B +B;N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNH3H0sR0"3s;H" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR#CCPMC_#oH_bM +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@6n:j::(64j:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_H0sr +69S8bNH#F=CMPC_o#C_MbHr +69S08NN=HMe;BB +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRs30H3R"0"sH;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(j:::6j4#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMs_0H9rc +NSb8=HF#CCPMC_#oH_bM9rc +NS80MNH=BeB;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +H0R3s"HR3H0s"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6j(j:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_H0M_sdHr9b +SNF8H=P#CC#M_Cbo_HdMr98 +SNH0NMB=eBN; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";N3HR0RsH"s30H +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nj:6:6(:jg:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HMFrk0.S9 +bHN8FC=#P_CM#_CobrHM.S9 +8NN0H7M= Ypq_1) Ma_C\G03nkM_$8D_kOFMs0C_Gj_;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::6j(j:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_HFM_k40r9b +SNF8H=P#CC#M_Cbo_H4Mr98 +SNH0NM =7p_qY) 1aC_MG30\k_Mn8_D$OMFk0_Csj;_G +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CR#CCPMC_#oH_bM +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@n6(j:::6j4#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMs_0H9rj +NSb8=HF#CCPMC_#oH_bM9rj +NS80MNH=BeB;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +H0R3s"HR3H0s"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::cU(U:c::46PM#$OH_bMjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbHPlR#O$M_MbH_0Fk +NSb8=HFPM#$OH_bM8 +SNH0NMo=PNs_8HsPC_HkM0_3P#O$M;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"$P#MbO_H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::c(((:c::46EM#$OH_bMjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbHElR#O$M_MbH_0Fk +NSb8=HFEM#$OH_bM8 +SNH0NMo=PNs_8HsPC_HkM0_3E#O$M;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"$E#MbO_H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::cn4c6:nj:.:_L4bRHMfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR_L4b_HMF +k0S8bNHLF=4H_bM8 +SNH0NMo=PNF_OMF0sDM_kHL03;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"_L4b"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nn:c:c(:n.:4:_LjbRHMfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR_Ljb_HMF +k0S8bNHLF=jH_bM8 +SNH0NMo=PNF_OMF0sDM_kHL03;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"_Ljb"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@n6:c::.dc.6:U.:o_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl.Ro_MbH_0Fk +NSb8=HFob._HSM +8NN0HPM=oON_FsM0FkD_M3H0oN; +HbR3FNs0Ds8HRk"F0 +";N3HRs_0DFosHMCNlR."o_MbH"N; +HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0 +";NFHRbNCs0MHF_8lFCFR"kk0b0 +";N3HR#FDbs8HoH"sRHkMF0 +";NsHRCFoHMPR'o;N' +@sR@cn:66:4::c6.oj:4H_bMjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbHolR4H_bMk_F0b +SNF8H=_o4b +HMS08NN=HMP_oNO0FMs_FDk0MH3 +o;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNCoR"4H_bM +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nc(6:::c64o.:jH_bMjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbHolRjH_bMk_F0b +SNF8H=_ojb +HMS08NN=HMP_oNO0FMs_FDk0MH3 +o;N3HRb0FsNHD8sFR"k;0" +RNH3Ds0_HFsolMNCoR"jH_bM +";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0" +RNHFsbCNF0HMF_l8"CRFbk0k;0" +RNH3b#DFosH8RHs"FHMk;0" +RNHsHCoF'MRP'oN;R +s@:@nc.c:dc:c::.Usb._HfMRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHlsb._HFM_kS0 +bHN8F.=s_MbH +NS80MNH=NPo_MOF0DsF_HkM0;3s +RNH3sbF08NDH"sRF"k0;H +NR03sDs_FHNoMl"CRsb._H;M" +RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H +NRCFbsHN0FlM_FR8C"0Fkb"k0;H +NRD3#bHFsos8HRM"HF"k0;H +NRosCHRFM'NPo's; +Rn@@::cc4c6:cj:.:_s4bRHMfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR_s4b_HMF +k0S8bNHsF=4H_bM8 +SNH0NMo=PNF_OMF0sDM_kHs03;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"_s4b"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';s@R@nc:c:c(:c.:4:_sjbRHMfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR_sjb_HMF +k0S8bNHsF=jH_bM8 +SNH0NMo=PNF_OMF0sDM_kHs03;H +NRF3bsD0N8RHs"0Fk"N; +HsR30FD_sMHoNRlC"_sjb"HM;H +NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N; +HbRFC0sNH_FMlCF8Rk"F00bk"N; +H#R3DsbFHHo8sHR"M0Fk"N; +HCRsoMHFRo'PN +';b@R@n4:c:c(:4d:4: OD_MbHR:fjjCR CkbLV_RtdtdR_RddO_D b_HMOo; +brRQj +9;N3bRHo#_N80C_FODO4 R;b +NR#3H_FODO4 R;H +NRosCHRFM'NPo's; +R4@@jn:44::j4:n44.c+:NPo_H8sP_Csk0MHR:fjjFRIsP Ro8N_sCHPsCRM0#DH0oRPNs_8HsPC_HkM0D +SH_MCOMFk0_Cs#_Hojo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hjor9D +SH_MCOMFk0_Cs#_Ho4o=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H4or9D +SH_MCOMFk0_Cs#_Ho.o=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H.or9D +SH_MCOMFk0_Cs#_Hodo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hdor9D +SH_MCOMFk0_Cs#_Hoco=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hcor9D +SH_MCOMFk0_Cs#_Ho6o=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H6or9D +SH_MCOMFk0_Cs#_Hono=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hnor9D +SH_MCOMFk0_Cs#_Ho(o=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H(or9D +SH_MCOMFk0_Cs#_HoUo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_HUor98 +SDO$_F0kMC4s_=$8D_kOFMs0Cr +49S$8D_kOFMs0C_8j=DO$_F0kMCjsr9P +S#O$M_N#00.C_=NPo_H8sP_Csk0MH3$P#M#O_0CN0r +.9S$P#M#O_0CN0_P6=o8N_sCHPsM_kHP03#O$M_N#006Cr9P +S#O$M_N#00dC_=NPo_H8sP_Csk0MH3$P#M#O_0CN0r +d9S$P#M#O_0CN0_Pn=o8N_sCHPsM_kHP03#O$M_N#00nCr9P +S#O$M_N#00cC_=NPo_H8sP_Csk0MH3$P#M#O_0CN0r +c9S$P#M#O_0CN0_P4=o8N_sCHPsM_kHP03#O$M_N#004Cr9P +S#O$M_N#00jC_=NPo_H8sP_Csk0MH3$P#M#O_0CN0r +j9S$E#M#O_0CN0_P.=o8N_sCHPsM_kHE03#O$M_N#00.Cr9E +S#O$M_N#00cC_=NPo_H8sP_Csk0MH3$E#M#O_0CN0r +c9S$E#M#O_0CN0_Pj=o8N_sCHPsM_kHE03#O$M_N#00jCr9E +S#O$M_N#006C_=NPo_H8sP_Csk0MH3$E#M#O_0CN0r +69S$E#M#O_0CN0_P4=o8N_sCHPsM_kHE03#O$M_N#004Cr9E +S#O$M_N#00dC_=NPo_H8sP_Csk0MH3$E#M#O_0CN0r +d9S$E#M#O_0CN0_Pn=o8N_sCHPsM_kHE03#O$M_N#00nCr9O +SFlDkMF_OkCM0sH_#o=_jP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hjor9O +SFlDkMF_OkCM0sH_#o=_4P_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H4or9O +SFlDkMF_OkCM0sH_#o=_.P_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H.or9O +SFlDkMF_OkCM0sH_#o=_dP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hdor9O +SFlDkMF_OkCM0sH_#o=_cP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hcor9O +SFlDkMF_OkCM0sH_#o=_6P_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H6or9O +SFlDkMF_OkCM0sH_#o=_nP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hnor9O +SFlDkMF_OkCM0sH_#o=_(P_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H(or9O +SFlDkMF_OkCM0sH_#o=_UP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_HUor9O +SFlDkMF_OkCM0sH_#o=_gP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hgor9P +S#O$M_kOFMs0C_Pg=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr +g9S$P#MOO_F0kMCUs_=NPo_H8sP_Csk0MH3$P#MOO_F0kMCUsr9P +S#O$M_kOFMs0C_P(=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr +(9S$P#MOO_F0kMCns_=NPo_H8sP_Csk0MH3$P#MOO_F0kMCnsr9P +S#O$M_kOFMs0C_P6=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr +69S$P#MOO_F0kMCcs_=NPo_H8sP_Csk0MH3$P#MOO_F0kMCcsr9P +S#O$M_kOFMs0C_Pd=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr +d9S$P#MOO_F0kMC.s_=NPo_H8sP_Csk0MH3$P#MOO_F0kMC.sr9P +S#O$M_kOFMs0C_P4=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr +49S$P#MOO_F0kMCjs_=NPo_H8sP_Csk0MH3$P#MOO_F0kMCjsr9E +S#O$M_kOFMs0C_Pg=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr +g9S$E#MOO_F0kMCUs_=NPo_H8sP_Csk0MH3$E#MOO_F0kMCUsr9E +S#O$M_kOFMs0C_P(=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr +(9S$E#MOO_F0kMCns_=NPo_H8sP_Csk0MH3$E#MOO_F0kMCnsr9E +S#O$M_kOFMs0C_P6=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr +69S$E#MOO_F0kMCcs_=NPo_H8sP_Csk0MH3$E#MOO_F0kMCcsr9E +S#O$M_kOFMs0C_Pd=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr +d9S$E#MOO_F0kMC.s_=NPo_H8sP_Csk0MH3$E#MOO_F0kMC.sr9E +S#O$M_kOFMs0C_P4=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr +49S$E#MOO_F0kMCjs_=NPo_H8sP_Csk0MH3$E#MOO_F0kMCjsr98 +S_0#C_$P#MOO_F0kMCPs=o8N_sCHPsM_kH803_0#C_$P#MOO_F0kMCSs +P$_#MPO=o8N_sCHPsM_kHP03_M#$OE +S_M#$Oo=PNs_8HsPC_HkM0_3E#O$M +_SECLMND#C_HPo=o8N_sCHPsM_kHE03_NCML_DC# +HoSCP_MDNLCH_#oo=PNs_8HsPC_HkM0_3PCLMND#C_HSo +sCC#0H_bM=_OsCC#0H_bM +_OSnkM_$8D_kOFMs0C_Gj_=p7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCjs__SG +8C_#0#_E$_MOOMFk0=CsP_oN8PsHCks_M3H08C_#0#_E$_MOOMFk0 +CsS OD_MbH_OO=Db _HOM_;b +oRMDHCF_OkCM0sH_#o;_j +RNb3RbVdo; +bHRDMOC_F0kMC#s_H4o_;b +NRV3bR +d;oDbRH_MCOMFk0_Cs#_Ho.N; +bbR3V;Rd +RobDCHM_kOFMs0C_o#H_ +d;N3bRbdVR;b +oRMDHCF_OkCM0sH_#o;_c +RNb3RbVdo; +bHRDMOC_F0kMC#s_H6o_;b +NRV3bR +d;oDbRH_MCOMFk0_Cs#_HonN; +bbR3V;Rd +RobDCHM_kOFMs0C_o#H_ +(;N3bRbdVR;b +oRMDHCF_OkCM0sH_#o;_U +RNb3RbV.o; +bDR8$F_OkCM0s;_4 +RNb3RbV(o; +bDR8$F_OkCM0s;_j +RNb3RbV(o; +b#RP$_MO#00NC;_. +RNb3RbVdo; +b#RP$_MO#00NC;_6 +RNb3RbVdo; +b#RP$_MO#00NC;_d +RNb3RbVco; +b#RP$_MO#00NC;_n +RNb3RbVdo; +b#RP$_MO#00NC;_c +RNb3RbVco; +b#RP$_MO#00NC;_4 +RNb3RbVco; +b#RP$_MO#00NC;_j +RNb3RbVco; +b#RE$_MO#00NC;_. +RNb3RbVdo; +b#RE$_MO#00NC;_c +RNb3RbVco; +b#RE$_MO#00NC;_j +RNb3RbVdo; +b#RE$_MO#00NC;_6 +RNb3RbVdo; +b#RE$_MO#00NC;_4 +RNb3RbVco; +b#RE$_MO#00NC;_d +RNb3RbVco; +b#RE$_MO#00NC;_n +RNb3RbVdo; +bFRODMkl_kOFMs0C_o#H_ +j;N3bRbcVR;b +oRDOFk_lMOMFk0_Cs#_Ho4N; +bbR3V;Rd +RobOkFDlOM_F0kMC#s_H.o_;b +NRV3bR +d;oObRFlDkMF_OkCM0sH_#o;_d +RNb3RbVdo; +bFRODMkl_kOFMs0C_o#H_ +c;N3bRbdVR;b +oRDOFk_lMOMFk0_Cs#_Ho6N; +bbR3V;Rd +RobOkFDlOM_F0kMC#s_Hno_;b +NRV3bR +d;oObRFlDkMF_OkCM0sH_#o;_( +RNb3RbVdo; +bFRODMkl_kOFMs0C_o#H_ +U;N3bRbdVR;b +oRDOFk_lMOMFk0_Cs#_HogN; +bbR3V;R. +RobPM#$OF_OkCM0s;_g +RNb3RbVUo; +b#RP$_MOOMFk0_CsUN; +bbR3V;Rc +RobPM#$OF_OkCM0s;_( +RNb3RbVco; +b#RP$_MOOMFk0_CsnN; +bbR3V;Rc +RobPM#$OF_OkCM0s;_6 +RNb3RbVco; +b#RP$_MOOMFk0_CscN; +bbR3V;Rc +RobPM#$OF_OkCM0s;_d +RNb3RbVco; +b#RP$_MOOMFk0_Cs.N; +bbR3V;Rc +RobPM#$OF_OkCM0s;_4 +RNb3RbVco; +b#RP$_MOOMFk0_CsjN; +bbR3V;RU +RobEM#$OF_OkCM0s;_g +RNb3RbV6o; +b#RE$_MOOMFk0_CsUN; +bbR3V;R6 +RobEM#$OF_OkCM0s;_( +RNb3RbVno; +b#RE$_MOOMFk0_CsnN; +bbR3V;Rn +RobEM#$OF_OkCM0s;_6 +RNb3RbV6o; +b#RE$_MOOMFk0_CscN; +bbR3V;Rn +RobEM#$OF_OkCM0s;_d +RNb3RbV6o; +b#RE$_MOOMFk0_Cs.N; +bbR3V;R6 +RobEM#$OF_OkCM0s;_4 +RNb3RbV6o; +b#RE$_MOOMFk0_CsjN; +bbR3V;R6 +Rob8C_#0#_P$_MOOMFk0;Cs +RNb3RbV4o; +b_RP#O$M;b +NRV3bR +4;oEbR_M#$ON; +bbR3V;R4 +RobEM_CNCLD_o#H;b +NRV3bR +j;oPbR_NCML_DC#;Ho +RNb3RbVjo; +bCRs#_C0b_HMON; +bbR3V;R( +Robk_Mn8_D$OMFk0_Csj;_G +RNb3RbV. +4;o8bR_0#C_$E#MOO_F0kMC +s;N3bRbcVR;b +oR OD_MbH_ +O;N3bRHo#_N80C_FODO4 R;b +NR#3H_FODO4 R;b +NRV3bR;6( +RNH3b#DFosH8RHs"FHMk;0" +@sR@:4j4:Un.U:4n(:4+P.:oON_FsM0FkD_MRH0fjj:RsIF oRPNF_OMF0sDCRM0#DH0oRPNF_OMF0sDM_kHS0 +DCHM_kOFMs0C_o#H_Pj=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHojS9 +DCHM_kOFMs0C_o#H_P.=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo.S9 +DCHM_kOFMs0C_o#H_P4=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo4S9 +DCHM_kOFMs0C_o#H_Pd=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHodS9 +DCHM_kOFMs0C_o#H_Pn=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHonS9 +DCHM_kOFMs0C_o#H_P6=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo6S9 +DCHM_kOFMs0C_o#H_Pc=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHocS9 +DCHM_kOFMs0C_o#H_P(=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo(S9 +DCHM_kOFMs0C_o#H_PU=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHoUS9 +OkFDlOM_F0kMC#s_Hjo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHojS9 +OkFDlOM_F0kMC#s_H4o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo4S9 +OkFDlOM_F0kMC#s_H.o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo.S9 +OkFDlOM_F0kMC#s_HUo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHoUS9 +OkFDlOM_F0kMC#s_Hdo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHodS9 +OkFDlOM_F0kMC#s_H6o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo6S9 +OkFDlOM_F0kMC#s_Hco_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHocS9 +OkFDlOM_F0kMC#s_Hgo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHogS9 +OkFDlOM_F0kMC#s_H(o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo(S9 +OkFDlOM_F0kMC#s_Hno_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHonS9 +0oFoDOC_F0kMC#s_Hjo_=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr +j9So0Fo_DCOMFk0_Cs#_Ho4o=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r4 +FS0oCoD_kOFMs0C_o#H_P.=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.or90 +SFDooCF_OkCM0sH_#o=_dP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHodS9 +0oFoDOC_F0kMC#s_Hco_=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr +c9So0Fo_DCOMFk0_Cs#_Ho6o=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r6 +FS0oCoD_kOFMs0C_o#H_Pn=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_Hnor90 +SFDooCF_OkCM0sH_#o=_(P_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo(S9 +0oFoDOC_F0kMC#s_HUo_=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr +U9So0Fo_DCOMFk0_Cs#_Hogo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rg +FS0oCoD_kOFMs0C_o#H_=4jP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4 +j9So0Fo_DCOMFk0_Cs#_Ho4P4=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4or4S9 +0oFoDOC_F0kMC#s_H4o_.o=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o.r490 +SFDooCF_OkCM0sH_#od_4=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94d +FS0oCoD_kOFMs0C_o#H_=4cP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4 +c9So0Fo_DCOMFk0_Cs#_Ho4P6=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4or6S9 +0oFoDOC_F0kMC#s_H4o_no=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#onr490 +SFDooCF_OkCM0sH_#o(_4=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94( +FS0oCoD_kOFMs0C_o#H_=4UP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4 +U9So0Fo_DCOMFk0_Cs#_Ho4Pg=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4orgS9 +0oFoDOC_F0kMC#s_H.o_jo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ojr.90 +SFDooCF_OkCM0sH_#o4_.=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr9.4 +FS0oCoD_kOFMs0C_o#H_=..P_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo. +.9So0Fo_DCOMFk0_Cs#_Ho.Pd=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.ordS9 +0oFoDOC_F0kMC#s_H.o_co=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ocr.9E +S_NCML_DC#=HoP_oN8PsHCks_M3H0EM_CNCLD_o#H +=SoP_oNO0FMs_FDk0MH3So +Lo=PNF_OMF0sDM_kHL03 +_SPCLMND#C_HPo=o8N_sCHPsM_kHP03_NCML_DC# +HoSPs=oON_FsM0FkD_M3H0s0 +SFDooCH_#oo=PNF_OMF0sDM_kH003FDooCH_#ok +SM8n_DO$_F0kMCjs__7G= Ypq_1) Ma_C\G03nkM_$8D_kOFMs0C_Gj_ +DSO H_bM=_OO_D b_HMOo; +bHRDMOC_F0kMC#s_Hjo_;b +NRV3bR +4;oDbRH_MCOMFk0_Cs#_Ho.N; +bbR3V;R. +RobDCHM_kOFMs0C_o#H_ +4;N3bRb4VR;b +oRMDHCF_OkCM0sH_#o;_d +RNb3RbV.o; +bHRDMOC_F0kMC#s_Hno_;b +NRV3bR +.;oDbRH_MCOMFk0_Cs#_Ho6N; +bbR3V;R. +RobDCHM_kOFMs0C_o#H_ +c;N3bRb.VR;b +oRMDHCF_OkCM0sH_#o;_( +RNb3RbV4o; +bHRDMOC_F0kMC#s_HUo_;b +NRV3bR +.;oObRFlDkMF_OkCM0sH_#o;_j +RNb3RbV4o; +bFRODMkl_kOFMs0C_o#H_ +4;N3bRb4VR;b +oRDOFk_lMOMFk0_Cs#_Ho.N; +bbR3V;R. +RobOkFDlOM_F0kMC#s_HUo_;b +NRV3bR +4;oObRFlDkMF_OkCM0sH_#o;_d +RNb3RbV.o; +bFRODMkl_kOFMs0C_o#H_ +6;N3bRb.VR;b +oRDOFk_lMOMFk0_Cs#_HocN; +bbR3V;R. +RobOkFDlOM_F0kMC#s_Hgo_;b +NRV3bR +.;oObRFlDkMF_OkCM0sH_#o;_( +RNb3RbV.o; +bFRODMkl_kOFMs0C_o#H_ +n;N3bRb.VR;b +oRo0Fo_DCOMFk0_Cs#_HojN; +bbR3V;Rd +Rob0oFoDOC_F0kMC#s_H4o_;b +NRV3bR +.;o0bRFDooCF_OkCM0sH_#o;_. +RNb3RbV.o; +bFR0oCoD_kOFMs0C_o#H_ +d;N3bRb.VR;b +oRo0Fo_DCOMFk0_Cs#_HocN; +bbR3V;R. +Rob0oFoDOC_F0kMC#s_H6o_;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#o;_n +RNb3RbVdo; +bFR0oCoD_kOFMs0C_o#H_ +(;N3bRbdVR;b +oRo0Fo_DCOMFk0_Cs#_HoUN; +bbR3V;Rd +Rob0oFoDOC_F0kMC#s_Hgo_;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#oj_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#o4_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#o._4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#od_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#oc_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#o6_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#on_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#o(_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#oU_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#og_4;b +NRV3bR +d;o0bRFDooCF_OkCM0sH_#oj_.;b +NRV3bR +.;o0bRFDooCF_OkCM0sH_#o4_.;b +NRV3bR +4;o0bRFDooCF_OkCM0sH_#o._.;b +NRV3bR +4;o0bRFDooCF_OkCM0sH_#od_.;b +NRV3bR +4;o0bRFDooCF_OkCM0sH_#oc_.;b +NRV3bR +4;oEbR_NCML_DC#;Ho +RNb3RbV4o; +b;Ro +RNb3RbVjo; +b;RL +RNb3RbVjo; +b_RPCLMND#C_H +o;N3bRb.VR;b +oR +s;N3bRbjVR;b +oRo0Fo_DC#;Ho +RNb3RbVdo; +bMRknD_8$F_OkCM0s__jGN; +bbR3VgR.;b +oR OD_MbH_ +O;N3bRHo#_N80C_FODO4 R;b +NR#3H_FODO4 R;b +NRV3bR;.g +RNH3b#DFosH8RHs"FHMk;0" diff --git a/bsp2/Designflow/syn/rev_1/vga.srr b/bsp2/Designflow/syn/rev_1/vga.srr new file mode 100644 index 0000000..940092d --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.srr @@ -0,0 +1,312 @@ +#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009 +#install: /opt/synplify/fpga_c200906 +#OS: Linux +#Hostname: ti12 + +#Implementation: rev_1 + +#Wed Oct 21 17:26:30 2009 + +$ Start of Compile +#Wed Oct 21 17:26:30 2009 + +Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009 +Copyright (C) 1994-2009, Synopsys Inc. All Rights Reserved + +@N: CD720 :"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns +@N:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|Top entity is set to vga. +VHDL syntax check successful! + +Compiler output is up to date. No re-compile necessary + +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav +Post processing for work.vga_control.behav +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +Post processing for work.vga_driver.behav +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav +Post processing for work.board_driver.behav +Post processing for work.vga.behav +@END +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Wed Oct 21 17:26:30 2009 + +###########################################################] +Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53 +Copyright (C) 1994-2009, Synopsys Inc. All Rights Reserved +Product Version C-2009.06 +@N: MF249 |Running in 32-bit mode. +@N: MF257 |Gated clock conversion enabled +@N|Running in logic synthesis mode without enhanced optimization + +Automatic dissolve during optimization of view:work.vga(behav) of board_driver_unit(board_driver) +Automatic dissolve at startup in view:work.vga(behav) of vga_control_unit(vga_control) + +Available hyper_sources - for debug and ip models + None Found + +Finished RTL optimizations (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB) + +@N:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd":267:4:267:5|Found counter in view:work.vga_driver(behav) inst vsync_counter[9:0] +@N:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd":158:4:158:5|Found counter in view:work.vga_driver(behav) inst hsync_counter[9:0] +Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB) + +Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB) + +Finished factoring (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 55MB) + + + +#################### START OF GENERATED CLOCK OPTIMIZATION REPORT ####################[ + +====================================================================================== + Instance:Pin Generated Clock Optimization Status +====================================================================================== + + +##################### END OF GENERATED CLOCK OPTIMIZATION REPORT #####################] + +Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB) + +Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB) + +Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB) + +Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB) + +Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB) + +Starting Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB) + +Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB) + +Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB) + +Finished technology mapping (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB) + +Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB) + +Finished restoring hierarchy (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 68MB) + + +Writing Analyst data base /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.srm +Finished Writing Netlist Databases (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +Writing Verilog Netlist and constraint files +Writing .vqm output for Quartus +Writing Cross reference file for Quartus to /homes/burban/didelu/dide_16/bsp2/Designflow/syn/rev_1/vga.xrf +Finished Writing Verilog Netlist and constraint files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +Writing VHDL Simulation files +Finished Writing VHDL Simulation files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +@N: MF276 |Gated clock conversion enabled, but no gated clocks found in design +Finished Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +Starting Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +@N: MF333 |Generated clock conversion enabled, but no generated clocks found in design +Finished Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 68MB) + +Found clock vga|clk_pin with period 39.72ns + + +##### START OF TIMING REPORT #####[ +# Timing Report written on Wed Oct 21 17:26:36 2009 +# + + +Top view: vga +Requested Frequency: 25.2 MHz +Wire load mode: top +Paths requested: 5 +Constraint File(s): +@N: MT320 |This timing report estimates place and route data. Please look at the place and route timing report for final timing.. + +@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock.. + + + +Performance Summary +******************* + + +Worst slack in design: 34.458 + + Requested Estimated Requested Estimated Clock Clock +Starting Clock Frequency Frequency Period Period Slack Type Group +---------------------------------------------------------------------------------------------------------------------- +vga|clk_pin 25.2 MHz 190.0 MHz 39.722 5.264 34.458 inferred Inferred_clkgroup_0 +====================================================================================================================== + + + + + +Clock Relationships +******************* + +Clocks | rise to rise | fall to fall | rise to fall | fall to rise +----------------------------------------------------------------------------------------------------------------- +Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack +----------------------------------------------------------------------------------------------------------------- +vga|clk_pin vga|clk_pin | 39.722 34.458 | No paths - | No paths - | No paths - +================================================================================================================= + Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. + 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. + + + +Interface Information +********************* + + No IO constraint found + + + +==================================== +Detailed Report for Clock: vga|clk_pin +==================================== + + + +Starting Points with Worst Slack +******************************** + + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +-------------------------------------------------------------------------------------------------------------------------------------- +vga_control_unit.toggle_counter_sig[6] vga|clk_pin stratix_lcell_ff regout toggle_counter_sig_6 0.176 34.458 +dly_counter[0] vga|clk_pin stratix_lcell_ff regout dly_counter[0] 0.176 34.465 +dly_counter[1] vga|clk_pin stratix_lcell_ff regout dly_counter[1] 0.176 34.584 +vga_control_unit.toggle_counter_sig[5] vga|clk_pin stratix_lcell_ff regout toggle_counter_sig_5 0.176 34.585 +vga_driver_unit.vsync_counter[6] vga|clk_pin stratix_lcell_ff regout vsync_counter_6 0.176 34.836 +vga_driver_unit.vsync_counter[7] vga|clk_pin stratix_lcell_ff regout vsync_counter_7 0.176 34.865 +vga_control_unit.toggle_counter_sig[8] vga|clk_pin stratix_lcell_ff regout toggle_counter_sig_8 0.176 34.921 +vga_driver_unit.vsync_counter[3] vga|clk_pin stratix_lcell_ff regout vsync_counter_3 0.176 34.992 +vga_driver_unit.vsync_counter[8] vga|clk_pin stratix_lcell_ff regout vsync_counter_8 0.176 34.992 +vga_control_unit.toggle_counter_sig[9] vga|clk_pin stratix_lcell_ff regout toggle_counter_sig_9 0.176 35.048 +====================================================================================================================================== + + +Ending Points with Worst Slack +****************************** + + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------------------------------------------------------------ +vga_control_unit.toggle_counter_sig[0] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[1] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[2] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[3] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[4] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[5] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[6] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[7] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[8] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +vga_control_unit.toggle_counter_sig[9] vga|clk_pin stratix_lcell_ff sclr toggle_sig_0_0_0_g1 38.930 34.458 +==================================================================================================================================== + + + +Worst Path Information +*********************** + + +Path information for path number 1: + Requested Period: 39.722 + - Setup time: 0.792 + + Clock delay at ending point: 0.000 (ideal) + = Required time: 38.930 + + - Propagation time: 4.472 + - Clock delay at starting point: 0.000 (ideal) + = Slack (critical) : 34.458 + + Number of logic level(s): 6 + Starting point: vga_control_unit.toggle_counter_sig[6] / regout + Ending point: vga_control_unit.toggle_counter_sig[0] / sclr + The start point is clocked by vga|clk_pin [rising] on pin clk + The end point is clocked by vga|clk_pin [rising] on pin clk + +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------------------------------- +vga_control_unit.toggle_counter_sig[6] stratix_lcell_ff regout Out 0.176 0.176 - +toggle_counter_sig_6 Net - - 1.000 - 4 +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglt6 stratix_lcell dataa In - 1.176 - +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglt6 stratix_lcell combout Out 0.459 1.635 - +un1_toggle_counter_siglt6 Net - - 0.376 - 1 +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto9 stratix_lcell datad In - 2.011 - +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto9 stratix_lcell combout Out 0.087 2.098 - +un1_toggle_counter_siglto9 Net - - 0.376 - 1 +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto12 stratix_lcell datad In - 2.474 - +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto12 stratix_lcell combout Out 0.087 2.561 - +un1_toggle_counter_siglto12 Net - - 0.376 - 1 +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto15 stratix_lcell datad In - 2.938 - +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto15 stratix_lcell combout Out 0.087 3.025 - +un1_toggle_counter_siglto15 Net - - 0.376 - 1 +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto18 stratix_lcell datad In - 3.401 - +vga_control_unit.BLINKER_next\.un1_toggle_counter_siglto18 stratix_lcell combout Out 0.087 3.488 - +un1_toggle_counter_siglto18 Net - - 0.376 - 1 +vga_control_unit.toggle_sig_0_0_0_g1 stratix_lcell datad In - 3.864 - +vga_control_unit.toggle_sig_0_0_0_g1 stratix_lcell combout Out 0.087 3.951 - +toggle_sig_0_0_0_g1 Net - - 0.521 - 22(6) +vga_control_unit.toggle_counter_sig[0] stratix_lcell_ff sclr In - 4.472 - +======================================================================================================================================= +Total path delay (propagation time + ICD at startpoint + setup - ICD at endpoint) of 5.264 is 1.862(35.4%) logic and 3.402(64.6%) route. +Fanout format: logic fanout (physical fanout) +Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value +*Arrival time includes intrinsic clock delay at start point and clock delay at startpoint + + + +##### END OF TIMING REPORT #####] + +##### START OF AREA REPORT #####[ +Design view:work.vga(behav) +Selecting part EP1S25F672C6 +@N: FA174 |The following device usage report estimates place and route data. Please look at the place and route report for final resource usage.. + +I/O ATOMs: 117 + +Total LUTs: 179 of 25660 ( 0%) +Logic resources: 181 ATOMs of 25660 ( 0%) + +Number of I/O registers + Output DDRs :0 + +ATOM count by mode: + normal: 128 + arithmetic: 53 + +DSP Blocks: 0 (0 nine-bit DSP elements). +DSP Utilization: 0.00% of available 10 blocks (80 nine-bit). +ShiftTap: 0 (0 registers) +MRAM: 0 (0% of 2) +M4Ks: 0 (0% of 138) +M512s: 0 (0% of 224) +Total ESB: 0 bits + +ATOMs using regout pin: 88 + also using enable pin: 12 + also using combout pin: 1 +ATOMs using combout pin: 91 +Number of Inputs on ATOMs: 760 +Number of Nets: 54954 + +##### END OF AREA REPORT #####] + +Mapper successful! +Process took 0h:00m:05s realtime, 0h:00m:04s cputime +# Wed Oct 21 17:26:36 2009 + +###########################################################] diff --git a/bsp2/Designflow/syn/rev_1/vga.srs b/bsp2/Designflow/syn/rev_1/vga.srs new file mode 100644 index 0000000..f131007 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.srs @@ -0,0 +1,685 @@ +%%% protect protected_file +@E +@ +# +# +# +# Created by Synplify VHDL Compiler version comp400rc, Build 020R from Synplicity, Inc. +# Copyright 1994-2009 Synopsys, Inc. , All rights reserved. +# Synthesis Netlist written on Wed Oct 21 17:23:09 2009 +# +# +#OPTIONS:"|-top|vga|-infer_seqShift|-primux|-fixsmult|-dspmac|-nram|-divnmod|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|on|-autosm|-ignore_undefined_lib|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work" +#CUR:"/opt/synplify/fpga_c200906/linux/c_vhdl":1242928055 +#CUR:"/opt/synplify/fpga_c200906/lib/vhd/location.map":1242864830 +#CUR:"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":1242776237 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":1255952276 +#CUR:"/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd":1242776237 +#CUR:"/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd":1242776237 +#CUR:"/opt/synplify/fpga_c200906/lib/vhd/arith.vhd":1242776237 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":1255952276 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd":1255952276 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd":1255952276 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd":1256135047 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd":1256135072 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd":1255952276 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd":1256138582 +#CUR:"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd":1256135040 +f "/opt/synplify/fpga_c200906/lib/vhd/std.vhd"; # file 0 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd"; # file 1 +af .is_vhdl 1; +f "/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd"; # file 2 +af .is_vhdl 1; +f "/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd"; # file 3 +af .is_vhdl 1; +f "/opt/synplify/fpga_c200906/lib/vhd/arith.vhd"; # file 4 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd"; # file 5 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd"; # file 6 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd"; # file 7 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd"; # file 8 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd"; # file 9 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd"; # file 10 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd"; # file 11 +af .is_vhdl 1; +f "/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd"; # file 12 +af .is_vhdl 1; +@E +@ +ftell; +@E@MR@dn:n::(d4n:UFRIsL RF8Ns_H8sPRCsLNCEPN; +PHR3#8PED;R4 +RNP3_H#PDE8R +4;N3PRFosHhCNlRF"LN_s88PsHC;s" +RNP#_$Mb#sCCCsPR +4; +@HR@dn:g::Ud4g:.CRs#RC0sCC#0N; +HsR30FD_sMHoNRlC"#sCC;0" +@FR@cn:j::Uc4j:nCR#P_CM#rCo4jd:9sR0k8C,H0oH_VDC09rj,o8HHD0_CrV0j89,H0oH_VDC09rj,o8HHD0_CrV0j89,H0oH_VDC09rj,o8HHD0_CrV0j09,s,kC0Csk,k0sCs,0k8C,H0oH_VDC09rj,o8HHD0_CrV0j09,s;kC +RNH3Ds0_HFsolMNC#R"CMPC_o#C"b; +Rj@@:44::.4:Rk0sCsR0k0CRs;kC +@bR@4j::44::V.RNCD#RDVN#VCRNCD#;R +b@j@4::ndcd:n:l6Rk8GRH0oH_VDC09rjRo8HHD0_CrV0j09RsRkCV#NDCCRs#;C0 +-y--------------------------------- + +@ +ftell; +@E@MR@dU:(::(d4(:nFRIsP Ro8N_sCHPsCRLE;NP +RNP3PH#ER8D4N; +PHR3#E_P84DR;P +NRs3FHNohl"CRP_oN8PsHC;s" +RNP#_$Mb#sCCCsPR +4; +@HR@dU:U::(dgU:R ODR OD;H +NR03sDs_FHNoMl"CRO"D ; + + + +@HR@dU:g::(d4g:4CRs#RC0sCC#0N; +HsR30FD_sMHoNRlC"#sCC;0" +@FR@cU:j::(c.j:jFRODMkl_kOFMs0Crjg:9FRODMkl_kOFMs0C_o#Hrjg:9N; +HsR30FD_sMHoNRlC"DOFk_lMOMFk0"Cs; + + + +@FR@cU:4::(c44:UHRDMOC_F0kMCUsr:Rj9DCHM_kOFMs0C_o#HrjU:9N; +HsR30FD_sMHoNRlC"MDHCF_OkCM0s +"; +@FR@cU:.::(c4.:c_RECLMNDECR_NCML_DC#;Ho +RNH3Ds0_HFsolMNCER"_NCML"DC; + + + +@FR@cU:d::(c4d:c_RPCLMNDPCR_NCML_DC#;Ho +RNH3Ds0_HFsolMNCPR"_NCML"DC; + + + +@FR@cU:c::(c4c:4#RE$RMOE$_#M +O;N3HRs_0DFosHMCNlR#"E$"MO; + + + +@FR@cU:cc:4::cc4PUR#O$MR#P_$;MO +RNH3Ds0_HFsolMNCPR"#O$M" +; + +@FR@cU:n::(c4n:g_R8EM#$O0_#Nr0Cj9:nR$E#M#O_0CN0rnj:9N; +HsR30FD_sMHoNRlC"E8_#O$M_N#00;C" +@FR@cU:(::(c4(:g_R8PM#$O0_#Nr0Cj9:nR$P#M#O_0CN0rnj:9N; +HsR30FD_sMHoNRlC"P8_#O$M_N#00;C" +@FR@cU:U::(c.U:4_R8EM#$OF_OkCM0s:rgjE9R#O$M_kOFMs0Crjg:9N; +HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C" +; + +@FR@cU:g::(c.g:4_R8PM#$OF_OkCM0s:rgjP9R#O$M_kOFMs0Crjg:9N; +HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C" +; + +@FR@6U:j::(6.j:6_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sN; +HsR30FD_sMHoNRlC"#8_CE0_#O$M_kOFMs0C" +; + +@FR@6U:4::(6.4:6_R8#_C0PM#$OF_OkCM0s_R8#_C0PM#$OF_OkCM0sN; +HsR30FD_sMHoNRlC"#8_CP0_#O$M_kOFMs0C" +; + +@FR@6U:.::(6..:n_R8#_C0OkFDlOM_F0kMC8sR_0#C_DOFk_lMOMFk0;Cs +RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs; + + + +@FR@6U:d::(6.d:c_R8#_C0DCHM_kOFMs0CR#8_CD0_H_MCOMFk0;Cs +RNH3Ds0_HFsolMNC8R"_0#C_MDHCF_OkCM0s +";b@R@Ug:d:d(:g4:4RPHMR4kM_#sCCk0RMs4_C0#CR#sCC +0;b@R@4..:j4j:gj:.j6:.RPHMR4kM_$E#MOO_F0kMCjsr9MRk4#_E$_MOOMFk0rCsjE9R#O$M_kOFMs0Cr;j9 +@bR@:4..:jj4.g:j.j:6MRHPMRk4#_E$_MOOMFk0rCsg9:.R4kM_$E#MOO_F0kMCgsr:R.9EM#$OF_OkCM0s:rg. +9;b@R@4..:c4d:Uc:.dc:.RPHMR4kM_$E#M#O_0CN0r6.:9MRk4#_E$_MO#00NC:r.6E9R#O$M_N#00.Cr:;69 +@bR@:4..:cUcc:.UR:(LRkV8C_#0F_ODMkl_kOFMs0CR#8_CO0_FlDkMF_OkCM0s#RE$_MO#00NC9r4;R +b@.@4:U.c:.c:c(U:RPHMRDOFk_lMOMFk0_CsM0CG4OjRFlDkMF_OkCM0sC_MGj04R$E#M#O_0CN0r;49 +@bR@:4.d:4d4dg:4.d:6MRHPMRk4#_P$_MOOMFk0rCsg9:jR4kM_$P#MOO_F0kMCgsr:Rj9PM#$OF_OkCM0s:rgj +9;b@R@4d.:64n:U6:dnc:.RPHMR4kM_$P#M#O_0CN0r6.:9MRk4#_P$_MO#00NC:r.6P9R#O$M_N#00.Cr:;69 +@bR@:4.d:n4cn:d4R:(LRkV8C_#0H_DMOC_F0kMC8sR_0#C_MDHCF_OkCM0s#RP$_MO#00NC9r4;R +b@.@4:4dn:dc:n(4:RPHMRMDHCF_OkCM0sC_MGd04RMDHCF_OkCM0sC_MGd04R$P#M#O_0CN0r;49 +@bR@4j::44::0.RsRkC0CskRk0sCb; +Rj@@:44::.4:RDVN#VCRNCD#RDVN# +C;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MGc0__l#JkRGNEM#$O0_#N_0CM0CG_#c_JGlkNCRs# +C0RRRREM#$O0_#Nr0C4 +9;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MGc0__l#JkRGNPM#$O0_#N_0CM0CG_#c_JGlkNCRs# +C0RRRRPM#$O0_#Nr0C4 +9;b@R@44.:j(U::U4j:RddNPM8RDOFk_lMOMFk0_CsM0CG_#j_JGlkNFRODMkl_kOFMs0C_GMC0__j#kJlGRN +RsRRC0#CR$E#M#O_0CN0r;49 +@bR@:4.4:dn(d:4n4:dR8NMPHRDMOC_F0kMCMs_C_G0jJ_#lNkGRMDHCF_OkCM0sC_MGj0__l#Jk +GNRRRRsCC#0#RP$_MO#00NC9r4;R +b@.@4:n.j:.c:j(n:RPFsR4kM_$E#M#O_0CN0_k4RME4_#O$M_N#004C_R$E#M#O_0CN0rRn9EM#$O0_#Nr0Cj +9;b@R@4..:jcn::n.j:F(RskPRME4_#O$M_N#00.C_R4kM_$E#M#O_0CN0_E.R#O$M_N#00dCr9#RE$_MO#00NC9r4;R +b@.@4:n.j:.c:j(n:RPFsR4kM_$E#M#O_0CN0_kdRME4_#O$M_N#00dC_R$E#M#O_0CN0rR49EM#$O0_#Nr0CdR9 +RERR#O$M_N#00.Cr9b; +R4@@.j:.n::c.:jn(sRFPMRk4#_E$_MO#00NCR_ck_M4EM#$O0_#N_0Cc#RE$_MO#00NC9r6R$E#M#O_0CN0r;c9 +@bR@:4.d:4gc4:dgR:(FRsPk_M4PM#$O0_#N_0C4MRk4#_P$_MO#00NCR_4PM#$O0_#Nr0CnP9R#O$M_N#00jCr9b; +R4@@.4:dg::cd:4g(sRFPMRk4#_P$_MO#00NCR_.k_M4PM#$O0_#N_0C.#RP$_MO#00NC9r4R$P#M#O_0CN0r +d9RRRRPM#$O0_#Nr0C. +9;b@R@4d.:4cg::gd4:F(RskPRMP4_#O$M_N#00dC_R4kM_$P#M#O_0CN0_PdR#O$M_N#00dCr9#RP$_MO#00NC9r4;R +b@.@4:gd4:dc:4(g:RPFsR4kM_$P#M#O_0CN0_kcRMP4_#O$M_N#00cC_R$P#M#O_0CN0rR69PM#$O0_#Nr0Cc +9;b@R@44.:j(U::U4j:RddNPM8RDOFk_lMOMFk0_CsM0CG_#4_JGlkNFRODMkl_kOFMs0C_GMC0__4#kJlGRN +RsRRC0#CRDOFk_lMOMFk0_CsM0CG4 +j;b@R@44.:d(n::n4d:Rd4NPM8RMDHCF_OkCM0sC_MG40__l#JkRGNDCHM_kOFMs0C_GMC0__4#kJlGRN +RsRRC0#CRMDHCF_OkCM0sC_MGd04;R +b@.@4:n.j:.c:j(n:RPHMR4kM_$E#M#O_0CN0_k6RME4_#O$M_N#006C_R4kM_$E#M#O_0CN0_ +c;b@R@4..:jcn::n.j:H(RMkPRME4_#O$M_N#00nC_R4kM_$E#M#O_0CN0_knRME4_#O$M_N#00dC_;R +b@.@4:gd4:dc:4(g:RPHMR4kM_$P#M#O_0CN0_k6RMP4_#O$M_N#006C_R4kM_$P#M#O_0CN0_ +c;b@R@4d.:4cg::gd4:H(RMkPRMP4_#O$M_N#00nC_R4kM_$P#M#O_0CN0_knRMP4_#O$M_N#00.C_;R +b@.@4:(4U:4c:U6(:RV#8VRsCPM_CNCLD_o#HRCP_MDNLCH_#oMRk4#_E$_MO#00NCR_.ORD k_M4sCC#0R +RRMRk4#_E$_MO#00NC;_6 +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlR_"PCLMND#C_H;o" +@bR@:4..:cUgc:.Ug:4R8NMP1R]Y_hBw_1vF\k034kM_$E#M#O_0CN0RY]1hwB_1Fv_k30\k_M4EM#$O0_#N +0CRRRRk_M4EM#$O0_#Nr0C6k9RME4_#O$M_N#00cCr9MRk4#_E$_MO#00NC9rdR4kM_$E#M#O_0CN0r;.9 +@bR@:4.d:jjcj:djR:6#V8VsECR_NCML_DC#RHoEM_CNCLD_o#HR4kM_$P#M#O_0CN0_OdRDk RMs4_C0#C +RRRR4kM_$P#M#O_0CN0_ +6;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"CE_MDNLCH_#o +";b@R@4d.:ng4::4dn:R4gNPM8RYe1hwB_1Fv_k30\k_M4PM#$O0_#NR0Ceh1YB1_wvk_F0k\3MP4_#O$M_N#00RC +RkRRMP4_#O$M_N#006Cr9MRk4#_P$_MO#00NC9rcR4kM_$P#M#O_0CN0rRd9k_M4PM#$O0_#Nr0C. +9;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MGj0__l#JkRGNEM#$O0_#N_0CM0CG_#j_JGlkNCRs# +C0RRRRk_M4EM#$O0_#N_0C4b; +R4@@.(:g:gc:(R:6NPM8R$P#M#O_0CN0_GMC0__j#kJlGPNR#O$M_N#00MC_C_G0jJ_#lNkGR#sCCR0 +RkRRMP4_#O$M_N#004C_;R +b@.@4:U.c:.g:c4U:gMRHPCR#0#_E$_MOOMFk0(CsR0#C_$E#MOO_F0kMCRs(]h1YB1_wvk_F0k\3ME4_#O$M_N#00 +C;b@R@4d.:ng4::4dn:R4gHRMP#_C0PM#$OF_OkCM0s#(RCP0_#O$M_kOFMs0C(1ReY_hBw_1vF\k034kM_$P#M#O_0CN0;R +b@.@4:d.4::44.:4ddN.RMR8P]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMC]sR1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0sR +RRMRk4#_E$_MOOMFk0rCsjE9R#O$M_kOFMs0CrR49k_M4EM#$OF_OkCM0s9r. +RRRR$E#MOO_F0kMCdsr9#RE$_MOOMFk0rCsck9RME4_#O$M_kOFMs0CrR69EM#$OF_OkCM0s9rn +RRRR4kM_$E#MOO_F0kMC(sr9MRk4#_E$_MOOMFk0rCsUk9RME4_#O$M_kOFMs0Cr;g9 +@bR@:4..:4U4.4:4dU:dMRN8]PR1BYh_vw1_GMC0k\3M_44EM#$OF_OkCM0s1R]Y_hBw_1vM0CG\M3k4E4_#O$M_kOFMs0C +RRRR$E#MOO_F0kMCjsr9#RE$_MOOMFk0rCs4E9R#O$M_kOFMs0CrR.9k_M4EM#$OF_OkCM0s9rd +RRRR4kM_$E#MOO_F0kMCcsr9MRk4#_E$_MOOMFk0rCs6k9RME4_#O$M_kOFMs0Cr +n9RRRREM#$OF_OkCM0s9r(R4kM_$E#MOO_F0kMCUsr9MRk4#_E$_MOOMFk0rCsg +9;b@R@4..:.4n:4.:.nc:dR8NMP1R]Y_hBw_1vM0CG\M3k4E._#O$M_kOFMs0CRY]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0 +CsRRRREM#$OF_OkCM0s9rjR$E#MOO_F0kMC4sr9#RE$_MOOMFk0rCs.k9RME4_#O$M_kOFMs0Cr +d9RRRRk_M4EM#$OF_OkCM0s9rcR4kM_$E#MOO_F0kMC6sr9MRk4#_E$_MOOMFk0rCsnR9 +RkRRME4_#O$M_kOFMs0CrR(9EM#$OF_OkCM0s9rUR$E#MOO_F0kMCgsr9b; +R4@@.d:.44:4:4.d:Rd.NPM8RY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCs]h1YB1_wvC_MG30\kdM4_$E#MOO_F0kMCRs +RERR#O$M_kOFMs0CrRj9EM#$OF_OkCM0s9r4R$E#MOO_F0kMC.sr9#RE$_MOOMFk0rCsdR9 +RERR#O$M_kOFMs0CrRc9k_M4EM#$OF_OkCM0s9r6R4kM_$E#MOO_F0kMCnsr9R +RRMRk4#_E$_MOOMFk0rCs(E9R#O$M_kOFMs0CrRU9EM#$OF_OkCM0s9rg;R +b@.@4:nd.::44d:.ndN.RMR8Peh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMCesR1BYh_vw1_GMC0k\3M_4.PM#$OF_OkCM0sR +RR#RP$_MOOMFk0rCsjk9RMP4_#O$M_kOFMs0CrR49k_M4PM#$OF_OkCM0s9r. +RRRR4kM_$P#MOO_F0kMCdsr9MRk4#_P$_MOOMFk0rCsck9RMP4_#O$M_kOFMs0Cr +69RRRRk_M4PM#$OF_OkCM0s9rnR4kM_$P#MOO_F0kMC(sr9MRk4#_P$_MOOMFk0rCsUR9 +RkRRMP4_#O$M_kOFMs0Cr;g9 +@bR@:4.d:d44d4:dd4:dMRN8ePR1BYh_vw1_GMC0k\3M_4dPM#$OF_OkCM0s1ReY_hBw_1vM0CG\M3k4Pd_#O$M_kOFMs0C +RRRR$P#MOO_F0kMCjsr9MRk4#_P$_MOOMFk0rCs4k9RMP4_#O$M_kOFMs0Cr +.9RRRRk_M4PM#$OF_OkCM0s9rdR4kM_$P#MOO_F0kMCcsr9#RP$_MOOMFk0rCs6R9 +RkRRMP4_#O$M_kOFMs0CrRn9k_M4PM#$OF_OkCM0s9r(R4kM_$P#MOO_F0kMCUsr9R +RRMRk4#_P$_MOOMFk0rCsg +9;b@R@4d.:d4g:4d:dgc:dR8NMP1ReY_hBw_1vM0CG\M3k4Pc_#O$M_kOFMs0CRYe1hwB_1Mv_C\G034kMc#_P$_MOOMFk0 +CsRRRRPM#$OF_OkCM0s9rjR4kM_$P#MOO_F0kMC4sr9MRk4#_P$_MOOMFk0rCs.R9 +RkRRMP4_#O$M_kOFMs0CrRd9k_M4PM#$OF_OkCM0s9rcR4kM_$P#MOO_F0kMC6sr9R +RRMRk4#_P$_MOOMFk0rCsnk9RMP4_#O$M_kOFMs0CrR(9k_M4PM#$OF_OkCM0s9rU +RRRR$P#MOO_F0kMCgsr9b; +R4@@.c:dc4:4:cdc:Rd.NPM8RYe1hwB_1Mv_C\G034kM6#_P$_MOOMFk0RCseh1YB1_wvC_MG30\k6M4_$P#MOO_F0kMCRs +RkRRMP4_#O$M_kOFMs0CrRj9k_M4PM#$OF_OkCM0s9r4R4kM_$P#MOO_F0kMC.sr9R +RR#RP$_MOOMFk0rCsdk9RMP4_#O$M_kOFMs0CrRc9k_M4PM#$OF_OkCM0s9r6 +RRRR4kM_$P#MOO_F0kMCnsr9MRk4#_P$_MOOMFk0rCs(k9RMP4_#O$M_kOFMs0Cr +U9RRRRPM#$OF_OkCM0s9rg;R +b@.@4:d.4::44.:4ddH.RMEPR#O$M_N#00MC_C6G0R$E#M#O_0CN0_GMC0]6R1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0sb; +R4@@.4:.U4:4:U.4:RddHRMPEM#$O0_#N_0CM0CGg#RE$_MO#00NCC_MGR0g]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMC +s;b@R@4..:.4n:4.:.nc:dRPHMR$E#M#O_0CN0_GMC0R4cEM#$O0_#N_0CM0CG4]cR1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0sb; +R4@@.d:.44:4:4.d:Rd.HRMPEM#$O0_#N_0CM0CG4EUR#O$M_N#00MC_C4G0U1R]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0C;R +b@.@4:nd.::44d:.ndH.RMPPR#O$M_N#00MC_C6G0R$P#M#O_0CN0_GMC0e6R1BYh_vw1_GMC0k\3M_4.PM#$OF_OkCM0sb; +R4@@.d:d44:4:4dd:RddHRMPPM#$O0_#N_0CM0CGg#RP$_MO#00NCC_MGR0geh1YB1_wvC_MG30\kdM4_$P#MOO_F0kMC +s;b@R@4d.:d4g:4d:dgc:dRPHMR$P#M#O_0CN0_GMC0R4cPM#$O0_#N_0CM0CG4ecR1BYh_vw1_GMC0k\3M_4cPM#$OF_OkCM0sb; +R4@@.c:dc4:4:cdc:Rd.HRMPPM#$O0_#N_0CM0CG4PUR#O$M_N#00MC_C4G0U1ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C;R +b@.@4::g(c(:g:N6RMR8PEM#$O0_#N_0CM0CG_#4_JGlkN#RE$_MO#00NCC_MG40__l#JkRGNsCC#0R +RR#RE$_MO#00NC9r6RY]1hwB_1Mv_C\G034kMj#_E$_MOOMFk0;Cs +@bR@:4.gc(:::g(6MRN8EPR#O$M_N#00MC_C_G0dJ_#lNkGR$E#M#O_0CN0_GMC0__d#kJlGsNRC0#C +RRRR$E#M#O_0CN0rRc9]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMC +s;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MG60__l#JkRGNEM#$O0_#N_0CM0CG_#6_JGlkNCRs# +C0RRRREM#$O0_#Nr0Cd]9R1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0sb; +R4@@.(:g:gc:(R:6NPM8R$E#M#O_0CN0_GMC0__n#kJlGENR#O$M_N#00MC_C_G0nJ_#lNkGR#sCCR0 +RERR#O$M_N#00.Cr91R]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0C;R +b@.@4::g(c(:g:N6RMR8PPM#$O0_#N_0CM0CG_#4_JGlkN#RP$_MO#00NCC_MG40__l#JkRGNsCC#0R +RR#RP$_MO#00NC9r6RYe1hwB_1Mv_C\G034kM.#_P$_MOOMFk0;Cs +@bR@:4.gc(:::g(6MRN8PPR#O$M_N#00MC_C_G0dJ_#lNkGR$P#M#O_0CN0_GMC0__d#kJlGsNRC0#C +RRRR$P#M#O_0CN0rRc9eh1YB1_wvC_MG30\kdM4_$P#MOO_F0kMC +s;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MG60__l#JkRGNPM#$O0_#N_0CM0CG_#6_JGlkNCRs# +C0RRRRPM#$O0_#Nr0Cde9R1BYh_vw1_GMC0k\3M_4cPM#$OF_OkCM0sb; +R4@@.(:g:gc:(R:6NPM8R$P#M#O_0CN0_GMC0__n#kJlG3N\PM#$O0_#N_0CM0CG_#n_JGlkN#RP$_MO#00NCC_MGn0__l#Jk\GN3$P#M#O_0CN0_GMC0__n#kJlGRN +RPRR#O$M_N#00.Cr91ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C;R +b@.@4::g(c(:g:N6RMR8PPM#$O0_#N_0CM0CG_#n_JGlkN#RP$_MO#00NCC_MGn0__l#JkRGNsCC#0R +RR#RP$_MO#00NC9r.RYe1hwB_1Mv_C\G034kM6#_P$_MOOMFk0;Cs +@bR@:4.4:44g4:444:cRRD0BzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_HBoRmvpzhm_Bz_haM0CG\M3k4Oj_FlDkMF_OkCM0sH_#oR +RRFRODMkl_kOFMs0C_o#Hrjg:9sR0kVC,NCD#,DVN#0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCb; +R4@@.d:4g::g4:dgcDjR0QRphB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs# +HoRRRRDCHM_kOFMs0C_o#HrjU:9sR0k0C,s,kC0Csk,DVN#0C,s,kC0Csk,k0sCs,0k0C,s;kC +@bR@:4.4:(.g(:4.n:dRRD0]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0RCs]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0 +CsRRRREM#$OF_OkCM0s:rgj09Rs,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk;R +b@.@4:d.4::44.:4ddN.RMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_4EM#$O0_#N_0CM0CG_#4_JGlkN +_4RRRREM#$O0_#Nr0C6E9R#O$M_N#00MC_C6G0;R +b@.@4:U.4::44.:4UdNdRMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_.EM#$O0_#N_0CM0CG_#4_JGlkN +_.RRRREM#$O0_#Nr0CcE9R#O$M_N#00MC_CgG0;R +b@.@4:n..::44.:.ndNcRMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_dEM#$O0_#N_0CM0CG_#4_JGlkN +_dRRRREM#$O0_#Nr0CdE9R#O$M_N#00MC_C4G0cb; +R4@@.d:.44:4:4.d:Rd.NPM8R$E#M#O_0CN0_GMC0__4#kJlGcN_R$E#M#O_0CN0_GMC0__4#kJlGcN_ +RRRR$E#M#O_0CN0rR.9EM#$O0_#N_0CM0CG4 +U;b@R@4..:Ug4::4.U:RdnDe0R1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCesR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCRs +RPRR#O$M_kOFMs0Crjg:9sR0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s;kC +@bR@:4.d:.n4d4:.dn:.MRN8PPR#O$M_N#00MC_C_G04J_#lNkG_P4R#O$M_N#00MC_C_G04J_#lNkG_R4 +RPRR#O$M_N#006Cr9#RP$_MO#00NCC_MG;06 +@bR@:4.d:d44d4:dd4:dMRN8PPR#O$M_N#00MC_C_G04J_#lNkG_P.R#O$M_N#00MC_C_G04J_#lNkG_R. +RPRR#O$M_N#00cCr9#RP$_MO#00NCC_MG;0g +@bR@:4.d:dg4d4:ddg:cMRN8PPR#O$M_N#00MC_C_G04J_#lNkG_PdR#O$M_N#00MC_C_G04J_#lNkG_Rd +RPRR#O$M_N#00dCr9#RP$_MO#00NCC_MGc04;R +b@.@4:cdc::44d:ccdN.RMR8PPM#$O0_#N_0CM0CG_#4_JGlkNR_cPM#$O0_#N_0CM0CG_#4_JGlkN +_cRRRRPM#$O0_#Nr0C.P9R#O$M_N#00MC_C4G0Ub; +R4@@.4:44::g4:44cH4RMOPRFlDkMF_OkCM0sC_MGR0(OkFDlOM_F0kMCMs_C(G0RpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#;Ho +@bR@:4.4:dggd:4gj:cRPHMRMDHCF_OkCM0sC_MGj04RMDHCF_OkCM0sC_MGj04RhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#;Ho +@bR@:4.4:(.g(:4.n:dRPHMR$E#MOO_F0kMCMs_C(G0R$E#MOO_F0kMCMs_C(G0RY]1hBB_mazh_GMC0k\3MEg_#O$M_kOFMs0C;R +b@.@4:4.U:.g:Ud4:nMRHP#RP$_MOOMFk0_CsM0CG4PjR#O$M_kOFMs0C_GMC0R4jeh1YBm_Bz_haM0CG\M3kg#_P$_MOOMFk0;Cs +@bR@:4.4:44g4:444:cR8NMPFRODMkl_kOFMs0C_GMC0__j#kJlG4N_RDOFk_lMOMFk0_CsM0CG_#j_JGlkN +_4RRRRBzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_HOoRFlDkMF_OkCM0sC_MG40__l#Jk;GN +@bR@:4.4:4.d44:46.:c8RN8MRk.F_ODMkl_kOFMs0C_GMC0:rgjk9RMO._FlDkMF_OkCM0sC_MGg0r: +j9RRRROkFDlOM_F0kMC#s_Hgor:Rj90Csk;R +b@.@4:g4d:4g:dcg:jMRN8DPRH_MCOMFk0_CsM0CG_#j_JGlkNR_4DCHM_kOFMs0C_GMC0__j#kJlG4N_ +RRRRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#RHoDCHM_kOFMs0C_GMC0__4#kJlG +N;b@R@44.:(dd:j(:4dU:cR8N8R.kM_$E#MOO_F0kMCMs_CrG0g9:jR.kM_$E#MOO_F0kMCMs_CrG0g9:j +RRRR$E#MOO_F0kMCgsr:Rj90Csk;R +b@.@4:U.c:.c:c(U:RkblG_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0ssR0kEC,#O$M_N#00nCr9R +RRNRVD,#CEM#$O0_#Nr0C409Rs,kCEM#$O0_#Nr0CjV9RNCD#,0#C_$E#MOO_F0kMC;s( +@bR@:4.d:n4cn:d4R:(bGlkR#8_CP0_#O$M_kOFMs0CR#8_CP0_#O$M_kOFMs0CRk0sC#,P$_MO#00NC9rn +RRRRDVN#PC,#O$M_N#004Cr9sR0kPC,#O$M_N#00jCr9NRVD,#C#_C0PM#$OF_OkCM0s +(;b@R@44.:4g4::444:Rc4NPM8RDOFk_lMOMFk0_CsM0CG_#4_JGlkNR_4OkFDlOM_F0kMCMs_C_G04J_#lNkG_R4 +RORRFlDkMF_OkCM0sC_MGR0(OkFDlOM_F0kMCMs_C_G04J_#lNkG;R +b@.@4:g4d:4g:dcg:jMRN8DPRH_MCOMFk0_CsM0CG_#4_JGlkNR_4DCHM_kOFMs0C_GMC0__4#kJlG4N_ +RRRRMDHCF_OkCM0sC_MGj04RMDHCF_OkCM0sC_MG40__l#Jk;GN +@bR@:4..:cUcc:.UR:(HRMPk4M4_$P#MOO_F0kMCksRM_44PM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sb; +R4@@.n:d4::cd:n4(MRHP#RP$_MOOMFk0_CsM0CG4PdR#O$M_kOFMs0C_GMC0R4d8C_#0#_P$_MOOMFk0;Cs +@bR@:4..:jncj:.nR:(FRsPk_M4EM#$O0_#N_0CM0CG_#4_JGlkNMRk4#_E$_MO#00NCC_MG40__l#Jk +GNRRRREM#$O0_#N_0CM0CG_#4_JGlkNR_4EM#$O0_#N_0CM0CG_#4_JGlkNR_.EM#$O0_#N_0CM0CG_#4_JGlkN +_dRRRREM#$O0_#N_0CM0CG_#4_JGlkN;_c +@bR@:4.d:4gc4:dgR:(FRsPk_M4PM#$O0_#N_0CM0CG_#4_JGlkNMRk4#_P$_MO#00NCC_MG40__l#Jk +GNRRRRPM#$O0_#N_0CM0CG_#4_JGlkNR_4PM#$O0_#N_0CM0CG_#4_JGlkNR_.PM#$O0_#N_0CM0CG_#4_JGlkN +_dRRRRPM#$O0_#N_0CM0CG_#4_JGlkN;_c +@bR@:4.4:ng(n:4g.:dR8NMP#RE$_MOOMFk0_CsM0CG_#j_JGlkN#RE$_MOOMFk0_CsM0CG_#j_JGlkNR +RRCRs#RC08C_#0#_E$_MOOMFk0;Cs +@bR@:4..:(U((:.U.:dR8NMP#RP$_MOOMFk0_CsM0CG_#j_JGlkN#RP$_MOOMFk0_CsM0CG_#j_JGlkNR +RRCRs#RC08C_#0#_P$_MOOMFk0;Cs +@bR@:4.4:ng(n:4g.:dR8NMP#RE$_MOOMFk0_CsM0CG_#4_JGlkN#RE$_MOOMFk0_CsM0CG_#4_JGlkNR +RRCRs#RC0k4M4_$P#MOO_F0kMC +s;b@R@4..:((U::U.(:Rd.NPM8R$P#MOO_F0kMCMs_C_G04J_#lNkGR$P#MOO_F0kMCMs_C_G04J_#lNkG +RRRR#sCCP0R#O$M_kOFMs0C_GMC0;4d +@bR@:4.gc(:::g(6MRN8EPR#O$M_N#00MC_C_G0.J_#lNkGR$E#M#O_0CN0_GMC0__.#kJlGsNRC0#C +RRRR4kM_$E#M#O_0CN0_GMC0__4#kJlG +N;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MG.0__l#JkRGNPM#$O0_#N_0CM0CG_#._JGlkNCRs# +C0RRRRk_M4PM#$O0_#N_0CM0CG_#4_JGlkNb; +R4@@.j:d4::nd:j4(sRFPMRk4#_P$_MO#00NCC_MG40__l#Jk_GN4MRk4#_P$_MO#00NCC_MG40__l#Jk_GN4R +RRMRk4#_P$_MO#00NCC_MG40__l#JkRGNPM#$O0_#N_0CM0CG_#n_JGlkNP\3#O$M_N#00MC_C_G0nJ_#lNkG;R +b@.@4:U4U:4n:U(U:RkblG#RE$_MO#00NCM_O#j0r:R69EM#$O0_#N_0CO0M#r6j:9NRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCM,k4C_s# +C0RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCs,0kEC,#O$M_N#00MC_C_G0jJ_#lNkGRDVN#VC,NCD#,DVN#VC,NCD#,k0sCN,VD,#CEM#$O0_#N_0CM0CG_#4_JGlkNR +RRNRVD,#C0Csk,DVN#VC,NCD#,DVN#VC,NCD#,$E#M#O_0CN0_GMC0__d#kJlGVNRNCD#,DVN#VC,NCD#,k0sCN,VD,#CV#NDC#,E$_MO#00NCC_MGc0__l#Jk +GNRRRRV#NDCN,VD,#C0Csk,DVN#VC,NCD#,DVN#EC,#O$M_N#00MC_C_G06J_#lNkGRk0sCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CEM#$O0_#N_0CM0CG_#n_JGlkNb; +R4@@.j:dj::cd:jj6MRHPMRk4#_E$_MO#00NCC_MG.0__l#JkRGNk_M4EM#$O0_#N_0CM0CG_#._JGlkNR +RR#RE$_MO#00NCC_MG.0__l#Jk;GN +@bR@:4.d:jjcj:djR:6HRMPk_M4PM#$O0_#N_0CM0CG_#._JGlkNMRk4#_P$_MO#00NCC_MG.0__l#Jk +GNRRRRPM#$O0_#N_0CM0CG_#._JGlkNb; +R4@@.j:d4::nd:j4(lRbkPGR#O$M_N#00OC_Mr#0.9:6R$P#M#O_0CN0_#OM0:r.6V9RNCD#,DVN#VC,NCD#,DVN#kC,Ms4_C0#C +RRRRDVN#VC,NCD#,DVN#0C,s,kCPM#$O0_#N_0CM0CG_#j_JGlkNNRVD,#CV#NDCs,0kVC,NCD#,$P#M#O_0CN0_GMC0__4#kJlGRN +RVRRNCD#,DVN#VC,NCD#,DVN#PC,#O$M_N#00MC_C_G0dJ_#lNkGRDVN#0C,s,kCV#NDCN,VD,#CPM#$O0_#N_0CM0CG_#c_JGlkNR +RRsR0kVC,NCD#,DVN#VC,NCD#,$P#M#O_0CN0_GMC0__6#kJlGVNRNCD#,DVN#VC,NCD#,DVN#PC,#O$M_N#00MC_C_G0nJ_#lNkG;R +b@.@4:.4(:4g:(d.:nMRN8EPR#O$M_kOFMs0C_GMC0__j#kJlG4N_R$E#MOO_F0kMCMs_C_G0jJ_#lNkG_R4 +R]RR1BYh_zBmhMa_C\G03gkM_$E#MOO_F0kMCEsR#O$M_kOFMs0C_GMC0__4#kJlG +N;b@R@44.:(g.::.4(:RdnNPM8R$E#MOO_F0kMCMs_C_G04J_#lNkG_E4R#O$M_kOFMs0C_GMC0__4#kJlG4N_ +RRRR$E#MOO_F0kMCMs_C(G0R$E#MOO_F0kMCMs_C_G04J_#lNkG;R +b@.@4:4.U:.g:Ud4:nMRN8PPR#O$M_kOFMs0C_GMC0__j#kJlG4N_R$P#MOO_F0kMCMs_C_G0jJ_#lNkG_R4 +ReRR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCPsR#O$M_kOFMs0C_GMC0__4#kJlG +N;b@R@4..:Ug4::4.U:RdnNPM8R$P#MOO_F0kMCMs_C_G04J_#lNkG_P4R#O$M_kOFMs0C_GMC0__4#kJlG4N_ +RRRR$P#MOO_F0kMCMs_C4G0j#RP$_MOOMFk0_CsM0CG_#4_JGlkNb; +R4@@.j:d4::nd:j4(MRN8kPRMs4_C0#C_k4RMs4_C0#C_s4RC0#CR4kM_$P#M#O_0CN0_GMC0__4#kJlG4N_;R +b@.@4::gUnU:g:b(RlRkGBzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr:Rj9BzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr: +j9RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#Ck_M4sCC#0R +RRNRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCF,ODMkl_kOFMs0C_GMC0__j#kJlGRN +RkRRMO._FlDkMF_OkCM0sC_MGg0r:,j9OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_R4 +R0RRs,kCV#NDCN,VD,#C0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0kOC,FlDkMF_OkCM0sC_MG40__l#Jk_GN4b; +R4@@.j:d4::nd:j4(MRHPMRk4C_s#_C0.MRk4C_s#_C0.MRk4C_s#_C04b; +R4@@.(:g:gc:(R:68RVVOkFDlOM_F0kMC#s_Hgor:Rj9OkFDlOM_F0kMC#s_Hgor:Rj9BzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr: +j9RRRRO;D +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"b; +R4@@.U:4U::n4:UU(lRbkEGR#O$M_N#00dC_r6j:9#RE$_MO#00NCr_dj9:6R$E#M#O_0CN0r6j:9#,E$_MO#00NCC_MG.0__l#Jk +GNRRRREM#$O0_#N_0CO0M#r6j:9M,k4#_E$_MO#00NCC_MG.0__l#Jk;GN +@bR@:4.d:j4nj:d4R:(bGlkR$P#M#O_0CN0_.dr:R69PM#$O0_#N_0Cd:r.6P9R#O$M_N#00.Cr:,69PM#$O0_#N_0CM0CG_#._JGlkNR +RR#RP$_MO#00NCM_O#.0r:,69k_M4PM#$O0_#N_0CM0CG_#._JGlkNb; +R4@@.c:444:d:44c:R6.NR88k_M4DCHM_kOFMs0C_o#Hr4g:9MRk4H_DMOC_F0kMC#s_Hgor:R49DCHM_kOFMs0C_o#HrjU:9R +RR_R8#_C0EM#$OF_OkCM0sb; +R4@@.U:.d.:d:d.U:R6jNR88k_M4PM#$OF_OkCM0sr_444j:9MRk4#_P$_MOOMFk0_Cs4jr4:R49PM#$OF_OkCM0s:rgjR9 +R8RR_0#C_$E#MOO_F0kMC +s;b@R@44.:6ng::g46:b(RlRkG]h1YBm_Bz_ha#\$M3$E#MOO_F0kMCds_rjg:91R]Y_hBBhmza$_#ME\3#O$M_kOFMs0C_gdr: +j9RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#Ck_M4sCC#0R +RRNRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDC#,E$_MOOMFk0_CsM0CG_#j_JGlkNR +RRMRk.#_E$_MOOMFk0_CsM0CGrjg:9#,E$_MOOMFk0_CsM0CG_#j_JGlkNR_40Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sC#,E$_MOOMFk0_CsM0CG_#4_JGlkN;_4 +@bR@:4.4:U(cU:4(R:68RVVEM#$O0_#Nr0Cj9:nR$E#M#O_0CN0rnj:9#RE$_MO#00NCr_dj9:6,4kM_#sCCR0 +RORRD + ;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$E#M#O_0CN0"b; +R4@@.6:4U::c4:6U6VR8V#RE$_MOOMFk0rCsg9:jR$E#MOO_F0kMCgsr:Rj9]h1YBm_Bz_ha#\$M3$E#MOO_F0kMCds_rjg:9R +RRDRO N; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CREM#$OF_OkCM0s +";b@R@44.:Uc(::(4U:#6R8#VVC_RE#O$MR#E_$RMOEM#$O0_#Nr0CcO9RDk RMs4_C0#CR4kM_$E#M#O_0CN0_ +n;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"#E_$"MO;R +b@.@4:4dj:dn:j(4:RkblG#RP$_MO#00NCr_djP9R#O$M_N#00dC_rRj9V#NDCM,k4C_s#_C0.#RP$_MO#00NC9rj,$P#M#O_0CN0_GMC0__.#kJlGRN +R0RRs,kCPM#$O0_#N_0CM0CG_#n_JGlkNb; +R4@@..:4n::n4:.n(lRbkpGRQ_h Bhmza$_#MD\3H_MCOMFk0_Cs#_Hod:rUjp9RQ_h Bhmza$_#MD\3H_MCOMFk0_Cs#_Hod:rUjR9 +RVRRNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,4kM_#sCCV0RNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,MDHCF_OkCM0sC_MGj0__l#Jk +GNRRRRk_M4DCHM_kOFMs0C_o#Hr4g:9H,DMOC_F0kMCMs_C_G0jJ_#lNkG_04Rs,kC0Csk,k0sCN,VD,#C0Csk,k0sCs,0k0C,s,kC0Csk,MDHCF_OkCM0sC_MG40__l#Jk_GN4b; +R4@@.n:.U::n.:nU(lRbkeGR1BYh_zBmh#a_$3M\PM#$OF_OkCM0sr_dg9:jRYe1hBB_mazh_M#$\#3P$_MOOMFk0_Csd:rgjR9 +RVRRNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#kC,Ms4_C0#C +RRRRDVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,$P#MOO_F0kMCMs_C_G0jJ_#lNkG +RRRR4kM_$P#MOO_F0kMC4s_r:4j4P9,#O$M_kOFMs0C_GMC0__j#kJlG4N_Rk0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0kPC,#O$M_kOFMs0C_GMC0__4#kJlG4N_;R +b@.@4:jdj:dc:j6j:RV8VR$P#M#O_0CN0rnj:9#RP$_MO#00NC:rjnP9R#O$M_N#00dC_r,j9PM#$O0_#N_0CM0CG_#d_JGlkN#,P$_MO#00NCr_d.9:6,4kM_#sCCR0 +RORRD + ;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"$P#M#O_0CN0"b; +R4@@..:46::c4:.66VR8VHRDMOC_F0kMC#s_HUor:Rj9DCHM_kOFMs0C_o#HrjU:9QRphB _mazh_M#$\H3DMOC_F0kMC#s_Hdo_rjU:9R +RRDRO N; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"b; +R4@@.n:.(::c.:n(6VR8V#RP$_MOOMFk0rCsg9:jR$P#MOO_F0kMCgsr:Rj9eh1YBm_Bz_ha#\$M3$P#MOO_F0kMCds_rjg:9R +RRDRO N; +H$R#Ms_bCs#CP4CR;H +NR03sDs_FHNoMl"CRPM#$OF_OkCM0s +";b@R@4d.:jcj::jdj:#6R8#VVC_RP#O$MR#P_$RMOPM#$O0_#Nr0CcO9RDk RMs4_C0#CR4kM_$P#M#O_0CN0_ +n;N#HR$bM_sCC#sRPC4N; +HsR30FD_sMHoNRlC"#P_$"MO;- +y--------------------------------- +@ +ftell; +@E@MR@d(:(::(d4(:(FRIsP RoON_FsM0FLDRCPEN;P +NR#3HPDE8R +4;N3PRHP#_ER8D4N; +PFR3shHoNRlC"NPo_MOF0DsF"N; +P$R#Ms_bCs#CP4CR; + + + +@HR@d(:U::(dgU:R ODR OD;H +NR03sDs_FHNoMl"CRO"D ; + + + +@HR@d(:g::(d4g:4CRs#RC0sCC#0N; +HsR30FD_sMHoNRlC"#sCC;0" +@HR@c(:j::(c.j:jFRODMkl_kOFMs0Crjg:9FRODMkl_kOFMs0Crjg:9N; +HsR30FD_sMHoNRlC"DOFk_lMOMFk0"Cs; + + + +@FR@c(:4::(c.4:jFR0oCoD_kOFMs0Cr:.cj09RFDooCF_OkCM0sH_#ocr.:;j9 +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0s +"; +@FR@c(:.::(c4.:.FR0oCoDRo0Fo_DC#;Ho +RNH3Ds0_HFsolMNC0R"FDooC +"; +@HR@c(:d::(c4d:UHRDMOC_F0kMCUsr:Rj9DCHM_kOFMs0CrjU:9N; +HsR30FD_sMHoNRlC"MDHCF_OkCM0s +"; +@HR@c(:c::(c4c:c_RPCLMNDPCR_NCML;DC +RNH3Ds0_HFsolMNCPR"_NCML"DC; + + + +@HR@c(:6::(c46:c_RECLMNDECR_NCML;DC +RNH3Ds0_HFsolMNCER"_NCML"DC; + + + +@FR@c(:n::(c(n:RssR;H +NR03sDs_FHNoMl"CRs +"; +@FR@c(:nj:4::cn4ojRR +o;N3HRs_0DFosHMCNlR""o; + + + +@FR@c(:nd:4::cn4LdRR +L;N3HRs_0DFosHMCNlR""L;R +b@:@(d(g:::dg4H4RMkPRMs4_C0#CR4kM_#sCCs0RC0#C;R +b@4@4::ggcg:g:H6RMLPR_GMC0_RLM0CGRo0Fo_DC#;Ho +@bR@4j::44::0.RsRkC0CskRk0sCb; +Rj@@:44::.4:RDVN#VCRNCD#RDVN# +C;b@R@4n4:j::cn6j:RV8VsRRooNRVDR#CORD k_M4sCC#0N; +HsR30FD_sMHoNRlC";o" +RNH#_$Mb#sCCCsPR +4;b@R@4(4:6U:d::(6nDjR0)R7q1W_T)zq C_MG30\k_M6PM_CNCLDRq7)WT_1z q)_GMC0k\3MP6__NCML +DCRRRRV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#VC,NCD#RDOFk_lMOMFk0rCsg9:j;R +b@4@4::(64(j:6.:dRRD07W)q_z1Tq_) M0CG\M3kg__PCLMND7CR)_qW1qTz)M _C\G03gkM_CP_MDNLCR +RRFRODMkl_kOFMs0Crjg:9NRVD,#CV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN# +C;b@R@4(4:nj:4::(ndD.R0)R7q1W_T)zq C_MG30\kdM4_CP_MDNLC)R7q1W_T)zq C_MG30\kdM4_CP_MDNLCR +RRHRDMOC_F0kMCUsr:Rj9V#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN# +C;b@R@4(4:nU:d::(nnDjR0)R7q1W_T)zq C_MG30\k(M4_CP_MDNLC)R7q1W_T)zq C_MG30\k(M4_CP_MDNLCR +RRNRVD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#VC,NCD#RMDHCF_OkCM0s:rUj +9;b@R@4(4:6U:d::(6nHjRMkPRMPn__NCMLRDCk_MnPM_CNCLDRq7)WT_1z q)_GMC0k\3MP6__NCML;DC +@bR@:44(46:j6:(:Rd.HRMPkjM4_CP_MDNLCMRk4Pj__NCMLRDC7W)q_z1Tq_) M0CG\M3kg__PCLMND +C;b@R@4(4:nj:4::(ndH.RMkPRM_4cPM_CNCLDR4kMc__PCLMND7CR)_qW1qTz)M _C\G034kMd__PCLMND +C;b@R@4(4:nU:d::(nnHjRMkPRM_4UPM_CNCLDR4kMU__PCLMND7CR)_qW1qTz)M _C\G034kM(__PCLMND +C;b@R@444:4(4::444:RdUDA0RpiQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHoAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#H +RRRRo0Fo_DCOMFk0_Cs#rHo.jc:9NRVD,#CV#NDCN,VD,#CV#NDCs,0kVC,NCD#,k0sCs,0kVC,NCD#,k0sCs,0k0C,s,kCV#NDCN,VD,#CV#NDCs,0k0C,s,kCV#NDCs,0k0C,s,kCV#NDCN,VD,#CV#NDCN,VD,#CV#NDCb; +R4@@44:44::(4:44dHURMkPRM04_FDooCF_OkCM0sH_#oMRk4F_0oCoD_kOFMs0C_o#HRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#ob; +R4@@4g:g:gc:gR:68sVVCFR0oCoD_o#HRo0Fo_DC#RHoLC_MGO0RDk RMs4_C0#CR4kM_o0Fo_DCOMFk0_Cs#;Ho +RNH3Ds0_HFsolMNC0R"FDooCH_#o +";N#HR$bM_sCC#sRPC4b; +R4@@46:(::4j(nn:jMRN8LPR_GMC0__j#kJlGLNR_GMC0__j#kJlGPNR_NCMLRDCEM_CNCLDRnkM_CP_MDNLCR +RRMRk4Pj__NCMLRDCkcM4_CP_MDNLCMRk4PU__NCML;DC +@bR@:444:46.4g:466:.8RN8MRk.F_0oCoD_kOFMs0C_GMC0jr.:Rj9k_M.0oFoDOC_F0kMCMs_CrG0.jj:9R +RRFR0oCoD_kOFMs0C_o#Hr:.jj09Rs;kC +@bR@:44(cc:::(c6kRlG_RLM0CG_L4R_GMC0R_4V#NDC_RLM0CGRML_C_G0jJ_#lNkG;R +b@4@4::(ccc:(:l6RksGR_GMC0_RsM0CGRDVN#0CRFDooCH_#o_RLM0CG_#j_JGlkNb; +R4@@44:44::c4:446kRlGFR0oCoD_kOFMs0C_GMC0jr.:Rj90oFoDOC_F0kMCMs_CrG0.jj:9NRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD +#CRRRRk_M.0oFoDOC_F0kMCMs_CrG0.jj:9pRAQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_H +o;b@R@4n4:j::cn6j:RV8VsRRLL_RLM0CG_O4RDk RMs4_C0#C;H +NR03sDs_FHNoMl"CRL +";N#HR$bM_sCC#sRPC4b; +R4@@4j:n:nc:jR:68sVVRssRRMs_CRG0ORD k_M4sCC#0N; +HsR30FD_sMHoNRlC";s" +RNH#_$Mb#sCCCsPR +4;b@R@4g4:g::cg6g:RV8VsFR0oCoD_kOFMs0C_o#Hr:.cj09RFDooCF_OkCM0sH_#ocr.:Rj9V#NDCN,VD,#CV#NDCN,VD,#C0oFoDOC_F0kMCMs_CrG0.jj:9R +RRDRO MRk4C_s#;C0 +RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o +";N#HR$bM_sCC#sRPC4y; +---------------------------------@- + + + + +ftell; +@E@MR@d6:U::(dgU:RsIF oRPNCRLE;NP +RNP3PH#ER8D4N; +PHR3#E_P84DR;P +NR$3#Ml_VN"bRI FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oNb3N P\E8"F +Is\ R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNM_C0E3P8 +\"I FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oNN3sOP\E8"F +Is\ R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#OF/LN_s88PsHCCs_MP03E"8\ +sIF "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8 +\"I FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oNO0FMs_FDC3M0P\E8"F +Is\ R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7./Co#HMFVDIs/#Oo/PNF_OMF0sDs_NOE3P8 +\"I FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nL.#b/#7CHVoMD/FI#/sOP_oN8PsHCCs_MP03E"8\ +sIF "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#.C/7#MHoVIDF/O#s/NPo_H8sP_CsN3sOP\E8"; +" +RNP#_$Mb#sCCCsPR +4; +@HR@c6:4::(c44:dDRO H_bMDRO H_bMN; +HsR30FD_sMHoNRlC" OD_MbH" +; + +@HR@c6:.::(c4.:6CRs#_C0bRHMsCC#0H_bMN; +HsR30FD_sMHoNRlC"#sCCb0_H;M" +@FR@c6:c::(c4c:.jRs_MbHR_sjb;HM +RNH3Ds0_HFsolMNCsR"jH_bM +"; +@FR@c6:c6:4::cc.sjR4H_bM4Rs_MbH;H +NR03sDs_FHNoMl"CRsb4_H;M" +@FR@c6:cd:.::cc.sUR.H_bM.Rs_MbH;H +NR03sDs_FHNoMl"CRsb._H;M" +@FR@c6:6::(c46:.jRo_MbHR_ojb;HM +RNH3Ds0_HFsolMNCoR"jH_bM +"; +@FR@c6:66:4::c6.ojR4H_bM4Ro_MbH;H +NR03sDs_FHNoMl"CRob4_H;M" +@FR@c6:6d:.::c6.oUR.H_bM.Ro_MbH;H +NR03sDs_FHNoMl"CRob._H;M" +@FR@c6:n::(c4n:.jRL_MbHR_Ljb;HM +RNH3Ds0_HFsolMNCLR"jH_bM +"; +@FR@c6:n6:4::cn.LjR4H_bM4RL_MbH;H +NR03sDs_FHNoMl"CRLb4_H;M" +@FR@c6:(::(c4(:6#RE$_MObRHMEM#$OH_bMN; +HsR30FD_sMHoNRlC"$E#MbO_H;M" +@FR@c6:U::(c4U:6#RP$_MObRHMPM#$OH_bMN; +HsR30FD_sMHoNRlC"$P#MbO_H;M" +@FR@66:j::(64j:gCR#P_CM#_CobrHM4jd:9CR#P_CM#_CobrHM4jd:9N; +HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M" +@FR@66:.::(64.:d_R8EM#$O_R8EM#$ON; +HsR30FD_sMHoNRlC"E8_#O$M" +; + +@FR@66:.n:4::6..8.R_$P#M8OR_$P#M +O;N3HRs_0DFosHMCNlR_"8PM#$O +"; +@FR@66:d::(6.d:._R8OkFDlOM_F0kMCgsr:Rj98F_ODMkl_kOFMs0Crjg:9N; +HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s +"; +@FR@66:c::(6.c:j_R8DCHM_kOFMs0CrjU:9_R8DCHM_kOFMs0CrjU:9N; +HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs; + + + +@FR@66:6::(6.6:n_R8#_C0OkFDlOM_F0kMC8sR_0#C_DOFk_lMOMFk0;Cs +RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs; + + + +@FR@66:6g:.::66c8nR_0#C_MDHCF_OkCM0s_R8#_C0DCHM_kOFMs0C;H +NR03sDs_FHNoMl"CR8C_#0H_DMOC_F0kMC;s" +@FR@66:n::(6.n:4_R8EM#$OF_OkCM0s:rgj89R_$E#MOO_F0kMCgsr:;j9 +RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s" +@FR@66:(::(6.(:4_R8PM#$OF_OkCM0s:rgj89R_$P#MOO_F0kMCgsr:;j9 +RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s" +@FR@66:U::(6.U:6_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sN; +HsR30FD_sMHoNRlC"#8_CE0_#O$M_kOFMs0C" +; + +@FR@66:UU:.::6Uc8nR_0#C_$P#MOO_F0kMC8sR_0#C_$P#MOO_F0kMC +s;N3HRs_0DFosHMCNlR_"8#_C0PM#$OF_OkCM0s +"; +@FR@66:g::(64g:n_R8EM_CNCLDRE8__NCML;DC +RNH3Ds0_HFsolMNC8R"_CE_MDNLC +"; +@FR@n6:j::(n4j:n_R8PM_CNCLDRP8__NCML;DC +RNH3Ds0_HFsolMNC8R"_CP_MDNLC +"; +@FR@n6:4::(ng4:Rs8_Rs8_;H +NR03sDs_FHNoMl"CR8"_s; + + + +@FR@n6:4.:4::n448cR_8oR_ +o;N3HRs_0DFosHMCNlR_"8o +"; +@FR@n6:4(:4::n448gR_8LR_ +L;N3HRs_0DFosHMCNlR_"8L +"; +@FR@n6:.::(n4.:g_R8EM#$O0_#Nr0Cj9:nRE8_#O$M_N#00jCr:;n9 +RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0" +; + +@FR@n6:d::(n4d:g_R8PM#$O0_#Nr0Cj9:nRP8_#O$M_N#00jCr:;n9 +RNH3Ds0_HFsolMNC8R"_$P#M#O_0CN0" +; + +@FR@n6:c::(n4c:(_R8#00NCD_O _R8#00NCD_O N; +HsR30FD_sMHoNRlC"#8_0CN0_ OD" +; + +@FR@n6:6::(n46:c_R80oFoD8CR_o0Fo;DC +RNH3Ds0_HFsolMNC8R"_o0Fo"DC; + + + +@FR@n6:n::(n.n:._R80oFoDOC_F0kMC.src9:jR08_FDooCF_OkCM0scr.:;j9 +RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;R +s@:@g4:66j6:46n:4RsIF FRLN_s88PsHCLsRCPENRNLFs88_sCHPsM_kHS0 +sCC#0N=#VsC_C0#C +CS#P_CM#rCo4jd:9C=#P_CM#_CobrHM4jd:9s; +Rg@@:44n:4j:n44:cFRIsP Ro8N_sCHPsCRLERNPP_oN8PsHCks_M +H0S OD= OD_MbH +CSs#=C0#CNV_#sCCS0 +OkFDlOM_F0kMCgsr:=j98F_ODMkl_kOFMs0Crjg:9D +SH_MCOMFk0rCsU9:j=D8_H_MCOMFk0rCsU9:j +_SECLMND8C=_CE_MDNLCP +S_NCML=DC8__PCLMNDSC +EM#$O#=E$_MO# +HoS$P#MPO=#O$M_o#H +_S8EM#$O0_#Nr0Cj9:n=E8_#O$M_N#00jCr: +n9SP8_#O$M_N#00jCr:=n98#_P$_MO#00NC:rjnS9 +8#_E$_MOOMFk0rCsg9:j=E8_#O$M_kOFMs0Crjg:98 +S_$P#MOO_F0kMCgsr:=j98#_P$_MOOMFk0rCsg9:j +_S8#_C0EM#$OF_OkCM0s_=8#_C0EM#$OF_OkCM0s8 +S_0#C_$P#MOO_F0kMC8s=_0#C_$P#MOO_F0kMCSs +8C_#0F_ODMkl_kOFMs0C=#8_CO0_FlDkMF_OkCM0s8 +S_0#C_MDHCF_OkCM0s_=8#_C0DCHM_kOFMs0C;R +s@:@g4:Un.U:4n(:4RsIF oRPNF_OMF0sDCRLERNPP_oNO0FMs_FDk0MH +DSO D=O H_bMs +SC0#C=V#NCC_s# +C0SDOFk_lMOMFk0rCsg9:j=O8_FlDkMF_OkCM0s:rgjS9 +0oFoDOC_F0kMC.src9:j=08_FDooCF_OkCM0scr.: +j9So0Fo=DC8F_0oCoD +HSDMOC_F0kMCUsr:=j98H_DMOC_F0kMCUsr: +j9SCP_MDNLC_=8PM_CNCLD +_SECLMND8C=_CE_MDNLCs +S=#s_HSo +o_=o# +HoSLL=_o#H;R +b@:@6c(4:::c44LdRk8VR_N#00OC_D8 R_N#00OC_DO RDb _H +M;b@R@6.:c:c(:.6:4RPHMR4kM_#sCCb0_HkMRMs4_C0#C_MbHR#sCCb0_H +M;b@R@gn:4gU:.:g4n:RdnLRkV8#_E$RMO8#_E$RMOEM#$OH_#ob; +Rg@@:g4n::.U4:ngdLnRkEVR#O$M_MbHR$E#MbO_HEMR#O$M_o#H;R +b@:@g4:(j.4U:(dj:nkRLV_R8PM#$O_R8PM#$O#RP$_MO#;Ho +@bR@4g:(.j:U(:4jn:dRVLkR$P#MbO_HPMR#O$M_MbHR$P#M#O_H +o;b@R@gg:4nc:.:n4g:R.ULRkV8R_s8R_ssH_#ob; +Rg@@:n4g::.c4:gn.LURksVRjH_bMjRs_MbHR#s_H +o;b@R@gg:4nc:.:n4g:R.ULRkVsb4_HsMR4H_bM_Rs#;Ho +@bR@4g:g.n:cg:4nU:.RVLkR_s.bRHMsb._HsMR_o#H;R +b@:@g4:g(.4c:g.(:UkRLV_R8o_R8o_Ro#;Ho +@bR@4g:g.(:cg:4(U:.RVLkR_ojbRHMobj_HoMR_o#H;R +b@:@g4:g(.4c:g.(:UkRLV4Ro_MbHR_o4bRHMoH_#ob; +Rg@@:(4g::.c4:g(.LURkoVR.H_bM.Ro_MbHR#o_H +o;b@R@gg:4Uc:.:U4g:R.ULRkVLbj_HLMRjH_bM_RL#;Ho +@bR@4g:g.U:cg:4UU:.RVLkR_L4bRHMLb4_HLMR_o#H;R +b@:@g4:gU.4c:g.U:UkRLV_R8L_R8L_RL#;Ho +@bR@4j::44::0.RsRkC0CskRk0sCb; +Rj@@:44::.4:RDVN#VCRNCD#RDVN# +C;b@R@g.:4.j:4:.4.:RdjD70R Ypq_1) Ma_C\G036kM_$8D_kOFMs0CRp7 q)Y_ a1 _GMC0k\3M86_DO$_F0kMCRs +R8RRDO$_F0kMC4sr:Rj90Csk,k0sCb; +Rg@@:.4.::4j4:..dFjRs7PR Ypq_1) Ma_C\G03nkM_$8D_kOFMs0CRp7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCRs +RkRRMs4_C0#C_MbHRp7 q)Y_ a1 _GMC0k\3M86_DO$_F0kMC +s;b@R@g.:4dn:.:d4.:Rc.NR88k_M48_D$OMFk0rCs.9:4R4kM_$8D_kOFMs0Cr4.:9DR8$F_OkCM0s:r4jR9 +R7RR Ypq_1) Ma_C\G036kM_$8D_kOFMs0C;R +b@:@g4:c.cc:4.R:6lRkG#CNV_#sCC#0RN_VCsCC#0sR0kVCRNCD#Rp7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMC +s;b@R@g.:4j::c4:.j6kRlGDR8$F_OkCM0sC_MG40r:Rj98_D$OMFk0_CsM0CGrj4:9NRVD,#CV#NDCR +RRMRk4D_8$F_OkCM0s:r.4s9RC0#C_MbH;R +b@:@g4:4dc4:4dR:68RVV8_D$OMFk0rCs49:jR$8D_kOFMs0Crj4:9DR8$F_OkCM0sC_MG40r: +j9RRRRO_D b;HM +RNH#_$Mb#sCCCsPR +4;N3HRs_0DFosHMCNlRD"8$F_OkCM0s +";C +; + diff --git a/bsp2/Designflow/syn/rev_1/vga.sxr b/bsp2/Designflow/syn/rev_1/vga.sxr new file mode 100644 index 0000000..4f45bb4 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.sxr @@ -0,0 +1,377 @@ + +BeginView vga NoName +Inst: dly_counter[1] dly_counter_1_ stratix_lcell_ff +Inst: dly_counter[0] dly_counter_0_ stratix_lcell_ff +Inst: d_toggle_counter_out[24] d_toggle_counter_out_24_ stratix_io +Inst: d_toggle_counter_out[23] d_toggle_counter_out_23_ stratix_io +Inst: d_toggle_counter_out[22] d_toggle_counter_out_22_ stratix_io +Inst: d_toggle_counter_out[21] d_toggle_counter_out_21_ stratix_io +Inst: d_toggle_counter_out[20] d_toggle_counter_out_20_ stratix_io +Inst: d_toggle_counter_out[19] d_toggle_counter_out_19_ stratix_io +Inst: d_toggle_counter_out[18] d_toggle_counter_out_18_ stratix_io +Inst: d_toggle_counter_out[17] d_toggle_counter_out_17_ stratix_io +Inst: d_toggle_counter_out[16] d_toggle_counter_out_16_ stratix_io +Inst: d_toggle_counter_out[15] d_toggle_counter_out_15_ stratix_io +Inst: d_toggle_counter_out[14] d_toggle_counter_out_14_ stratix_io +Inst: d_toggle_counter_out[13] d_toggle_counter_out_13_ stratix_io +Inst: d_toggle_counter_out[12] d_toggle_counter_out_12_ stratix_io +Inst: d_toggle_counter_out[11] d_toggle_counter_out_11_ stratix_io +Inst: d_toggle_counter_out[10] d_toggle_counter_out_10_ stratix_io +Inst: d_toggle_counter_out[9] d_toggle_counter_out_9_ stratix_io +Inst: d_toggle_counter_out[8] d_toggle_counter_out_8_ stratix_io +Inst: d_toggle_counter_out[7] d_toggle_counter_out_7_ stratix_io +Inst: d_toggle_counter_out[6] d_toggle_counter_out_6_ stratix_io +Inst: d_toggle_counter_out[5] d_toggle_counter_out_5_ stratix_io +Inst: d_toggle_counter_out[4] d_toggle_counter_out_4_ stratix_io +Inst: d_toggle_counter_out[3] d_toggle_counter_out_3_ stratix_io +Inst: d_toggle_counter_out[2] d_toggle_counter_out_2_ stratix_io +Inst: d_toggle_counter_out[1] d_toggle_counter_out_1_ stratix_io +Inst: d_toggle_counter_out[0] d_toggle_counter_out_0_ stratix_io +Inst: d_vsync_state_out[0] d_vsync_state_out_0_ stratix_io +Inst: d_vsync_state_out[1] d_vsync_state_out_1_ stratix_io +Inst: d_vsync_state_out[2] d_vsync_state_out_2_ stratix_io +Inst: d_vsync_state_out[3] d_vsync_state_out_3_ stratix_io +Inst: d_vsync_state_out[4] d_vsync_state_out_4_ stratix_io +Inst: d_vsync_state_out[5] d_vsync_state_out_5_ stratix_io +Inst: d_vsync_state_out[6] d_vsync_state_out_6_ stratix_io +Inst: d_hsync_state_out[0] d_hsync_state_out_0_ stratix_io +Inst: d_hsync_state_out[1] d_hsync_state_out_1_ stratix_io +Inst: d_hsync_state_out[2] d_hsync_state_out_2_ stratix_io +Inst: d_hsync_state_out[3] d_hsync_state_out_3_ stratix_io +Inst: d_hsync_state_out[4] d_hsync_state_out_4_ stratix_io +Inst: d_hsync_state_out[5] d_hsync_state_out_5_ stratix_io +Inst: d_hsync_state_out[6] d_hsync_state_out_6_ stratix_io +Inst: d_vsync_counter_out[9] d_vsync_counter_out_9_ stratix_io +Inst: d_vsync_counter_out[8] d_vsync_counter_out_8_ stratix_io +Inst: d_vsync_counter_out[7] d_vsync_counter_out_7_ stratix_io +Inst: d_vsync_counter_out[6] d_vsync_counter_out_6_ stratix_io +Inst: d_vsync_counter_out[5] d_vsync_counter_out_5_ stratix_io +Inst: d_vsync_counter_out[4] d_vsync_counter_out_4_ stratix_io +Inst: d_vsync_counter_out[3] d_vsync_counter_out_3_ stratix_io +Inst: d_vsync_counter_out[2] d_vsync_counter_out_2_ stratix_io +Inst: d_vsync_counter_out[1] d_vsync_counter_out_1_ stratix_io +Inst: d_vsync_counter_out[0] d_vsync_counter_out_0_ stratix_io +Inst: d_hsync_counter_out[9] d_hsync_counter_out_9_ stratix_io +Inst: d_hsync_counter_out[8] d_hsync_counter_out_8_ stratix_io +Inst: d_hsync_counter_out[7] d_hsync_counter_out_7_ stratix_io +Inst: d_hsync_counter_out[6] d_hsync_counter_out_6_ stratix_io +Inst: d_hsync_counter_out[5] d_hsync_counter_out_5_ stratix_io +Inst: d_hsync_counter_out[4] d_hsync_counter_out_4_ stratix_io +Inst: d_hsync_counter_out[3] d_hsync_counter_out_3_ stratix_io +Inst: d_hsync_counter_out[2] d_hsync_counter_out_2_ stratix_io +Inst: d_hsync_counter_out[1] d_hsync_counter_out_1_ stratix_io +Inst: d_hsync_counter_out[0] d_hsync_counter_out_0_ stratix_io +Inst: d_line_counter_out[8] d_line_counter_out_8_ stratix_io +Inst: d_line_counter_out[7] d_line_counter_out_7_ stratix_io +Inst: d_line_counter_out[6] d_line_counter_out_6_ stratix_io +Inst: d_line_counter_out[5] d_line_counter_out_5_ stratix_io +Inst: d_line_counter_out[4] d_line_counter_out_4_ stratix_io +Inst: d_line_counter_out[3] d_line_counter_out_3_ stratix_io +Inst: d_line_counter_out[2] d_line_counter_out_2_ stratix_io +Inst: d_line_counter_out[1] d_line_counter_out_1_ stratix_io +Inst: d_line_counter_out[0] d_line_counter_out_0_ stratix_io +Inst: d_column_counter_out[9] d_column_counter_out_9_ stratix_io +Inst: d_column_counter_out[8] d_column_counter_out_8_ stratix_io +Inst: d_column_counter_out[7] d_column_counter_out_7_ stratix_io +Inst: d_column_counter_out[6] d_column_counter_out_6_ stratix_io +Inst: d_column_counter_out[5] d_column_counter_out_5_ stratix_io +Inst: d_column_counter_out[4] d_column_counter_out_4_ stratix_io +Inst: d_column_counter_out[3] d_column_counter_out_3_ stratix_io +Inst: d_column_counter_out[2] d_column_counter_out_2_ stratix_io +Inst: d_column_counter_out[1] d_column_counter_out_1_ stratix_io +Inst: d_column_counter_out[0] d_column_counter_out_0_ stratix_io +Inst: seven_seg_pin_tri[13] seven_seg_pin_tri_13_ stratix_io +Inst: seven_seg_pin_out[12] seven_seg_pin_out_12_ stratix_io +Inst: seven_seg_pin_out[11] seven_seg_pin_out_11_ stratix_io +Inst: seven_seg_pin_out[10] seven_seg_pin_out_10_ stratix_io +Inst: seven_seg_pin_out[9] seven_seg_pin_out_9_ stratix_io +Inst: seven_seg_pin_out[8] seven_seg_pin_out_8_ stratix_io +Inst: seven_seg_pin_out[7] seven_seg_pin_out_7_ stratix_io +Inst: seven_seg_pin_tri[6] seven_seg_pin_tri_6_ stratix_io +Inst: seven_seg_pin_tri[5] seven_seg_pin_tri_5_ stratix_io +Inst: seven_seg_pin_tri[4] seven_seg_pin_tri_4_ stratix_io +Inst: seven_seg_pin_tri[3] seven_seg_pin_tri_3_ stratix_io +Inst: seven_seg_pin_out[2] seven_seg_pin_out_2_ stratix_io +Inst: seven_seg_pin_out[1] seven_seg_pin_out_1_ stratix_io +Inst: seven_seg_pin_tri[0] seven_seg_pin_tri_0_ stratix_io +Net: DELAY_RESET_next\.un6_dly_counter_0_x DELAY_RESET_next_un6_dly_counter_0_x +Net: vga_driver_unit.h_sync vga_driver_unit_h_sync +Net: vga_driver_unit.v_sync vga_driver_unit_v_sync +Net: vga_driver_unit.column_counter_sig[0] vga_driver_unit_column_counter_sig[0] +Net: vga_driver_unit.column_counter_sig[1] vga_driver_unit_column_counter_sig[1] +Net: vga_driver_unit.column_counter_sig[2] vga_driver_unit_column_counter_sig[2] +Net: vga_driver_unit.column_counter_sig[3] vga_driver_unit_column_counter_sig[3] +Net: vga_driver_unit.column_counter_sig[4] vga_driver_unit_column_counter_sig[4] +Net: vga_driver_unit.column_counter_sig[5] vga_driver_unit_column_counter_sig[5] +Net: vga_driver_unit.column_counter_sig[6] vga_driver_unit_column_counter_sig[6] +Net: vga_driver_unit.column_counter_sig[7] vga_driver_unit_column_counter_sig[7] +Net: vga_driver_unit.column_counter_sig[8] vga_driver_unit_column_counter_sig[8] +Net: vga_driver_unit.column_counter_sig[9] vga_driver_unit_column_counter_sig[9] +Net: vga_driver_unit.line_counter_sig[0] vga_driver_unit_line_counter_sig[0] +Net: vga_driver_unit.line_counter_sig[1] vga_driver_unit_line_counter_sig[1] +Net: vga_driver_unit.line_counter_sig[2] vga_driver_unit_line_counter_sig[2] +Net: vga_driver_unit.line_counter_sig[3] vga_driver_unit_line_counter_sig[3] +Net: vga_driver_unit.line_counter_sig[4] vga_driver_unit_line_counter_sig[4] +Net: vga_driver_unit.line_counter_sig[5] vga_driver_unit_line_counter_sig[5] +Net: vga_driver_unit.line_counter_sig[6] vga_driver_unit_line_counter_sig[6] +Net: vga_driver_unit.line_counter_sig[7] vga_driver_unit_line_counter_sig[7] +Net: vga_driver_unit.line_counter_sig[8] vga_driver_unit_line_counter_sig[8] +Net: vga_driver_unit.hsync_counter[0] vga_driver_unit_hsync_counter[0] +Net: vga_driver_unit.hsync_counter[1] vga_driver_unit_hsync_counter[1] +Net: vga_driver_unit.hsync_counter[2] vga_driver_unit_hsync_counter[2] +Net: vga_driver_unit.hsync_counter[3] vga_driver_unit_hsync_counter[3] +Net: vga_driver_unit.hsync_counter[4] vga_driver_unit_hsync_counter[4] +Net: vga_driver_unit.hsync_counter[5] vga_driver_unit_hsync_counter[5] +Net: vga_driver_unit.hsync_counter[6] vga_driver_unit_hsync_counter[6] +Net: vga_driver_unit.hsync_counter[7] vga_driver_unit_hsync_counter[7] +Net: vga_driver_unit.hsync_counter[8] vga_driver_unit_hsync_counter[8] +Net: vga_driver_unit.hsync_counter[9] vga_driver_unit_hsync_counter[9] +Net: vga_driver_unit.vsync_counter[0] vga_driver_unit_vsync_counter[0] +Net: vga_driver_unit.vsync_counter[1] vga_driver_unit_vsync_counter[1] +Net: vga_driver_unit.vsync_counter[2] vga_driver_unit_vsync_counter[2] +Net: vga_driver_unit.vsync_counter[3] vga_driver_unit_vsync_counter[3] +Net: vga_driver_unit.vsync_counter[4] vga_driver_unit_vsync_counter[4] +Net: vga_driver_unit.vsync_counter[5] vga_driver_unit_vsync_counter[5] +Net: vga_driver_unit.vsync_counter[6] vga_driver_unit_vsync_counter[6] +Net: vga_driver_unit.vsync_counter[7] vga_driver_unit_vsync_counter[7] +Net: vga_driver_unit.vsync_counter[8] vga_driver_unit_vsync_counter[8] +Net: vga_driver_unit.vsync_counter[9] vga_driver_unit_vsync_counter[9] +Net: vga_driver_unit.d_set_hsync_counter vga_driver_unit_d_set_hsync_counter +Net: vga_driver_unit.d_set_vsync_counter vga_driver_unit_d_set_vsync_counter +Net: vga_driver_unit.h_enable_sig vga_driver_unit_h_enable_sig +Net: vga_driver_unit.v_enable_sig vga_driver_unit_v_enable_sig +Net: vga_control_unit.r vga_control_unit_r +Net: vga_control_unit.g vga_control_unit_g +Net: vga_control_unit.b vga_control_unit_b +Net: vga_driver_unit.hsync_state[6] vga_driver_unit_hsync_state[6] +Net: vga_driver_unit.hsync_state[5] vga_driver_unit_hsync_state[5] +Net: vga_driver_unit.hsync_state[4] vga_driver_unit_hsync_state[4] +Net: vga_driver_unit.hsync_state[3] vga_driver_unit_hsync_state[3] +Net: vga_driver_unit.hsync_state[2] vga_driver_unit_hsync_state[2] +Net: vga_driver_unit.hsync_state[1] vga_driver_unit_hsync_state[1] +Net: vga_driver_unit.hsync_state[0] vga_driver_unit_hsync_state[0] +Net: vga_driver_unit.vsync_state[6] vga_driver_unit_vsync_state[6] +Net: vga_driver_unit.vsync_state[5] vga_driver_unit_vsync_state[5] +Net: vga_driver_unit.vsync_state[4] vga_driver_unit_vsync_state[4] +Net: vga_driver_unit.vsync_state[3] vga_driver_unit_vsync_state[3] +Net: vga_driver_unit.vsync_state[2] vga_driver_unit_vsync_state[2] +Net: vga_driver_unit.vsync_state[1] vga_driver_unit_vsync_state[1] +Net: vga_driver_unit.vsync_state[0] vga_driver_unit_vsync_state[0] +Net: vga_control_unit.toggle_sig vga_control_unit_toggle_sig +Net: vga_control_unit.toggle_counter_sig[0] vga_control_unit_toggle_counter_sig[0] +Net: vga_control_unit.toggle_counter_sig[1] vga_control_unit_toggle_counter_sig[1] +Net: vga_control_unit.toggle_counter_sig[2] vga_control_unit_toggle_counter_sig[2] +Net: vga_control_unit.toggle_counter_sig[3] vga_control_unit_toggle_counter_sig[3] +Net: vga_control_unit.toggle_counter_sig[4] vga_control_unit_toggle_counter_sig[4] +Net: vga_control_unit.toggle_counter_sig[5] vga_control_unit_toggle_counter_sig[5] +Net: vga_control_unit.toggle_counter_sig[6] vga_control_unit_toggle_counter_sig[6] +Net: vga_control_unit.toggle_counter_sig[7] vga_control_unit_toggle_counter_sig[7] +Net: vga_control_unit.toggle_counter_sig[8] vga_control_unit_toggle_counter_sig[8] +Net: vga_control_unit.toggle_counter_sig[9] vga_control_unit_toggle_counter_sig[9] +Net: vga_control_unit.toggle_counter_sig[10] vga_control_unit_toggle_counter_sig[10] +Net: vga_control_unit.toggle_counter_sig[11] vga_control_unit_toggle_counter_sig[11] +Net: vga_control_unit.toggle_counter_sig[12] vga_control_unit_toggle_counter_sig[12] +Net: vga_control_unit.toggle_counter_sig[13] vga_control_unit_toggle_counter_sig[13] +Net: vga_control_unit.toggle_counter_sig[14] vga_control_unit_toggle_counter_sig[14] +Net: vga_control_unit.toggle_counter_sig[15] vga_control_unit_toggle_counter_sig[15] +Net: vga_control_unit.toggle_counter_sig[16] vga_control_unit_toggle_counter_sig[16] +Net: vga_control_unit.toggle_counter_sig[17] vga_control_unit_toggle_counter_sig[17] +Net: vga_control_unit.toggle_counter_sig[18] vga_control_unit_toggle_counter_sig[18] +Net: vga_control_unit.toggle_counter_sig[19] vga_control_unit_toggle_counter_sig[19] +Net: vga_control_unit.toggle_counter_sig[20] vga_control_unit_toggle_counter_sig[20] +Net: vga_control_unit.toggle_counter_sig[21] vga_control_unit_toggle_counter_sig[21] +Net: vga_control_unit.toggle_counter_sig[22] vga_control_unit_toggle_counter_sig[22] +Net: vga_control_unit.toggle_counter_sig[23] vga_control_unit_toggle_counter_sig[23] +Net: vga_control_unit.toggle_counter_sig[24] vga_control_unit_toggle_counter_sig[24] +Net: clk_pin_c G_33 +EndView vga NoName + +BeginView vga_driver NoName +Inst: hsync_counter[0] hsync_counter_0_ stratix_lcell_ff +Inst: hsync_counter[1] hsync_counter_1_ stratix_lcell_ff +Inst: hsync_counter[2] hsync_counter_2_ stratix_lcell_ff +Inst: hsync_counter[3] hsync_counter_3_ stratix_lcell_ff +Inst: hsync_counter[4] hsync_counter_4_ stratix_lcell_ff +Inst: hsync_counter[5] hsync_counter_5_ stratix_lcell_ff +Inst: hsync_counter[6] hsync_counter_6_ stratix_lcell_ff +Inst: hsync_counter[7] hsync_counter_7_ stratix_lcell_ff +Inst: hsync_counter[8] hsync_counter_8_ stratix_lcell_ff +Inst: hsync_counter[9] hsync_counter_9_ stratix_lcell_ff +Inst: vsync_counter[0] vsync_counter_0_ stratix_lcell_ff +Inst: vsync_counter[1] vsync_counter_1_ stratix_lcell_ff +Inst: vsync_counter[2] vsync_counter_2_ stratix_lcell_ff +Inst: vsync_counter[3] vsync_counter_3_ stratix_lcell_ff +Inst: vsync_counter[4] vsync_counter_4_ stratix_lcell_ff +Inst: vsync_counter[5] vsync_counter_5_ stratix_lcell_ff +Inst: vsync_counter[6] vsync_counter_6_ stratix_lcell_ff +Inst: vsync_counter[7] vsync_counter_7_ stratix_lcell_ff +Inst: vsync_counter[8] vsync_counter_8_ stratix_lcell_ff +Inst: vsync_counter[9] vsync_counter_9_ stratix_lcell_ff +Inst: column_counter_sig[9] column_counter_sig_9_ stratix_lcell_ff +Inst: column_counter_sig[8] column_counter_sig_8_ stratix_lcell_ff +Inst: column_counter_sig[7] column_counter_sig_7_ stratix_lcell_ff +Inst: column_counter_sig[6] column_counter_sig_6_ stratix_lcell_ff +Inst: column_counter_sig[5] column_counter_sig_5_ stratix_lcell_ff +Inst: column_counter_sig[4] column_counter_sig_4_ stratix_lcell_ff +Inst: column_counter_sig[3] column_counter_sig_3_ stratix_lcell_ff +Inst: column_counter_sig[2] column_counter_sig_2_ stratix_lcell_ff +Inst: column_counter_sig[1] column_counter_sig_1_ stratix_lcell_ff +Inst: column_counter_sig[0] column_counter_sig_0_ stratix_lcell_ff +Inst: hsync_state[6] hsync_state_6_ stratix_lcell_ff +Inst: vsync_state[0] vsync_state_0_ stratix_lcell_ff +Inst: vsync_state[1] vsync_state_1_ stratix_lcell_ff +Inst: vsync_state[6] vsync_state_6_ stratix_lcell_ff +Inst: line_counter_sig[8] line_counter_sig_8_ stratix_lcell_ff +Inst: line_counter_sig[7] line_counter_sig_7_ stratix_lcell_ff +Inst: line_counter_sig[6] line_counter_sig_6_ stratix_lcell_ff +Inst: line_counter_sig[5] line_counter_sig_5_ stratix_lcell_ff +Inst: line_counter_sig[4] line_counter_sig_4_ stratix_lcell_ff +Inst: line_counter_sig[3] line_counter_sig_3_ stratix_lcell_ff +Inst: line_counter_sig[2] line_counter_sig_2_ stratix_lcell_ff +Inst: line_counter_sig[1] line_counter_sig_1_ stratix_lcell_ff +Inst: line_counter_sig[0] line_counter_sig_0_ stratix_lcell_ff +Inst: v_enable_sig v_enable_sig_Z stratix_lcell_ff +Inst: h_enable_sig h_enable_sig_Z stratix_lcell_ff +Inst: h_sync h_sync_Z stratix_lcell_ff +Inst: v_sync v_sync_Z stratix_lcell_ff +Inst: vsync_state[5] vsync_state_5_ stratix_lcell_ff +Inst: vsync_state[4] vsync_state_4_ stratix_lcell_ff +Inst: vsync_state[3] vsync_state_3_ stratix_lcell_ff +Inst: vsync_state[2] vsync_state_2_ stratix_lcell_ff +Inst: hsync_state[5] hsync_state_5_ stratix_lcell_ff +Inst: hsync_state[4] hsync_state_4_ stratix_lcell_ff +Inst: hsync_state[3] hsync_state_3_ stratix_lcell_ff +Inst: hsync_state[2] hsync_state_2_ stratix_lcell_ff +Inst: hsync_state[1] hsync_state_1_ stratix_lcell_ff +Inst: hsync_state[0] hsync_state_0_ stratix_lcell_ff +Inst: vsync_state_next_2_sqmuxa vsync_state_next_2_sqmuxa_cZ stratix_lcell +Inst: hsync_state_3_0_0_0__g0_0 hsync_state_3_0_0_0__g0_0_cZ stratix_lcell +Inst: un1_hsync_state_next_1_sqmuxa_0 un1_hsync_state_next_1_sqmuxa_0_cZ stratix_lcell +Inst: un1_vsync_state_next_1_sqmuxa_0 un1_vsync_state_next_1_sqmuxa_0_cZ stratix_lcell +Inst: vsync_state_3_iv_0_0__g0_0_a3_0 vsync_state_3_iv_0_0__g0_0_a3_0_cZ stratix_lcell +Inst: LINE_COUNT_next\.un10_line_counter_siglto8 LINE_COUNT_next_un10_line_counter_siglto8 stratix_lcell +Inst: vsync_state_next_1_sqmuxa_1 vsync_state_next_1_sqmuxa_1_cZ stratix_lcell +Inst: vsync_state_next_1_sqmuxa_2 vsync_state_next_1_sqmuxa_2_cZ stratix_lcell +Inst: vsync_state_next_1_sqmuxa_3 vsync_state_next_1_sqmuxa_3_cZ stratix_lcell +Inst: COLUMN_COUNT_next\.un10_column_counter_siglto9 COLUMN_COUNT_next_un10_column_counter_siglto9 stratix_lcell +Inst: hsync_state_next_1_sqmuxa_2 hsync_state_next_1_sqmuxa_2_cZ stratix_lcell +Inst: hsync_state_next_1_sqmuxa_1 hsync_state_next_1_sqmuxa_1_cZ stratix_lcell +Inst: HSYNC_FSM_next\.un13_hsync_counter HSYNC_FSM_next_un13_hsync_counter stratix_lcell +Inst: HSYNC_COUNT_next\.un9_hsync_counterlt9 HSYNC_COUNT_next_un9_hsync_counterlt9 stratix_lcell +Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9 VSYNC_COUNT_next_un9_vsync_counterlt9 stratix_lcell +Inst: HSYNC_FSM_next\.un12_hsync_counter HSYNC_FSM_next_un12_hsync_counter stratix_lcell +Inst: LINE_COUNT_next\.un10_line_counter_siglto5 LINE_COUNT_next_un10_line_counter_siglto5 stratix_lcell +Inst: VSYNC_FSM_next\.un15_vsync_counter_4 VSYNC_FSM_next_un15_vsync_counter_4 stratix_lcell +Inst: VSYNC_FSM_next\.un13_vsync_counter_4 VSYNC_FSM_next_un13_vsync_counter_4 stratix_lcell +Inst: COLUMN_COUNT_next\.un10_column_counter_siglt6 COLUMN_COUNT_next_un10_column_counter_siglt6 stratix_lcell +Inst: hsync_counter_next_1_sqmuxa hsync_counter_next_1_sqmuxa_cZ stratix_lcell +Inst: VSYNC_FSM_next\.un14_vsync_counter_8 VSYNC_FSM_next_un14_vsync_counter_8 stratix_lcell +Inst: line_counter_next_0_sqmuxa_1_1 line_counter_next_0_sqmuxa_1_1_cZ stratix_lcell +Inst: v_sync_1_0_0_0_g1 v_sync_1_0_0_0_g1_cZ stratix_lcell +Inst: h_enable_sig_1_0_0_0_g0_i_o4 h_enable_sig_1_0_0_0_g0_i_o4_cZ stratix_lcell +Inst: vsync_counter_next_1_sqmuxa vsync_counter_next_1_sqmuxa_cZ stratix_lcell +Inst: v_enable_sig_1_0_0_0_g0_i_o4 v_enable_sig_1_0_0_0_g0_i_o4_cZ stratix_lcell +Inst: h_sync_1_0_0_0_g1 h_sync_1_0_0_0_g1_cZ stratix_lcell +Inst: column_counter_next_0_sqmuxa_1_1 column_counter_next_0_sqmuxa_1_1_cZ stratix_lcell +Inst: HSYNC_FSM_next\.un12_hsync_counter_4 HSYNC_FSM_next_un12_hsync_counter_4 stratix_lcell +Inst: HSYNC_FSM_next\.un12_hsync_counter_3 HSYNC_FSM_next_un12_hsync_counter_3 stratix_lcell +Inst: HSYNC_FSM_next\.un11_hsync_counter_3 HSYNC_FSM_next_un11_hsync_counter_3 stratix_lcell +Inst: HSYNC_FSM_next\.un11_hsync_counter_2 HSYNC_FSM_next_un11_hsync_counter_2 stratix_lcell +Inst: HSYNC_COUNT_next\.un9_hsync_counterlt9_3 HSYNC_COUNT_next_un9_hsync_counterlt9_3 stratix_lcell +Inst: HSYNC_FSM_next\.un13_hsync_counter_2 HSYNC_FSM_next_un13_hsync_counter_2 stratix_lcell +Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9_6 VSYNC_COUNT_next_un9_vsync_counterlt9_6 stratix_lcell +Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9_5 VSYNC_COUNT_next_un9_vsync_counterlt9_5 stratix_lcell +Inst: HSYNC_FSM_next\.un10_hsync_counter_4 HSYNC_FSM_next_un10_hsync_counter_4 stratix_lcell +Inst: HSYNC_FSM_next\.un10_hsync_counter_3 HSYNC_FSM_next_un10_hsync_counter_3 stratix_lcell +Inst: VSYNC_FSM_next\.un15_vsync_counter_3 VSYNC_FSM_next_un15_vsync_counter_3 stratix_lcell +Inst: VSYNC_FSM_next\.un13_vsync_counter_3 VSYNC_FSM_next_un13_vsync_counter_3 stratix_lcell +Inst: COLUMN_COUNT_next\.un10_column_counter_siglt6_4 COLUMN_COUNT_next_un10_column_counter_siglt6_4 stratix_lcell +Inst: LINE_COUNT_next\.un10_line_counter_siglt4_2 LINE_COUNT_next_un10_line_counter_siglt4_2 stratix_lcell +Inst: HSYNC_FSM_next\.un10_hsync_counter_1 HSYNC_FSM_next_un10_hsync_counter_1 stratix_lcell +Inst: VSYNC_FSM_next\.un12_vsync_counter_6 VSYNC_FSM_next_un12_vsync_counter_6 stratix_lcell +Inst: VSYNC_FSM_next\.un12_vsync_counter_7 VSYNC_FSM_next_un12_vsync_counter_7 stratix_lcell +Inst: HSYNC_FSM_next\.un13_hsync_counter_7 HSYNC_FSM_next_un13_hsync_counter_7 stratix_lcell +Inst: un1_hsync_state_3_0 un1_hsync_state_3_0_cZ stratix_lcell +Inst: un1_vsync_state_2_0 un1_vsync_state_2_0_cZ stratix_lcell +Inst: d_set_hsync_counter d_set_hsync_counter_cZ stratix_lcell +Inst: d_set_vsync_counter d_set_vsync_counter_cZ stratix_lcell +Inst: un1_line_counter_sig[9] un1_line_counter_sig_9_ stratix_lcell +Inst: un1_line_counter_sig[8] un1_line_counter_sig_8_ stratix_lcell +Inst: un1_line_counter_sig[7] un1_line_counter_sig_7_ stratix_lcell +Inst: un1_line_counter_sig[6] un1_line_counter_sig_6_ stratix_lcell +Inst: un1_line_counter_sig[5] un1_line_counter_sig_5_ stratix_lcell +Inst: un1_line_counter_sig[4] un1_line_counter_sig_4_ stratix_lcell +Inst: un1_line_counter_sig[3] un1_line_counter_sig_3_ stratix_lcell +Inst: un1_line_counter_sig[2] un1_line_counter_sig_2_ stratix_lcell +Inst: un1_line_counter_sig_a[1] un1_line_counter_sig_a_1_ stratix_lcell +Inst: un1_line_counter_sig[1] un1_line_counter_sig_1_ stratix_lcell +Inst: un2_column_counter_next[9] un2_column_counter_next_9_ stratix_lcell +Inst: un2_column_counter_next[8] un2_column_counter_next_8_ stratix_lcell +Inst: un2_column_counter_next[7] un2_column_counter_next_7_ stratix_lcell +Inst: un2_column_counter_next[6] un2_column_counter_next_6_ stratix_lcell +Inst: un2_column_counter_next[5] un2_column_counter_next_5_ stratix_lcell +Inst: un2_column_counter_next[4] un2_column_counter_next_4_ stratix_lcell +Inst: un2_column_counter_next[3] un2_column_counter_next_3_ stratix_lcell +Inst: un2_column_counter_next[2] un2_column_counter_next_2_ stratix_lcell +Inst: un2_column_counter_next[1] un2_column_counter_next_1_ stratix_lcell +Inst: un2_column_counter_next[0] un2_column_counter_next_0_ stratix_lcell +Inst: line_counter_next_0_sqmuxa_1_1_i line_counter_next_0_sqmuxa_1_1_i_cZ inv +Inst: column_counter_next_0_sqmuxa_1_1_i column_counter_next_0_sqmuxa_1_1_i_cZ inv +Inst: un9_vsync_counterlt9_i un9_vsync_counterlt9_i_cZ inv +Inst: G_16_i_i G_16_i_i_cZ inv +Inst: un9_hsync_counterlt9_i un9_hsync_counterlt9_i_cZ inv +Inst: G_2_i_i G_2_i_i_cZ inv +EndView vga_driver NoName + +BeginView vga_control NoName +Inst: toggle_counter_sig[24] toggle_counter_sig_24_ stratix_lcell_ff +Inst: toggle_counter_sig[23] toggle_counter_sig_23_ stratix_lcell_ff +Inst: toggle_counter_sig[22] toggle_counter_sig_22_ stratix_lcell_ff +Inst: toggle_counter_sig[21] toggle_counter_sig_21_ stratix_lcell_ff +Inst: toggle_counter_sig[20] toggle_counter_sig_20_ stratix_lcell_ff +Inst: toggle_counter_sig[19] toggle_counter_sig_19_ stratix_lcell_ff +Inst: toggle_counter_sig[18] toggle_counter_sig_18_ stratix_lcell_ff +Inst: toggle_counter_sig[17] toggle_counter_sig_17_ stratix_lcell_ff +Inst: toggle_counter_sig[16] toggle_counter_sig_16_ stratix_lcell_ff +Inst: toggle_counter_sig[15] toggle_counter_sig_15_ stratix_lcell_ff +Inst: toggle_counter_sig[14] toggle_counter_sig_14_ stratix_lcell_ff +Inst: toggle_counter_sig[13] toggle_counter_sig_13_ stratix_lcell_ff +Inst: toggle_counter_sig[12] toggle_counter_sig_12_ stratix_lcell_ff +Inst: toggle_counter_sig[11] toggle_counter_sig_11_ stratix_lcell_ff +Inst: toggle_counter_sig[10] toggle_counter_sig_10_ stratix_lcell_ff +Inst: toggle_counter_sig[9] toggle_counter_sig_9_ stratix_lcell_ff +Inst: toggle_counter_sig[8] toggle_counter_sig_8_ stratix_lcell_ff +Inst: toggle_counter_sig[7] toggle_counter_sig_7_ stratix_lcell_ff +Inst: toggle_counter_sig[6] toggle_counter_sig_6_ stratix_lcell_ff +Inst: toggle_counter_sig[5] toggle_counter_sig_5_ stratix_lcell_ff +Inst: toggle_counter_sig[4] toggle_counter_sig_4_ stratix_lcell_ff +Inst: toggle_counter_sig[3] toggle_counter_sig_3_ stratix_lcell_ff +Inst: toggle_counter_sig[2] toggle_counter_sig_2_ stratix_lcell_ff +Inst: toggle_counter_sig[1] toggle_counter_sig_1_ stratix_lcell_ff +Inst: toggle_counter_sig[0] toggle_counter_sig_0_ stratix_lcell_ff +Inst: toggle_sig toggle_sig_Z stratix_lcell_ff +Inst: r r_Z stratix_lcell_ff +Inst: b b_Z stratix_lcell_ff +Inst: g g_Z stratix_lcell_ff +Inst: toggle_sig_0_0_0_g1 toggle_sig_0_0_0_g1_cZ stratix_lcell +Inst: BLINKER_next\.un1_toggle_counter_siglto18 BLINKER_next_un1_toggle_counter_siglto18 stratix_lcell +Inst: b_next_0_sqmuxa_7_5 b_next_0_sqmuxa_7_5_cZ stratix_lcell +Inst: b_next_0_sqmuxa_7_4 b_next_0_sqmuxa_7_4_cZ stratix_lcell +Inst: b_next_0_sqmuxa_7_4_a b_next_0_sqmuxa_7_4_a_cZ stratix_lcell +Inst: b_next_0_sqmuxa_7_3 b_next_0_sqmuxa_7_3_cZ stratix_lcell +Inst: BLINKER_next\.un1_toggle_counter_siglto15 BLINKER_next_un1_toggle_counter_siglto15 stratix_lcell +Inst: DRAW_SQUARE_next\.un5_v_enablelto5 DRAW_SQUARE_next_un5_v_enablelto5 stratix_lcell +Inst: BLINKER_next\.un1_toggle_counter_siglto12 BLINKER_next_un1_toggle_counter_siglto12 stratix_lcell +Inst: DRAW_SQUARE_next\.un13_v_enablelto6 DRAW_SQUARE_next_un13_v_enablelto6 stratix_lcell +Inst: DRAW_SQUARE_next\.un9_v_enablelto6 DRAW_SQUARE_next_un9_v_enablelto6 stratix_lcell +Inst: BLINKER_next\.un1_toggle_counter_siglto9 BLINKER_next_un1_toggle_counter_siglto9 stratix_lcell +Inst: DRAW_SQUARE_next\.un17_v_enablelto3 DRAW_SQUARE_next_un17_v_enablelto3 stratix_lcell +Inst: toggle_sig_0_0_0_g1_2 toggle_sig_0_0_0_g1_2_cZ stratix_lcell +Inst: b_next_0_sqmuxa_7_2 b_next_0_sqmuxa_7_2_cZ stratix_lcell +Inst: DRAW_SQUARE_next\.un9_v_enablelto4 DRAW_SQUARE_next_un9_v_enablelto4 stratix_lcell +Inst: DRAW_SQUARE_next\.un5_v_enablelt2 DRAW_SQUARE_next_un5_v_enablelt2 stratix_lcell +Inst: DRAW_SQUARE_next\.un13_v_enablelto4_0 DRAW_SQUARE_next_un13_v_enablelto4_0 stratix_lcell +Inst: BLINKER_next\.un1_toggle_counter_siglt6 BLINKER_next_un1_toggle_counter_siglt6 stratix_lcell +Inst: un2_toggle_counter_next[0] un2_toggle_counter_next_0_ stratix_lcell +Inst: toggle_sig_0_0_0_g1_i toggle_sig_0_0_0_g1_i_cZ inv +EndView vga_control NoName diff --git a/bsp2/Designflow/syn/rev_1/vga.szr b/bsp2/Designflow/syn/rev_1/vga.szr new file mode 100644 index 0000000000000000000000000000000000000000..7d8551151ff61756337b1da4e5ff9a486146f5d5 GIT binary patch literal 14253 zcmV;eH&VzSiwFP!0000012oFL3c@fHhT*+eah7c2p&cBYGU)2$9-8KB5{OC3Nh^vM z?+*wsJj<(;qNSFGsr`jBb4=*8zPzp>3Vmt;ggg}ox1$Z!76GN=>3bfDL%BiNuGNm2 zU35t657Qsm$};c?5`CUixwAYnS8S%|&5wI|)=r<_00030|IEF6bE8PIKK!@&6!lxY zvCW>f1eRK8v^yIkz%)ItL85jn%X5yRqOcvtl8~SgFhk6Te>2g=Hzd0a-d)99Y+0f% zd3!P|Gpjq88@grx=rv9GxGCC}XN*S2O^+>|!L%{GYfcx&%(B0*=G@T@IX-cyOhv;F z=ejzIJsO{Q=5bf{M4cWRwr8=?F}!J>40Jn|kJo?qD10lHiITJ%S|?kad}=J_@SgK! zWKU^l3V*k#r=NToSxeoKyXL?c&?E6?1n=u#mbRnE@<8tzN9I7J^yb7CkD2TkI*XmT zZgvfunsj(#p3$z+oS&Kcx!9aJ@wsg^yWN>?z<(`$!P-slTx{q~6&AT1eKpJ`Jf}H~ zbvA4_mqVv@b~2|jGd)Ashla`KU43RyXSk%!$=rkadX!F2{@05~x@Azac{XqA;`#Y3 zcDi=cGT_rVUR!4iv3c&Pji$a7E!!L!UvXdcW;|@Nw&)CE*&Ce2y7|8YPj1C0BDIXU zr`vN~wj9}vZK}72j9MqgK-6vBlaKXdx~5Bf?3qJ)@^gEBjqClnIj3j3ITvTm^VmLj zigh-PSy#8Ztl3_iH$<-~s=75=+D6m-DmIVpnCZjzvN?2qZVl(KzcJN+ZnQhCPtDHz zpB1-6Q3QH6z4t%EX^EamPh{$N@1~*bv>c$4>CK(@(r4IOI&+xA$q;6340Nk`4v+F= z(L1({V{6o0jy$Kq2Gi;EZecZzbEE0Vz@JUz$5!kNyYxgK3@!cK0G2)=Q%&o zOE=C<+Vn)ZS;%~IbUbprC7TW!)5YDi33D#?qZvCt$1_J}Gtr&8cg>B=dh^(_hB7=! zY*G6}cPus>ozbQ#&fzQPv-757BhMJ!S<_-&y?wrDicPPfTDrJ2?2##cZ63#V6XgV` zrdz{NA-~qih>BJCN8Iqw(l9;8iRbT~6FgIH&OPKMj~dI9V^g%>`z+8ut+^PZ9{u0A zYkfCgpERlAn9C~iAksKKu{^JPM;8m>jtaeh{FB-PbJVkz^eUMsk*kGQOz{byDAZMG z>FdY!|EBP-)uUh>bX#zYf6#yS1|!q9=(0y5f8wheVLV96pYh~L#nXB=wPah5oqrg@ zKL@!G^I;@cn6CvS zVF7>TY4D_8Q#L*118-zl$6j-^95}3T2a;winwELq^h|kR%cItpf5;E>9`~r0+y-1> zc`;7T(lOJnH0mCn_bd9r1VdxC?2#;dkst5(*mXm{KW6H^DzPxUoxWwiUzK~)!{@hT z`O6bN31KwmkNc9U-rvG#w0(FqoJ_NKSYP3~@%dk1w8u1a=@^Fdnc7#S>|VpQ?HR2W znz?@Hj#buIHR(Pp*48+>u(+#x$m>B%o=jtkgm?cH2>dfF%KEKGnz`f<27Z zu0S)8GZv}@gr9`O)e1cjj@6H%89ZH5Nv^Tg#GUwA6tJ9US|Gs$q(lqdh;mY!oJkrZ znwk@rO;*t)^Ldc7C<(Qcuz;%M#lm1G-%qn~k~4*bQnZR(e-%;x<7k!u%~oL~ts>uL zk)KD2$`!&i5{0FMwdizFfo4g>!j%sT^WBIk6PI5F5{ncqq~yi2kU3ht_WNlzNmwK) z6QcG*3ADaM%e8)8G)s9NhWDV!m4N z3N2aT6Pi`fjf%!nm!$xE5=~2Z?-{O&Zq$@CWp3iTA&6TjtpYdYlf8>; zRH9kNl_23DTB}=$De%un(yWlP2<&B|5k5|HExJlvDkL?u7PUrrC9`WCIR43uNZN86oxE`QqU*Vf38Ss zv1buJAM}+QDWUJHjL^%2n^;NCd~iFH%UAVPU2-Pn1Y8)vq~LmH0pPh(U0joHjELWd zl_kpL3jO`VL4#^E(-3!Dxfyebn!RBYtI#Y1&X>6B1KRJe-bS-37$m{5G%XD7gIgE8 z77*$(ykCP)4Z4xBtb?#a;<@&grM;VGVUH4R6!yCN0wcMGSZgQ3R2gj-fgGe+=!Jj4}%YyWhMRf00R+lrSM>&@wR{|B{D_1PY!pn%g4BXbF z86estU@zR6$voTm@rjKf;3uXuGS1;B`v)oq*e}2yMQ8Y`^`!J1I?uNYZ(e~l1I%t;CB+ti80Y5j$ zSQ;wPK3H5`&a@s?r9LClEkW8vsEczZg_L}VPhHqDzGBjSq%rUZH5Fq$2gSOAoN>gB zD=m@;S79&RH1aVXC?$j|?#lppH4MVj72rlib(5YN3)P=;8x$e#Lpy+_+$c5Tv5o&k!U5|_=}VS z!q1hAU8+&w`<%e9R|-EMXYZ$3^h+)&JZEZl$^6ICke2EiajA$iZzQ#J|Mz1YU9kNo$fb#KOQ0 zt9}?nehB)Q!@sxt4|J>f)EYsZ5v(T4{`ebiBms7NywX_7+sALXt`st^ZZ zSN>&z;J^n={b(OI)*@#Z*Fj7GekYMG8ITbDrTwE-k+Vw*e8Cb0L^}!qqXLF}ZE5e8 zv&$ZhnB=>`3fxViBGwOS@QOXF;8U~9py2gAF{_f{-Y;h)3&)`L!NCnB7NwD-s!Y8E zd;OdzcXCz*H)cc`g9t|bdSp%h}wh2>Jp2wlBc+QV>*>-XC;*t>8NWmino@cekPFFaQx)*q*^ zi%G;mDX$QVm*o~TDE-u4+`T)$5ip3m8UvwVlt2qLdS9-GYtoG>%d#Tp3Whs${!{0f z=j&tENV81MwmAm7GbdV}o>bXCyKhgK;)3RvxSHna73gO5u`gH?%?kf4i5Tpq;zmBx zXn8h6Ei~I;ke%-iy2_u+i1uL$8%L{xA=#~GdvK3`xhG*cy&uoVz&%n09i z?pasf)#fY)aB89|S;mT-TLyj&sAj5`4Odyt6n_HT5%>fYvmb#;gZ0*q^*86#TN%qZ zSQ;{MB`uOB^r~dA8fq*yehc$??uR6N5i6@R4w8YH0UHYbwZb&lmk3FHy4QTZA2(ud z0gU6SzD5)c6bB)>223e|AL=Sfkojwjw;gR!iDv735J?Guaz5_^LN4R|&Cy?0vb!{E@IvsOAaDfK{wIse%Q@(zY!;=E$0X+qn`&AbnHnK9!0Dq4$X0<<{ zREwOgX$H8_&Hd!S`SBL(<3H7DCS3+fh=x13e34Z4L|Ij&Ss!z*!sj(U`-ZDbvwoIm zrTOg7N2?+4ie>$LwLcf+$BmOTzNJ}kReKgyjUtU79iusN17+_*Q(foV&)uf3k7-WMR)2z)xDF_lDu$&Tw zFAD@}pjkpAjDuXIKI;8!A1~6Hus+5?e!0*3`-gc>vkJJeh=TwgmH-v_$`w~8;4|$- z1=VP_@y|jxSZU}_^!J&x8vV0KNu`W4g`~_^wV*_{Yoi$eopAvABe|6VLQ7JlYr-G} z&A?7$9O+GmOjR%liIT!j-vWQo@W602U=Wlu#8&>;P3Zodc3KAp+0yJ1XvQTa3c~P` zl9xoQ34;_g3;X#VU0*g_HJV|b3-@^uvwCf`s=6^57xg3AFA@o^{Cya!mfUZoSy3y9 z=bdlZ#47d-Os14G>8hwr4>b}Z_6fM=Yd$GC(W*u>DJ9xfE-@{M?lZO*Ue&4_r6hM* zcBS#amsk)gzPpNOSTb0(x|rP8cIUU!6)EqzQjhWmR>Zzl*V5{9=?P~T+dkeODLbJvDX_jhl z!B+Li8Pe=EZ1t38@7Ik;vo~NXS`!A*-h!=a%Ol3Lr0nqdDy>V-c%(9tX)J_+_~HD< zW2%UAS+9^QmjrI4Xs_XdI&@>wqmmLtF7p2&Tu_sLmPAsZFjspG7u4dP1&I`Ciu*b) zsKGzm((E-{P=|l^lxF*JK~4VImS(Tvf?BZtmSz|Oba|0ae{i%a7$l;}O^!!QtgkSl z0#7EduUKRzXaL}_yc5(Gv$hfWDnh{(@g47vZ)^_ddc~$w8u(a_H0+1(#Ks~?a9Ti zD<5Q6+pP9kzAAF`K##oai&lf25h@jDo$iWZ0YVD6KjI-P!3fo9wylf7JdjOYDd_*a z@w~pjCYmK+Eh8mi65X3ZTp!I8kl`c+3!@xvcU9+EjKn8|DU;k!RF-Rj|FTcnZfRBX z8#$RsN@8%(9f` zhlZ=~oLXXjL{k59=ld&exsU)STjqtR~lPX zkh6z;5Gj>>c1cWqYwTWzg+tfE8>F>_^Pt1EN9sJneO?S)4{r3s2=C1ZR%{>eell9M z7_pyXF*+lwAi4+LvA4Z#b(&%SMxYknTjA5ab=&pPEDBS+>tvN6Dge&^$a^f1W{L&^ zwgO-^ezokOYMm$B$TiXqYyDT{y?g7UnRFYK#UsjTR-xAf(kx_3K%;%h8MV_4vl)Ve zxePVRSx6F>YY_omEK%|?=V#G|X3SMICGdH{`>)aL{c={&495rq{|eT{Q?R0H_=14- z&@2igUt<9Qo4E?|NyKg?7&8I8U5vYntJX&|l@UO1JikX>a*#o4kh3jbOu(6Wm1Y(C z79h<6^k4X-I7+zcpx$E6XlBSt?T$Prc^hEqC*Eqm3rG^tt`+- zVO;6&&58Pv#-ctnj+{XSpZZb_`2-Y)n}vbIQ}RnR;blOi`uSNOXoj(*F|fXyNU6&% z`Dy}|)F{F9&4BP~kqp7*Y)UbG*)t^ zBztlu9xv_PJ~iplt*?2-d=2&y#9(_iSiXr>Xtq6D!m)}vXNfSPtT0|7JhFhFjiR$$ zwOl#8cO+u#`!&PNebHC6u6SdZCRpoJ+>w-XfT?O}Z@JoH#0@eo;z&Wb|1O+5X|(5nq(+*BNglcy0AttBKkCeL zOEYkqz?XLW5&D8JbyZz7!!wF)Pptxwu?PyivmjqKT4YJ{e2Ytet@zuy&+sS@LouTCK(+AVvOb2<)MSSDLG8 zjQFsJ8u3dqG^){Tn|Foh6)6KVI%YvomY-Jx%_7<_>PFHP3#j@gpZeXgE4)i9!285i z%*%MgNY!YDcd$;_mGb;P>I1`7sPoLUq7G+&uluK?Rl5^mT;xGXs|@cDddt$@O|uN; zj3wZ|t9W-2iumg^t8mvb_HWF9--)DU2l}toLo*(!cuwYqyr>0c_ezpTB^av~Ipf9s zJ__+M2BPpQg=i@Y?*mXI%Khbw!79eCc!X&+m+>OV03q zYKZ`n^A)^5F{5s2u6dmpge%}PX=EIdygdG$|CojsygmL~`y!K6Rr^~)@c+`^6rx@$ z$-B?Fv>pwJe=BJyf)^~M7q1opRk1t8y*NS0!W58Jz$dSC*1%VVzErugjrnM#tS|So z(W>439lfDv@WWtp+tI7wyVrUKKigtN+g5JVfJH+FG>l08irFH-H#F(T2?Y0&+ zSe|g^QvYzD#cF<(e;F_>Ou`8B&kw|o>&e@|+)d0`3OIX9U#iNvqj+~gm=LfDWM2%S z7MiI+5jVzO3B_H@dp5Bank7_A*LS_C5^--;tQAvAYsKoztB+Ve)FPi?p9a8Onj7)p zaUZO@a&7P~uWe02u4#?KyI758pc_@i1vfFg#at+fmfvGn3(Yd>PnILK11r*8-lqKKK_(>D|tC&L}!Qa1fOc^Q8P0-sxEM&v`x)7@$@a-Iyd9 z3su6isL+p0`jrF$^Kq>!PL%EaMzzo^gVBoE8IOv!5caDCy9wiIK7c#K|IEE4s~;z8 zy*aIUZ7#?grrqEebi$u+<&?JG-;eOo+LYViKtfhM{FtF!t031=$T5FI!xnzn2NBO> zv5(Zc7P=mOWLbi;trEAWw?4G2;#E?KIfE*dm-f|5@h5k*x6e9M(8f(1E5ljH4 zas+s;cBz10vlX|RXr?9)^tvQ?HPnjVV!DI$@(iyEaE?I`bA8qt!c0Gep$miOhEwfF3c?-waOai#&U_d87zh#?21y0|0yM)>0LlMs0+6_iLkGMt8gEC z6yjM?jdcSFj}RCX7xis(5`kuTo}Ii{SQS~rx`9m}xLvIitWb&gv%8yWITMP~P5fi; z9zI)ICI9o$-d#>yjx}+B>H6W7%bzc6O|uFy1FZD`{}xzM18au&&};4`{Qp@GqDRA3 z>9HH`XtlokJ3q+!RcW@x_bl*tsSc({K~b7pWYYedkG2M-Q9VeX{%N_O9}Qw>Guna`Eb-S!$S_RnR?ue2-!rXtOx@L}&FK;irzWDSfCDd~A}jl5WaW*}!F z_!E4~8^|XC3nN7ZoAc|-#Z~E_fHlJXFxt`UwfpER${Bd?6Cz2wy*xZp4RYq@Ql?#@ zUIZ(KWk@UkdC%fn?Ac@8h&>Ik#`VzRD(XgpeIYRJh;?avQr7qL$p9zeG(}Pa=q`)tNLh$u{lX29P1SP6~W%|MSYMf zDNkc&HOSdEo~lMZ0}ZkQeN~)YFzxx6_2@=CBMF$4`yB5-)_fK0^;P(^XHH!?4!*`n zcF8`m>|%5GcPanZLo;onsrL-eek4r+OeGObn~?iHyH%gyf*NyU*=;9LCRd2t*!G?| zZ2JYkb-b3nT5@BxO}wB1A7$#E9>O)zjD+I^_b$V#h``rWz*&cDgm2zYGr%3U&uhaD zEUp8Suh5fF^TD#X!0*Z%?-i|bZ%yQKuBn76za%W!cV}u%Gz&4(0{nq>I(Kw@_v*r` z>c(|F;Tjj?(Wk^eCT9=NEymz7rOKOgV=MK%0D#Y7w8B4=c zAtfQer0SFUj2i5ALVZbdQ_NRYv@4&Jt5=$HR>a4E2}nPZ0yb`BmnB!iJ{hSRZ*KKT z(aW6?3FAwc-xfaWOH_+b1N2vUt}*GBC?I!x_K;HGkQ1EyqV>8B(3`{96fOH@Ue7I1 z3+2sErT#&EqD@c0+}^cby>D)K-qfvF1SV;H5oF<~KYsEhdj|VxFD=8S=3ogwipR2e zrdxB7nzXBbJ+ow!&Sc%O&+P6%r|^91x4Gq*vSr(XkbIfX0_Mq1*D##lWJeccQ`f%? zJX%@YK}Y@lRCP6E*kK=C4Nc-dmhZbc#g~r$LPXje~Wcf z{NfFCSvT$NoN=AF9(wEF>Z8~?c3ae@qWty9j9bod$*gWX{H(|4j(#>Ao}P$bE;&^ z)}VVPwRO|-WSGTo_SYq~sC?$@x3@9yM9ZeG_*JH6D?SW+SHh$3u5bS=#0vgr(Di;7)K9GZqc zqusdRnMb^a-_?iKSF`su9v-!p=gxY6@O|gpjJsVH>((%~*~~hZKbu|PDxB-79t(0b zGv&EsbqCZkMbV%`9mq;$*@2d7oY$lqq2r@D{p=LLx`tlrB;@GhN-ds1k#=k_t$Gx6q zrY&@Z%(85;3ttW;{$#D6Man?}fqsV96?NL1o=tnx`SkBok~<*gW^tMpIvc z?lDKk*SIMTmd%|kvVjw~y2GX!&mSGQPx-G}OZ+Fw5eh_~8lizF3&rJPXY|fnc+{QW0bOZit=?m6wdgo$8Z>nGn zmZPtR*&K**bNhVYE2k9?hJQNi_Ac6;Ui-S&>0I>wz&#ywX(1C<{FJ88WeTP(p2@V3 z@7ch#VG|4a`3M=AoSvY~eJsCckN4yoySe+JH{GjOZazq_C?~hrr)MX-!~bhN-Vb(n z^Q}jxKiKCpVBMIG=G2?%U#M+3=ei|QQJ^ITai@sWTW-Hj@?ira( z!Ol6oOVE&VEK)}_249bgJ@dMtJ*Vvg4zV0p6PK6%-8Gm8!3g49(8)ObDH*r?HD5%!yL$k&iw9Y z-aLIKynZivQM|V);4~w2bB_X1HSArV&;a8lzhaJ-*xtx97UFenfMXYh543mF!`POf%^Xa{QKOkJgny!M=y6ld0Ka!<@chPFzr}QE ziZgGg7kZwI`~r}`T5fd0w9{JH{}3OZVbRR)fjT$oWej#g92m}#MZe1483m2p9Smg) zl;Ipyp+OhZR_Bd6%buIDGdFhnY9V%P!3I>Ji)USjl9(FD9BC0eq6IbW&!CH7B*0)E zzz^_;=F@3UoVNe2({z3e%3hy|-5DLkAR#j7g&Ugoy(8V}EkHjD{nOnTI$hd;=LayE z`E$H>B2p?Qu>Z7CdA#lZe&m`S(-W~o11t?bANXuJ1GD^?o=T5yd9a}6cJJR}D|9dJ z%GLnk$%DOEbROF=3j6C&qetUQK&$fFmZARy#y&RY4(-lO)bItLW#Uf$zE>XF?~rlN z1FdPt*3zUh5Wp$5H|@9Co5f=rCWDT$2WRHeFpnKN_rNt@?wR-X-gz$;+j%egpPtNH zK0~*}G?wxXr(1x&o7CnP40m7ag z@8R9+Qr=y_zHNE;f-VZ)Mcz5116>>(%>bx@o}i}Z4NLtcN_(A~yH9rw!1J+iX`x)% zGy=79ECXlB=ML&={k!lv;MwLd*4eP#T#A6sv-xhlm$;`OC%&PhgD0zwBZHU!o;~e6 z?CC{%dMOC-WZAx5Qr(Cx(}(|CGO(dc*M5L4#2K1 zzr-^KZT02BN&1BRGU`0~5=<_ql2KSqTfF&*l~GH@W; z#u)~)y5)XocKTv3F@^$Kf48Rv@4|CrD|YM=*bDUe%jEKUzg#Z*owZy7e_fzlZh2!u zZ&f7(8#JF4x@5DTJKxp1j0+z?y`6*>Rp!pjC@_>Uvh=-v>~%T*?*KpV@c-fh@&C@p z*p4Hw99`>zPBaJ9*36*@ekkaF$pppvS*|=D`pvYFW}p6807fkE;Q;aBU})M!>`b@U zJ`nBx?HqW>21SUtiXyI}N8$*56qqd)ySfGDac3)Iy53KRuD}R%wSi$CnN+jE*A{WV zJPGF~f3Gg+Jl6$VTe%%irz_jh;}0Fv|LLb*M$;R5bMuD{$XbpaEdbZZ+fyR?w?)pCbpsi_$Q?GcXEspQEp*Q$JP3hNjL z?iI#=j6ViP7W3>4UISm)>fX_tmi?&#KJXgLE5FlqPs|`I&W@$k15W=2xEy{cY|d_u zU%^S0F-~V+0$l<+kQ?nb{j}&c0GTW>UJDNv1i%`F4hJ0ZO*`kN(O#-Ij}I}vB>o?xPN6B3!8iN*JH5iIZKuLA98TRnof^RuCg z^t1=>(?Tw8Qr`GuO1XGuZLv{|a;M8wgx?(z*5r6#L3IEspo3wC6yp_13+Mst1zN!b zQIpT84EzSVe4wN5ia{UiTMP+LL!Lz4JVFe$dA}vJgoima=!TaEHYjBW)RG844>5jn z46y4Y%jC>F$w0^AIvqe!;FLJ}*KUEE;XS>euh41^wE8{(|o~@hfYoT)?l=z;~Zja$5QX)In9A)vfzdf8K(6t6#kmg9ZwXW(X;qx8`z#6Q#=+dczFB$IDxG<*S{)sjWzLgOu0w(jk8RPSj&@n zolxQAKk-@$4>Gx(%a-Ty=QgDvJ}vrNx^58H0oU|1{CeG3?ACyEqaDl^q?T@v z;%>ZN@W{2n{Ks8`4UWuZJezv#Lt+=N#|nPLc#ME_m5!(`8-*`@CR(2GfrY#Ne}Voo{Gs^!^Uvbn1)cue=`RcG z7$03yOk1(-E*Of<8VB?N_lX-`#(6)|6LjN?;Tm_CiDE1mWV-CL!raudH$P!7u0 z@nlW3%mVItZE@<`zft~*X#^}VG#sYPkIM%*NOH`cK*E=P%T0kIzzNxn&y5rM^7}vzWO2;oI6a1HS#^ zXkakgTkku{#~0aRx-l?D1{>jfpdgm=29v-KOJ+PdcP<{(qEkfG#b>SovtL|ygk!Mk z+cQ5p{o&Bo4gXj=17;j~pnLFl(UD`BSzioepc|w0H0ToX>a5_2E;EjcIegRODSh=`?vj^M# zSv=mK@8yl-p$Jb}uV)dn-E*Gv#^T~ecyz`Lp1sw2{M}Da_wsvrKfqU}3yn)#7xy(^^@q#z*u3L>^TUd6Thn$L`{N#N28@+kh zj_>6Skv`BD)^oFC&}Y1H*Sc%G!W$QL@y5jg-e}z26}({&OxcLdVjgDe1%H2|cR##` zH(tox-IA5?MrSHqU(^A;D!&k*bcy|LtRVdL?La}HyR()zf2Zt)@e3-!xKOLN1~E1 zvA`xSo}4){#!gKqp5qx{Y2X9ReobX6j9jNl z+_lVSCm|0njPnZXIPZy06`9UFS-0kUKaa0Z)7Bkr2=ssG+O~F&sD5lXAVBa{yK8$( zOcJmkKLb+=rxyL(HFW26ZM%QOIa(Myp39d0{H$jC+R2k36oEK1i9N?z7H6dgzIXkp zb=_Exb5g{1blNqjbG*%8MqK0}2HSogG4ymgrQOe#e(D&-H!)d zBVn7fNd0CJg|GZd5PsS(f8M_0D7K8DQ~ccWO{6<6$=99vyT^QdhO~Y8*nWAwep4-u z8H)d}<&Hj$quCj#iD33w5KsTp@U(cn5u+~l#dBWsivtup`KcfL*azH(^@S3jaj|4& zLzceE{F9TCpW7Q<^5O&6yLr6W54Rus(mi2cY+auHU(1%;?1g+U4Ee==P-AU_L3kWT zUh{%W*IfE|=ytnwKWd)`o+X*{^MW3a>2i17DgM4_11{;jn8%FS?H9C>m&U`PV-0jE z_~Yi&%MY}x?T2Z|cZcZGJwTUfqt)JyUzIM0=CO}1pQabvdEC*9H`B$WdrOf2tLW0k zMwaiP%XI_f<+?Vy?48GJbm=r&ixU3WPnY(-*3tiEbm_d0E_V&kA20c|_IbQ2FE_2m zcjz&oONYMp`mdnN#XIE%+^ib)*xq@(Mi-dJ#dqnk_62?I^^tVh=R23Z-a{8~o)&M> zV^!zz8eQ&gTAdR9*cZQe)9ZWa((W`~eBg7Vv7o01_v1wj^|k#diP4nH%Qe`St*U<5 zkNU9uHZb3#d$#s5;?JJB1kZb&%Pi0T2fPgx?@e-K5%cKK$NV2WD--qE ziMRWk?%7@ZN>Ojz=(HBrH5FSRbe|WEo9j;N&&KJxejf8iP=_oUpRfPiTAZ3Rp0#9M z0AB{rdy2de@L>y|+My%#RO#7g?|2|u&ImmF8FI%H9lXXmiY0oDx0)SQbm zUG{JvhB~HA&xH7|>wF4)4?Hn@HZZzahl=1Lc2jGUm7V7X#%+Zx$ z&GH;mqN$-f>wKVDY+@kFX~C}QNpTN?ab%jfPRvd61_tK-I9;SUJfO&p9l_p=;-ZEN zq)Rskvsf4D%xNw4mMaL&D4<*n(rr+qEwn!gGB=&jpm~pr^b>90Aa~fCpLBw$Jqwt0kWbmU1?7WbW-^W>fk(p6=FmDhX*s(gA&dLI;jgS~w6HTm0|3)>?0z;t%*gwD0_xYiW=CQ8vmt~%C`IzsBjo=ykiY#{35 z=>N?O!AxTO85qMndZ-WRP$x!$TKe>F4|(<;9n6Y&rttgT-1gr~D`4OD5`GYb{~rJV P|NjF3SdAdo2LS;9#NIno literal 0 HcmV?d00001 diff --git a/bsp2/Designflow/syn/rev_1/vga.tcl b/bsp2/Designflow/syn/rev_1/vga.tcl new file mode 100644 index 0000000..65e3b45 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.tcl @@ -0,0 +1,41 @@ +# Run with quartus_sh -t + +# Global assignments +set_global_assignment -name TOP_LEVEL_ENTITY "|vga" +set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE NORMAL +set_global_assignment -name FAMILY "STRATIX" +set_global_assignment -name DEVICE "EP1S25F672C6" +set_global_assignment -section_id vga -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "SYNPLIFY" +set_global_assignment -section_id eda_design_synthesis -name EDA_USE_LMF synplcty.lmf +set_global_assignment -name TAO_FILE "myresults.tao" +set_global_assignment -name SOURCES_PER_DESTINATION_INCLUDE_COUNT "1000" +set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS "OFF" +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF" +set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF" +# set_global_assignment -name FITTER_EFFORT "STANDARD FIT" +#set_global_assignment -name EDA_RESYNTHESIS_TOOL "AMPLIFY" +set_global_assignment -name ENABLE_CLOCK_LATENCY "ON" + +# Clock assignments + +create_base_clock clk_pin_setting -fmax 25.175mhz -duty_cycle 50.00 -target clk_pin + + +# False path constraints + +# Multicycle constraints + +# Path delay constraints +if {[file exists ___quartus_options.tcl]} { + source ___quartus_options.tcl +} + + +# Incremental Compilation + # this will synchronize any existing partitions declared in Synpilfy + # with partitions existing in Quartus. If partitions exist, + # incremental compilation will be enabled + variable compile_point_list + set compile_point_list [list] + source "/opt/synplify/fpga_c200906/lib/altera/qic.tcl" diff --git a/bsp2/Designflow/syn/rev_1/vga.tlg b/bsp2/Designflow/syn/rev_1/vga.tlg new file mode 100644 index 0000000..4c6754f --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.tlg @@ -0,0 +1,12 @@ +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav +Post processing for work.vga_control.behav +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000") +@N: CD231 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000") +Post processing for work.vga_driver.behav +@N: CD630 :"/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav +Post processing for work.board_driver.behav +Post processing for work.vga.behav diff --git a/bsp2/Designflow/syn/rev_1/vga.vhm b/bsp2/Designflow/syn/rev_1/vga.vhm new file mode 100644 index 0000000..1a32a88 --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.vhm @@ -0,0 +1,6862 @@ +-- +-- Written by Synplicity +-- Product Version "C-2009.06" +-- Program "Synplify Pro", Mapper "map450rc, Build 029R" +-- Wed Oct 21 17:26:36 2009 +-- + +-- +-- Written by Synplify Pro version Build 029R +-- Wed Oct 21 17:26:36 2009 +-- + +-- +library ieee, stratix; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +library synplify; +use synplify.components.all; +use stratix.stratix_components.all; + +entity vga_control is +port( + line_counter_sig_0 : in std_logic; + line_counter_sig_2 : in std_logic; + line_counter_sig_1 : in std_logic; + line_counter_sig_3 : in std_logic; + line_counter_sig_6 : in std_logic; + line_counter_sig_5 : in std_logic; + line_counter_sig_4 : in std_logic; + line_counter_sig_7 : in std_logic; + line_counter_sig_8 : in std_logic; + column_counter_sig_0 : in std_logic; + column_counter_sig_1 : in std_logic; + column_counter_sig_2 : in std_logic; + column_counter_sig_8 : in std_logic; + column_counter_sig_3 : in std_logic; + column_counter_sig_5 : in std_logic; + column_counter_sig_4 : in std_logic; + column_counter_sig_9 : in std_logic; + column_counter_sig_7 : in std_logic; + column_counter_sig_6 : in std_logic; + toggle_counter_sig_0 : out std_logic; + toggle_counter_sig_1 : out std_logic; + toggle_counter_sig_2 : out std_logic; + toggle_counter_sig_3 : out std_logic; + toggle_counter_sig_4 : out std_logic; + toggle_counter_sig_5 : out std_logic; + toggle_counter_sig_6 : out std_logic; + toggle_counter_sig_7 : out std_logic; + toggle_counter_sig_8 : out std_logic; + toggle_counter_sig_9 : out std_logic; + toggle_counter_sig_10 : out std_logic; + toggle_counter_sig_11 : out std_logic; + toggle_counter_sig_12 : out std_logic; + toggle_counter_sig_13 : out std_logic; + toggle_counter_sig_14 : out std_logic; + toggle_counter_sig_15 : out std_logic; + toggle_counter_sig_16 : out std_logic; + toggle_counter_sig_17 : out std_logic; + toggle_counter_sig_18 : out std_logic; + toggle_counter_sig_19 : out std_logic; + toggle_counter_sig_20 : out std_logic; + toggle_counter_sig_21 : out std_logic; + toggle_counter_sig_22 : out std_logic; + toggle_counter_sig_23 : out std_logic; + toggle_counter_sig_24 : out std_logic; + h_enable_sig : in std_logic; + g : out std_logic; + b : out std_logic; + v_enable_sig : in std_logic; + r : out std_logic; + toggle_sig : out std_logic; + un6_dly_counter_0_x : in std_logic; + clk_pin_c : in std_logic); +end vga_control; + +architecture beh of vga_control is + signal devclrn : std_logic := '1'; + signal devpor : std_logic := '1'; + signal devoe : std_logic := '0'; + signal TOGGLE_COUNTER_SIG_COUT : std_logic_vector(18 downto 1); + signal UN2_TOGGLE_COUNTER_NEXT_COUT : std_logic_vector(0 to 0); + signal GND : std_logic ; + signal TOGGLE_SIG_0_0_0_G1 : std_logic ; + signal TOGGLE_SIG_83 : std_logic ; + signal B_NEXT_0_SQMUXA_7_4 : std_logic ; + signal B_NEXT_0_SQMUXA_7_5 : std_logic ; + signal TOGGLE_SIG_0_0_0_G1_2 : std_logic ; + signal UN1_TOGGLE_COUNTER_SIGLTO18 : std_logic ; + signal UN1_TOGGLE_COUNTER_SIGLTO15 : std_logic ; + signal UN5_V_ENABLELTO5 : std_logic ; + signal B_NEXT_0_SQMUXA_7_3 : std_logic ; + signal UN13_V_ENABLELTO6 : std_logic ; + signal B_NEXT_0_SQMUXA_7_4_A : std_logic ; + signal UN17_V_ENABLELTO3 : std_logic ; + signal B_NEXT_0_SQMUXA_7_2 : std_logic ; + signal UN9_V_ENABLELTO6 : std_logic ; + signal UN1_TOGGLE_COUNTER_SIGLTO12 : std_logic ; + signal UN5_V_ENABLELT2 : std_logic ; + signal UN1_TOGGLE_COUNTER_SIGLTO9 : std_logic ; + signal UN13_V_ENABLELTO4_0 : std_logic ; + signal UN9_V_ENABLELTO4 : std_logic ; + signal UN1_TOGGLE_COUNTER_SIGLT6 : std_logic ; + signal TOGGLE_COUNTER_SIG_58 : std_logic ; + signal TOGGLE_COUNTER_SIG_59 : std_logic ; + signal TOGGLE_COUNTER_SIG_60 : std_logic ; + signal TOGGLE_COUNTER_SIG_61 : std_logic ; + signal TOGGLE_COUNTER_SIG_62 : std_logic ; + signal TOGGLE_COUNTER_SIG_63 : std_logic ; + signal TOGGLE_COUNTER_SIG_64 : std_logic ; + signal TOGGLE_COUNTER_SIG_65 : std_logic ; + signal TOGGLE_COUNTER_SIG_66 : std_logic ; + signal TOGGLE_COUNTER_SIG_67 : std_logic ; + signal TOGGLE_COUNTER_SIG_68 : std_logic ; + signal TOGGLE_COUNTER_SIG_69 : std_logic ; + signal TOGGLE_COUNTER_SIG_70 : std_logic ; + signal TOGGLE_COUNTER_SIG_71 : std_logic ; + signal TOGGLE_COUNTER_SIG_72 : std_logic ; + signal TOGGLE_COUNTER_SIG_73 : std_logic ; + signal TOGGLE_COUNTER_SIG_74 : std_logic ; + signal TOGGLE_COUNTER_SIG_75 : std_logic ; + signal TOGGLE_COUNTER_SIG_76 : std_logic ; + signal TOGGLE_COUNTER_SIG_77 : std_logic ; + signal TOGGLE_COUNTER_SIG_78 : std_logic ; + signal TOGGLE_COUNTER_SIG_79 : std_logic ; + signal TOGGLE_COUNTER_SIG_80 : std_logic ; + signal TOGGLE_COUNTER_SIG_81 : std_logic ; + signal TOGGLE_COUNTER_SIG_82 : std_logic ; + signal VCC : std_logic ; + signal TOGGLE_SIG_0_0_0_G1_I : std_logic ; +begin +\TOGGLE_COUNTER_SIG_24_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => TOGGLE_COUNTER_SIG_82, +clk => clk_pin_c, +datad => GND, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_23_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => TOGGLE_COUNTER_SIG_81, +clk => clk_pin_c, +datad => GND, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_22_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => TOGGLE_COUNTER_SIG_80, +clk => clk_pin_c, +datad => GND, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_21_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => TOGGLE_COUNTER_SIG_79, +clk => clk_pin_c, +datad => GND, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_20_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a5a") +port map ( +regout => TOGGLE_COUNTER_SIG_78, +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_78, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(18), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_19_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c6c") +port map ( +regout => TOGGLE_COUNTER_SIG_77, +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_76, +datab => TOGGLE_COUNTER_SIG_77, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(17), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_18_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_76, +cout => TOGGLE_COUNTER_SIG_COUT(18), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_76, +datab => TOGGLE_COUNTER_SIG_77, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(16), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_17_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_75, +cout => TOGGLE_COUNTER_SIG_COUT(17), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_74, +datab => TOGGLE_COUNTER_SIG_75, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(15), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_16_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_74, +cout => TOGGLE_COUNTER_SIG_COUT(16), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_74, +datab => TOGGLE_COUNTER_SIG_75, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(14), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_15_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_73, +cout => TOGGLE_COUNTER_SIG_COUT(15), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_72, +datab => TOGGLE_COUNTER_SIG_73, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(13), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_14_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_72, +cout => TOGGLE_COUNTER_SIG_COUT(14), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_72, +datab => TOGGLE_COUNTER_SIG_73, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(12), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_13_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_71, +cout => TOGGLE_COUNTER_SIG_COUT(13), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_70, +datab => TOGGLE_COUNTER_SIG_71, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(11), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_12_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_70, +cout => TOGGLE_COUNTER_SIG_COUT(12), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_70, +datab => TOGGLE_COUNTER_SIG_71, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(10), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_11_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_69, +cout => TOGGLE_COUNTER_SIG_COUT(11), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_68, +datab => TOGGLE_COUNTER_SIG_69, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(9), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_10_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_68, +cout => TOGGLE_COUNTER_SIG_COUT(10), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_68, +datab => TOGGLE_COUNTER_SIG_69, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(8), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_9_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_67, +cout => TOGGLE_COUNTER_SIG_COUT(9), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_66, +datab => TOGGLE_COUNTER_SIG_67, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(7), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_8_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_66, +cout => TOGGLE_COUNTER_SIG_COUT(8), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_66, +datab => TOGGLE_COUNTER_SIG_67, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(6), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_7_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_65, +cout => TOGGLE_COUNTER_SIG_COUT(7), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_64, +datab => TOGGLE_COUNTER_SIG_65, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(5), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_6_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_64, +cout => TOGGLE_COUNTER_SIG_COUT(6), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_64, +datab => TOGGLE_COUNTER_SIG_65, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(4), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_5_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_63, +cout => TOGGLE_COUNTER_SIG_COUT(5), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_62, +datab => TOGGLE_COUNTER_SIG_63, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(3), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_4_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_62, +cout => TOGGLE_COUNTER_SIG_COUT(4), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_62, +datab => TOGGLE_COUNTER_SIG_63, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(2), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_3_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +regout => TOGGLE_COUNTER_SIG_61, +cout => TOGGLE_COUNTER_SIG_COUT(3), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_60, +datab => TOGGLE_COUNTER_SIG_61, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => TOGGLE_COUNTER_SIG_COUT(1), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_2_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +regout => TOGGLE_COUNTER_SIG_60, +cout => TOGGLE_COUNTER_SIG_COUT(2), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_60, +datab => TOGGLE_COUNTER_SIG_61, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, +cin => UN2_TOGGLE_COUNTER_NEXT_COUT(0), + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "6688") +port map ( +regout => TOGGLE_COUNTER_SIG_59, +cout => TOGGLE_COUNTER_SIG_COUT(1), +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_58, +datab => TOGGLE_COUNTER_SIG_59, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\TOGGLE_COUNTER_SIG_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "5555") +port map ( +regout => TOGGLE_COUNTER_SIG_58, +clk => clk_pin_c, +dataa => TOGGLE_COUNTER_SIG_58, +aclr => un6_dly_counter_0_x, +sclr => TOGGLE_SIG_0_0_0_G1_I, + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datac => VCC, + datad => VCC, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +TOGGLE_SIG_Z146: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "9999") +port map ( +regout => TOGGLE_SIG_83, +clk => clk_pin_c, +dataa => TOGGLE_SIG_83, +datab => TOGGLE_SIG_0_0_0_G1, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +R_Z147: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +regout => r, +clk => clk_pin_c, +dataa => TOGGLE_SIG_83, +datab => v_enable_sig, +datac => B_NEXT_0_SQMUXA_7_4, +datad => B_NEXT_0_SQMUXA_7_5, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_Z148: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "4000") +port map ( +regout => b, +clk => clk_pin_c, +dataa => TOGGLE_SIG_83, +datab => v_enable_sig, +datac => B_NEXT_0_SQMUXA_7_4, +datad => B_NEXT_0_SQMUXA_7_5, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +G_Z149: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => g, +clk => clk_pin_c, +datad => GND, +aclr => un6_dly_counter_0_x, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +TOGGLE_SIG_0_0_0_G1_Z150: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0703") +port map ( +combout => TOGGLE_SIG_0_0_0_G1, +dataa => TOGGLE_COUNTER_SIG_77, +datab => TOGGLE_COUNTER_SIG_78, +datac => TOGGLE_SIG_0_0_0_G1_2, +datad => UN1_TOGGLE_COUNTER_SIGLTO18, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO18: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f77") +port map ( +combout => UN1_TOGGLE_COUNTER_SIGLTO18, +dataa => TOGGLE_COUNTER_SIG_75, +datab => TOGGLE_COUNTER_SIG_76, +datac => TOGGLE_COUNTER_SIG_74, +datad => UN1_TOGGLE_COUNTER_SIGLTO15, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_NEXT_0_SQMUXA_7_5_Z152: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f00") +port map ( +combout => B_NEXT_0_SQMUXA_7_5, +dataa => column_counter_sig_6, +datab => column_counter_sig_7, +datac => UN5_V_ENABLELTO5, +datad => B_NEXT_0_SQMUXA_7_3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_NEXT_0_SQMUXA_7_4_Z153: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ef23") +port map ( +combout => B_NEXT_0_SQMUXA_7_4, +dataa => line_counter_sig_8, +datab => line_counter_sig_7, +datac => UN13_V_ENABLELTO6, +datad => B_NEXT_0_SQMUXA_7_4_A, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_NEXT_0_SQMUXA_7_4_A_Z154: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0f1f") +port map ( +combout => B_NEXT_0_SQMUXA_7_4_A, +dataa => line_counter_sig_4, +datab => line_counter_sig_5, +datac => line_counter_sig_6, +datad => UN17_V_ENABLELTO3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_NEXT_0_SQMUXA_7_3_Z155: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "e0f0") +port map ( +combout => B_NEXT_0_SQMUXA_7_3, +dataa => column_counter_sig_7, +datab => column_counter_sig_9, +datac => B_NEXT_0_SQMUXA_7_2, +datad => UN9_V_ENABLELTO6, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO15: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff7f") +port map ( +combout => UN1_TOGGLE_COUNTER_SIGLTO15, +dataa => TOGGLE_COUNTER_SIG_71, +datab => TOGGLE_COUNTER_SIG_72, +datac => TOGGLE_COUNTER_SIG_73, +datad => UN1_TOGGLE_COUNTER_SIGLTO12, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN5_V_ENABLELTO5: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "feee") +port map ( +combout => UN5_V_ENABLELTO5, +dataa => column_counter_sig_4, +datab => column_counter_sig_5, +datac => column_counter_sig_3, +datad => UN5_V_ENABLELT2, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO12: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0100") +port map ( +combout => UN1_TOGGLE_COUNTER_SIGLTO12, +dataa => TOGGLE_COUNTER_SIG_68, +datab => TOGGLE_COUNTER_SIG_69, +datac => TOGGLE_COUNTER_SIG_70, +datad => UN1_TOGGLE_COUNTER_SIGLTO9, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN13_V_ENABLELTO6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f77") +port map ( +combout => UN13_V_ENABLELTO6, +dataa => line_counter_sig_5, +datab => line_counter_sig_6, +datac => line_counter_sig_3, +datad => UN13_V_ENABLELTO4_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN9_V_ENABLELTO6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "f7f7") +port map ( +combout => UN9_V_ENABLELTO6, +dataa => column_counter_sig_5, +datab => column_counter_sig_6, +datac => UN9_V_ENABLELTO4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO9: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f77") +port map ( +combout => UN1_TOGGLE_COUNTER_SIGLTO9, +dataa => TOGGLE_COUNTER_SIG_66, +datab => TOGGLE_COUNTER_SIG_67, +datac => TOGGLE_COUNTER_SIG_65, +datad => UN1_TOGGLE_COUNTER_SIGLT6, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN17_V_ENABLELTO3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "fe00") +port map ( +combout => UN17_V_ENABLELTO3, +dataa => line_counter_sig_1, +datab => line_counter_sig_2, +datac => line_counter_sig_0, +datad => line_counter_sig_3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +TOGGLE_SIG_0_0_0_G1_2_Z163: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "fffe") +port map ( +combout => TOGGLE_SIG_0_0_0_G1_2, +dataa => TOGGLE_COUNTER_SIG_81, +datab => TOGGLE_COUNTER_SIG_82, +datac => TOGGLE_COUNTER_SIG_79, +datad => TOGGLE_COUNTER_SIG_80, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +B_NEXT_0_SQMUXA_7_2_Z164: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0004") +port map ( +combout => B_NEXT_0_SQMUXA_7_2, +dataa => column_counter_sig_8, +datab => h_enable_sig, +datac => column_counter_sig_9, +datad => line_counter_sig_8, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN9_V_ENABLELTO4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0101") +port map ( +combout => UN9_V_ENABLELTO4, +dataa => column_counter_sig_3, +datab => column_counter_sig_4, +datac => column_counter_sig_2, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN5_V_ENABLELT2: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "fefe") +port map ( +combout => UN5_V_ENABLELT2, +dataa => column_counter_sig_1, +datab => column_counter_sig_2, +datac => column_counter_sig_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +DRAW_SQUARE_NEXT_UN13_V_ENABLELTO4_0: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "1111") +port map ( +combout => UN13_V_ENABLELTO4_0, +dataa => line_counter_sig_4, +datab => line_counter_sig_2, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLT6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7777") +port map ( +combout => UN1_TOGGLE_COUNTER_SIGLT6, +dataa => TOGGLE_COUNTER_SIG_64, +datab => TOGGLE_COUNTER_SIG_63, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\UN2_TOGGLE_COUNTER_NEXT_0_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "5588") +port map ( +cout => UN2_TOGGLE_COUNTER_NEXT_COUT(0), +dataa => TOGGLE_COUNTER_SIG_58, +datab => TOGGLE_COUNTER_SIG_59, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +GND <= '0'; +VCC <= '1'; +TOGGLE_SIG_0_0_0_G1_I <= not TOGGLE_SIG_0_0_0_G1; +toggle_counter_sig_0 <= TOGGLE_COUNTER_SIG_58; +toggle_counter_sig_1 <= TOGGLE_COUNTER_SIG_59; +toggle_counter_sig_2 <= TOGGLE_COUNTER_SIG_60; +toggle_counter_sig_3 <= TOGGLE_COUNTER_SIG_61; +toggle_counter_sig_4 <= TOGGLE_COUNTER_SIG_62; +toggle_counter_sig_5 <= TOGGLE_COUNTER_SIG_63; +toggle_counter_sig_6 <= TOGGLE_COUNTER_SIG_64; +toggle_counter_sig_7 <= TOGGLE_COUNTER_SIG_65; +toggle_counter_sig_8 <= TOGGLE_COUNTER_SIG_66; +toggle_counter_sig_9 <= TOGGLE_COUNTER_SIG_67; +toggle_counter_sig_10 <= TOGGLE_COUNTER_SIG_68; +toggle_counter_sig_11 <= TOGGLE_COUNTER_SIG_69; +toggle_counter_sig_12 <= TOGGLE_COUNTER_SIG_70; +toggle_counter_sig_13 <= TOGGLE_COUNTER_SIG_71; +toggle_counter_sig_14 <= TOGGLE_COUNTER_SIG_72; +toggle_counter_sig_15 <= TOGGLE_COUNTER_SIG_73; +toggle_counter_sig_16 <= TOGGLE_COUNTER_SIG_74; +toggle_counter_sig_17 <= TOGGLE_COUNTER_SIG_75; +toggle_counter_sig_18 <= TOGGLE_COUNTER_SIG_76; +toggle_counter_sig_19 <= TOGGLE_COUNTER_SIG_77; +toggle_counter_sig_20 <= TOGGLE_COUNTER_SIG_78; +toggle_counter_sig_21 <= TOGGLE_COUNTER_SIG_79; +toggle_counter_sig_22 <= TOGGLE_COUNTER_SIG_80; +toggle_counter_sig_23 <= TOGGLE_COUNTER_SIG_81; +toggle_counter_sig_24 <= TOGGLE_COUNTER_SIG_82; +toggle_sig <= TOGGLE_SIG_83; +end beh; + +-- +library ieee, stratix; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +library synplify; +use synplify.components.all; +use stratix.stratix_components.all; + +entity vga_driver is +port( +line_counter_sig_0 : out std_logic; +line_counter_sig_1 : out std_logic; +line_counter_sig_2 : out std_logic; +line_counter_sig_3 : out std_logic; +line_counter_sig_4 : out std_logic; +line_counter_sig_5 : out std_logic; +line_counter_sig_6 : out std_logic; +line_counter_sig_7 : out std_logic; +line_counter_sig_8 : out std_logic; +dly_counter_1 : in std_logic; +dly_counter_0 : in std_logic; +vsync_state_2 : out std_logic; +vsync_state_5 : out std_logic; +vsync_state_3 : out std_logic; +vsync_state_6 : out std_logic; +vsync_state_4 : out std_logic; +vsync_state_1 : out std_logic; +vsync_state_0 : out std_logic; +hsync_state_2 : out std_logic; +hsync_state_4 : out std_logic; +hsync_state_0 : out std_logic; +hsync_state_5 : out std_logic; +hsync_state_1 : out std_logic; +hsync_state_3 : out std_logic; +hsync_state_6 : out std_logic; +column_counter_sig_0 : out std_logic; +column_counter_sig_1 : out std_logic; +column_counter_sig_2 : out std_logic; +column_counter_sig_3 : out std_logic; +column_counter_sig_4 : out std_logic; +column_counter_sig_5 : out std_logic; +column_counter_sig_6 : out std_logic; +column_counter_sig_7 : out std_logic; +column_counter_sig_8 : out std_logic; +column_counter_sig_9 : out std_logic; +vsync_counter_9 : out std_logic; +vsync_counter_8 : out std_logic; +vsync_counter_7 : out std_logic; +vsync_counter_6 : out std_logic; +vsync_counter_5 : out std_logic; +vsync_counter_4 : out std_logic; +vsync_counter_3 : out std_logic; +vsync_counter_2 : out std_logic; +vsync_counter_1 : out std_logic; +vsync_counter_0 : out std_logic; +hsync_counter_9 : out std_logic; +hsync_counter_8 : out std_logic; +hsync_counter_7 : out std_logic; +hsync_counter_6 : out std_logic; +hsync_counter_5 : out std_logic; +hsync_counter_4 : out std_logic; +hsync_counter_3 : out std_logic; +hsync_counter_2 : out std_logic; +hsync_counter_1 : out std_logic; +hsync_counter_0 : out std_logic; +d_set_vsync_counter : out std_logic; +v_sync : out std_logic; +h_sync : out std_logic; +h_enable_sig : out std_logic; +v_enable_sig : out std_logic; +reset_pin_c : in std_logic; +un6_dly_counter_0_x : out std_logic; +d_set_hsync_counter : out std_logic; +clk_pin_c : in std_logic); +end vga_driver; + +architecture beh of vga_driver is +signal devclrn : std_logic := '1'; +signal devpor : std_logic := '1'; +signal devoe : std_logic := '0'; +signal HSYNC_COUNTER_COUT : std_logic_vector(8 downto 0); +signal VSYNC_COUNTER_COUT : std_logic_vector(8 downto 0); +signal UN2_COLUMN_COUNTER_NEXT_COMBOUT : std_logic_vector(9 downto 1); +signal UN1_LINE_COUNTER_SIG_COMBOUT : std_logic_vector(9 downto 1); +signal UN1_LINE_COUNTER_SIG_COUT : std_logic_vector(7 downto 1); +signal UN1_LINE_COUNTER_SIG_A_COUT : std_logic_vector(1 to 1); +signal UN2_COLUMN_COUNTER_NEXT_COUT : std_logic_vector(7 downto 0); +signal HSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ; +signal G_2_I : std_logic ; +signal UN9_HSYNC_COUNTERLT9 : std_logic ; +signal VSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ; +signal G_16_I : std_logic ; +signal UN9_VSYNC_COUNTERLT9 : std_logic ; +signal UN10_COLUMN_COUNTER_SIGLTO9 : std_logic ; +signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ; +signal \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\ : std_logic ; +signal UN6_DLY_COUNTER_0_X_56 : std_logic ; +signal VSYNC_STATE_NEXT_2_SQMUXA : std_logic ; +signal UN12_VSYNC_COUNTER_7 : std_logic ; +signal UN13_VSYNC_COUNTER_4 : std_logic ; +signal UN10_LINE_COUNTER_SIGLTO8 : std_logic ; +signal LINE_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ; +signal V_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ; +signal H_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ; +signal H_SYNC_1_0_0_0_G1 : std_logic ; +signal V_SYNC_1_0_0_0_G1 : std_logic ; +signal UN14_VSYNC_COUNTER_8 : std_logic ; +signal \HSYNC_STATE_3_0_0_0__G0_0\ : std_logic ; +signal UN10_HSYNC_COUNTER_3 : std_logic ; +signal UN10_HSYNC_COUNTER_1 : std_logic ; +signal UN10_HSYNC_COUNTER_4 : std_logic ; +signal UN12_HSYNC_COUNTER : std_logic ; +signal UN11_HSYNC_COUNTER_2 : std_logic ; +signal UN11_HSYNC_COUNTER_3 : std_logic ; +signal UN13_HSYNC_COUNTER : std_logic ; +signal VSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ; +signal VSYNC_STATE_NEXT_1_SQMUXA_3 : std_logic ; +signal UN1_VSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ; +signal HSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ; +signal HSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ; +signal UN1_HSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ; +signal UN12_VSYNC_COUNTER_6 : std_logic ; +signal UN15_VSYNC_COUNTER_4 : std_logic ; +signal VSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ; +signal UN10_LINE_COUNTER_SIGLTO5 : std_logic ; +signal UN10_COLUMN_COUNTER_SIGLT6 : std_logic ; +signal UN13_HSYNC_COUNTER_2 : std_logic ; +signal UN13_HSYNC_COUNTER_7 : std_logic ; +signal UN9_HSYNC_COUNTERLT9_3 : std_logic ; +signal UN9_VSYNC_COUNTERLT9_5 : std_logic ; +signal UN9_VSYNC_COUNTERLT9_6 : std_logic ; +signal UN12_HSYNC_COUNTER_3 : std_logic ; +signal UN12_HSYNC_COUNTER_4 : std_logic ; +signal UN10_LINE_COUNTER_SIGLT4_2 : std_logic ; +signal UN15_VSYNC_COUNTER_3 : std_logic ; +signal UN13_VSYNC_COUNTER_3 : std_logic ; +signal UN10_COLUMN_COUNTER_SIGLT6_4 : std_logic ; +signal D_SET_HSYNC_COUNTER_57 : std_logic ; +signal V_SYNC_54 : std_logic ; +signal UN1_VSYNC_STATE_2_0 : std_logic ; +signal H_SYNC_55 : std_logic ; +signal UN1_HSYNC_STATE_3_0 : std_logic ; +signal D_SET_VSYNC_COUNTER_53 : std_logic ; +signal VCC : std_logic ; +signal LINE_COUNTER_SIG_0_0 : std_logic ; +signal LINE_COUNTER_SIG_1_0 : std_logic ; +signal LINE_COUNTER_SIG_2_0 : std_logic ; +signal LINE_COUNTER_SIG_3_0 : std_logic ; +signal LINE_COUNTER_SIG_4_0 : std_logic ; +signal LINE_COUNTER_SIG_5_0 : std_logic ; +signal LINE_COUNTER_SIG_6_0 : std_logic ; +signal LINE_COUNTER_SIG_7_0 : std_logic ; +signal LINE_COUNTER_SIG_8_0 : std_logic ; +signal VSYNC_STATE_9 : std_logic ; +signal VSYNC_STATE_10 : std_logic ; +signal VSYNC_STATE_11 : std_logic ; +signal VSYNC_STATE_12 : std_logic ; +signal VSYNC_STATE_13 : std_logic ; +signal VSYNC_STATE_14 : std_logic ; +signal VSYNC_STATE_15 : std_logic ; +signal HSYNC_STATE_16 : std_logic ; +signal HSYNC_STATE_17 : std_logic ; +signal HSYNC_STATE_18 : std_logic ; +signal HSYNC_STATE_19 : std_logic ; +signal HSYNC_STATE_20 : std_logic ; +signal HSYNC_STATE_21 : std_logic ; +signal HSYNC_STATE_22 : std_logic ; +signal COLUMN_COUNTER_SIG_23 : std_logic ; +signal COLUMN_COUNTER_SIG_24 : std_logic ; +signal COLUMN_COUNTER_SIG_25 : std_logic ; +signal COLUMN_COUNTER_SIG_26 : std_logic ; +signal COLUMN_COUNTER_SIG_27 : std_logic ; +signal COLUMN_COUNTER_SIG_28 : std_logic ; +signal COLUMN_COUNTER_SIG_29 : std_logic ; +signal COLUMN_COUNTER_SIG_30 : std_logic ; +signal COLUMN_COUNTER_SIG_31 : std_logic ; +signal COLUMN_COUNTER_SIG_32 : std_logic ; +signal VSYNC_COUNTER_33 : std_logic ; +signal VSYNC_COUNTER_34 : std_logic ; +signal VSYNC_COUNTER_35 : std_logic ; +signal VSYNC_COUNTER_36 : std_logic ; +signal VSYNC_COUNTER_37 : std_logic ; +signal VSYNC_COUNTER_38 : std_logic ; +signal VSYNC_COUNTER_39 : std_logic ; +signal VSYNC_COUNTER_40 : std_logic ; +signal VSYNC_COUNTER_41 : std_logic ; +signal VSYNC_COUNTER_42 : std_logic ; +signal HSYNC_COUNTER_43 : std_logic ; +signal HSYNC_COUNTER_44 : std_logic ; +signal HSYNC_COUNTER_45 : std_logic ; +signal HSYNC_COUNTER_46 : std_logic ; +signal HSYNC_COUNTER_47 : std_logic ; +signal HSYNC_COUNTER_48 : std_logic ; +signal HSYNC_COUNTER_49 : std_logic ; +signal HSYNC_COUNTER_50 : std_logic ; +signal HSYNC_COUNTER_51 : std_logic ; +signal HSYNC_COUNTER_52 : std_logic ; +signal GND : std_logic ; +signal LINE_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ; +signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ; +signal G_16_I_I : std_logic ; +signal UN9_VSYNC_COUNTERLT9_I : std_logic ; +signal G_2_I_I : std_logic ; +signal UN9_HSYNC_COUNTERLT9_I : std_logic ; +begin +\HSYNC_COUNTER_0_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "55aa") +port map ( +regout => HSYNC_COUNTER_52, +cout => HSYNC_COUNTER_COUT(0), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_52, +datab => VCC, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_51, +cout => HSYNC_COUNTER_COUT(1), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_51, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(0), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_2_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_50, +cout => HSYNC_COUNTER_COUT(2), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_50, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(1), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_3_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_49, +cout => HSYNC_COUNTER_COUT(3), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_49, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(2), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_4_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_48, +cout => HSYNC_COUNTER_COUT(4), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_48, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(3), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_5_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_47, +cout => HSYNC_COUNTER_COUT(5), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_47, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(4), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_6_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_46, +cout => HSYNC_COUNTER_COUT(6), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_46, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(5), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_7_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_45, +cout => HSYNC_COUNTER_COUT(7), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_45, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(6), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_8_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => HSYNC_COUNTER_44, +cout => HSYNC_COUNTER_COUT(8), +clk => clk_pin_c, +dataa => HSYNC_COUNTER_44, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(7), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\HSYNC_COUNTER_9_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a5a") +port map ( +regout => HSYNC_COUNTER_43, +clk => clk_pin_c, +dataa => HSYNC_COUNTER_43, +datac => HSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_2_I_I, +sload => UN9_HSYNC_COUNTERLT9_I, +cin => HSYNC_COUNTER_COUT(8), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_0_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "6688") +port map ( +regout => VSYNC_COUNTER_42, +cout => VSYNC_COUNTER_COUT(0), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_42, +datab => D_SET_HSYNC_COUNTER_57, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_41, +cout => VSYNC_COUNTER_COUT(1), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_41, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(0), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_2_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_40, +cout => VSYNC_COUNTER_COUT(2), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_40, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(1), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_3_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_39, +cout => VSYNC_COUNTER_COUT(3), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_39, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(2), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_4_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_38, +cout => VSYNC_COUNTER_COUT(4), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_38, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(3), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_5_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_37, +cout => VSYNC_COUNTER_COUT(5), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_37, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(4), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_6_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_36, +cout => VSYNC_COUNTER_COUT(6), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_36, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(5), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_7_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_35, +cout => VSYNC_COUNTER_COUT(7), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_35, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(6), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_8_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "reg_and_comb", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5aa0") +port map ( +regout => VSYNC_COUNTER_34, +cout => VSYNC_COUNTER_COUT(8), +clk => clk_pin_c, +dataa => VSYNC_COUNTER_34, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(7), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\VSYNC_COUNTER_9_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a5a") +port map ( +regout => VSYNC_COUNTER_33, +clk => clk_pin_c, +dataa => VSYNC_COUNTER_33, +datac => VSYNC_COUNTER_NEXT_1_SQMUXA, +sclr => G_16_I_I, +sload => UN9_VSYNC_COUNTERLT9_I, +cin => VSYNC_COUNTER_COUT(8), + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datad => VCC, + aclr => GND, + ena => VCC, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_9_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_32, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_8_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8080") +port map ( +regout => COLUMN_COUNTER_SIG_31, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_7_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8080") +port map ( +regout => COLUMN_COUNTER_SIG_30, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_6_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_29, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_5_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_28, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_4_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_27, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_3_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_26, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_2_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_25, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_1_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => COLUMN_COUNTER_SIG_24, +clk => clk_pin_c, +dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1), +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\COLUMN_COUNTER_SIG_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "7777") +port map ( +regout => COLUMN_COUNTER_SIG_23, +clk => clk_pin_c, +dataa => COLUMN_COUNTER_SIG_23, +datab => UN10_COLUMN_COUNTER_SIGLTO9, +sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_6_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff00") +port map ( +regout => HSYNC_STATE_22, +clk => clk_pin_c, +datad => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + dataa => VCC, + datab => VCC, + datac => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0cae") +port map ( +regout => VSYNC_STATE_15, +clk => clk_pin_c, +dataa => VSYNC_STATE_15, +datab => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\, +datac => UN6_DLY_COUNTER_0_X_56, +datad => VSYNC_STATE_NEXT_2_SQMUXA, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_1_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +regout => VSYNC_STATE_14, +clk => clk_pin_c, +dataa => VSYNC_STATE_13, +datab => UN12_VSYNC_COUNTER_7, +datac => UN13_VSYNC_COUNTER_4, +datad => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_6_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_and_comb", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f7f") +port map ( +combout => UN6_DLY_COUNTER_0_X_56, +regout => VSYNC_STATE_12, +clk => clk_pin_c, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_8_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_8_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(9), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_7_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_7_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(8), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_6_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_6_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(7), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_5_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8080") +port map ( +regout => LINE_COUNTER_SIG_5_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(6), +datac => LINE_COUNTER_NEXT_0_SQMUXA_1_1, + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_4_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_4_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(5), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_3_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_3_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(4), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_2_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_2_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(3), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_1_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "dddd") +port map ( +regout => LINE_COUNTER_SIG_1_0, +clk => clk_pin_c, +dataa => UN10_LINE_COUNTER_SIGLTO8, +datab => UN1_LINE_COUNTER_SIG_COMBOUT(2), +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\LINE_COUNTER_SIG_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "bbbb") +port map ( +regout => LINE_COUNTER_SIG_0_0, +clk => clk_pin_c, +dataa => UN1_LINE_COUNTER_SIG_COMBOUT(1), +datab => UN10_LINE_COUNTER_SIGLTO8, +sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +V_ENABLE_SIG_Z283: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +regout => v_enable_sig, +clk => clk_pin_c, +dataa => HSYNC_STATE_21, +datab => HSYNC_STATE_20, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => V_ENABLE_SIG_1_0_0_0_G0_I_O4, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +H_ENABLE_SIG_Z284: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +regout => h_enable_sig, +clk => clk_pin_c, +dataa => VSYNC_STATE_11, +datab => VSYNC_STATE_14, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => H_ENABLE_SIG_1_0_0_0_G0_I_O4, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +H_SYNC_Z285: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff7f") +port map ( +regout => H_SYNC_55, +clk => clk_pin_c, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => H_SYNC_1_0_0_0_G1, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +V_SYNC_Z286: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff7f") +port map ( +regout => V_SYNC_54, +clk => clk_pin_c, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => V_SYNC_1_0_0_0_G1, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_5_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +regout => VSYNC_STATE_10, +clk => clk_pin_c, +dataa => VSYNC_STATE_12, +datab => VSYNC_STATE_15, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => VSYNC_STATE_NEXT_2_SQMUXA, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_4_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "2000") +port map ( +regout => VSYNC_STATE_13, +clk => clk_pin_c, +dataa => VSYNC_COUNTER_42, +datab => VSYNC_COUNTER_33, +datac => VSYNC_STATE_10, +datad => UN14_VSYNC_COUNTER_8, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => VSYNC_STATE_NEXT_2_SQMUXA, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_3_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "aaaa") +port map ( +regout => VSYNC_STATE_11, +clk => clk_pin_c, +dataa => VSYNC_STATE_14, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => VSYNC_STATE_NEXT_2_SQMUXA, + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_2_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +regout => VSYNC_STATE_9, +clk => clk_pin_c, +dataa => VSYNC_COUNTER_42, +datab => VSYNC_COUNTER_33, +datac => VSYNC_STATE_11, +datad => UN14_VSYNC_COUNTER_8, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => VSYNC_STATE_NEXT_2_SQMUXA, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_5_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +regout => HSYNC_STATE_19, +clk => clk_pin_c, +dataa => HSYNC_STATE_22, +datab => HSYNC_STATE_18, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_4_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +regout => HSYNC_STATE_17, +clk => clk_pin_c, +dataa => HSYNC_STATE_19, +datab => UN10_HSYNC_COUNTER_3, +datac => UN10_HSYNC_COUNTER_1, +datad => UN10_HSYNC_COUNTER_4, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_3_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "aaaa") +port map ( +regout => HSYNC_STATE_21, +clk => clk_pin_c, +dataa => HSYNC_STATE_20, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + datab => VCC, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_2_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "8888") +port map ( +regout => HSYNC_STATE_16, +clk => clk_pin_c, +dataa => HSYNC_STATE_21, +datab => UN12_HSYNC_COUNTER, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_1_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +regout => HSYNC_STATE_20, +clk => clk_pin_c, +dataa => HSYNC_STATE_17, +datab => UN11_HSYNC_COUNTER_2, +datac => UN10_HSYNC_COUNTER_1, +datad => UN11_HSYNC_COUNTER_3, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "on", + sum_lutc_input => "datac", + lut_mask => "8888") +port map ( +regout => HSYNC_STATE_18, +clk => clk_pin_c, +dataa => HSYNC_STATE_16, +datab => UN13_HSYNC_COUNTER, +sclr => UN6_DLY_COUNTER_0_X_56, +ena => \HSYNC_STATE_3_0_0_0__G0_0\, + devpor => devpor, + devclrn => devclrn, + datac => VCC, + datad => VCC, + aclr => GND, + sload => GND, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_STATE_NEXT_2_SQMUXA_Z297: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "aaab") +port map ( +combout => VSYNC_STATE_NEXT_2_SQMUXA, +dataa => UN6_DLY_COUNTER_0_X_56, +datab => VSYNC_STATE_NEXT_1_SQMUXA_1, +datac => VSYNC_STATE_NEXT_1_SQMUXA_3, +datad => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\HSYNC_STATE_3_0_0_0__G0_0_Z298\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "f0f1") +port map ( +combout => \HSYNC_STATE_3_0_0_0__G0_0\, +dataa => HSYNC_STATE_NEXT_1_SQMUXA_1, +datab => HSYNC_STATE_NEXT_1_SQMUXA_2, +datac => UN6_DLY_COUNTER_0_X_56, +datad => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +UN1_HSYNC_STATE_NEXT_1_SQMUXA_0_Z299: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0ace") +port map ( +combout => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0, +dataa => HSYNC_STATE_16, +datab => HSYNC_STATE_21, +datac => UN13_HSYNC_COUNTER, +datad => UN12_HSYNC_COUNTER, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +UN1_VSYNC_STATE_NEXT_1_SQMUXA_0_Z300: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff2a") +port map ( +combout => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0, +dataa => VSYNC_STATE_9, +datab => UN12_VSYNC_COUNTER_6, +datac => UN15_VSYNC_COUNTER_4, +datad => VSYNC_STATE_NEXT_1_SQMUXA_2, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\VSYNC_STATE_3_IV_0_0__G0_0_A3_0_Z301\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8080") +port map ( +combout => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\, +dataa => VSYNC_STATE_9, +datab => UN12_VSYNC_COUNTER_6, +datac => UN15_VSYNC_COUNTER_4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO8: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff7f") +port map ( +combout => UN10_LINE_COUNTER_SIGLTO8, +dataa => LINE_COUNTER_SIG_6_0, +datab => LINE_COUNTER_SIG_7_0, +datac => LINE_COUNTER_SIG_8_0, +datad => UN10_LINE_COUNTER_SIGLTO5, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +G_2: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0f1f") +port map ( +combout => G_2_I, +dataa => HSYNC_STATE_18, +datab => HSYNC_STATE_22, +datac => UN9_HSYNC_COUNTERLT9, +datad => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_STATE_NEXT_1_SQMUXA_1_Z304: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "d0f0") +port map ( +combout => VSYNC_STATE_NEXT_1_SQMUXA_1, +dataa => VSYNC_COUNTER_42, +datab => VSYNC_COUNTER_33, +datac => VSYNC_STATE_10, +datad => UN14_VSYNC_COUNTER_8, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_STATE_NEXT_1_SQMUXA_2_Z305: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "2a2a") +port map ( +combout => VSYNC_STATE_NEXT_1_SQMUXA_2, +dataa => VSYNC_STATE_13, +datab => UN12_VSYNC_COUNTER_7, +datac => UN13_VSYNC_COUNTER_4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_STATE_NEXT_1_SQMUXA_3_Z306: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "70f0") +port map ( +combout => VSYNC_STATE_NEXT_1_SQMUXA_3, +dataa => VSYNC_COUNTER_42, +datab => VSYNC_COUNTER_33, +datac => VSYNC_STATE_11, +datad => UN14_VSYNC_COUNTER_8, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +G_16: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0f1f") +port map ( +combout => G_16_I, +dataa => VSYNC_STATE_15, +datab => VSYNC_STATE_12, +datac => UN9_VSYNC_COUNTERLT9, +datad => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLTO9: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "1f0f") +port map ( +combout => UN10_COLUMN_COUNTER_SIGLTO9, +dataa => COLUMN_COUNTER_SIG_30, +datab => COLUMN_COUNTER_SIG_31, +datac => COLUMN_COUNTER_SIG_32, +datad => UN10_COLUMN_COUNTER_SIGLT6, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_STATE_NEXT_1_SQMUXA_2_Z309: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "2aaa") +port map ( +combout => HSYNC_STATE_NEXT_1_SQMUXA_2, +dataa => HSYNC_STATE_17, +datab => UN11_HSYNC_COUNTER_2, +datac => UN10_HSYNC_COUNTER_1, +datad => UN11_HSYNC_COUNTER_3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_STATE_NEXT_1_SQMUXA_1_Z310: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "2aaa") +port map ( +combout => HSYNC_STATE_NEXT_1_SQMUXA_1, +dataa => HSYNC_STATE_19, +datab => UN10_HSYNC_COUNTER_3, +datac => UN10_HSYNC_COUNTER_1, +datad => UN10_HSYNC_COUNTER_4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "1000") +port map ( +combout => UN13_HSYNC_COUNTER, +dataa => HSYNC_COUNTER_46, +datab => HSYNC_COUNTER_45, +datac => UN13_HSYNC_COUNTER_2, +datad => UN13_HSYNC_COUNTER_7, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "f7ff") +port map ( +combout => UN9_HSYNC_COUNTERLT9, +dataa => HSYNC_COUNTER_44, +datab => HSYNC_COUNTER_43, +datac => UN9_HSYNC_COUNTERLT9_3, +datad => UN13_HSYNC_COUNTER_7, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "fff7") +port map ( +combout => UN9_VSYNC_COUNTERLT9, +dataa => VSYNC_COUNTER_38, +datab => VSYNC_COUNTER_37, +datac => UN9_VSYNC_COUNTERLT9_5, +datad => UN9_VSYNC_COUNTERLT9_6, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +combout => UN12_HSYNC_COUNTER, +dataa => HSYNC_COUNTER_52, +datab => HSYNC_COUNTER_51, +datac => UN12_HSYNC_COUNTER_3, +datad => UN12_HSYNC_COUNTER_4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO5: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0f07") +port map ( +combout => UN10_LINE_COUNTER_SIGLTO5, +dataa => LINE_COUNTER_SIG_1_0, +datab => LINE_COUNTER_SIG_2_0, +datac => LINE_COUNTER_SIG_5_0, +datad => UN10_LINE_COUNTER_SIGLT4_2, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "1010") +port map ( +combout => UN15_VSYNC_COUNTER_4, +dataa => VSYNC_COUNTER_41, +datab => VSYNC_COUNTER_38, +datac => UN15_VSYNC_COUNTER_3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8080") +port map ( +combout => UN13_VSYNC_COUNTER_4, +dataa => VSYNC_COUNTER_42, +datab => VSYNC_COUNTER_37, +datac => UN13_VSYNC_COUNTER_3, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ff7f") +port map ( +combout => UN10_COLUMN_COUNTER_SIGLT6, +dataa => COLUMN_COUNTER_SIG_27, +datab => COLUMN_COUNTER_SIG_29, +datac => COLUMN_COUNTER_SIG_28, +datad => UN10_COLUMN_COUNTER_SIGLT6_4, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_COUNTER_NEXT_1_SQMUXA_Z319: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +combout => HSYNC_COUNTER_NEXT_1_SQMUXA, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => D_SET_HSYNC_COUNTER_57, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN14_VSYNC_COUNTER_8: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8888") +port map ( +combout => UN14_VSYNC_COUNTER_8, +dataa => UN12_VSYNC_COUNTER_6, +datab => UN12_VSYNC_COUNTER_7, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +LINE_COUNTER_NEXT_0_SQMUXA_1_1_Z321: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +combout => LINE_COUNTER_NEXT_0_SQMUXA_1_1, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => VSYNC_STATE_14, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +V_SYNC_1_0_0_0_G1_Z322: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ccd8") +port map ( +combout => V_SYNC_1_0_0_0_G1, +dataa => VSYNC_STATE_9, +datab => V_SYNC_54, +datac => VSYNC_STATE_13, +datad => UN1_VSYNC_STATE_2_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +H_ENABLE_SIG_1_0_0_0_G0_I_O4_Z323: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "f1f1") +port map ( +combout => H_ENABLE_SIG_1_0_0_0_G0_I_O4, +dataa => VSYNC_STATE_13, +datab => VSYNC_STATE_10, +datac => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_COUNTER_NEXT_1_SQMUXA_Z324: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +combout => VSYNC_COUNTER_NEXT_1_SQMUXA, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => D_SET_VSYNC_COUNTER_53, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +V_ENABLE_SIG_1_0_0_0_G0_I_O4_Z325: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "f1f1") +port map ( +combout => V_ENABLE_SIG_1_0_0_0_G0_I_O4, +dataa => HSYNC_STATE_17, +datab => HSYNC_STATE_19, +datac => UN6_DLY_COUNTER_0_X_56, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +H_SYNC_1_0_0_0_G1_Z326: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "ccd8") +port map ( +combout => H_SYNC_1_0_0_0_G1, +dataa => HSYNC_STATE_16, +datab => H_SYNC_55, +datac => HSYNC_STATE_17, +datad => UN1_HSYNC_STATE_3_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_Z327: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +combout => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1, +dataa => reset_pin_c, +datab => dly_counter_0, +datac => dly_counter_1, +datad => HSYNC_STATE_20, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0010") +port map ( +combout => UN12_HSYNC_COUNTER_4, +dataa => HSYNC_COUNTER_46, +datab => HSYNC_COUNTER_45, +datac => HSYNC_COUNTER_43, +datad => HSYNC_COUNTER_49, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0008") +port map ( +combout => UN12_HSYNC_COUNTER_3, +dataa => HSYNC_COUNTER_50, +datab => HSYNC_COUNTER_44, +datac => HSYNC_COUNTER_48, +datad => HSYNC_COUNTER_47, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0008") +port map ( +combout => UN11_HSYNC_COUNTER_3, +dataa => HSYNC_COUNTER_52, +datab => HSYNC_COUNTER_51, +datac => HSYNC_COUNTER_49, +datad => HSYNC_COUNTER_48, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_2: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0808") +port map ( +combout => UN11_HSYNC_COUNTER_2, +dataa => HSYNC_COUNTER_50, +datab => HSYNC_COUNTER_45, +datac => HSYNC_COUNTER_46, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7fff") +port map ( +combout => UN9_HSYNC_COUNTERLT9_3, +dataa => HSYNC_COUNTER_46, +datab => HSYNC_COUNTER_45, +datac => HSYNC_COUNTER_48, +datad => HSYNC_COUNTER_47, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_2: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0080") +port map ( +combout => UN13_HSYNC_COUNTER_2, +dataa => HSYNC_COUNTER_44, +datab => HSYNC_COUNTER_43, +datac => HSYNC_COUNTER_48, +datad => HSYNC_COUNTER_47, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7fff") +port map ( +combout => UN9_VSYNC_COUNTERLT9_6, +dataa => VSYNC_COUNTER_40, +datab => VSYNC_COUNTER_39, +datac => VSYNC_COUNTER_42, +datad => VSYNC_COUNTER_41, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_5: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7fff") +port map ( +combout => UN9_VSYNC_COUNTERLT9_5, +dataa => VSYNC_COUNTER_34, +datab => VSYNC_COUNTER_33, +datac => VSYNC_COUNTER_36, +datad => VSYNC_COUNTER_35, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +combout => UN10_HSYNC_COUNTER_4, +dataa => HSYNC_COUNTER_48, +datab => HSYNC_COUNTER_46, +datac => HSYNC_COUNTER_51, +datad => HSYNC_COUNTER_49, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0101") +port map ( +combout => UN10_HSYNC_COUNTER_3, +dataa => HSYNC_COUNTER_52, +datab => HSYNC_COUNTER_45, +datac => HSYNC_COUNTER_50, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0020") +port map ( +combout => UN15_VSYNC_COUNTER_3, +dataa => VSYNC_COUNTER_33, +datab => VSYNC_COUNTER_40, +datac => VSYNC_COUNTER_39, +datad => VSYNC_COUNTER_42, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_3: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0001") +port map ( +combout => UN13_VSYNC_COUNTER_3, +dataa => VSYNC_COUNTER_36, +datab => VSYNC_COUNTER_35, +datac => VSYNC_COUNTER_34, +datad => VSYNC_COUNTER_33, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_4: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7fff") +port map ( +combout => UN10_COLUMN_COUNTER_SIGLT6_4, +dataa => COLUMN_COUNTER_SIG_25, +datab => COLUMN_COUNTER_SIG_26, +datac => COLUMN_COUNTER_SIG_23, +datad => COLUMN_COUNTER_SIG_24, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLT4_2: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "7f7f") +port map ( +combout => UN10_LINE_COUNTER_SIGLT4_2, +dataa => LINE_COUNTER_SIG_3_0, +datab => LINE_COUNTER_SIG_4_0, +datac => LINE_COUNTER_SIG_0_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_1: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0101") +port map ( +combout => UN10_HSYNC_COUNTER_1, +dataa => HSYNC_COUNTER_47, +datab => HSYNC_COUNTER_44, +datac => HSYNC_COUNTER_43, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_6: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0001") +port map ( +combout => UN12_VSYNC_COUNTER_6, +dataa => VSYNC_COUNTER_35, +datab => VSYNC_COUNTER_34, +datac => VSYNC_COUNTER_37, +datad => VSYNC_COUNTER_36, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_7: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0001") +port map ( +combout => UN12_VSYNC_COUNTER_7, +dataa => VSYNC_COUNTER_39, +datab => VSYNC_COUNTER_38, +datac => VSYNC_COUNTER_41, +datad => VSYNC_COUNTER_40, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_7: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "8000") +port map ( +combout => UN13_HSYNC_COUNTER_7, +dataa => HSYNC_COUNTER_50, +datab => HSYNC_COUNTER_49, +datac => HSYNC_COUNTER_52, +datad => HSYNC_COUNTER_51, + devpor => devpor, + devclrn => devclrn, + clk => GND, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +UN1_HSYNC_STATE_3_0_Z346: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +combout => UN1_HSYNC_STATE_3_0, +dataa => HSYNC_STATE_21, +datab => HSYNC_STATE_20, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +UN1_VSYNC_STATE_2_0_Z347: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +combout => UN1_VSYNC_STATE_2_0, +dataa => VSYNC_STATE_11, +datab => VSYNC_STATE_14, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +D_SET_HSYNC_COUNTER_Z348: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +combout => D_SET_HSYNC_COUNTER_57, +dataa => HSYNC_STATE_22, +datab => HSYNC_STATE_18, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +D_SET_VSYNC_COUNTER_Z349: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "eeee") +port map ( +combout => D_SET_VSYNC_COUNTER_53, +dataa => VSYNC_STATE_12, +datab => VSYNC_STATE_15, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_9_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c6c") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(9), +dataa => LINE_COUNTER_SIG_7_0, +datab => LINE_COUNTER_SIG_8_0, +cin => UN1_LINE_COUNTER_SIG_COUT(7), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_8_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a5a") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(8), +dataa => LINE_COUNTER_SIG_7_0, +cin => UN1_LINE_COUNTER_SIG_COUT(6), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datab => VCC, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_7_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(7), +cout => UN1_LINE_COUNTER_SIG_COUT(7), +dataa => LINE_COUNTER_SIG_5_0, +datab => LINE_COUNTER_SIG_6_0, +cin => UN1_LINE_COUNTER_SIG_COUT(5), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_6_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(6), +cout => UN1_LINE_COUNTER_SIG_COUT(6), +dataa => LINE_COUNTER_SIG_5_0, +datab => LINE_COUNTER_SIG_6_0, +cin => UN1_LINE_COUNTER_SIG_COUT(4), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_5_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(5), +cout => UN1_LINE_COUNTER_SIG_COUT(5), +dataa => LINE_COUNTER_SIG_3_0, +datab => LINE_COUNTER_SIG_4_0, +cin => UN1_LINE_COUNTER_SIG_COUT(3), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_4_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(4), +cout => UN1_LINE_COUNTER_SIG_COUT(4), +dataa => LINE_COUNTER_SIG_3_0, +datab => LINE_COUNTER_SIG_4_0, +cin => UN1_LINE_COUNTER_SIG_COUT(2), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_3_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(3), +cout => UN1_LINE_COUNTER_SIG_COUT(3), +dataa => LINE_COUNTER_SIG_1_0, +datab => LINE_COUNTER_SIG_2_0, +cin => UN1_LINE_COUNTER_SIG_COUT(1), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_2_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(2), +cout => UN1_LINE_COUNTER_SIG_COUT(2), +dataa => LINE_COUNTER_SIG_1_0, +datab => LINE_COUNTER_SIG_2_0, +cin => UN1_LINE_COUNTER_SIG_A_COUT(1), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_A_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "0088") +port map ( +cout => UN1_LINE_COUNTER_SIG_A_COUT(1), +dataa => D_SET_HSYNC_COUNTER_57, +datab => LINE_COUNTER_SIG_0_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\UN1_LINE_COUNTER_SIG_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "6688") +port map ( +combout => UN1_LINE_COUNTER_SIG_COMBOUT(1), +cout => UN1_LINE_COUNTER_SIG_COUT(1), +dataa => D_SET_HSYNC_COUNTER_57, +datab => LINE_COUNTER_SIG_0_0, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_9_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c6c") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9), +dataa => COLUMN_COUNTER_SIG_31, +datab => COLUMN_COUNTER_SIG_32, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(7), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_8_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a5a") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8), +dataa => COLUMN_COUNTER_SIG_31, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(6), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datab => VCC, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_7_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(7), +dataa => COLUMN_COUNTER_SIG_29, +datab => COLUMN_COUNTER_SIG_30, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(5), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_6_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(6), +dataa => COLUMN_COUNTER_SIG_29, +datab => COLUMN_COUNTER_SIG_30, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(4), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_5_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(5), +dataa => COLUMN_COUNTER_SIG_27, +datab => COLUMN_COUNTER_SIG_28, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(3), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_4_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(4), +dataa => COLUMN_COUNTER_SIG_27, +datab => COLUMN_COUNTER_SIG_28, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(2), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_3_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "6c80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(3), +dataa => COLUMN_COUNTER_SIG_25, +datab => COLUMN_COUNTER_SIG_26, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(1), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_2_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "cin", + cin_used => "true", + lut_mask => "5a80") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(2), +dataa => COLUMN_COUNTER_SIG_25, +datab => COLUMN_COUNTER_SIG_26, +cin => UN2_COLUMN_COUNTER_NEXT_COUT(0), + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_1_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "6688") +port map ( +combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1), +cout => UN2_COLUMN_COUNTER_NEXT_COUT(1), +dataa => COLUMN_COUNTER_SIG_23, +datab => COLUMN_COUNTER_SIG_24, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\UN2_COLUMN_COUNTER_NEXT_0_\: stratix_lcell generic map ( + operation_mode => "arithmetic", + output_mode => "comb_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "5588") +port map ( +cout => UN2_COLUMN_COUNTER_NEXT_COUT(0), +dataa => COLUMN_COUNTER_SIG_23, +datab => COLUMN_COUNTER_SIG_24, + devpor => devpor, + devclrn => devclrn, + clk => GND, + datac => VCC, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +VCC <= '1'; +GND <= '0'; +LINE_COUNTER_NEXT_0_SQMUXA_1_1_I <= not LINE_COUNTER_NEXT_0_SQMUXA_1_1; +COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I <= not COLUMN_COUNTER_NEXT_0_SQMUXA_1_1; +G_16_I_I <= not G_16_I; +UN9_VSYNC_COUNTERLT9_I <= not UN9_VSYNC_COUNTERLT9; +G_2_I_I <= not G_2_I; +UN9_HSYNC_COUNTERLT9_I <= not UN9_HSYNC_COUNTERLT9; +line_counter_sig_0 <= LINE_COUNTER_SIG_0_0; +line_counter_sig_1 <= LINE_COUNTER_SIG_1_0; +line_counter_sig_2 <= LINE_COUNTER_SIG_2_0; +line_counter_sig_3 <= LINE_COUNTER_SIG_3_0; +line_counter_sig_4 <= LINE_COUNTER_SIG_4_0; +line_counter_sig_5 <= LINE_COUNTER_SIG_5_0; +line_counter_sig_6 <= LINE_COUNTER_SIG_6_0; +line_counter_sig_7 <= LINE_COUNTER_SIG_7_0; +line_counter_sig_8 <= LINE_COUNTER_SIG_8_0; +vsync_state_2 <= VSYNC_STATE_9; +vsync_state_5 <= VSYNC_STATE_10; +vsync_state_3 <= VSYNC_STATE_11; +vsync_state_6 <= VSYNC_STATE_12; +vsync_state_4 <= VSYNC_STATE_13; +vsync_state_1 <= VSYNC_STATE_14; +vsync_state_0 <= VSYNC_STATE_15; +hsync_state_2 <= HSYNC_STATE_16; +hsync_state_4 <= HSYNC_STATE_17; +hsync_state_0 <= HSYNC_STATE_18; +hsync_state_5 <= HSYNC_STATE_19; +hsync_state_1 <= HSYNC_STATE_20; +hsync_state_3 <= HSYNC_STATE_21; +hsync_state_6 <= HSYNC_STATE_22; +column_counter_sig_0 <= COLUMN_COUNTER_SIG_23; +column_counter_sig_1 <= COLUMN_COUNTER_SIG_24; +column_counter_sig_2 <= COLUMN_COUNTER_SIG_25; +column_counter_sig_3 <= COLUMN_COUNTER_SIG_26; +column_counter_sig_4 <= COLUMN_COUNTER_SIG_27; +column_counter_sig_5 <= COLUMN_COUNTER_SIG_28; +column_counter_sig_6 <= COLUMN_COUNTER_SIG_29; +column_counter_sig_7 <= COLUMN_COUNTER_SIG_30; +column_counter_sig_8 <= COLUMN_COUNTER_SIG_31; +column_counter_sig_9 <= COLUMN_COUNTER_SIG_32; +vsync_counter_9 <= VSYNC_COUNTER_33; +vsync_counter_8 <= VSYNC_COUNTER_34; +vsync_counter_7 <= VSYNC_COUNTER_35; +vsync_counter_6 <= VSYNC_COUNTER_36; +vsync_counter_5 <= VSYNC_COUNTER_37; +vsync_counter_4 <= VSYNC_COUNTER_38; +vsync_counter_3 <= VSYNC_COUNTER_39; +vsync_counter_2 <= VSYNC_COUNTER_40; +vsync_counter_1 <= VSYNC_COUNTER_41; +vsync_counter_0 <= VSYNC_COUNTER_42; +hsync_counter_9 <= HSYNC_COUNTER_43; +hsync_counter_8 <= HSYNC_COUNTER_44; +hsync_counter_7 <= HSYNC_COUNTER_45; +hsync_counter_6 <= HSYNC_COUNTER_46; +hsync_counter_5 <= HSYNC_COUNTER_47; +hsync_counter_4 <= HSYNC_COUNTER_48; +hsync_counter_3 <= HSYNC_COUNTER_49; +hsync_counter_2 <= HSYNC_COUNTER_50; +hsync_counter_1 <= HSYNC_COUNTER_51; +hsync_counter_0 <= HSYNC_COUNTER_52; +d_set_vsync_counter <= D_SET_VSYNC_COUNTER_53; +v_sync <= V_SYNC_54; +h_sync <= H_SYNC_55; +un6_dly_counter_0_x <= UN6_DLY_COUNTER_0_X_56; +d_set_hsync_counter <= D_SET_HSYNC_COUNTER_57; +end beh; + +-- +library ieee, stratix; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +library synplify; +use synplify.components.all; +use stratix.stratix_components.all; + +entity vga is +port( +clk_pin : in std_logic; +reset_pin : in std_logic; +r0_pin : out std_logic; +r1_pin : out std_logic; +r2_pin : out std_logic; +g0_pin : out std_logic; +g1_pin : out std_logic; +g2_pin : out std_logic; +b0_pin : out std_logic; +b1_pin : out std_logic; +hsync_pin : out std_logic; +vsync_pin : out std_logic; +seven_seg_pin : out std_logic_vector(13 downto 0); +d_hsync : out std_logic; +d_vsync : out std_logic; +d_column_counter : out std_logic_vector(9 downto 0); +d_line_counter : out std_logic_vector(8 downto 0); +d_set_column_counter : out std_logic; +d_set_line_counter : out std_logic; +d_hsync_counter : out std_logic_vector(9 downto 0); +d_vsync_counter : out std_logic_vector(9 downto 0); +d_set_hsync_counter : out std_logic; +d_set_vsync_counter : out std_logic; +d_h_enable : out std_logic; +d_v_enable : out std_logic; +d_r : out std_logic; +d_g : out std_logic; +d_b : out std_logic; +d_hsync_state : out std_logic_vector(0 to 6); +d_vsync_state : out std_logic_vector(0 to 6); +d_state_clk : out std_logic; +d_toggle : out std_logic; +d_toggle_counter : out std_logic_vector(24 downto 0)); +end vga; + +architecture beh of vga is +signal devclrn : std_logic := '1'; +signal devpor : std_logic := '1'; +signal devoe : std_logic := '0'; +signal DLY_COUNTER : std_logic_vector(1 downto 0); +signal \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\ : std_logic_vector(9 downto 0); +signal \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\ : std_logic_vector(8 downto 0); +signal \VGA_DRIVER_UNIT.HSYNC_COUNTER\ : std_logic_vector(9 downto 0); +signal \VGA_DRIVER_UNIT.VSYNC_COUNTER\ : std_logic_vector(9 downto 0); +signal \VGA_DRIVER_UNIT.HSYNC_STATE\ : std_logic_vector(6 downto 0); +signal \VGA_DRIVER_UNIT.VSYNC_STATE\ : std_logic_vector(6 downto 0); +signal \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\ : std_logic_vector(24 downto 0); +signal SEVEN_SEG_PINZ : std_logic_vector(13 downto 0); +signal D_COLUMN_COUNTERZ : std_logic_vector(9 downto 0); +signal D_LINE_COUNTERZ : std_logic_vector(8 downto 0); +signal D_HSYNC_COUNTERZ : std_logic_vector(9 downto 0); +signal D_VSYNC_COUNTERZ : std_logic_vector(9 downto 0); +signal D_HSYNC_STATEZ : std_logic_vector(6 downto 0); +signal D_VSYNC_STATEZ : std_logic_vector(6 downto 0); +signal D_TOGGLE_COUNTERZ : std_logic_vector(24 downto 0); +signal VCC : std_logic ; +signal GND : std_logic ; +signal \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\ : std_logic ; +signal \VGA_DRIVER_UNIT.H_SYNC\ : std_logic ; +signal \VGA_DRIVER_UNIT.V_SYNC\ : std_logic ; +signal \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\ : std_logic ; +signal \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\ : std_logic ; +signal \VGA_DRIVER_UNIT.H_ENABLE_SIG\ : std_logic ; +signal \VGA_DRIVER_UNIT.V_ENABLE_SIG\ : std_logic ; +signal \VGA_CONTROL_UNIT.R\ : std_logic ; +signal \VGA_CONTROL_UNIT.G\ : std_logic ; +signal \VGA_CONTROL_UNIT.B\ : std_logic ; +signal G_33 : std_logic ; +signal \VGA_CONTROL_UNIT.TOGGLE_SIG\ : std_logic ; +signal CLK_PIN_C : std_logic ; +signal RESET_PIN_C : std_logic ; +signal CLK_PIN_INTERNAL : std_logic ; +signal RESET_PIN_INTERNAL : std_logic ; +signal N_1 : std_logic ; +signal N_2 : std_logic ; +signal N_84_0 : std_logic ; +signal N_85_0 : std_logic ; +signal N_86_0 : std_logic ; +signal N_87_0 : std_logic ; +signal N_88_0 : std_logic ; +signal N_89_0 : std_logic ; +signal N_90_0 : std_logic ; +signal N_91_0 : std_logic ; +signal N_92_0 : std_logic ; +signal N_93_0 : std_logic ; +signal N_94_0 : std_logic ; +signal N_95_0 : std_logic ; +signal N_96_0 : std_logic ; +signal N_97_0 : std_logic ; +signal N_98_0 : std_logic ; +signal N_99_0 : std_logic ; +signal N_100_0 : std_logic ; +signal N_101_0 : std_logic ; +signal N_102_0 : std_logic ; +signal N_103_0 : std_logic ; +signal N_104_0 : std_logic ; +signal N_105_0 : std_logic ; +signal N_106_0 : std_logic ; +signal N_107_0 : std_logic ; +signal N_108_0 : std_logic ; +signal N_109_0 : std_logic ; +signal N_110_0 : std_logic ; +signal N_111_0 : std_logic ; +signal N_112_0 : std_logic ; +signal N_113_0 : std_logic ; +signal N_114_0 : std_logic ; +signal N_115_0 : std_logic ; +signal N_116_0 : std_logic ; +signal N_117_0 : std_logic ; +signal N_118 : std_logic ; +signal N_119 : std_logic ; +signal N_120 : std_logic ; +signal N_121 : std_logic ; +signal N_122 : std_logic ; +signal N_123 : std_logic ; +signal N_124 : std_logic ; +signal N_125 : std_logic ; +signal N_126 : std_logic ; +signal N_127 : std_logic ; +signal N_128 : std_logic ; +signal N_129 : std_logic ; +signal N_130 : std_logic ; +signal N_131 : std_logic ; +signal N_132 : std_logic ; +signal N_133 : std_logic ; +signal N_134 : std_logic ; +signal N_135 : std_logic ; +signal N_136 : std_logic ; +signal N_137 : std_logic ; +signal N_138 : std_logic ; +signal N_139 : std_logic ; +signal N_140 : std_logic ; +signal N_141 : std_logic ; +signal N_142 : std_logic ; +signal N_143 : std_logic ; +signal N_144 : std_logic ; +signal N_145 : std_logic ; +signal N_146 : std_logic ; +signal N_147 : std_logic ; +signal N_148 : std_logic ; +signal N_149 : std_logic ; +signal N_150 : std_logic ; +signal N_151 : std_logic ; +signal N_152 : std_logic ; +signal N_153 : std_logic ; +signal N_154 : std_logic ; +signal N_155 : std_logic ; +signal N_156 : std_logic ; +signal N_157 : std_logic ; +signal N_158 : std_logic ; +signal N_159 : std_logic ; +signal N_160 : std_logic ; +signal N_161 : std_logic ; +signal N_162 : std_logic ; +signal N_163 : std_logic ; +signal N_164 : std_logic ; +signal N_165 : std_logic ; +signal N_166 : std_logic ; +signal N_167 : std_logic ; +signal N_168 : std_logic ; +signal N_169 : std_logic ; +signal N_170 : std_logic ; +signal N_171 : std_logic ; +signal N_172 : std_logic ; +signal N_173 : std_logic ; +signal N_174 : std_logic ; +signal N_175 : std_logic ; +signal N_176 : std_logic ; +signal N_177 : std_logic ; +signal N_178 : std_logic ; +signal N_179 : std_logic ; +signal N_180 : std_logic ; +signal N_181 : std_logic ; +signal N_182 : std_logic ; +signal N_183 : std_logic ; +signal N_184 : std_logic ; +signal N_185 : std_logic ; +signal N_186 : std_logic ; +signal N_187 : std_logic ; +signal N_188 : std_logic ; +signal N_189 : std_logic ; +signal N_190 : std_logic ; +signal N_191 : std_logic ; +signal N_192 : std_logic ; +signal N_193 : std_logic ; +signal N_194 : std_logic ; +signal N_195 : std_logic ; +signal N_196 : std_logic ; +signal N_197 : std_logic ; +signal N_198 : std_logic ; +signal R0_PINZ : std_logic ; +signal R1_PINZ : std_logic ; +signal R2_PINZ : std_logic ; +signal G0_PINZ : std_logic ; +signal G1_PINZ : std_logic ; +signal G2_PINZ : std_logic ; +signal B0_PINZ : std_logic ; +signal B1_PINZ : std_logic ; +signal HSYNC_PINZ : std_logic ; +signal VSYNC_PINZ : std_logic ; +signal D_HSYNCZ : std_logic ; +signal D_VSYNCZ : std_logic ; +signal D_SET_COLUMN_COUNTERZ : std_logic ; +signal D_SET_LINE_COUNTERZ : std_logic ; +signal D_SET_HSYNC_COUNTERZ : std_logic ; +signal D_SET_VSYNC_COUNTERZ : std_logic ; +signal D_H_ENABLEZ : std_logic ; +signal D_V_ENABLEZ : std_logic ; +signal D_RZ : std_logic ; +signal D_GZ : std_logic ; +signal D_BZ : std_logic ; +signal D_STATE_CLKZ : std_logic ; +signal D_TOGGLEZ : std_logic ; +component vga_driver +port( + line_counter_sig_0 : out std_logic; + line_counter_sig_1 : out std_logic; + line_counter_sig_2 : out std_logic; + line_counter_sig_3 : out std_logic; + line_counter_sig_4 : out std_logic; + line_counter_sig_5 : out std_logic; + line_counter_sig_6 : out std_logic; + line_counter_sig_7 : out std_logic; + line_counter_sig_8 : out std_logic; + dly_counter_1 : in std_logic; + dly_counter_0 : in std_logic; + vsync_state_2 : out std_logic; + vsync_state_5 : out std_logic; + vsync_state_3 : out std_logic; + vsync_state_6 : out std_logic; + vsync_state_4 : out std_logic; + vsync_state_1 : out std_logic; + vsync_state_0 : out std_logic; + hsync_state_2 : out std_logic; + hsync_state_4 : out std_logic; + hsync_state_0 : out std_logic; + hsync_state_5 : out std_logic; + hsync_state_1 : out std_logic; + hsync_state_3 : out std_logic; + hsync_state_6 : out std_logic; + column_counter_sig_0 : out std_logic; + column_counter_sig_1 : out std_logic; + column_counter_sig_2 : out std_logic; + column_counter_sig_3 : out std_logic; + column_counter_sig_4 : out std_logic; + column_counter_sig_5 : out std_logic; + column_counter_sig_6 : out std_logic; + column_counter_sig_7 : out std_logic; + column_counter_sig_8 : out std_logic; + column_counter_sig_9 : out std_logic; + vsync_counter_9 : out std_logic; + vsync_counter_8 : out std_logic; + vsync_counter_7 : out std_logic; + vsync_counter_6 : out std_logic; + vsync_counter_5 : out std_logic; + vsync_counter_4 : out std_logic; + vsync_counter_3 : out std_logic; + vsync_counter_2 : out std_logic; + vsync_counter_1 : out std_logic; + vsync_counter_0 : out std_logic; + hsync_counter_9 : out std_logic; + hsync_counter_8 : out std_logic; + hsync_counter_7 : out std_logic; + hsync_counter_6 : out std_logic; + hsync_counter_5 : out std_logic; + hsync_counter_4 : out std_logic; + hsync_counter_3 : out std_logic; + hsync_counter_2 : out std_logic; + hsync_counter_1 : out std_logic; + hsync_counter_0 : out std_logic; + d_set_vsync_counter : out std_logic; + v_sync : out std_logic; + h_sync : out std_logic; + h_enable_sig : out std_logic; + v_enable_sig : out std_logic; + reset_pin_c : in std_logic; + un6_dly_counter_0_x : out std_logic; + d_set_hsync_counter : out std_logic; + clk_pin_c : in std_logic ); +end component; +component vga_control +port( + line_counter_sig_0 : in std_logic; + line_counter_sig_2 : in std_logic; + line_counter_sig_1 : in std_logic; + line_counter_sig_3 : in std_logic; + line_counter_sig_6 : in std_logic; + line_counter_sig_5 : in std_logic; + line_counter_sig_4 : in std_logic; + line_counter_sig_7 : in std_logic; + line_counter_sig_8 : in std_logic; + column_counter_sig_0 : in std_logic; + column_counter_sig_1 : in std_logic; + column_counter_sig_2 : in std_logic; + column_counter_sig_8 : in std_logic; + column_counter_sig_3 : in std_logic; + column_counter_sig_5 : in std_logic; + column_counter_sig_4 : in std_logic; + column_counter_sig_9 : in std_logic; + column_counter_sig_7 : in std_logic; + column_counter_sig_6 : in std_logic; + toggle_counter_sig_0 : out std_logic; + toggle_counter_sig_1 : out std_logic; + toggle_counter_sig_2 : out std_logic; + toggle_counter_sig_3 : out std_logic; + toggle_counter_sig_4 : out std_logic; + toggle_counter_sig_5 : out std_logic; + toggle_counter_sig_6 : out std_logic; + toggle_counter_sig_7 : out std_logic; + toggle_counter_sig_8 : out std_logic; + toggle_counter_sig_9 : out std_logic; + toggle_counter_sig_10 : out std_logic; + toggle_counter_sig_11 : out std_logic; + toggle_counter_sig_12 : out std_logic; + toggle_counter_sig_13 : out std_logic; + toggle_counter_sig_14 : out std_logic; + toggle_counter_sig_15 : out std_logic; + toggle_counter_sig_16 : out std_logic; + toggle_counter_sig_17 : out std_logic; + toggle_counter_sig_18 : out std_logic; + toggle_counter_sig_19 : out std_logic; + toggle_counter_sig_20 : out std_logic; + toggle_counter_sig_21 : out std_logic; + toggle_counter_sig_22 : out std_logic; + toggle_counter_sig_23 : out std_logic; + toggle_counter_sig_24 : out std_logic; + h_enable_sig : in std_logic; + g : out std_logic; + b : out std_logic; + v_enable_sig : in std_logic; + r : out std_logic; + toggle_sig : out std_logic; + un6_dly_counter_0_x : in std_logic; + clk_pin_c : in std_logic ); +end component; +begin +VCC <= '1'; +GND <= '0'; +\DLY_COUNTER_1_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "a8a8") +port map ( +regout => DLY_COUNTER(1), +clk => CLK_PIN_C, +dataa => RESET_PIN_C, +datab => DLY_COUNTER(0), +datac => DLY_COUNTER(1), + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +\DLY_COUNTER_0_\: stratix_lcell generic map ( + operation_mode => "normal", + output_mode => "reg_only", + synch_mode => "off", + sum_lutc_input => "datac", + lut_mask => "a2a2") +port map ( +regout => DLY_COUNTER(0), +clk => CLK_PIN_C, +dataa => RESET_PIN_C, +datab => DLY_COUNTER(0), +datac => DLY_COUNTER(1), + devpor => devpor, + devclrn => devclrn, + datad => VCC, + aclr => GND, + sclr => GND, + sload => GND, + ena => VCC, + cin => GND, + inverta => GND, + aload => GND); +RESET_PIN_IN: stratix_io generic map ( + operation_mode => "input" + ) +port map ( +padio => N_2, +combout => RESET_PIN_C, +oe => GND, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +CLK_PIN_IN: stratix_io generic map ( + operation_mode => "input" + ) +port map ( +padio => N_1, +combout => CLK_PIN_C, +oe => GND, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_24_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(24), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_23_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(23), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_22_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(22), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_21_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(21), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_20_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(20), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_19_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(19), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_18_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(18), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_17_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(17), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_16_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(16), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_15_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(15), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_14_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(14), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_13_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(13), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_12_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(12), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_11_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(11), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_10_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(10), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_9_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(9), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(8), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(7), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(6), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(5), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(4), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(3), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(2), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(1), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_TOGGLE_COUNTER_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLE_COUNTERZ(0), +datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_TOGGLE_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_TOGGLEZ, +datain => \VGA_CONTROL_UNIT.TOGGLE_SIG\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_STATE_CLK_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_STATE_CLKZ, +datain => G_33, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(0), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(1), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(2), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(3), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(4), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(5), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_STATE_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_STATEZ(6), +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(0), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(1), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(2), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(3), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(4), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(5), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_STATE_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_STATEZ(6), +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_B_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_BZ, +datain => \VGA_CONTROL_UNIT.B\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_G_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_GZ, +datain => \VGA_CONTROL_UNIT.G\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_R_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_RZ, +datain => \VGA_CONTROL_UNIT.R\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_V_ENABLE_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_V_ENABLEZ, +datain => \VGA_DRIVER_UNIT.V_ENABLE_SIG\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_H_ENABLE_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_H_ENABLEZ, +datain => \VGA_DRIVER_UNIT.H_ENABLE_SIG\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_SET_VSYNC_COUNTER_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_SET_VSYNC_COUNTERZ, +datain => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_SET_HSYNC_COUNTER_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_SET_HSYNC_COUNTERZ, +datain => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_9_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(9), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(8), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(7), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(6), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(5), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(4), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(3), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(2), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(1), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_VSYNC_COUNTER_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNC_COUNTERZ(0), +datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_9_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(9), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(8), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(7), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(6), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(5), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(4), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(3), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(2), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(1), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_HSYNC_COUNTER_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNC_COUNTERZ(0), +datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_SET_LINE_COUNTER_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_SET_LINE_COUNTERZ, +datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_SET_COLUMN_COUNTER_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_SET_COLUMN_COUNTERZ, +datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(8), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(7), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(6), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(5), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(4), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(3), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(2), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(1), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_LINE_COUNTER_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_LINE_COUNTERZ(0), +datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_9_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(9), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(8), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(7), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(6), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(5), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(4), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(3), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(2), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(1), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\D_COLUMN_COUNTER_OUT_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_COLUMN_COUNTERZ(0), +datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0), +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_VSYNC_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_VSYNCZ, +datain => \VGA_DRIVER_UNIT.V_SYNC\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +D_HSYNC_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => D_HSYNCZ, +datain => \VGA_DRIVER_UNIT.H_SYNC\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_13_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(13), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_12_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(12), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_11_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(11), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_10_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(10), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_9_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(9), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_8_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(8), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_7_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(7), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_6_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(6), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_5_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(5), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_4_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(4), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_3_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(3), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_2_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(2), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_OUT_1_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(1), +datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +\SEVEN_SEG_PIN_TRI_0_\: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => SEVEN_SEG_PINZ(0), +datain => VCC, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +VSYNC_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => VSYNC_PINZ, +datain => \VGA_DRIVER_UNIT.V_SYNC\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +HSYNC_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => HSYNC_PINZ, +datain => \VGA_DRIVER_UNIT.H_SYNC\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +B1_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => B1_PINZ, +datain => \VGA_CONTROL_UNIT.B\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +B0_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => B0_PINZ, +datain => \VGA_CONTROL_UNIT.B\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +G2_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => G2_PINZ, +datain => \VGA_CONTROL_UNIT.G\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +G1_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => G1_PINZ, +datain => \VGA_CONTROL_UNIT.G\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +G0_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => G0_PINZ, +datain => \VGA_CONTROL_UNIT.G\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +R2_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => R2_PINZ, +datain => \VGA_CONTROL_UNIT.R\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +R1_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => R1_PINZ, +datain => \VGA_CONTROL_UNIT.R\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +R0_PIN_OUT: stratix_io generic map ( + operation_mode => "output" + ) +port map ( +padio => R0_PINZ, +datain => \VGA_CONTROL_UNIT.R\, +oe => VCC, + devpor => devpor, + devclrn => devclrn, + devoe => devoe, + outclkena => VCC, + inclkena => VCC, + areset => GND, + sreset => GND); +G_33 <= CLK_PIN_C; +VGA_DRIVER_UNIT: vga_driver port map ( +line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0), +line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1), +line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2), +line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3), +line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4), +line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5), +line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6), +line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7), +line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8), +dly_counter_1 => DLY_COUNTER(1), +dly_counter_0 => DLY_COUNTER(0), +vsync_state_2 => \VGA_DRIVER_UNIT.VSYNC_STATE\(2), +vsync_state_5 => \VGA_DRIVER_UNIT.VSYNC_STATE\(5), +vsync_state_3 => \VGA_DRIVER_UNIT.VSYNC_STATE\(3), +vsync_state_6 => \VGA_DRIVER_UNIT.VSYNC_STATE\(6), +vsync_state_4 => \VGA_DRIVER_UNIT.VSYNC_STATE\(4), +vsync_state_1 => \VGA_DRIVER_UNIT.VSYNC_STATE\(1), +vsync_state_0 => \VGA_DRIVER_UNIT.VSYNC_STATE\(0), +hsync_state_2 => \VGA_DRIVER_UNIT.HSYNC_STATE\(2), +hsync_state_4 => \VGA_DRIVER_UNIT.HSYNC_STATE\(4), +hsync_state_0 => \VGA_DRIVER_UNIT.HSYNC_STATE\(0), +hsync_state_5 => \VGA_DRIVER_UNIT.HSYNC_STATE\(5), +hsync_state_1 => \VGA_DRIVER_UNIT.HSYNC_STATE\(1), +hsync_state_3 => \VGA_DRIVER_UNIT.HSYNC_STATE\(3), +hsync_state_6 => \VGA_DRIVER_UNIT.HSYNC_STATE\(6), +column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0), +column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1), +column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2), +column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3), +column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4), +column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5), +column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6), +column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7), +column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8), +column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9), +vsync_counter_9 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9), +vsync_counter_8 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8), +vsync_counter_7 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7), +vsync_counter_6 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6), +vsync_counter_5 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5), +vsync_counter_4 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4), +vsync_counter_3 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3), +vsync_counter_2 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2), +vsync_counter_1 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1), +vsync_counter_0 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0), +hsync_counter_9 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9), +hsync_counter_8 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8), +hsync_counter_7 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7), +hsync_counter_6 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6), +hsync_counter_5 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5), +hsync_counter_4 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4), +hsync_counter_3 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3), +hsync_counter_2 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2), +hsync_counter_1 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1), +hsync_counter_0 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0), +d_set_vsync_counter => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\, +v_sync => \VGA_DRIVER_UNIT.V_SYNC\, +h_sync => \VGA_DRIVER_UNIT.H_SYNC\, +h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\, +v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\, +reset_pin_c => RESET_PIN_C, +un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +d_set_hsync_counter => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\, +clk_pin_c => CLK_PIN_C); +VGA_CONTROL_UNIT: vga_control port map ( +line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0), +line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2), +line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1), +line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3), +line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6), +line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5), +line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4), +line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7), +line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8), +column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0), +column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1), +column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2), +column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8), +column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3), +column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5), +column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4), +column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9), +column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7), +column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6), +toggle_counter_sig_0 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0), +toggle_counter_sig_1 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1), +toggle_counter_sig_2 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2), +toggle_counter_sig_3 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3), +toggle_counter_sig_4 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4), +toggle_counter_sig_5 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5), +toggle_counter_sig_6 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6), +toggle_counter_sig_7 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7), +toggle_counter_sig_8 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8), +toggle_counter_sig_9 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9), +toggle_counter_sig_10 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10), +toggle_counter_sig_11 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11), +toggle_counter_sig_12 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12), +toggle_counter_sig_13 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13), +toggle_counter_sig_14 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14), +toggle_counter_sig_15 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15), +toggle_counter_sig_16 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16), +toggle_counter_sig_17 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17), +toggle_counter_sig_18 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18), +toggle_counter_sig_19 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19), +toggle_counter_sig_20 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20), +toggle_counter_sig_21 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21), +toggle_counter_sig_22 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22), +toggle_counter_sig_23 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23), +toggle_counter_sig_24 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24), +h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\, +g => \VGA_CONTROL_UNIT.G\, +b => \VGA_CONTROL_UNIT.B\, +v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\, +r => \VGA_CONTROL_UNIT.R\, +toggle_sig => \VGA_CONTROL_UNIT.TOGGLE_SIG\, +un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\, +clk_pin_c => CLK_PIN_C); +N_1 <= CLK_PIN_INTERNAL; +N_2 <= RESET_PIN_INTERNAL; +N_84_0 <= R0_PINZ; +N_85_0 <= R1_PINZ; +N_86_0 <= R2_PINZ; +N_87_0 <= G0_PINZ; +N_88_0 <= G1_PINZ; +N_89_0 <= G2_PINZ; +N_90_0 <= B0_PINZ; +N_91_0 <= B1_PINZ; +N_92_0 <= HSYNC_PINZ; +N_93_0 <= VSYNC_PINZ; +N_94_0 <= SEVEN_SEG_PINZ(0); +N_95_0 <= SEVEN_SEG_PINZ(1); +N_96_0 <= SEVEN_SEG_PINZ(2); +N_97_0 <= SEVEN_SEG_PINZ(3); +N_98_0 <= SEVEN_SEG_PINZ(4); +N_99_0 <= SEVEN_SEG_PINZ(5); +N_100_0 <= SEVEN_SEG_PINZ(6); +N_101_0 <= SEVEN_SEG_PINZ(7); +N_102_0 <= SEVEN_SEG_PINZ(8); +N_103_0 <= SEVEN_SEG_PINZ(9); +N_104_0 <= SEVEN_SEG_PINZ(10); +N_105_0 <= SEVEN_SEG_PINZ(11); +N_106_0 <= SEVEN_SEG_PINZ(12); +N_107_0 <= SEVEN_SEG_PINZ(13); +N_108_0 <= D_HSYNCZ; +N_109_0 <= D_VSYNCZ; +N_110_0 <= D_COLUMN_COUNTERZ(0); +N_111_0 <= D_COLUMN_COUNTERZ(1); +N_112_0 <= D_COLUMN_COUNTERZ(2); +N_113_0 <= D_COLUMN_COUNTERZ(3); +N_114_0 <= D_COLUMN_COUNTERZ(4); +N_115_0 <= D_COLUMN_COUNTERZ(5); +N_116_0 <= D_COLUMN_COUNTERZ(6); +N_117_0 <= D_COLUMN_COUNTERZ(7); +N_118 <= D_COLUMN_COUNTERZ(8); +N_119 <= D_COLUMN_COUNTERZ(9); +N_120 <= D_LINE_COUNTERZ(0); +N_121 <= D_LINE_COUNTERZ(1); +N_122 <= D_LINE_COUNTERZ(2); +N_123 <= D_LINE_COUNTERZ(3); +N_124 <= D_LINE_COUNTERZ(4); +N_125 <= D_LINE_COUNTERZ(5); +N_126 <= D_LINE_COUNTERZ(6); +N_127 <= D_LINE_COUNTERZ(7); +N_128 <= D_LINE_COUNTERZ(8); +N_129 <= D_SET_COLUMN_COUNTERZ; +N_130 <= D_SET_LINE_COUNTERZ; +N_131 <= D_HSYNC_COUNTERZ(0); +N_132 <= D_HSYNC_COUNTERZ(1); +N_133 <= D_HSYNC_COUNTERZ(2); +N_134 <= D_HSYNC_COUNTERZ(3); +N_135 <= D_HSYNC_COUNTERZ(4); +N_136 <= D_HSYNC_COUNTERZ(5); +N_137 <= D_HSYNC_COUNTERZ(6); +N_138 <= D_HSYNC_COUNTERZ(7); +N_139 <= D_HSYNC_COUNTERZ(8); +N_140 <= D_HSYNC_COUNTERZ(9); +N_141 <= D_VSYNC_COUNTERZ(0); +N_142 <= D_VSYNC_COUNTERZ(1); +N_143 <= D_VSYNC_COUNTERZ(2); +N_144 <= D_VSYNC_COUNTERZ(3); +N_145 <= D_VSYNC_COUNTERZ(4); +N_146 <= D_VSYNC_COUNTERZ(5); +N_147 <= D_VSYNC_COUNTERZ(6); +N_148 <= D_VSYNC_COUNTERZ(7); +N_149 <= D_VSYNC_COUNTERZ(8); +N_150 <= D_VSYNC_COUNTERZ(9); +N_151 <= D_SET_HSYNC_COUNTERZ; +N_152 <= D_SET_VSYNC_COUNTERZ; +N_153 <= D_H_ENABLEZ; +N_154 <= D_V_ENABLEZ; +N_155 <= D_RZ; +N_156 <= D_GZ; +N_157 <= D_BZ; +N_158 <= D_HSYNC_STATEZ(6); +N_159 <= D_HSYNC_STATEZ(5); +N_160 <= D_HSYNC_STATEZ(4); +N_161 <= D_HSYNC_STATEZ(3); +N_162 <= D_HSYNC_STATEZ(2); +N_163 <= D_HSYNC_STATEZ(1); +N_164 <= D_HSYNC_STATEZ(0); +N_165 <= D_VSYNC_STATEZ(6); +N_166 <= D_VSYNC_STATEZ(5); +N_167 <= D_VSYNC_STATEZ(4); +N_168 <= D_VSYNC_STATEZ(3); +N_169 <= D_VSYNC_STATEZ(2); +N_170 <= D_VSYNC_STATEZ(1); +N_171 <= D_VSYNC_STATEZ(0); +N_172 <= D_STATE_CLKZ; +N_173 <= D_TOGGLEZ; +N_174 <= D_TOGGLE_COUNTERZ(0); +N_175 <= D_TOGGLE_COUNTERZ(1); +N_176 <= D_TOGGLE_COUNTERZ(2); +N_177 <= D_TOGGLE_COUNTERZ(3); +N_178 <= D_TOGGLE_COUNTERZ(4); +N_179 <= D_TOGGLE_COUNTERZ(5); +N_180 <= D_TOGGLE_COUNTERZ(6); +N_181 <= D_TOGGLE_COUNTERZ(7); +N_182 <= D_TOGGLE_COUNTERZ(8); +N_183 <= D_TOGGLE_COUNTERZ(9); +N_184 <= D_TOGGLE_COUNTERZ(10); +N_185 <= D_TOGGLE_COUNTERZ(11); +N_186 <= D_TOGGLE_COUNTERZ(12); +N_187 <= D_TOGGLE_COUNTERZ(13); +N_188 <= D_TOGGLE_COUNTERZ(14); +N_189 <= D_TOGGLE_COUNTERZ(15); +N_190 <= D_TOGGLE_COUNTERZ(16); +N_191 <= D_TOGGLE_COUNTERZ(17); +N_192 <= D_TOGGLE_COUNTERZ(18); +N_193 <= D_TOGGLE_COUNTERZ(19); +N_194 <= D_TOGGLE_COUNTERZ(20); +N_195 <= D_TOGGLE_COUNTERZ(21); +N_196 <= D_TOGGLE_COUNTERZ(22); +N_197 <= D_TOGGLE_COUNTERZ(23); +N_198 <= D_TOGGLE_COUNTERZ(24); +r0_pin <= N_84_0; +r1_pin <= N_85_0; +r2_pin <= N_86_0; +g0_pin <= N_87_0; +g1_pin <= N_88_0; +g2_pin <= N_89_0; +b0_pin <= N_90_0; +b1_pin <= N_91_0; +hsync_pin <= N_92_0; +vsync_pin <= N_93_0; +seven_seg_pin(0) <= N_94_0; +seven_seg_pin(1) <= N_95_0; +seven_seg_pin(2) <= N_96_0; +seven_seg_pin(3) <= N_97_0; +seven_seg_pin(4) <= N_98_0; +seven_seg_pin(5) <= N_99_0; +seven_seg_pin(6) <= N_100_0; +seven_seg_pin(7) <= N_101_0; +seven_seg_pin(8) <= N_102_0; +seven_seg_pin(9) <= N_103_0; +seven_seg_pin(10) <= N_104_0; +seven_seg_pin(11) <= N_105_0; +seven_seg_pin(12) <= N_106_0; +seven_seg_pin(13) <= N_107_0; +d_hsync <= N_108_0; +d_vsync <= N_109_0; +d_column_counter(0) <= N_110_0; +d_column_counter(1) <= N_111_0; +d_column_counter(2) <= N_112_0; +d_column_counter(3) <= N_113_0; +d_column_counter(4) <= N_114_0; +d_column_counter(5) <= N_115_0; +d_column_counter(6) <= N_116_0; +d_column_counter(7) <= N_117_0; +d_column_counter(8) <= N_118; +d_column_counter(9) <= N_119; +d_line_counter(0) <= N_120; +d_line_counter(1) <= N_121; +d_line_counter(2) <= N_122; +d_line_counter(3) <= N_123; +d_line_counter(4) <= N_124; +d_line_counter(5) <= N_125; +d_line_counter(6) <= N_126; +d_line_counter(7) <= N_127; +d_line_counter(8) <= N_128; +d_set_column_counter <= N_129; +d_set_line_counter <= N_130; +d_hsync_counter(0) <= N_131; +d_hsync_counter(1) <= N_132; +d_hsync_counter(2) <= N_133; +d_hsync_counter(3) <= N_134; +d_hsync_counter(4) <= N_135; +d_hsync_counter(5) <= N_136; +d_hsync_counter(6) <= N_137; +d_hsync_counter(7) <= N_138; +d_hsync_counter(8) <= N_139; +d_hsync_counter(9) <= N_140; +d_vsync_counter(0) <= N_141; +d_vsync_counter(1) <= N_142; +d_vsync_counter(2) <= N_143; +d_vsync_counter(3) <= N_144; +d_vsync_counter(4) <= N_145; +d_vsync_counter(5) <= N_146; +d_vsync_counter(6) <= N_147; +d_vsync_counter(7) <= N_148; +d_vsync_counter(8) <= N_149; +d_vsync_counter(9) <= N_150; +d_set_hsync_counter <= N_151; +d_set_vsync_counter <= N_152; +d_h_enable <= N_153; +d_v_enable <= N_154; +d_r <= N_155; +d_g <= N_156; +d_b <= N_157; +d_hsync_state(6) <= N_158; +d_hsync_state(5) <= N_159; +d_hsync_state(4) <= N_160; +d_hsync_state(3) <= N_161; +d_hsync_state(2) <= N_162; +d_hsync_state(1) <= N_163; +d_hsync_state(0) <= N_164; +d_vsync_state(6) <= N_165; +d_vsync_state(5) <= N_166; +d_vsync_state(4) <= N_167; +d_vsync_state(3) <= N_168; +d_vsync_state(2) <= N_169; +d_vsync_state(1) <= N_170; +d_vsync_state(0) <= N_171; +d_state_clk <= N_172; +d_toggle <= N_173; +d_toggle_counter(0) <= N_174; +d_toggle_counter(1) <= N_175; +d_toggle_counter(2) <= N_176; +d_toggle_counter(3) <= N_177; +d_toggle_counter(4) <= N_178; +d_toggle_counter(5) <= N_179; +d_toggle_counter(6) <= N_180; +d_toggle_counter(7) <= N_181; +d_toggle_counter(8) <= N_182; +d_toggle_counter(9) <= N_183; +d_toggle_counter(10) <= N_184; +d_toggle_counter(11) <= N_185; +d_toggle_counter(12) <= N_186; +d_toggle_counter(13) <= N_187; +d_toggle_counter(14) <= N_188; +d_toggle_counter(15) <= N_189; +d_toggle_counter(16) <= N_190; +d_toggle_counter(17) <= N_191; +d_toggle_counter(18) <= N_192; +d_toggle_counter(19) <= N_193; +d_toggle_counter(20) <= N_194; +d_toggle_counter(21) <= N_195; +d_toggle_counter(22) <= N_196; +d_toggle_counter(23) <= N_197; +d_toggle_counter(24) <= N_198; +CLK_PIN_INTERNAL <= clk_pin; +RESET_PIN_INTERNAL <= reset_pin; +end beh; + diff --git a/bsp2/Designflow/syn/rev_1/vga.vqm b/bsp2/Designflow/syn/rev_1/vga.vqm new file mode 100644 index 0000000..94981be --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.vqm @@ -0,0 +1,6206 @@ +// +// Written by Synplify +// Product Version "C-2009.06" +// Program "Synplify Pro", Mapper "map450rc, Build 029R" +// Wed Oct 21 17:26:36 2009 +// +// Source file index table: +// Object locations will have the form : +// file 0 "noname" +// file 1 "\/opt/synplify/fpga_c200906/lib/vhd/std.vhd " +// file 2 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd " +// file 3 "\/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd " +// file 4 "\/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd " +// file 5 "\/opt/synplify/fpga_c200906/lib/vhd/arith.vhd " +// file 6 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd " +// file 7 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd " +// file 8 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd " +// file 9 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd " +// file 10 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd " +// file 11 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd " +// file 12 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd " +// file 13 "\/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd " + +// VQM4.1+ +module vga_driver ( + line_counter_sig_0, + line_counter_sig_1, + line_counter_sig_2, + line_counter_sig_3, + line_counter_sig_4, + line_counter_sig_5, + line_counter_sig_6, + line_counter_sig_7, + line_counter_sig_8, + dly_counter_1, + dly_counter_0, + vsync_state_2, + vsync_state_5, + vsync_state_3, + vsync_state_6, + vsync_state_4, + vsync_state_1, + vsync_state_0, + hsync_state_2, + hsync_state_4, + hsync_state_0, + hsync_state_5, + hsync_state_1, + hsync_state_3, + hsync_state_6, + column_counter_sig_0, + column_counter_sig_1, + column_counter_sig_2, + column_counter_sig_3, + column_counter_sig_4, + column_counter_sig_5, + column_counter_sig_6, + column_counter_sig_7, + column_counter_sig_8, + column_counter_sig_9, + vsync_counter_9, + vsync_counter_8, + vsync_counter_7, + vsync_counter_6, + vsync_counter_5, + vsync_counter_4, + vsync_counter_3, + vsync_counter_2, + vsync_counter_1, + vsync_counter_0, + hsync_counter_9, + hsync_counter_8, + hsync_counter_7, + hsync_counter_6, + hsync_counter_5, + hsync_counter_4, + hsync_counter_3, + hsync_counter_2, + hsync_counter_1, + hsync_counter_0, + d_set_vsync_counter, + v_sync, + h_sync, + h_enable_sig, + v_enable_sig, + reset_pin_c, + un6_dly_counter_0_x, + d_set_hsync_counter, + clk_pin_c +) +; +output line_counter_sig_0 ; +output line_counter_sig_1 ; +output line_counter_sig_2 ; +output line_counter_sig_3 ; +output line_counter_sig_4 ; +output line_counter_sig_5 ; +output line_counter_sig_6 ; +output line_counter_sig_7 ; +output line_counter_sig_8 ; +input dly_counter_1 ; +input dly_counter_0 ; +output vsync_state_2 ; +output vsync_state_5 ; +output vsync_state_3 ; +output vsync_state_6 ; +output vsync_state_4 ; +output vsync_state_1 ; +output vsync_state_0 ; +output hsync_state_2 ; +output hsync_state_4 ; +output hsync_state_0 ; +output hsync_state_5 ; +output hsync_state_1 ; +output hsync_state_3 ; +output hsync_state_6 ; +output column_counter_sig_0 ; +output column_counter_sig_1 ; +output column_counter_sig_2 ; +output column_counter_sig_3 ; +output column_counter_sig_4 ; +output column_counter_sig_5 ; +output column_counter_sig_6 ; +output column_counter_sig_7 ; +output column_counter_sig_8 ; +output column_counter_sig_9 ; +output vsync_counter_9 ; +output vsync_counter_8 ; +output vsync_counter_7 ; +output vsync_counter_6 ; +output vsync_counter_5 ; +output vsync_counter_4 ; +output vsync_counter_3 ; +output vsync_counter_2 ; +output vsync_counter_1 ; +output vsync_counter_0 ; +output hsync_counter_9 ; +output hsync_counter_8 ; +output hsync_counter_7 ; +output hsync_counter_6 ; +output hsync_counter_5 ; +output hsync_counter_4 ; +output hsync_counter_3 ; +output hsync_counter_2 ; +output hsync_counter_1 ; +output hsync_counter_0 ; +output d_set_vsync_counter ; +output v_sync ; +output h_sync ; +output h_enable_sig ; +output v_enable_sig ; +input reset_pin_c ; +output un6_dly_counter_0_x ; +output d_set_hsync_counter ; +input clk_pin_c ; +wire line_counter_sig_0 ; +wire line_counter_sig_1 ; +wire line_counter_sig_2 ; +wire line_counter_sig_3 ; +wire line_counter_sig_4 ; +wire line_counter_sig_5 ; +wire line_counter_sig_6 ; +wire line_counter_sig_7 ; +wire line_counter_sig_8 ; +wire dly_counter_1 ; +wire dly_counter_0 ; +wire vsync_state_2 ; +wire vsync_state_5 ; +wire vsync_state_3 ; +wire vsync_state_6 ; +wire vsync_state_4 ; +wire vsync_state_1 ; +wire vsync_state_0 ; +wire hsync_state_2 ; +wire hsync_state_4 ; +wire hsync_state_0 ; +wire hsync_state_5 ; +wire hsync_state_1 ; +wire hsync_state_3 ; +wire hsync_state_6 ; +wire column_counter_sig_0 ; +wire column_counter_sig_1 ; +wire column_counter_sig_2 ; +wire column_counter_sig_3 ; +wire column_counter_sig_4 ; +wire column_counter_sig_5 ; +wire column_counter_sig_6 ; +wire column_counter_sig_7 ; +wire column_counter_sig_8 ; +wire column_counter_sig_9 ; +wire vsync_counter_9 ; +wire vsync_counter_8 ; +wire vsync_counter_7 ; +wire vsync_counter_6 ; +wire vsync_counter_5 ; +wire vsync_counter_4 ; +wire vsync_counter_3 ; +wire vsync_counter_2 ; +wire vsync_counter_1 ; +wire vsync_counter_0 ; +wire hsync_counter_9 ; +wire hsync_counter_8 ; +wire hsync_counter_7 ; +wire hsync_counter_6 ; +wire hsync_counter_5 ; +wire hsync_counter_4 ; +wire hsync_counter_3 ; +wire hsync_counter_2 ; +wire hsync_counter_1 ; +wire hsync_counter_0 ; +wire d_set_vsync_counter ; +wire v_sync ; +wire h_sync ; +wire h_enable_sig ; +wire v_enable_sig ; +wire reset_pin_c ; +wire un6_dly_counter_0_x ; +wire d_set_hsync_counter ; +wire clk_pin_c ; +wire [8:0] hsync_counter_cout; +wire [8:0] vsync_counter_cout; +wire [9:1] un2_column_counter_next_combout; +wire [9:1] un1_line_counter_sig_combout; +wire [7:1] un1_line_counter_sig_cout; +wire [1:1] un1_line_counter_sig_a_cout; +wire [7:0] un2_column_counter_next_cout; +wire hsync_counter_next_1_sqmuxa ; +wire G_2_i ; +wire un9_hsync_counterlt9 ; +wire vsync_counter_next_1_sqmuxa ; +wire G_16_i ; +wire un9_vsync_counterlt9 ; +wire un10_column_counter_siglto9 ; +wire column_counter_next_0_sqmuxa_1_1 ; +wire vsync_state_3_iv_0_0__g0_0_a3_0 ; +wire vsync_state_next_2_sqmuxa ; +wire un12_vsync_counter_7 ; +wire un13_vsync_counter_4 ; +wire un10_line_counter_siglto8 ; +wire line_counter_next_0_sqmuxa_1_1 ; +wire v_enable_sig_1_0_0_0_g0_i_o4 ; +wire h_enable_sig_1_0_0_0_g0_i_o4 ; +wire h_sync_1_0_0_0_g1 ; +wire v_sync_1_0_0_0_g1 ; +wire un14_vsync_counter_8 ; +wire hsync_state_3_0_0_0__g0_0 ; +wire un10_hsync_counter_3 ; +wire un10_hsync_counter_1 ; +wire un10_hsync_counter_4 ; +wire un12_hsync_counter ; +wire un11_hsync_counter_2 ; +wire un11_hsync_counter_3 ; +wire un13_hsync_counter ; +wire vsync_state_next_1_sqmuxa_1 ; +wire vsync_state_next_1_sqmuxa_3 ; +wire un1_vsync_state_next_1_sqmuxa_0 ; +wire hsync_state_next_1_sqmuxa_1 ; +wire hsync_state_next_1_sqmuxa_2 ; +wire un1_hsync_state_next_1_sqmuxa_0 ; +wire un12_vsync_counter_6 ; +wire un15_vsync_counter_4 ; +wire vsync_state_next_1_sqmuxa_2 ; +wire un10_line_counter_siglto5 ; +wire un10_column_counter_siglt6 ; +wire un13_hsync_counter_2 ; +wire un13_hsync_counter_7 ; +wire un9_hsync_counterlt9_3 ; +wire un9_vsync_counterlt9_5 ; +wire un9_vsync_counterlt9_6 ; +wire un12_hsync_counter_3 ; +wire un12_hsync_counter_4 ; +wire un10_line_counter_siglt4_2 ; +wire un15_vsync_counter_3 ; +wire un13_vsync_counter_3 ; +wire un10_column_counter_siglt6_4 ; +wire un1_vsync_state_2_0 ; +wire un1_hsync_state_3_0 ; +wire VCC ; +wire GND ; +wire line_counter_next_0_sqmuxa_1_1_i ; +wire column_counter_next_0_sqmuxa_1_1_i ; +wire un9_vsync_counterlt9_i ; +wire G_16_i_i ; +wire un9_hsync_counterlt9_i ; +wire G_2_i_i ; +//@1:1 + assign VCC = 1'b1; + assign GND = 1'b0; +// @13:158 + stratix_lcell hsync_counter_0_ ( + .regout(hsync_counter_0), + .cout(hsync_counter_cout[0]), + .clk(clk_pin_c), + .dataa(hsync_counter_0), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_0_.operation_mode="arithmetic"; +defparam hsync_counter_0_.output_mode="reg_only"; +defparam hsync_counter_0_.lut_mask="55aa"; +defparam hsync_counter_0_.synch_mode="on"; +defparam hsync_counter_0_.sum_lutc_input="datac"; +// @13:158 + stratix_lcell hsync_counter_1_ ( + .regout(hsync_counter_1), + .cout(hsync_counter_cout[1]), + .clk(clk_pin_c), + .dataa(hsync_counter_1), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[0]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_1_.cin_used="true"; +defparam hsync_counter_1_.operation_mode="arithmetic"; +defparam hsync_counter_1_.output_mode="reg_only"; +defparam hsync_counter_1_.lut_mask="5aa0"; +defparam hsync_counter_1_.synch_mode="on"; +defparam hsync_counter_1_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_2_ ( + .regout(hsync_counter_2), + .cout(hsync_counter_cout[2]), + .clk(clk_pin_c), + .dataa(hsync_counter_2), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_2_.cin_used="true"; +defparam hsync_counter_2_.operation_mode="arithmetic"; +defparam hsync_counter_2_.output_mode="reg_only"; +defparam hsync_counter_2_.lut_mask="5aa0"; +defparam hsync_counter_2_.synch_mode="on"; +defparam hsync_counter_2_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_3_ ( + .regout(hsync_counter_3), + .cout(hsync_counter_cout[3]), + .clk(clk_pin_c), + .dataa(hsync_counter_3), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[2]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_3_.cin_used="true"; +defparam hsync_counter_3_.operation_mode="arithmetic"; +defparam hsync_counter_3_.output_mode="reg_only"; +defparam hsync_counter_3_.lut_mask="5aa0"; +defparam hsync_counter_3_.synch_mode="on"; +defparam hsync_counter_3_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_4_ ( + .regout(hsync_counter_4), + .cout(hsync_counter_cout[4]), + .clk(clk_pin_c), + .dataa(hsync_counter_4), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[3]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_4_.cin_used="true"; +defparam hsync_counter_4_.operation_mode="arithmetic"; +defparam hsync_counter_4_.output_mode="reg_only"; +defparam hsync_counter_4_.lut_mask="5aa0"; +defparam hsync_counter_4_.synch_mode="on"; +defparam hsync_counter_4_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_5_ ( + .regout(hsync_counter_5), + .cout(hsync_counter_cout[5]), + .clk(clk_pin_c), + .dataa(hsync_counter_5), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[4]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_5_.cin_used="true"; +defparam hsync_counter_5_.operation_mode="arithmetic"; +defparam hsync_counter_5_.output_mode="reg_only"; +defparam hsync_counter_5_.lut_mask="5aa0"; +defparam hsync_counter_5_.synch_mode="on"; +defparam hsync_counter_5_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_6_ ( + .regout(hsync_counter_6), + .cout(hsync_counter_cout[6]), + .clk(clk_pin_c), + .dataa(hsync_counter_6), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[5]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_6_.cin_used="true"; +defparam hsync_counter_6_.operation_mode="arithmetic"; +defparam hsync_counter_6_.output_mode="reg_only"; +defparam hsync_counter_6_.lut_mask="5aa0"; +defparam hsync_counter_6_.synch_mode="on"; +defparam hsync_counter_6_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_7_ ( + .regout(hsync_counter_7), + .cout(hsync_counter_cout[7]), + .clk(clk_pin_c), + .dataa(hsync_counter_7), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[6]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_7_.cin_used="true"; +defparam hsync_counter_7_.operation_mode="arithmetic"; +defparam hsync_counter_7_.output_mode="reg_only"; +defparam hsync_counter_7_.lut_mask="5aa0"; +defparam hsync_counter_7_.synch_mode="on"; +defparam hsync_counter_7_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_8_ ( + .regout(hsync_counter_8), + .cout(hsync_counter_cout[8]), + .clk(clk_pin_c), + .dataa(hsync_counter_8), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[7]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_8_.cin_used="true"; +defparam hsync_counter_8_.operation_mode="arithmetic"; +defparam hsync_counter_8_.output_mode="reg_only"; +defparam hsync_counter_8_.lut_mask="5aa0"; +defparam hsync_counter_8_.synch_mode="on"; +defparam hsync_counter_8_.sum_lutc_input="cin"; +// @13:158 + stratix_lcell hsync_counter_9_ ( + .regout(hsync_counter_9), + .clk(clk_pin_c), + .dataa(hsync_counter_9), + .datab(VCC), + .datac(hsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_2_i_i), + .sload(un9_hsync_counterlt9_i), + .ena(VCC), + .cin(hsync_counter_cout[8]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_9_.cin_used="true"; +defparam hsync_counter_9_.operation_mode="normal"; +defparam hsync_counter_9_.output_mode="reg_only"; +defparam hsync_counter_9_.lut_mask="5a5a"; +defparam hsync_counter_9_.synch_mode="on"; +defparam hsync_counter_9_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_0_ ( + .regout(vsync_counter_0), + .cout(vsync_counter_cout[0]), + .clk(clk_pin_c), + .dataa(vsync_counter_0), + .datab(d_set_hsync_counter), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_0_.operation_mode="arithmetic"; +defparam vsync_counter_0_.output_mode="reg_only"; +defparam vsync_counter_0_.lut_mask="6688"; +defparam vsync_counter_0_.synch_mode="on"; +defparam vsync_counter_0_.sum_lutc_input="datac"; +// @13:267 + stratix_lcell vsync_counter_1_ ( + .regout(vsync_counter_1), + .cout(vsync_counter_cout[1]), + .clk(clk_pin_c), + .dataa(vsync_counter_1), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[0]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_1_.cin_used="true"; +defparam vsync_counter_1_.operation_mode="arithmetic"; +defparam vsync_counter_1_.output_mode="reg_only"; +defparam vsync_counter_1_.lut_mask="5aa0"; +defparam vsync_counter_1_.synch_mode="on"; +defparam vsync_counter_1_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_2_ ( + .regout(vsync_counter_2), + .cout(vsync_counter_cout[2]), + .clk(clk_pin_c), + .dataa(vsync_counter_2), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_2_.cin_used="true"; +defparam vsync_counter_2_.operation_mode="arithmetic"; +defparam vsync_counter_2_.output_mode="reg_only"; +defparam vsync_counter_2_.lut_mask="5aa0"; +defparam vsync_counter_2_.synch_mode="on"; +defparam vsync_counter_2_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_3_ ( + .regout(vsync_counter_3), + .cout(vsync_counter_cout[3]), + .clk(clk_pin_c), + .dataa(vsync_counter_3), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[2]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_3_.cin_used="true"; +defparam vsync_counter_3_.operation_mode="arithmetic"; +defparam vsync_counter_3_.output_mode="reg_only"; +defparam vsync_counter_3_.lut_mask="5aa0"; +defparam vsync_counter_3_.synch_mode="on"; +defparam vsync_counter_3_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_4_ ( + .regout(vsync_counter_4), + .cout(vsync_counter_cout[4]), + .clk(clk_pin_c), + .dataa(vsync_counter_4), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[3]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_4_.cin_used="true"; +defparam vsync_counter_4_.operation_mode="arithmetic"; +defparam vsync_counter_4_.output_mode="reg_only"; +defparam vsync_counter_4_.lut_mask="5aa0"; +defparam vsync_counter_4_.synch_mode="on"; +defparam vsync_counter_4_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_5_ ( + .regout(vsync_counter_5), + .cout(vsync_counter_cout[5]), + .clk(clk_pin_c), + .dataa(vsync_counter_5), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[4]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_5_.cin_used="true"; +defparam vsync_counter_5_.operation_mode="arithmetic"; +defparam vsync_counter_5_.output_mode="reg_only"; +defparam vsync_counter_5_.lut_mask="5aa0"; +defparam vsync_counter_5_.synch_mode="on"; +defparam vsync_counter_5_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_6_ ( + .regout(vsync_counter_6), + .cout(vsync_counter_cout[6]), + .clk(clk_pin_c), + .dataa(vsync_counter_6), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[5]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_6_.cin_used="true"; +defparam vsync_counter_6_.operation_mode="arithmetic"; +defparam vsync_counter_6_.output_mode="reg_only"; +defparam vsync_counter_6_.lut_mask="5aa0"; +defparam vsync_counter_6_.synch_mode="on"; +defparam vsync_counter_6_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_7_ ( + .regout(vsync_counter_7), + .cout(vsync_counter_cout[7]), + .clk(clk_pin_c), + .dataa(vsync_counter_7), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[6]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_7_.cin_used="true"; +defparam vsync_counter_7_.operation_mode="arithmetic"; +defparam vsync_counter_7_.output_mode="reg_only"; +defparam vsync_counter_7_.lut_mask="5aa0"; +defparam vsync_counter_7_.synch_mode="on"; +defparam vsync_counter_7_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_8_ ( + .regout(vsync_counter_8), + .cout(vsync_counter_cout[8]), + .clk(clk_pin_c), + .dataa(vsync_counter_8), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[7]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_8_.cin_used="true"; +defparam vsync_counter_8_.operation_mode="arithmetic"; +defparam vsync_counter_8_.output_mode="reg_only"; +defparam vsync_counter_8_.lut_mask="5aa0"; +defparam vsync_counter_8_.synch_mode="on"; +defparam vsync_counter_8_.sum_lutc_input="cin"; +// @13:267 + stratix_lcell vsync_counter_9_ ( + .regout(vsync_counter_9), + .clk(clk_pin_c), + .dataa(vsync_counter_9), + .datab(VCC), + .datac(vsync_counter_next_1_sqmuxa), + .datad(VCC), + .aclr(GND), + .sclr(G_16_i_i), + .sload(un9_vsync_counterlt9_i), + .ena(VCC), + .cin(vsync_counter_cout[8]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_9_.cin_used="true"; +defparam vsync_counter_9_.operation_mode="normal"; +defparam vsync_counter_9_.output_mode="reg_only"; +defparam vsync_counter_9_.lut_mask="5a5a"; +defparam vsync_counter_9_.synch_mode="on"; +defparam vsync_counter_9_.sum_lutc_input="cin"; +// @13:97 + stratix_lcell column_counter_sig_9_ ( + .regout(column_counter_sig_9), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[9]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_9_.operation_mode="normal"; +defparam column_counter_sig_9_.output_mode="reg_only"; +defparam column_counter_sig_9_.lut_mask="bbbb"; +defparam column_counter_sig_9_.synch_mode="on"; +defparam column_counter_sig_9_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_8_ ( + .regout(column_counter_sig_8), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[8]), + .datab(un10_column_counter_siglto9), + .datac(column_counter_next_0_sqmuxa_1_1), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_8_.operation_mode="normal"; +defparam column_counter_sig_8_.output_mode="reg_only"; +defparam column_counter_sig_8_.lut_mask="8080"; +defparam column_counter_sig_8_.synch_mode="off"; +defparam column_counter_sig_8_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_7_ ( + .regout(column_counter_sig_7), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[7]), + .datab(un10_column_counter_siglto9), + .datac(column_counter_next_0_sqmuxa_1_1), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_7_.operation_mode="normal"; +defparam column_counter_sig_7_.output_mode="reg_only"; +defparam column_counter_sig_7_.lut_mask="8080"; +defparam column_counter_sig_7_.synch_mode="off"; +defparam column_counter_sig_7_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_6_ ( + .regout(column_counter_sig_6), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[6]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_6_.operation_mode="normal"; +defparam column_counter_sig_6_.output_mode="reg_only"; +defparam column_counter_sig_6_.lut_mask="bbbb"; +defparam column_counter_sig_6_.synch_mode="on"; +defparam column_counter_sig_6_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_5_ ( + .regout(column_counter_sig_5), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[5]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_5_.operation_mode="normal"; +defparam column_counter_sig_5_.output_mode="reg_only"; +defparam column_counter_sig_5_.lut_mask="bbbb"; +defparam column_counter_sig_5_.synch_mode="on"; +defparam column_counter_sig_5_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_4_ ( + .regout(column_counter_sig_4), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[4]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_4_.operation_mode="normal"; +defparam column_counter_sig_4_.output_mode="reg_only"; +defparam column_counter_sig_4_.lut_mask="bbbb"; +defparam column_counter_sig_4_.synch_mode="on"; +defparam column_counter_sig_4_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_3_ ( + .regout(column_counter_sig_3), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[3]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_3_.operation_mode="normal"; +defparam column_counter_sig_3_.output_mode="reg_only"; +defparam column_counter_sig_3_.lut_mask="bbbb"; +defparam column_counter_sig_3_.synch_mode="on"; +defparam column_counter_sig_3_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_2_ ( + .regout(column_counter_sig_2), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[2]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_2_.operation_mode="normal"; +defparam column_counter_sig_2_.output_mode="reg_only"; +defparam column_counter_sig_2_.lut_mask="bbbb"; +defparam column_counter_sig_2_.synch_mode="on"; +defparam column_counter_sig_2_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_1_ ( + .regout(column_counter_sig_1), + .clk(clk_pin_c), + .dataa(un2_column_counter_next_combout[1]), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_1_.operation_mode="normal"; +defparam column_counter_sig_1_.output_mode="reg_only"; +defparam column_counter_sig_1_.lut_mask="bbbb"; +defparam column_counter_sig_1_.synch_mode="on"; +defparam column_counter_sig_1_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell column_counter_sig_0_ ( + .regout(column_counter_sig_0), + .clk(clk_pin_c), + .dataa(column_counter_sig_0), + .datab(un10_column_counter_siglto9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(column_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_sig_0_.operation_mode="normal"; +defparam column_counter_sig_0_.output_mode="reg_only"; +defparam column_counter_sig_0_.lut_mask="7777"; +defparam column_counter_sig_0_.synch_mode="on"; +defparam column_counter_sig_0_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_6_ ( + .regout(hsync_state_6), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(un6_dly_counter_0_x), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_6_.operation_mode="normal"; +defparam hsync_state_6_.output_mode="reg_only"; +defparam hsync_state_6_.lut_mask="ff00"; +defparam hsync_state_6_.synch_mode="off"; +defparam hsync_state_6_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_0_ ( + .regout(vsync_state_0), + .clk(clk_pin_c), + .dataa(vsync_state_0), + .datab(vsync_state_3_iv_0_0__g0_0_a3_0), + .datac(un6_dly_counter_0_x), + .datad(vsync_state_next_2_sqmuxa), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_0_.operation_mode="normal"; +defparam vsync_state_0_.output_mode="reg_only"; +defparam vsync_state_0_.lut_mask="0cae"; +defparam vsync_state_0_.synch_mode="off"; +defparam vsync_state_0_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_1_ ( + .regout(vsync_state_1), + .clk(clk_pin_c), + .dataa(vsync_state_4), + .datab(un12_vsync_counter_7), + .datac(un13_vsync_counter_4), + .datad(un6_dly_counter_0_x), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_1_.operation_mode="normal"; +defparam vsync_state_1_.output_mode="reg_only"; +defparam vsync_state_1_.lut_mask="0080"; +defparam vsync_state_1_.synch_mode="off"; +defparam vsync_state_1_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_6_ ( + .combout(un6_dly_counter_0_x), + .regout(vsync_state_6), + .clk(clk_pin_c), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_6_.operation_mode="normal"; +defparam vsync_state_6_.output_mode="reg_and_comb"; +defparam vsync_state_6_.lut_mask="7f7f"; +defparam vsync_state_6_.synch_mode="off"; +defparam vsync_state_6_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_8_ ( + .regout(line_counter_sig_8), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[9]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_8_.operation_mode="normal"; +defparam line_counter_sig_8_.output_mode="reg_only"; +defparam line_counter_sig_8_.lut_mask="dddd"; +defparam line_counter_sig_8_.synch_mode="on"; +defparam line_counter_sig_8_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_7_ ( + .regout(line_counter_sig_7), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[8]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_7_.operation_mode="normal"; +defparam line_counter_sig_7_.output_mode="reg_only"; +defparam line_counter_sig_7_.lut_mask="dddd"; +defparam line_counter_sig_7_.synch_mode="on"; +defparam line_counter_sig_7_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_6_ ( + .regout(line_counter_sig_6), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[7]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_6_.operation_mode="normal"; +defparam line_counter_sig_6_.output_mode="reg_only"; +defparam line_counter_sig_6_.lut_mask="dddd"; +defparam line_counter_sig_6_.synch_mode="on"; +defparam line_counter_sig_6_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_5_ ( + .regout(line_counter_sig_5), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[6]), + .datac(line_counter_next_0_sqmuxa_1_1), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_5_.operation_mode="normal"; +defparam line_counter_sig_5_.output_mode="reg_only"; +defparam line_counter_sig_5_.lut_mask="8080"; +defparam line_counter_sig_5_.synch_mode="off"; +defparam line_counter_sig_5_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_4_ ( + .regout(line_counter_sig_4), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[5]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_4_.operation_mode="normal"; +defparam line_counter_sig_4_.output_mode="reg_only"; +defparam line_counter_sig_4_.lut_mask="dddd"; +defparam line_counter_sig_4_.synch_mode="on"; +defparam line_counter_sig_4_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_3_ ( + .regout(line_counter_sig_3), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[4]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_3_.operation_mode="normal"; +defparam line_counter_sig_3_.output_mode="reg_only"; +defparam line_counter_sig_3_.lut_mask="dddd"; +defparam line_counter_sig_3_.synch_mode="on"; +defparam line_counter_sig_3_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_2_ ( + .regout(line_counter_sig_2), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[3]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_2_.operation_mode="normal"; +defparam line_counter_sig_2_.output_mode="reg_only"; +defparam line_counter_sig_2_.lut_mask="dddd"; +defparam line_counter_sig_2_.synch_mode="on"; +defparam line_counter_sig_2_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_1_ ( + .regout(line_counter_sig_1), + .clk(clk_pin_c), + .dataa(un10_line_counter_siglto8), + .datab(un1_line_counter_sig_combout[2]), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_1_.operation_mode="normal"; +defparam line_counter_sig_1_.output_mode="reg_only"; +defparam line_counter_sig_1_.lut_mask="dddd"; +defparam line_counter_sig_1_.synch_mode="on"; +defparam line_counter_sig_1_.sum_lutc_input="datac"; +// @13:125 + stratix_lcell line_counter_sig_0_ ( + .regout(line_counter_sig_0), + .clk(clk_pin_c), + .dataa(un1_line_counter_sig_combout[1]), + .datab(un10_line_counter_siglto8), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(line_counter_next_0_sqmuxa_1_1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_sig_0_.operation_mode="normal"; +defparam line_counter_sig_0_.output_mode="reg_only"; +defparam line_counter_sig_0_.lut_mask="bbbb"; +defparam line_counter_sig_0_.synch_mode="on"; +defparam line_counter_sig_0_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell v_enable_sig_Z ( + .regout(v_enable_sig), + .clk(clk_pin_c), + .dataa(hsync_state_3), + .datab(hsync_state_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(v_enable_sig_1_0_0_0_g0_i_o4), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam v_enable_sig_Z.operation_mode="normal"; +defparam v_enable_sig_Z.output_mode="reg_only"; +defparam v_enable_sig_Z.lut_mask="eeee"; +defparam v_enable_sig_Z.synch_mode="on"; +defparam v_enable_sig_Z.sum_lutc_input="datac"; +// @13:300 + stratix_lcell h_enable_sig_Z ( + .regout(h_enable_sig), + .clk(clk_pin_c), + .dataa(vsync_state_3), + .datab(vsync_state_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(h_enable_sig_1_0_0_0_g0_i_o4), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam h_enable_sig_Z.operation_mode="normal"; +defparam h_enable_sig_Z.output_mode="reg_only"; +defparam h_enable_sig_Z.lut_mask="eeee"; +defparam h_enable_sig_Z.synch_mode="on"; +defparam h_enable_sig_Z.sum_lutc_input="datac"; +// @13:187 + stratix_lcell h_sync_Z ( + .regout(h_sync), + .clk(clk_pin_c), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(h_sync_1_0_0_0_g1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam h_sync_Z.operation_mode="normal"; +defparam h_sync_Z.output_mode="reg_only"; +defparam h_sync_Z.lut_mask="ff7f"; +defparam h_sync_Z.synch_mode="off"; +defparam h_sync_Z.sum_lutc_input="datac"; +// @13:300 + stratix_lcell v_sync_Z ( + .regout(v_sync), + .clk(clk_pin_c), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(v_sync_1_0_0_0_g1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam v_sync_Z.operation_mode="normal"; +defparam v_sync_Z.output_mode="reg_only"; +defparam v_sync_Z.lut_mask="ff7f"; +defparam v_sync_Z.synch_mode="off"; +defparam v_sync_Z.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_5_ ( + .regout(vsync_state_5), + .clk(clk_pin_c), + .dataa(vsync_state_6), + .datab(vsync_state_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(vsync_state_next_2_sqmuxa), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_5_.operation_mode="normal"; +defparam vsync_state_5_.output_mode="reg_only"; +defparam vsync_state_5_.lut_mask="eeee"; +defparam vsync_state_5_.synch_mode="on"; +defparam vsync_state_5_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_4_ ( + .regout(vsync_state_4), + .clk(clk_pin_c), + .dataa(vsync_counter_0), + .datab(vsync_counter_9), + .datac(vsync_state_5), + .datad(un14_vsync_counter_8), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(vsync_state_next_2_sqmuxa), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_4_.operation_mode="normal"; +defparam vsync_state_4_.output_mode="reg_only"; +defparam vsync_state_4_.lut_mask="2000"; +defparam vsync_state_4_.synch_mode="on"; +defparam vsync_state_4_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_3_ ( + .regout(vsync_state_3), + .clk(clk_pin_c), + .dataa(vsync_state_1), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(vsync_state_next_2_sqmuxa), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_3_.operation_mode="normal"; +defparam vsync_state_3_.output_mode="reg_only"; +defparam vsync_state_3_.lut_mask="aaaa"; +defparam vsync_state_3_.synch_mode="on"; +defparam vsync_state_3_.sum_lutc_input="datac"; +// @13:300 + stratix_lcell vsync_state_2_ ( + .regout(vsync_state_2), + .clk(clk_pin_c), + .dataa(vsync_counter_0), + .datab(vsync_counter_9), + .datac(vsync_state_3), + .datad(un14_vsync_counter_8), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(vsync_state_next_2_sqmuxa), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_2_.operation_mode="normal"; +defparam vsync_state_2_.output_mode="reg_only"; +defparam vsync_state_2_.lut_mask="8000"; +defparam vsync_state_2_.synch_mode="on"; +defparam vsync_state_2_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_5_ ( + .regout(hsync_state_5), + .clk(clk_pin_c), + .dataa(hsync_state_6), + .datab(hsync_state_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_5_.operation_mode="normal"; +defparam hsync_state_5_.output_mode="reg_only"; +defparam hsync_state_5_.lut_mask="eeee"; +defparam hsync_state_5_.synch_mode="on"; +defparam hsync_state_5_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_4_ ( + .regout(hsync_state_4), + .clk(clk_pin_c), + .dataa(hsync_state_5), + .datab(un10_hsync_counter_3), + .datac(un10_hsync_counter_1), + .datad(un10_hsync_counter_4), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_4_.operation_mode="normal"; +defparam hsync_state_4_.output_mode="reg_only"; +defparam hsync_state_4_.lut_mask="8000"; +defparam hsync_state_4_.synch_mode="on"; +defparam hsync_state_4_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_3_ ( + .regout(hsync_state_3), + .clk(clk_pin_c), + .dataa(hsync_state_1), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_3_.operation_mode="normal"; +defparam hsync_state_3_.output_mode="reg_only"; +defparam hsync_state_3_.lut_mask="aaaa"; +defparam hsync_state_3_.synch_mode="on"; +defparam hsync_state_3_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_2_ ( + .regout(hsync_state_2), + .clk(clk_pin_c), + .dataa(hsync_state_3), + .datab(un12_hsync_counter), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_2_.operation_mode="normal"; +defparam hsync_state_2_.output_mode="reg_only"; +defparam hsync_state_2_.lut_mask="8888"; +defparam hsync_state_2_.synch_mode="on"; +defparam hsync_state_2_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_1_ ( + .regout(hsync_state_1), + .clk(clk_pin_c), + .dataa(hsync_state_4), + .datab(un11_hsync_counter_2), + .datac(un10_hsync_counter_1), + .datad(un11_hsync_counter_3), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_1_.operation_mode="normal"; +defparam hsync_state_1_.output_mode="reg_only"; +defparam hsync_state_1_.lut_mask="8000"; +defparam hsync_state_1_.synch_mode="on"; +defparam hsync_state_1_.sum_lutc_input="datac"; +// @13:187 + stratix_lcell hsync_state_0_ ( + .regout(hsync_state_0), + .clk(clk_pin_c), + .dataa(hsync_state_2), + .datab(un13_hsync_counter), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(un6_dly_counter_0_x), + .sload(GND), + .ena(hsync_state_3_0_0_0__g0_0), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_0_.operation_mode="normal"; +defparam hsync_state_0_.output_mode="reg_only"; +defparam hsync_state_0_.lut_mask="8888"; +defparam hsync_state_0_.synch_mode="on"; +defparam hsync_state_0_.sum_lutc_input="datac"; +// @13:97 + stratix_lcell vsync_state_next_2_sqmuxa_cZ ( + .combout(vsync_state_next_2_sqmuxa), + .clk(GND), + .dataa(un6_dly_counter_0_x), + .datab(vsync_state_next_1_sqmuxa_1), + .datac(vsync_state_next_1_sqmuxa_3), + .datad(un1_vsync_state_next_1_sqmuxa_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_next_2_sqmuxa_cZ.operation_mode="normal"; +defparam vsync_state_next_2_sqmuxa_cZ.output_mode="comb_only"; +defparam vsync_state_next_2_sqmuxa_cZ.lut_mask="aaab"; +defparam vsync_state_next_2_sqmuxa_cZ.synch_mode="off"; +defparam vsync_state_next_2_sqmuxa_cZ.sum_lutc_input="datac"; + stratix_lcell hsync_state_3_0_0_0__g0_0_cZ ( + .combout(hsync_state_3_0_0_0__g0_0), + .clk(GND), + .dataa(hsync_state_next_1_sqmuxa_1), + .datab(hsync_state_next_1_sqmuxa_2), + .datac(un6_dly_counter_0_x), + .datad(un1_hsync_state_next_1_sqmuxa_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_3_0_0_0__g0_0_cZ.operation_mode="normal"; +defparam hsync_state_3_0_0_0__g0_0_cZ.output_mode="comb_only"; +defparam hsync_state_3_0_0_0__g0_0_cZ.lut_mask="f0f1"; +defparam hsync_state_3_0_0_0__g0_0_cZ.synch_mode="off"; +defparam hsync_state_3_0_0_0__g0_0_cZ.sum_lutc_input="datac"; +// @13:206 + stratix_lcell un1_hsync_state_next_1_sqmuxa_0_cZ ( + .combout(un1_hsync_state_next_1_sqmuxa_0), + .clk(GND), + .dataa(hsync_state_2), + .datab(hsync_state_3), + .datac(un13_hsync_counter), + .datad(un12_hsync_counter), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_hsync_state_next_1_sqmuxa_0_cZ.operation_mode="normal"; +defparam un1_hsync_state_next_1_sqmuxa_0_cZ.output_mode="comb_only"; +defparam un1_hsync_state_next_1_sqmuxa_0_cZ.lut_mask="0ace"; +defparam un1_hsync_state_next_1_sqmuxa_0_cZ.synch_mode="off"; +defparam un1_hsync_state_next_1_sqmuxa_0_cZ.sum_lutc_input="datac"; +// @13:319 + stratix_lcell un1_vsync_state_next_1_sqmuxa_0_cZ ( + .combout(un1_vsync_state_next_1_sqmuxa_0), + .clk(GND), + .dataa(vsync_state_2), + .datab(un12_vsync_counter_6), + .datac(un15_vsync_counter_4), + .datad(vsync_state_next_1_sqmuxa_2), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_vsync_state_next_1_sqmuxa_0_cZ.operation_mode="normal"; +defparam un1_vsync_state_next_1_sqmuxa_0_cZ.output_mode="comb_only"; +defparam un1_vsync_state_next_1_sqmuxa_0_cZ.lut_mask="ff2a"; +defparam un1_vsync_state_next_1_sqmuxa_0_cZ.synch_mode="off"; +defparam un1_vsync_state_next_1_sqmuxa_0_cZ.sum_lutc_input="datac"; + stratix_lcell vsync_state_3_iv_0_0__g0_0_a3_0_cZ ( + .combout(vsync_state_3_iv_0_0__g0_0_a3_0), + .clk(GND), + .dataa(vsync_state_2), + .datab(un12_vsync_counter_6), + .datac(un15_vsync_counter_4), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.operation_mode="normal"; +defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.output_mode="comb_only"; +defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lut_mask="8080"; +defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.synch_mode="off"; +defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.sum_lutc_input="datac"; +// @13:139 + stratix_lcell LINE_COUNT_next_un10_line_counter_siglto8 ( + .combout(un10_line_counter_siglto8), + .clk(GND), + .dataa(line_counter_sig_6), + .datab(line_counter_sig_7), + .datac(line_counter_sig_8), + .datad(un10_line_counter_siglto5), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam LINE_COUNT_next_un10_line_counter_siglto8.operation_mode="normal"; +defparam LINE_COUNT_next_un10_line_counter_siglto8.output_mode="comb_only"; +defparam LINE_COUNT_next_un10_line_counter_siglto8.lut_mask="ff7f"; +defparam LINE_COUNT_next_un10_line_counter_siglto8.synch_mode="off"; +defparam LINE_COUNT_next_un10_line_counter_siglto8.sum_lutc_input="datac"; +// @10:161 + stratix_lcell G_2 ( + .combout(G_2_i), + .clk(GND), + .dataa(hsync_state_0), + .datab(hsync_state_6), + .datac(un9_hsync_counterlt9), + .datad(un6_dly_counter_0_x), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam G_2.operation_mode="normal"; +defparam G_2.output_mode="comb_only"; +defparam G_2.lut_mask="0f1f"; +defparam G_2.synch_mode="off"; +defparam G_2.sum_lutc_input="datac"; +// @13:326 + stratix_lcell vsync_state_next_1_sqmuxa_1_cZ ( + .combout(vsync_state_next_1_sqmuxa_1), + .clk(GND), + .dataa(vsync_counter_0), + .datab(vsync_counter_9), + .datac(vsync_state_5), + .datad(un14_vsync_counter_8), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_next_1_sqmuxa_1_cZ.operation_mode="normal"; +defparam vsync_state_next_1_sqmuxa_1_cZ.output_mode="comb_only"; +defparam vsync_state_next_1_sqmuxa_1_cZ.lut_mask="d0f0"; +defparam vsync_state_next_1_sqmuxa_1_cZ.synch_mode="off"; +defparam vsync_state_next_1_sqmuxa_1_cZ.sum_lutc_input="datac"; +// @13:331 + stratix_lcell vsync_state_next_1_sqmuxa_2_cZ ( + .combout(vsync_state_next_1_sqmuxa_2), + .clk(GND), + .dataa(vsync_state_4), + .datab(un12_vsync_counter_7), + .datac(un13_vsync_counter_4), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_next_1_sqmuxa_2_cZ.operation_mode="normal"; +defparam vsync_state_next_1_sqmuxa_2_cZ.output_mode="comb_only"; +defparam vsync_state_next_1_sqmuxa_2_cZ.lut_mask="2a2a"; +defparam vsync_state_next_1_sqmuxa_2_cZ.synch_mode="off"; +defparam vsync_state_next_1_sqmuxa_2_cZ.sum_lutc_input="datac"; +// @13:339 + stratix_lcell vsync_state_next_1_sqmuxa_3_cZ ( + .combout(vsync_state_next_1_sqmuxa_3), + .clk(GND), + .dataa(vsync_counter_0), + .datab(vsync_counter_9), + .datac(vsync_state_3), + .datad(un14_vsync_counter_8), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_state_next_1_sqmuxa_3_cZ.operation_mode="normal"; +defparam vsync_state_next_1_sqmuxa_3_cZ.output_mode="comb_only"; +defparam vsync_state_next_1_sqmuxa_3_cZ.lut_mask="70f0"; +defparam vsync_state_next_1_sqmuxa_3_cZ.synch_mode="off"; +defparam vsync_state_next_1_sqmuxa_3_cZ.sum_lutc_input="datac"; +// @10:161 + stratix_lcell G_16 ( + .combout(G_16_i), + .clk(GND), + .dataa(vsync_state_0), + .datab(vsync_state_6), + .datac(un9_vsync_counterlt9), + .datad(un6_dly_counter_0_x), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam G_16.operation_mode="normal"; +defparam G_16.output_mode="comb_only"; +defparam G_16.lut_mask="0f1f"; +defparam G_16.synch_mode="off"; +defparam G_16.sum_lutc_input="datac"; +// @13:111 + stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglto9 ( + .combout(un10_column_counter_siglto9), + .clk(GND), + .dataa(column_counter_sig_7), + .datab(column_counter_sig_8), + .datac(column_counter_sig_9), + .datad(un10_column_counter_siglt6), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam COLUMN_COUNT_next_un10_column_counter_siglto9.operation_mode="normal"; +defparam COLUMN_COUNT_next_un10_column_counter_siglto9.output_mode="comb_only"; +defparam COLUMN_COUNT_next_un10_column_counter_siglto9.lut_mask="1f0f"; +defparam COLUMN_COUNT_next_un10_column_counter_siglto9.synch_mode="off"; +defparam COLUMN_COUNT_next_un10_column_counter_siglto9.sum_lutc_input="datac"; +// @13:218 + stratix_lcell hsync_state_next_1_sqmuxa_2_cZ ( + .combout(hsync_state_next_1_sqmuxa_2), + .clk(GND), + .dataa(hsync_state_4), + .datab(un11_hsync_counter_2), + .datac(un10_hsync_counter_1), + .datad(un11_hsync_counter_3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_next_1_sqmuxa_2_cZ.operation_mode="normal"; +defparam hsync_state_next_1_sqmuxa_2_cZ.output_mode="comb_only"; +defparam hsync_state_next_1_sqmuxa_2_cZ.lut_mask="2aaa"; +defparam hsync_state_next_1_sqmuxa_2_cZ.synch_mode="off"; +defparam hsync_state_next_1_sqmuxa_2_cZ.sum_lutc_input="datac"; +// @13:213 + stratix_lcell hsync_state_next_1_sqmuxa_1_cZ ( + .combout(hsync_state_next_1_sqmuxa_1), + .clk(GND), + .dataa(hsync_state_5), + .datab(un10_hsync_counter_3), + .datac(un10_hsync_counter_1), + .datad(un10_hsync_counter_4), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_state_next_1_sqmuxa_1_cZ.operation_mode="normal"; +defparam hsync_state_next_1_sqmuxa_1_cZ.output_mode="comb_only"; +defparam hsync_state_next_1_sqmuxa_1_cZ.lut_mask="2aaa"; +defparam hsync_state_next_1_sqmuxa_1_cZ.synch_mode="off"; +defparam hsync_state_next_1_sqmuxa_1_cZ.sum_lutc_input="datac"; +// @13:231 + stratix_lcell HSYNC_FSM_next_un13_hsync_counter ( + .combout(un13_hsync_counter), + .clk(GND), + .dataa(hsync_counter_6), + .datab(hsync_counter_7), + .datac(un13_hsync_counter_2), + .datad(un13_hsync_counter_7), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un13_hsync_counter.operation_mode="normal"; +defparam HSYNC_FSM_next_un13_hsync_counter.output_mode="comb_only"; +defparam HSYNC_FSM_next_un13_hsync_counter.lut_mask="1000"; +defparam HSYNC_FSM_next_un13_hsync_counter.synch_mode="off"; +defparam HSYNC_FSM_next_un13_hsync_counter.sum_lutc_input="datac"; +// @13:172 + stratix_lcell HSYNC_COUNT_next_un9_hsync_counterlt9 ( + .combout(un9_hsync_counterlt9), + .clk(GND), + .dataa(hsync_counter_8), + .datab(hsync_counter_9), + .datac(un9_hsync_counterlt9_3), + .datad(un13_hsync_counter_7), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_COUNT_next_un9_hsync_counterlt9.operation_mode="normal"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9.output_mode="comb_only"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9.lut_mask="f7ff"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9.synch_mode="off"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9.sum_lutc_input="datac"; +// @13:281 + stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9 ( + .combout(un9_vsync_counterlt9), + .clk(GND), + .dataa(vsync_counter_4), + .datab(vsync_counter_5), + .datac(un9_vsync_counterlt9_5), + .datad(un9_vsync_counterlt9_6), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_COUNT_next_un9_vsync_counterlt9.operation_mode="normal"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9.output_mode="comb_only"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9.lut_mask="fff7"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9.synch_mode="off"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9.sum_lutc_input="datac"; +// @13:226 + stratix_lcell HSYNC_FSM_next_un12_hsync_counter ( + .combout(un12_hsync_counter), + .clk(GND), + .dataa(hsync_counter_0), + .datab(hsync_counter_1), + .datac(un12_hsync_counter_3), + .datad(un12_hsync_counter_4), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un12_hsync_counter.operation_mode="normal"; +defparam HSYNC_FSM_next_un12_hsync_counter.output_mode="comb_only"; +defparam HSYNC_FSM_next_un12_hsync_counter.lut_mask="8000"; +defparam HSYNC_FSM_next_un12_hsync_counter.synch_mode="off"; +defparam HSYNC_FSM_next_un12_hsync_counter.sum_lutc_input="datac"; +// @13:139 + stratix_lcell LINE_COUNT_next_un10_line_counter_siglto5 ( + .combout(un10_line_counter_siglto5), + .clk(GND), + .dataa(line_counter_sig_1), + .datab(line_counter_sig_2), + .datac(line_counter_sig_5), + .datad(un10_line_counter_siglt4_2), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam LINE_COUNT_next_un10_line_counter_siglto5.operation_mode="normal"; +defparam LINE_COUNT_next_un10_line_counter_siglto5.output_mode="comb_only"; +defparam LINE_COUNT_next_un10_line_counter_siglto5.lut_mask="0f07"; +defparam LINE_COUNT_next_un10_line_counter_siglto5.synch_mode="off"; +defparam LINE_COUNT_next_un10_line_counter_siglto5.sum_lutc_input="datac"; +// @13:344 + stratix_lcell VSYNC_FSM_next_un15_vsync_counter_4 ( + .combout(un15_vsync_counter_4), + .clk(GND), + .dataa(vsync_counter_1), + .datab(vsync_counter_4), + .datac(un15_vsync_counter_3), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un15_vsync_counter_4.operation_mode="normal"; +defparam VSYNC_FSM_next_un15_vsync_counter_4.output_mode="comb_only"; +defparam VSYNC_FSM_next_un15_vsync_counter_4.lut_mask="1010"; +defparam VSYNC_FSM_next_un15_vsync_counter_4.synch_mode="off"; +defparam VSYNC_FSM_next_un15_vsync_counter_4.sum_lutc_input="datac"; +// @13:331 + stratix_lcell VSYNC_FSM_next_un13_vsync_counter_4 ( + .combout(un13_vsync_counter_4), + .clk(GND), + .dataa(vsync_counter_0), + .datab(vsync_counter_5), + .datac(un13_vsync_counter_3), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un13_vsync_counter_4.operation_mode="normal"; +defparam VSYNC_FSM_next_un13_vsync_counter_4.output_mode="comb_only"; +defparam VSYNC_FSM_next_un13_vsync_counter_4.lut_mask="8080"; +defparam VSYNC_FSM_next_un13_vsync_counter_4.synch_mode="off"; +defparam VSYNC_FSM_next_un13_vsync_counter_4.sum_lutc_input="datac"; +// @13:111 + stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglt6 ( + .combout(un10_column_counter_siglt6), + .clk(GND), + .dataa(column_counter_sig_4), + .datab(column_counter_sig_6), + .datac(column_counter_sig_5), + .datad(un10_column_counter_siglt6_4), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam COLUMN_COUNT_next_un10_column_counter_siglt6.operation_mode="normal"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6.output_mode="comb_only"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6.lut_mask="ff7f"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6.synch_mode="off"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6.sum_lutc_input="datac"; +// @13:169 + stratix_lcell hsync_counter_next_1_sqmuxa_cZ ( + .combout(hsync_counter_next_1_sqmuxa), + .clk(GND), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(d_set_hsync_counter), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam hsync_counter_next_1_sqmuxa_cZ.operation_mode="normal"; +defparam hsync_counter_next_1_sqmuxa_cZ.output_mode="comb_only"; +defparam hsync_counter_next_1_sqmuxa_cZ.lut_mask="0080"; +defparam hsync_counter_next_1_sqmuxa_cZ.synch_mode="off"; +defparam hsync_counter_next_1_sqmuxa_cZ.sum_lutc_input="datac"; +// @13:339 + stratix_lcell VSYNC_FSM_next_un14_vsync_counter_8 ( + .combout(un14_vsync_counter_8), + .clk(GND), + .dataa(un12_vsync_counter_6), + .datab(un12_vsync_counter_7), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un14_vsync_counter_8.operation_mode="normal"; +defparam VSYNC_FSM_next_un14_vsync_counter_8.output_mode="comb_only"; +defparam VSYNC_FSM_next_un14_vsync_counter_8.lut_mask="8888"; +defparam VSYNC_FSM_next_un14_vsync_counter_8.synch_mode="off"; +defparam VSYNC_FSM_next_un14_vsync_counter_8.sum_lutc_input="datac"; +// @13:139 + stratix_lcell line_counter_next_0_sqmuxa_1_1_cZ ( + .combout(line_counter_next_0_sqmuxa_1_1), + .clk(GND), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(vsync_state_1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam line_counter_next_0_sqmuxa_1_1_cZ.operation_mode="normal"; +defparam line_counter_next_0_sqmuxa_1_1_cZ.output_mode="comb_only"; +defparam line_counter_next_0_sqmuxa_1_1_cZ.lut_mask="0080"; +defparam line_counter_next_0_sqmuxa_1_1_cZ.synch_mode="off"; +defparam line_counter_next_0_sqmuxa_1_1_cZ.sum_lutc_input="datac"; + stratix_lcell v_sync_1_0_0_0_g1_cZ ( + .combout(v_sync_1_0_0_0_g1), + .clk(GND), + .dataa(vsync_state_2), + .datab(v_sync), + .datac(vsync_state_4), + .datad(un1_vsync_state_2_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam v_sync_1_0_0_0_g1_cZ.operation_mode="normal"; +defparam v_sync_1_0_0_0_g1_cZ.output_mode="comb_only"; +defparam v_sync_1_0_0_0_g1_cZ.lut_mask="ccd8"; +defparam v_sync_1_0_0_0_g1_cZ.synch_mode="off"; +defparam v_sync_1_0_0_0_g1_cZ.sum_lutc_input="datac"; + stratix_lcell h_enable_sig_1_0_0_0_g0_i_o4_cZ ( + .combout(h_enable_sig_1_0_0_0_g0_i_o4), + .clk(GND), + .dataa(vsync_state_4), + .datab(vsync_state_5), + .datac(un6_dly_counter_0_x), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.operation_mode="normal"; +defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.output_mode="comb_only"; +defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.lut_mask="f1f1"; +defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.synch_mode="off"; +defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.sum_lutc_input="datac"; +// @13:278 + stratix_lcell vsync_counter_next_1_sqmuxa_cZ ( + .combout(vsync_counter_next_1_sqmuxa), + .clk(GND), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(d_set_vsync_counter), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam vsync_counter_next_1_sqmuxa_cZ.operation_mode="normal"; +defparam vsync_counter_next_1_sqmuxa_cZ.output_mode="comb_only"; +defparam vsync_counter_next_1_sqmuxa_cZ.lut_mask="0080"; +defparam vsync_counter_next_1_sqmuxa_cZ.synch_mode="off"; +defparam vsync_counter_next_1_sqmuxa_cZ.sum_lutc_input="datac"; + stratix_lcell v_enable_sig_1_0_0_0_g0_i_o4_cZ ( + .combout(v_enable_sig_1_0_0_0_g0_i_o4), + .clk(GND), + .dataa(hsync_state_4), + .datab(hsync_state_5), + .datac(un6_dly_counter_0_x), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.operation_mode="normal"; +defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.output_mode="comb_only"; +defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.lut_mask="f1f1"; +defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.synch_mode="off"; +defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.sum_lutc_input="datac"; + stratix_lcell h_sync_1_0_0_0_g1_cZ ( + .combout(h_sync_1_0_0_0_g1), + .clk(GND), + .dataa(hsync_state_2), + .datab(h_sync), + .datac(hsync_state_4), + .datad(un1_hsync_state_3_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam h_sync_1_0_0_0_g1_cZ.operation_mode="normal"; +defparam h_sync_1_0_0_0_g1_cZ.output_mode="comb_only"; +defparam h_sync_1_0_0_0_g1_cZ.lut_mask="ccd8"; +defparam h_sync_1_0_0_0_g1_cZ.synch_mode="off"; +defparam h_sync_1_0_0_0_g1_cZ.sum_lutc_input="datac"; +// @13:111 + stratix_lcell column_counter_next_0_sqmuxa_1_1_cZ ( + .combout(column_counter_next_0_sqmuxa_1_1), + .clk(GND), + .dataa(reset_pin_c), + .datab(dly_counter_0), + .datac(dly_counter_1), + .datad(hsync_state_1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam column_counter_next_0_sqmuxa_1_1_cZ.operation_mode="normal"; +defparam column_counter_next_0_sqmuxa_1_1_cZ.output_mode="comb_only"; +defparam column_counter_next_0_sqmuxa_1_1_cZ.lut_mask="0080"; +defparam column_counter_next_0_sqmuxa_1_1_cZ.synch_mode="off"; +defparam column_counter_next_0_sqmuxa_1_1_cZ.sum_lutc_input="datac"; +// @13:226 + stratix_lcell HSYNC_FSM_next_un12_hsync_counter_4 ( + .combout(un12_hsync_counter_4), + .clk(GND), + .dataa(hsync_counter_6), + .datab(hsync_counter_7), + .datac(hsync_counter_9), + .datad(hsync_counter_3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un12_hsync_counter_4.operation_mode="normal"; +defparam HSYNC_FSM_next_un12_hsync_counter_4.output_mode="comb_only"; +defparam HSYNC_FSM_next_un12_hsync_counter_4.lut_mask="0010"; +defparam HSYNC_FSM_next_un12_hsync_counter_4.synch_mode="off"; +defparam HSYNC_FSM_next_un12_hsync_counter_4.sum_lutc_input="datac"; +// @13:226 + stratix_lcell HSYNC_FSM_next_un12_hsync_counter_3 ( + .combout(un12_hsync_counter_3), + .clk(GND), + .dataa(hsync_counter_2), + .datab(hsync_counter_8), + .datac(hsync_counter_4), + .datad(hsync_counter_5), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un12_hsync_counter_3.operation_mode="normal"; +defparam HSYNC_FSM_next_un12_hsync_counter_3.output_mode="comb_only"; +defparam HSYNC_FSM_next_un12_hsync_counter_3.lut_mask="0008"; +defparam HSYNC_FSM_next_un12_hsync_counter_3.synch_mode="off"; +defparam HSYNC_FSM_next_un12_hsync_counter_3.sum_lutc_input="datac"; +// @13:218 + stratix_lcell HSYNC_FSM_next_un11_hsync_counter_3 ( + .combout(un11_hsync_counter_3), + .clk(GND), + .dataa(hsync_counter_0), + .datab(hsync_counter_1), + .datac(hsync_counter_3), + .datad(hsync_counter_4), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un11_hsync_counter_3.operation_mode="normal"; +defparam HSYNC_FSM_next_un11_hsync_counter_3.output_mode="comb_only"; +defparam HSYNC_FSM_next_un11_hsync_counter_3.lut_mask="0008"; +defparam HSYNC_FSM_next_un11_hsync_counter_3.synch_mode="off"; +defparam HSYNC_FSM_next_un11_hsync_counter_3.sum_lutc_input="datac"; +// @13:218 + stratix_lcell HSYNC_FSM_next_un11_hsync_counter_2 ( + .combout(un11_hsync_counter_2), + .clk(GND), + .dataa(hsync_counter_2), + .datab(hsync_counter_7), + .datac(hsync_counter_6), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un11_hsync_counter_2.operation_mode="normal"; +defparam HSYNC_FSM_next_un11_hsync_counter_2.output_mode="comb_only"; +defparam HSYNC_FSM_next_un11_hsync_counter_2.lut_mask="0808"; +defparam HSYNC_FSM_next_un11_hsync_counter_2.synch_mode="off"; +defparam HSYNC_FSM_next_un11_hsync_counter_2.sum_lutc_input="datac"; +// @13:172 + stratix_lcell HSYNC_COUNT_next_un9_hsync_counterlt9_3 ( + .combout(un9_hsync_counterlt9_3), + .clk(GND), + .dataa(hsync_counter_6), + .datab(hsync_counter_7), + .datac(hsync_counter_4), + .datad(hsync_counter_5), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.operation_mode="normal"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.output_mode="comb_only"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.lut_mask="7fff"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.synch_mode="off"; +defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.sum_lutc_input="datac"; +// @13:231 + stratix_lcell HSYNC_FSM_next_un13_hsync_counter_2 ( + .combout(un13_hsync_counter_2), + .clk(GND), + .dataa(hsync_counter_8), + .datab(hsync_counter_9), + .datac(hsync_counter_4), + .datad(hsync_counter_5), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un13_hsync_counter_2.operation_mode="normal"; +defparam HSYNC_FSM_next_un13_hsync_counter_2.output_mode="comb_only"; +defparam HSYNC_FSM_next_un13_hsync_counter_2.lut_mask="0080"; +defparam HSYNC_FSM_next_un13_hsync_counter_2.synch_mode="off"; +defparam HSYNC_FSM_next_un13_hsync_counter_2.sum_lutc_input="datac"; +// @13:281 + stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9_6 ( + .combout(un9_vsync_counterlt9_6), + .clk(GND), + .dataa(vsync_counter_2), + .datab(vsync_counter_3), + .datac(vsync_counter_0), + .datad(vsync_counter_1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.operation_mode="normal"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.output_mode="comb_only"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.lut_mask="7fff"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.synch_mode="off"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.sum_lutc_input="datac"; +// @13:281 + stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9_5 ( + .combout(un9_vsync_counterlt9_5), + .clk(GND), + .dataa(vsync_counter_8), + .datab(vsync_counter_9), + .datac(vsync_counter_6), + .datad(vsync_counter_7), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.operation_mode="normal"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.output_mode="comb_only"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.lut_mask="7fff"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.synch_mode="off"; +defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.sum_lutc_input="datac"; +// @13:213 + stratix_lcell HSYNC_FSM_next_un10_hsync_counter_4 ( + .combout(un10_hsync_counter_4), + .clk(GND), + .dataa(hsync_counter_4), + .datab(hsync_counter_6), + .datac(hsync_counter_1), + .datad(hsync_counter_3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un10_hsync_counter_4.operation_mode="normal"; +defparam HSYNC_FSM_next_un10_hsync_counter_4.output_mode="comb_only"; +defparam HSYNC_FSM_next_un10_hsync_counter_4.lut_mask="8000"; +defparam HSYNC_FSM_next_un10_hsync_counter_4.synch_mode="off"; +defparam HSYNC_FSM_next_un10_hsync_counter_4.sum_lutc_input="datac"; +// @13:213 + stratix_lcell HSYNC_FSM_next_un10_hsync_counter_3 ( + .combout(un10_hsync_counter_3), + .clk(GND), + .dataa(hsync_counter_0), + .datab(hsync_counter_7), + .datac(hsync_counter_2), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un10_hsync_counter_3.operation_mode="normal"; +defparam HSYNC_FSM_next_un10_hsync_counter_3.output_mode="comb_only"; +defparam HSYNC_FSM_next_un10_hsync_counter_3.lut_mask="0101"; +defparam HSYNC_FSM_next_un10_hsync_counter_3.synch_mode="off"; +defparam HSYNC_FSM_next_un10_hsync_counter_3.sum_lutc_input="datac"; +// @13:344 + stratix_lcell VSYNC_FSM_next_un15_vsync_counter_3 ( + .combout(un15_vsync_counter_3), + .clk(GND), + .dataa(vsync_counter_9), + .datab(vsync_counter_2), + .datac(vsync_counter_3), + .datad(vsync_counter_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un15_vsync_counter_3.operation_mode="normal"; +defparam VSYNC_FSM_next_un15_vsync_counter_3.output_mode="comb_only"; +defparam VSYNC_FSM_next_un15_vsync_counter_3.lut_mask="0020"; +defparam VSYNC_FSM_next_un15_vsync_counter_3.synch_mode="off"; +defparam VSYNC_FSM_next_un15_vsync_counter_3.sum_lutc_input="datac"; +// @13:331 + stratix_lcell VSYNC_FSM_next_un13_vsync_counter_3 ( + .combout(un13_vsync_counter_3), + .clk(GND), + .dataa(vsync_counter_6), + .datab(vsync_counter_7), + .datac(vsync_counter_8), + .datad(vsync_counter_9), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un13_vsync_counter_3.operation_mode="normal"; +defparam VSYNC_FSM_next_un13_vsync_counter_3.output_mode="comb_only"; +defparam VSYNC_FSM_next_un13_vsync_counter_3.lut_mask="0001"; +defparam VSYNC_FSM_next_un13_vsync_counter_3.synch_mode="off"; +defparam VSYNC_FSM_next_un13_vsync_counter_3.sum_lutc_input="datac"; +// @13:111 + stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglt6_4 ( + .combout(un10_column_counter_siglt6_4), + .clk(GND), + .dataa(column_counter_sig_2), + .datab(column_counter_sig_3), + .datac(column_counter_sig_0), + .datad(column_counter_sig_1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam COLUMN_COUNT_next_un10_column_counter_siglt6_4.operation_mode="normal"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6_4.output_mode="comb_only"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6_4.lut_mask="7fff"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6_4.synch_mode="off"; +defparam COLUMN_COUNT_next_un10_column_counter_siglt6_4.sum_lutc_input="datac"; +// @13:139 + stratix_lcell LINE_COUNT_next_un10_line_counter_siglt4_2 ( + .combout(un10_line_counter_siglt4_2), + .clk(GND), + .dataa(line_counter_sig_3), + .datab(line_counter_sig_4), + .datac(line_counter_sig_0), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam LINE_COUNT_next_un10_line_counter_siglt4_2.operation_mode="normal"; +defparam LINE_COUNT_next_un10_line_counter_siglt4_2.output_mode="comb_only"; +defparam LINE_COUNT_next_un10_line_counter_siglt4_2.lut_mask="7f7f"; +defparam LINE_COUNT_next_un10_line_counter_siglt4_2.synch_mode="off"; +defparam LINE_COUNT_next_un10_line_counter_siglt4_2.sum_lutc_input="datac"; +// @13:213 + stratix_lcell HSYNC_FSM_next_un10_hsync_counter_1 ( + .combout(un10_hsync_counter_1), + .clk(GND), + .dataa(hsync_counter_5), + .datab(hsync_counter_8), + .datac(hsync_counter_9), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un10_hsync_counter_1.operation_mode="normal"; +defparam HSYNC_FSM_next_un10_hsync_counter_1.output_mode="comb_only"; +defparam HSYNC_FSM_next_un10_hsync_counter_1.lut_mask="0101"; +defparam HSYNC_FSM_next_un10_hsync_counter_1.synch_mode="off"; +defparam HSYNC_FSM_next_un10_hsync_counter_1.sum_lutc_input="datac"; +// @13:326 + stratix_lcell VSYNC_FSM_next_un12_vsync_counter_6 ( + .combout(un12_vsync_counter_6), + .clk(GND), + .dataa(vsync_counter_7), + .datab(vsync_counter_8), + .datac(vsync_counter_5), + .datad(vsync_counter_6), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un12_vsync_counter_6.operation_mode="normal"; +defparam VSYNC_FSM_next_un12_vsync_counter_6.output_mode="comb_only"; +defparam VSYNC_FSM_next_un12_vsync_counter_6.lut_mask="0001"; +defparam VSYNC_FSM_next_un12_vsync_counter_6.synch_mode="off"; +defparam VSYNC_FSM_next_un12_vsync_counter_6.sum_lutc_input="datac"; +// @13:326 + stratix_lcell VSYNC_FSM_next_un12_vsync_counter_7 ( + .combout(un12_vsync_counter_7), + .clk(GND), + .dataa(vsync_counter_3), + .datab(vsync_counter_4), + .datac(vsync_counter_1), + .datad(vsync_counter_2), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam VSYNC_FSM_next_un12_vsync_counter_7.operation_mode="normal"; +defparam VSYNC_FSM_next_un12_vsync_counter_7.output_mode="comb_only"; +defparam VSYNC_FSM_next_un12_vsync_counter_7.lut_mask="0001"; +defparam VSYNC_FSM_next_un12_vsync_counter_7.synch_mode="off"; +defparam VSYNC_FSM_next_un12_vsync_counter_7.sum_lutc_input="datac"; +// @13:231 + stratix_lcell HSYNC_FSM_next_un13_hsync_counter_7 ( + .combout(un13_hsync_counter_7), + .clk(GND), + .dataa(hsync_counter_2), + .datab(hsync_counter_3), + .datac(hsync_counter_0), + .datad(hsync_counter_1), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam HSYNC_FSM_next_un13_hsync_counter_7.operation_mode="normal"; +defparam HSYNC_FSM_next_un13_hsync_counter_7.output_mode="comb_only"; +defparam HSYNC_FSM_next_un13_hsync_counter_7.lut_mask="8000"; +defparam HSYNC_FSM_next_un13_hsync_counter_7.synch_mode="off"; +defparam HSYNC_FSM_next_un13_hsync_counter_7.sum_lutc_input="datac"; +// @13:206 + stratix_lcell un1_hsync_state_3_0_cZ ( + .combout(un1_hsync_state_3_0), + .clk(GND), + .dataa(hsync_state_3), + .datab(hsync_state_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_hsync_state_3_0_cZ.operation_mode="normal"; +defparam un1_hsync_state_3_0_cZ.output_mode="comb_only"; +defparam un1_hsync_state_3_0_cZ.lut_mask="eeee"; +defparam un1_hsync_state_3_0_cZ.synch_mode="off"; +defparam un1_hsync_state_3_0_cZ.sum_lutc_input="datac"; +// @13:319 + stratix_lcell un1_vsync_state_2_0_cZ ( + .combout(un1_vsync_state_2_0), + .clk(GND), + .dataa(vsync_state_3), + .datab(vsync_state_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_vsync_state_2_0_cZ.operation_mode="normal"; +defparam un1_vsync_state_2_0_cZ.output_mode="comb_only"; +defparam un1_vsync_state_2_0_cZ.lut_mask="eeee"; +defparam un1_vsync_state_2_0_cZ.synch_mode="off"; +defparam un1_vsync_state_2_0_cZ.sum_lutc_input="datac"; +// @13:248 + stratix_lcell d_set_hsync_counter_cZ ( + .combout(d_set_hsync_counter), + .clk(GND), + .dataa(hsync_state_6), + .datab(hsync_state_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam d_set_hsync_counter_cZ.operation_mode="normal"; +defparam d_set_hsync_counter_cZ.output_mode="comb_only"; +defparam d_set_hsync_counter_cZ.lut_mask="eeee"; +defparam d_set_hsync_counter_cZ.synch_mode="off"; +defparam d_set_hsync_counter_cZ.sum_lutc_input="datac"; +// @13:361 + stratix_lcell d_set_vsync_counter_cZ ( + .combout(d_set_vsync_counter), + .clk(GND), + .dataa(vsync_state_6), + .datab(vsync_state_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam d_set_vsync_counter_cZ.operation_mode="normal"; +defparam d_set_vsync_counter_cZ.output_mode="comb_only"; +defparam d_set_vsync_counter_cZ.lut_mask="eeee"; +defparam d_set_vsync_counter_cZ.synch_mode="off"; +defparam d_set_vsync_counter_cZ.sum_lutc_input="datac"; +// @13:141 + stratix_lcell un1_line_counter_sig_9_ ( + .combout(un1_line_counter_sig_combout[9]), + .clk(GND), + .dataa(line_counter_sig_7), + .datab(line_counter_sig_8), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[7]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_9_.cin_used="true"; +defparam un1_line_counter_sig_9_.operation_mode="normal"; +defparam un1_line_counter_sig_9_.output_mode="comb_only"; +defparam un1_line_counter_sig_9_.lut_mask="6c6c"; +defparam un1_line_counter_sig_9_.synch_mode="off"; +defparam un1_line_counter_sig_9_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_8_ ( + .combout(un1_line_counter_sig_combout[8]), + .clk(GND), + .dataa(line_counter_sig_7), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[6]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_8_.cin_used="true"; +defparam un1_line_counter_sig_8_.operation_mode="normal"; +defparam un1_line_counter_sig_8_.output_mode="comb_only"; +defparam un1_line_counter_sig_8_.lut_mask="5a5a"; +defparam un1_line_counter_sig_8_.synch_mode="off"; +defparam un1_line_counter_sig_8_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_7_ ( + .combout(un1_line_counter_sig_combout[7]), + .cout(un1_line_counter_sig_cout[7]), + .clk(GND), + .dataa(line_counter_sig_5), + .datab(line_counter_sig_6), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[5]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_7_.cin_used="true"; +defparam un1_line_counter_sig_7_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_7_.output_mode="comb_only"; +defparam un1_line_counter_sig_7_.lut_mask="6c80"; +defparam un1_line_counter_sig_7_.synch_mode="off"; +defparam un1_line_counter_sig_7_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_6_ ( + .combout(un1_line_counter_sig_combout[6]), + .cout(un1_line_counter_sig_cout[6]), + .clk(GND), + .dataa(line_counter_sig_5), + .datab(line_counter_sig_6), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[4]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_6_.cin_used="true"; +defparam un1_line_counter_sig_6_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_6_.output_mode="comb_only"; +defparam un1_line_counter_sig_6_.lut_mask="5a80"; +defparam un1_line_counter_sig_6_.synch_mode="off"; +defparam un1_line_counter_sig_6_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_5_ ( + .combout(un1_line_counter_sig_combout[5]), + .cout(un1_line_counter_sig_cout[5]), + .clk(GND), + .dataa(line_counter_sig_3), + .datab(line_counter_sig_4), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[3]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_5_.cin_used="true"; +defparam un1_line_counter_sig_5_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_5_.output_mode="comb_only"; +defparam un1_line_counter_sig_5_.lut_mask="6c80"; +defparam un1_line_counter_sig_5_.synch_mode="off"; +defparam un1_line_counter_sig_5_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_4_ ( + .combout(un1_line_counter_sig_combout[4]), + .cout(un1_line_counter_sig_cout[4]), + .clk(GND), + .dataa(line_counter_sig_3), + .datab(line_counter_sig_4), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[2]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_4_.cin_used="true"; +defparam un1_line_counter_sig_4_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_4_.output_mode="comb_only"; +defparam un1_line_counter_sig_4_.lut_mask="5a80"; +defparam un1_line_counter_sig_4_.synch_mode="off"; +defparam un1_line_counter_sig_4_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_3_ ( + .combout(un1_line_counter_sig_combout[3]), + .cout(un1_line_counter_sig_cout[3]), + .clk(GND), + .dataa(line_counter_sig_1), + .datab(line_counter_sig_2), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_3_.cin_used="true"; +defparam un1_line_counter_sig_3_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_3_.output_mode="comb_only"; +defparam un1_line_counter_sig_3_.lut_mask="6c80"; +defparam un1_line_counter_sig_3_.synch_mode="off"; +defparam un1_line_counter_sig_3_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_2_ ( + .combout(un1_line_counter_sig_combout[2]), + .cout(un1_line_counter_sig_cout[2]), + .clk(GND), + .dataa(line_counter_sig_1), + .datab(line_counter_sig_2), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un1_line_counter_sig_a_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_2_.cin_used="true"; +defparam un1_line_counter_sig_2_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_2_.output_mode="comb_only"; +defparam un1_line_counter_sig_2_.lut_mask="5a80"; +defparam un1_line_counter_sig_2_.synch_mode="off"; +defparam un1_line_counter_sig_2_.sum_lutc_input="cin"; +// @13:141 + stratix_lcell un1_line_counter_sig_a_1_ ( + .cout(un1_line_counter_sig_a_cout[1]), + .clk(GND), + .dataa(d_set_hsync_counter), + .datab(line_counter_sig_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_a_1_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_a_1_.output_mode="comb_only"; +defparam un1_line_counter_sig_a_1_.lut_mask="0088"; +defparam un1_line_counter_sig_a_1_.synch_mode="off"; +defparam un1_line_counter_sig_a_1_.sum_lutc_input="datac"; +// @13:141 + stratix_lcell un1_line_counter_sig_1_ ( + .combout(un1_line_counter_sig_combout[1]), + .cout(un1_line_counter_sig_cout[1]), + .clk(GND), + .dataa(d_set_hsync_counter), + .datab(line_counter_sig_0), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un1_line_counter_sig_1_.operation_mode="arithmetic"; +defparam un1_line_counter_sig_1_.output_mode="comb_only"; +defparam un1_line_counter_sig_1_.lut_mask="6688"; +defparam un1_line_counter_sig_1_.synch_mode="off"; +defparam un1_line_counter_sig_1_.sum_lutc_input="datac"; +// @13:112 + stratix_lcell un2_column_counter_next_9_ ( + .combout(un2_column_counter_next_combout[9]), + .clk(GND), + .dataa(column_counter_sig_8), + .datab(column_counter_sig_9), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[7]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_9_.cin_used="true"; +defparam un2_column_counter_next_9_.operation_mode="normal"; +defparam un2_column_counter_next_9_.output_mode="comb_only"; +defparam un2_column_counter_next_9_.lut_mask="6c6c"; +defparam un2_column_counter_next_9_.synch_mode="off"; +defparam un2_column_counter_next_9_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_8_ ( + .combout(un2_column_counter_next_combout[8]), + .clk(GND), + .dataa(column_counter_sig_8), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[6]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_8_.cin_used="true"; +defparam un2_column_counter_next_8_.operation_mode="normal"; +defparam un2_column_counter_next_8_.output_mode="comb_only"; +defparam un2_column_counter_next_8_.lut_mask="5a5a"; +defparam un2_column_counter_next_8_.synch_mode="off"; +defparam un2_column_counter_next_8_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_7_ ( + .combout(un2_column_counter_next_combout[7]), + .cout(un2_column_counter_next_cout[7]), + .clk(GND), + .dataa(column_counter_sig_6), + .datab(column_counter_sig_7), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[5]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_7_.cin_used="true"; +defparam un2_column_counter_next_7_.operation_mode="arithmetic"; +defparam un2_column_counter_next_7_.output_mode="comb_only"; +defparam un2_column_counter_next_7_.lut_mask="6c80"; +defparam un2_column_counter_next_7_.synch_mode="off"; +defparam un2_column_counter_next_7_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_6_ ( + .combout(un2_column_counter_next_combout[6]), + .cout(un2_column_counter_next_cout[6]), + .clk(GND), + .dataa(column_counter_sig_6), + .datab(column_counter_sig_7), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[4]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_6_.cin_used="true"; +defparam un2_column_counter_next_6_.operation_mode="arithmetic"; +defparam un2_column_counter_next_6_.output_mode="comb_only"; +defparam un2_column_counter_next_6_.lut_mask="5a80"; +defparam un2_column_counter_next_6_.synch_mode="off"; +defparam un2_column_counter_next_6_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_5_ ( + .combout(un2_column_counter_next_combout[5]), + .cout(un2_column_counter_next_cout[5]), + .clk(GND), + .dataa(column_counter_sig_4), + .datab(column_counter_sig_5), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[3]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_5_.cin_used="true"; +defparam un2_column_counter_next_5_.operation_mode="arithmetic"; +defparam un2_column_counter_next_5_.output_mode="comb_only"; +defparam un2_column_counter_next_5_.lut_mask="6c80"; +defparam un2_column_counter_next_5_.synch_mode="off"; +defparam un2_column_counter_next_5_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_4_ ( + .combout(un2_column_counter_next_combout[4]), + .cout(un2_column_counter_next_cout[4]), + .clk(GND), + .dataa(column_counter_sig_4), + .datab(column_counter_sig_5), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[2]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_4_.cin_used="true"; +defparam un2_column_counter_next_4_.operation_mode="arithmetic"; +defparam un2_column_counter_next_4_.output_mode="comb_only"; +defparam un2_column_counter_next_4_.lut_mask="5a80"; +defparam un2_column_counter_next_4_.synch_mode="off"; +defparam un2_column_counter_next_4_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_3_ ( + .combout(un2_column_counter_next_combout[3]), + .cout(un2_column_counter_next_cout[3]), + .clk(GND), + .dataa(column_counter_sig_2), + .datab(column_counter_sig_3), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_3_.cin_used="true"; +defparam un2_column_counter_next_3_.operation_mode="arithmetic"; +defparam un2_column_counter_next_3_.output_mode="comb_only"; +defparam un2_column_counter_next_3_.lut_mask="6c80"; +defparam un2_column_counter_next_3_.synch_mode="off"; +defparam un2_column_counter_next_3_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_2_ ( + .combout(un2_column_counter_next_combout[2]), + .cout(un2_column_counter_next_cout[2]), + .clk(GND), + .dataa(column_counter_sig_2), + .datab(column_counter_sig_3), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .cin(un2_column_counter_next_cout[0]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_2_.cin_used="true"; +defparam un2_column_counter_next_2_.operation_mode="arithmetic"; +defparam un2_column_counter_next_2_.output_mode="comb_only"; +defparam un2_column_counter_next_2_.lut_mask="5a80"; +defparam un2_column_counter_next_2_.synch_mode="off"; +defparam un2_column_counter_next_2_.sum_lutc_input="cin"; +// @13:112 + stratix_lcell un2_column_counter_next_1_ ( + .combout(un2_column_counter_next_combout[1]), + .cout(un2_column_counter_next_cout[1]), + .clk(GND), + .dataa(column_counter_sig_0), + .datab(column_counter_sig_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_1_.operation_mode="arithmetic"; +defparam un2_column_counter_next_1_.output_mode="comb_only"; +defparam un2_column_counter_next_1_.lut_mask="6688"; +defparam un2_column_counter_next_1_.synch_mode="off"; +defparam un2_column_counter_next_1_.sum_lutc_input="datac"; +// @13:112 + stratix_lcell un2_column_counter_next_0_ ( + .cout(un2_column_counter_next_cout[0]), + .clk(GND), + .dataa(column_counter_sig_0), + .datab(column_counter_sig_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_column_counter_next_0_.operation_mode="arithmetic"; +defparam un2_column_counter_next_0_.output_mode="comb_only"; +defparam un2_column_counter_next_0_.lut_mask="5588"; +defparam un2_column_counter_next_0_.synch_mode="off"; +defparam un2_column_counter_next_0_.sum_lutc_input="datac"; + assign line_counter_next_0_sqmuxa_1_1_i = ~ line_counter_next_0_sqmuxa_1_1; + assign column_counter_next_0_sqmuxa_1_1_i = ~ column_counter_next_0_sqmuxa_1_1; + assign un9_vsync_counterlt9_i = ~ un9_vsync_counterlt9; + assign G_16_i_i = ~ G_16_i; + assign un9_hsync_counterlt9_i = ~ un9_hsync_counterlt9; + assign G_2_i_i = ~ G_2_i; +endmodule /* vga_driver */ + +// VQM4.1+ +module vga_control ( + line_counter_sig_0, + line_counter_sig_2, + line_counter_sig_1, + line_counter_sig_3, + line_counter_sig_6, + line_counter_sig_5, + line_counter_sig_4, + line_counter_sig_7, + line_counter_sig_8, + column_counter_sig_0, + column_counter_sig_1, + column_counter_sig_2, + column_counter_sig_8, + column_counter_sig_3, + column_counter_sig_5, + column_counter_sig_4, + column_counter_sig_9, + column_counter_sig_7, + column_counter_sig_6, + toggle_counter_sig_0, + toggle_counter_sig_1, + toggle_counter_sig_2, + toggle_counter_sig_3, + toggle_counter_sig_4, + toggle_counter_sig_5, + toggle_counter_sig_6, + toggle_counter_sig_7, + toggle_counter_sig_8, + toggle_counter_sig_9, + toggle_counter_sig_10, + toggle_counter_sig_11, + toggle_counter_sig_12, + toggle_counter_sig_13, + toggle_counter_sig_14, + toggle_counter_sig_15, + toggle_counter_sig_16, + toggle_counter_sig_17, + toggle_counter_sig_18, + toggle_counter_sig_19, + toggle_counter_sig_20, + toggle_counter_sig_21, + toggle_counter_sig_22, + toggle_counter_sig_23, + toggle_counter_sig_24, + h_enable_sig, + g, + b, + v_enable_sig, + r, + toggle_sig, + un6_dly_counter_0_x, + clk_pin_c +) +; +input line_counter_sig_0 ; +input line_counter_sig_2 ; +input line_counter_sig_1 ; +input line_counter_sig_3 ; +input line_counter_sig_6 ; +input line_counter_sig_5 ; +input line_counter_sig_4 ; +input line_counter_sig_7 ; +input line_counter_sig_8 ; +input column_counter_sig_0 ; +input column_counter_sig_1 ; +input column_counter_sig_2 ; +input column_counter_sig_8 ; +input column_counter_sig_3 ; +input column_counter_sig_5 ; +input column_counter_sig_4 ; +input column_counter_sig_9 ; +input column_counter_sig_7 ; +input column_counter_sig_6 ; +output toggle_counter_sig_0 ; +output toggle_counter_sig_1 ; +output toggle_counter_sig_2 ; +output toggle_counter_sig_3 ; +output toggle_counter_sig_4 ; +output toggle_counter_sig_5 ; +output toggle_counter_sig_6 ; +output toggle_counter_sig_7 ; +output toggle_counter_sig_8 ; +output toggle_counter_sig_9 ; +output toggle_counter_sig_10 ; +output toggle_counter_sig_11 ; +output toggle_counter_sig_12 ; +output toggle_counter_sig_13 ; +output toggle_counter_sig_14 ; +output toggle_counter_sig_15 ; +output toggle_counter_sig_16 ; +output toggle_counter_sig_17 ; +output toggle_counter_sig_18 ; +output toggle_counter_sig_19 ; +output toggle_counter_sig_20 ; +output toggle_counter_sig_21 ; +output toggle_counter_sig_22 ; +output toggle_counter_sig_23 ; +output toggle_counter_sig_24 ; +input h_enable_sig ; +output g ; +output b ; +input v_enable_sig ; +output r ; +output toggle_sig ; +input un6_dly_counter_0_x ; +input clk_pin_c ; +wire line_counter_sig_0 ; +wire line_counter_sig_2 ; +wire line_counter_sig_1 ; +wire line_counter_sig_3 ; +wire line_counter_sig_6 ; +wire line_counter_sig_5 ; +wire line_counter_sig_4 ; +wire line_counter_sig_7 ; +wire line_counter_sig_8 ; +wire column_counter_sig_0 ; +wire column_counter_sig_1 ; +wire column_counter_sig_2 ; +wire column_counter_sig_8 ; +wire column_counter_sig_3 ; +wire column_counter_sig_5 ; +wire column_counter_sig_4 ; +wire column_counter_sig_9 ; +wire column_counter_sig_7 ; +wire column_counter_sig_6 ; +wire toggle_counter_sig_0 ; +wire toggle_counter_sig_1 ; +wire toggle_counter_sig_2 ; +wire toggle_counter_sig_3 ; +wire toggle_counter_sig_4 ; +wire toggle_counter_sig_5 ; +wire toggle_counter_sig_6 ; +wire toggle_counter_sig_7 ; +wire toggle_counter_sig_8 ; +wire toggle_counter_sig_9 ; +wire toggle_counter_sig_10 ; +wire toggle_counter_sig_11 ; +wire toggle_counter_sig_12 ; +wire toggle_counter_sig_13 ; +wire toggle_counter_sig_14 ; +wire toggle_counter_sig_15 ; +wire toggle_counter_sig_16 ; +wire toggle_counter_sig_17 ; +wire toggle_counter_sig_18 ; +wire toggle_counter_sig_19 ; +wire toggle_counter_sig_20 ; +wire toggle_counter_sig_21 ; +wire toggle_counter_sig_22 ; +wire toggle_counter_sig_23 ; +wire toggle_counter_sig_24 ; +wire h_enable_sig ; +wire g ; +wire b ; +wire v_enable_sig ; +wire r ; +wire toggle_sig ; +wire un6_dly_counter_0_x ; +wire clk_pin_c ; +wire [18:1] toggle_counter_sig_cout; +wire [0:0] un2_toggle_counter_next_cout; +wire GND ; +wire toggle_sig_0_0_0_g1 ; +wire b_next_0_sqmuxa_7_4 ; +wire b_next_0_sqmuxa_7_5 ; +wire toggle_sig_0_0_0_g1_2 ; +wire un1_toggle_counter_siglto18 ; +wire un1_toggle_counter_siglto15 ; +wire un5_v_enablelto5 ; +wire b_next_0_sqmuxa_7_3 ; +wire un13_v_enablelto6 ; +wire b_next_0_sqmuxa_7_4_a ; +wire un17_v_enablelto3 ; +wire b_next_0_sqmuxa_7_2 ; +wire un9_v_enablelto6 ; +wire un1_toggle_counter_siglto12 ; +wire un5_v_enablelt2 ; +wire un1_toggle_counter_siglto9 ; +wire un13_v_enablelto4_0 ; +wire un9_v_enablelto4 ; +wire un1_toggle_counter_siglt6 ; +wire VCC ; +wire toggle_sig_0_0_0_g1_i ; + assign VCC = 1'b1; +//@1:1 + assign GND = 1'b0; +// @12:99 + stratix_lcell toggle_counter_sig_24_ ( + .regout(toggle_counter_sig_24), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(GND), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_24_.operation_mode="normal"; +defparam toggle_counter_sig_24_.output_mode="reg_only"; +defparam toggle_counter_sig_24_.lut_mask="ff00"; +defparam toggle_counter_sig_24_.synch_mode="off"; +defparam toggle_counter_sig_24_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_counter_sig_23_ ( + .regout(toggle_counter_sig_23), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(GND), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_23_.operation_mode="normal"; +defparam toggle_counter_sig_23_.output_mode="reg_only"; +defparam toggle_counter_sig_23_.lut_mask="ff00"; +defparam toggle_counter_sig_23_.synch_mode="off"; +defparam toggle_counter_sig_23_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_counter_sig_22_ ( + .regout(toggle_counter_sig_22), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(GND), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_22_.operation_mode="normal"; +defparam toggle_counter_sig_22_.output_mode="reg_only"; +defparam toggle_counter_sig_22_.lut_mask="ff00"; +defparam toggle_counter_sig_22_.synch_mode="off"; +defparam toggle_counter_sig_22_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_counter_sig_21_ ( + .regout(toggle_counter_sig_21), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(GND), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_21_.operation_mode="normal"; +defparam toggle_counter_sig_21_.output_mode="reg_only"; +defparam toggle_counter_sig_21_.lut_mask="ff00"; +defparam toggle_counter_sig_21_.synch_mode="off"; +defparam toggle_counter_sig_21_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_counter_sig_20_ ( + .regout(toggle_counter_sig_20), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_20), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[18]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_20_.cin_used="true"; +defparam toggle_counter_sig_20_.operation_mode="normal"; +defparam toggle_counter_sig_20_.output_mode="reg_only"; +defparam toggle_counter_sig_20_.lut_mask="5a5a"; +defparam toggle_counter_sig_20_.synch_mode="on"; +defparam toggle_counter_sig_20_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_19_ ( + .regout(toggle_counter_sig_19), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_18), + .datab(toggle_counter_sig_19), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[17]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_19_.cin_used="true"; +defparam toggle_counter_sig_19_.operation_mode="normal"; +defparam toggle_counter_sig_19_.output_mode="reg_only"; +defparam toggle_counter_sig_19_.lut_mask="6c6c"; +defparam toggle_counter_sig_19_.synch_mode="on"; +defparam toggle_counter_sig_19_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_18_ ( + .regout(toggle_counter_sig_18), + .cout(toggle_counter_sig_cout[18]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_18), + .datab(toggle_counter_sig_19), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[16]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_18_.cin_used="true"; +defparam toggle_counter_sig_18_.operation_mode="arithmetic"; +defparam toggle_counter_sig_18_.output_mode="reg_only"; +defparam toggle_counter_sig_18_.lut_mask="5a80"; +defparam toggle_counter_sig_18_.synch_mode="on"; +defparam toggle_counter_sig_18_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_17_ ( + .regout(toggle_counter_sig_17), + .cout(toggle_counter_sig_cout[17]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_16), + .datab(toggle_counter_sig_17), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[15]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_17_.cin_used="true"; +defparam toggle_counter_sig_17_.operation_mode="arithmetic"; +defparam toggle_counter_sig_17_.output_mode="reg_only"; +defparam toggle_counter_sig_17_.lut_mask="6c80"; +defparam toggle_counter_sig_17_.synch_mode="on"; +defparam toggle_counter_sig_17_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_16_ ( + .regout(toggle_counter_sig_16), + .cout(toggle_counter_sig_cout[16]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_16), + .datab(toggle_counter_sig_17), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[14]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_16_.cin_used="true"; +defparam toggle_counter_sig_16_.operation_mode="arithmetic"; +defparam toggle_counter_sig_16_.output_mode="reg_only"; +defparam toggle_counter_sig_16_.lut_mask="5a80"; +defparam toggle_counter_sig_16_.synch_mode="on"; +defparam toggle_counter_sig_16_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_15_ ( + .regout(toggle_counter_sig_15), + .cout(toggle_counter_sig_cout[15]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_14), + .datab(toggle_counter_sig_15), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[13]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_15_.cin_used="true"; +defparam toggle_counter_sig_15_.operation_mode="arithmetic"; +defparam toggle_counter_sig_15_.output_mode="reg_only"; +defparam toggle_counter_sig_15_.lut_mask="6c80"; +defparam toggle_counter_sig_15_.synch_mode="on"; +defparam toggle_counter_sig_15_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_14_ ( + .regout(toggle_counter_sig_14), + .cout(toggle_counter_sig_cout[14]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_14), + .datab(toggle_counter_sig_15), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[12]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_14_.cin_used="true"; +defparam toggle_counter_sig_14_.operation_mode="arithmetic"; +defparam toggle_counter_sig_14_.output_mode="reg_only"; +defparam toggle_counter_sig_14_.lut_mask="5a80"; +defparam toggle_counter_sig_14_.synch_mode="on"; +defparam toggle_counter_sig_14_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_13_ ( + .regout(toggle_counter_sig_13), + .cout(toggle_counter_sig_cout[13]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_12), + .datab(toggle_counter_sig_13), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[11]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_13_.cin_used="true"; +defparam toggle_counter_sig_13_.operation_mode="arithmetic"; +defparam toggle_counter_sig_13_.output_mode="reg_only"; +defparam toggle_counter_sig_13_.lut_mask="6c80"; +defparam toggle_counter_sig_13_.synch_mode="on"; +defparam toggle_counter_sig_13_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_12_ ( + .regout(toggle_counter_sig_12), + .cout(toggle_counter_sig_cout[12]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_12), + .datab(toggle_counter_sig_13), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[10]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_12_.cin_used="true"; +defparam toggle_counter_sig_12_.operation_mode="arithmetic"; +defparam toggle_counter_sig_12_.output_mode="reg_only"; +defparam toggle_counter_sig_12_.lut_mask="5a80"; +defparam toggle_counter_sig_12_.synch_mode="on"; +defparam toggle_counter_sig_12_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_11_ ( + .regout(toggle_counter_sig_11), + .cout(toggle_counter_sig_cout[11]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_10), + .datab(toggle_counter_sig_11), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[9]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_11_.cin_used="true"; +defparam toggle_counter_sig_11_.operation_mode="arithmetic"; +defparam toggle_counter_sig_11_.output_mode="reg_only"; +defparam toggle_counter_sig_11_.lut_mask="6c80"; +defparam toggle_counter_sig_11_.synch_mode="on"; +defparam toggle_counter_sig_11_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_10_ ( + .regout(toggle_counter_sig_10), + .cout(toggle_counter_sig_cout[10]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_10), + .datab(toggle_counter_sig_11), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[8]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_10_.cin_used="true"; +defparam toggle_counter_sig_10_.operation_mode="arithmetic"; +defparam toggle_counter_sig_10_.output_mode="reg_only"; +defparam toggle_counter_sig_10_.lut_mask="5a80"; +defparam toggle_counter_sig_10_.synch_mode="on"; +defparam toggle_counter_sig_10_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_9_ ( + .regout(toggle_counter_sig_9), + .cout(toggle_counter_sig_cout[9]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_8), + .datab(toggle_counter_sig_9), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[7]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_9_.cin_used="true"; +defparam toggle_counter_sig_9_.operation_mode="arithmetic"; +defparam toggle_counter_sig_9_.output_mode="reg_only"; +defparam toggle_counter_sig_9_.lut_mask="6c80"; +defparam toggle_counter_sig_9_.synch_mode="on"; +defparam toggle_counter_sig_9_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_8_ ( + .regout(toggle_counter_sig_8), + .cout(toggle_counter_sig_cout[8]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_8), + .datab(toggle_counter_sig_9), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[6]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_8_.cin_used="true"; +defparam toggle_counter_sig_8_.operation_mode="arithmetic"; +defparam toggle_counter_sig_8_.output_mode="reg_only"; +defparam toggle_counter_sig_8_.lut_mask="5a80"; +defparam toggle_counter_sig_8_.synch_mode="on"; +defparam toggle_counter_sig_8_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_7_ ( + .regout(toggle_counter_sig_7), + .cout(toggle_counter_sig_cout[7]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_6), + .datab(toggle_counter_sig_7), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[5]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_7_.cin_used="true"; +defparam toggle_counter_sig_7_.operation_mode="arithmetic"; +defparam toggle_counter_sig_7_.output_mode="reg_only"; +defparam toggle_counter_sig_7_.lut_mask="6c80"; +defparam toggle_counter_sig_7_.synch_mode="on"; +defparam toggle_counter_sig_7_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_6_ ( + .regout(toggle_counter_sig_6), + .cout(toggle_counter_sig_cout[6]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_6), + .datab(toggle_counter_sig_7), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[4]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_6_.cin_used="true"; +defparam toggle_counter_sig_6_.operation_mode="arithmetic"; +defparam toggle_counter_sig_6_.output_mode="reg_only"; +defparam toggle_counter_sig_6_.lut_mask="5a80"; +defparam toggle_counter_sig_6_.synch_mode="on"; +defparam toggle_counter_sig_6_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_5_ ( + .regout(toggle_counter_sig_5), + .cout(toggle_counter_sig_cout[5]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_4), + .datab(toggle_counter_sig_5), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[3]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_5_.cin_used="true"; +defparam toggle_counter_sig_5_.operation_mode="arithmetic"; +defparam toggle_counter_sig_5_.output_mode="reg_only"; +defparam toggle_counter_sig_5_.lut_mask="6c80"; +defparam toggle_counter_sig_5_.synch_mode="on"; +defparam toggle_counter_sig_5_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_4_ ( + .regout(toggle_counter_sig_4), + .cout(toggle_counter_sig_cout[4]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_4), + .datab(toggle_counter_sig_5), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[2]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_4_.cin_used="true"; +defparam toggle_counter_sig_4_.operation_mode="arithmetic"; +defparam toggle_counter_sig_4_.output_mode="reg_only"; +defparam toggle_counter_sig_4_.lut_mask="5a80"; +defparam toggle_counter_sig_4_.synch_mode="on"; +defparam toggle_counter_sig_4_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_3_ ( + .regout(toggle_counter_sig_3), + .cout(toggle_counter_sig_cout[3]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_2), + .datab(toggle_counter_sig_3), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(toggle_counter_sig_cout[1]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_3_.cin_used="true"; +defparam toggle_counter_sig_3_.operation_mode="arithmetic"; +defparam toggle_counter_sig_3_.output_mode="reg_only"; +defparam toggle_counter_sig_3_.lut_mask="6c80"; +defparam toggle_counter_sig_3_.synch_mode="on"; +defparam toggle_counter_sig_3_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_2_ ( + .regout(toggle_counter_sig_2), + .cout(toggle_counter_sig_cout[2]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_2), + .datab(toggle_counter_sig_3), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .cin(un2_toggle_counter_next_cout[0]), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_2_.cin_used="true"; +defparam toggle_counter_sig_2_.operation_mode="arithmetic"; +defparam toggle_counter_sig_2_.output_mode="reg_only"; +defparam toggle_counter_sig_2_.lut_mask="5a80"; +defparam toggle_counter_sig_2_.synch_mode="on"; +defparam toggle_counter_sig_2_.sum_lutc_input="cin"; +// @12:99 + stratix_lcell toggle_counter_sig_1_ ( + .regout(toggle_counter_sig_1), + .cout(toggle_counter_sig_cout[1]), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_0), + .datab(toggle_counter_sig_1), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_1_.operation_mode="arithmetic"; +defparam toggle_counter_sig_1_.output_mode="reg_only"; +defparam toggle_counter_sig_1_.lut_mask="6688"; +defparam toggle_counter_sig_1_.synch_mode="on"; +defparam toggle_counter_sig_1_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_counter_sig_0_ ( + .regout(toggle_counter_sig_0), + .clk(clk_pin_c), + .dataa(toggle_counter_sig_0), + .datab(VCC), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(toggle_sig_0_0_0_g1_i), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_counter_sig_0_.operation_mode="normal"; +defparam toggle_counter_sig_0_.output_mode="reg_only"; +defparam toggle_counter_sig_0_.lut_mask="5555"; +defparam toggle_counter_sig_0_.synch_mode="on"; +defparam toggle_counter_sig_0_.sum_lutc_input="datac"; +// @12:99 + stratix_lcell toggle_sig_Z ( + .regout(toggle_sig), + .clk(clk_pin_c), + .dataa(toggle_sig), + .datab(toggle_sig_0_0_0_g1), + .datac(VCC), + .datad(VCC), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_sig_Z.operation_mode="normal"; +defparam toggle_sig_Z.output_mode="reg_only"; +defparam toggle_sig_Z.lut_mask="9999"; +defparam toggle_sig_Z.synch_mode="off"; +defparam toggle_sig_Z.sum_lutc_input="datac"; +// @12:60 + stratix_lcell r_Z ( + .regout(r), + .clk(clk_pin_c), + .dataa(toggle_sig), + .datab(v_enable_sig), + .datac(b_next_0_sqmuxa_7_4), + .datad(b_next_0_sqmuxa_7_5), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam r_Z.operation_mode="normal"; +defparam r_Z.output_mode="reg_only"; +defparam r_Z.lut_mask="8000"; +defparam r_Z.synch_mode="off"; +defparam r_Z.sum_lutc_input="datac"; +// @12:60 + stratix_lcell b_Z ( + .regout(b), + .clk(clk_pin_c), + .dataa(toggle_sig), + .datab(v_enable_sig), + .datac(b_next_0_sqmuxa_7_4), + .datad(b_next_0_sqmuxa_7_5), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_Z.operation_mode="normal"; +defparam b_Z.output_mode="reg_only"; +defparam b_Z.lut_mask="4000"; +defparam b_Z.synch_mode="off"; +defparam b_Z.sum_lutc_input="datac"; +// @12:60 + stratix_lcell g_Z ( + .regout(g), + .clk(clk_pin_c), + .dataa(VCC), + .datab(VCC), + .datac(VCC), + .datad(GND), + .aclr(un6_dly_counter_0_x), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam g_Z.operation_mode="normal"; +defparam g_Z.output_mode="reg_only"; +defparam g_Z.lut_mask="ff00"; +defparam g_Z.synch_mode="off"; +defparam g_Z.sum_lutc_input="datac"; + stratix_lcell toggle_sig_0_0_0_g1_cZ ( + .combout(toggle_sig_0_0_0_g1), + .clk(GND), + .dataa(toggle_counter_sig_19), + .datab(toggle_counter_sig_20), + .datac(toggle_sig_0_0_0_g1_2), + .datad(un1_toggle_counter_siglto18), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_sig_0_0_0_g1_cZ.operation_mode="normal"; +defparam toggle_sig_0_0_0_g1_cZ.output_mode="comb_only"; +defparam toggle_sig_0_0_0_g1_cZ.lut_mask="0703"; +defparam toggle_sig_0_0_0_g1_cZ.synch_mode="off"; +defparam toggle_sig_0_0_0_g1_cZ.sum_lutc_input="datac"; +// @12:111 + stratix_lcell BLINKER_next_un1_toggle_counter_siglto18 ( + .combout(un1_toggle_counter_siglto18), + .clk(GND), + .dataa(toggle_counter_sig_17), + .datab(toggle_counter_sig_18), + .datac(toggle_counter_sig_16), + .datad(un1_toggle_counter_siglto15), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam BLINKER_next_un1_toggle_counter_siglto18.operation_mode="normal"; +defparam BLINKER_next_un1_toggle_counter_siglto18.output_mode="comb_only"; +defparam BLINKER_next_un1_toggle_counter_siglto18.lut_mask="7f77"; +defparam BLINKER_next_un1_toggle_counter_siglto18.synch_mode="off"; +defparam BLINKER_next_un1_toggle_counter_siglto18.sum_lutc_input="datac"; +// @12:75 + stratix_lcell b_next_0_sqmuxa_7_5_cZ ( + .combout(b_next_0_sqmuxa_7_5), + .clk(GND), + .dataa(column_counter_sig_6), + .datab(column_counter_sig_7), + .datac(un5_v_enablelto5), + .datad(b_next_0_sqmuxa_7_3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_next_0_sqmuxa_7_5_cZ.operation_mode="normal"; +defparam b_next_0_sqmuxa_7_5_cZ.output_mode="comb_only"; +defparam b_next_0_sqmuxa_7_5_cZ.lut_mask="7f00"; +defparam b_next_0_sqmuxa_7_5_cZ.synch_mode="off"; +defparam b_next_0_sqmuxa_7_5_cZ.sum_lutc_input="datac"; +// @12:75 + stratix_lcell b_next_0_sqmuxa_7_4_cZ ( + .combout(b_next_0_sqmuxa_7_4), + .clk(GND), + .dataa(line_counter_sig_8), + .datab(line_counter_sig_7), + .datac(un13_v_enablelto6), + .datad(b_next_0_sqmuxa_7_4_a), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_next_0_sqmuxa_7_4_cZ.operation_mode="normal"; +defparam b_next_0_sqmuxa_7_4_cZ.output_mode="comb_only"; +defparam b_next_0_sqmuxa_7_4_cZ.lut_mask="ef23"; +defparam b_next_0_sqmuxa_7_4_cZ.synch_mode="off"; +defparam b_next_0_sqmuxa_7_4_cZ.sum_lutc_input="datac"; +// @12:75 + stratix_lcell b_next_0_sqmuxa_7_4_a_cZ ( + .combout(b_next_0_sqmuxa_7_4_a), + .clk(GND), + .dataa(line_counter_sig_4), + .datab(line_counter_sig_5), + .datac(line_counter_sig_6), + .datad(un17_v_enablelto3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_next_0_sqmuxa_7_4_a_cZ.operation_mode="normal"; +defparam b_next_0_sqmuxa_7_4_a_cZ.output_mode="comb_only"; +defparam b_next_0_sqmuxa_7_4_a_cZ.lut_mask="0f1f"; +defparam b_next_0_sqmuxa_7_4_a_cZ.synch_mode="off"; +defparam b_next_0_sqmuxa_7_4_a_cZ.sum_lutc_input="datac"; +// @12:75 + stratix_lcell b_next_0_sqmuxa_7_3_cZ ( + .combout(b_next_0_sqmuxa_7_3), + .clk(GND), + .dataa(column_counter_sig_7), + .datab(column_counter_sig_9), + .datac(b_next_0_sqmuxa_7_2), + .datad(un9_v_enablelto6), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_next_0_sqmuxa_7_3_cZ.operation_mode="normal"; +defparam b_next_0_sqmuxa_7_3_cZ.output_mode="comb_only"; +defparam b_next_0_sqmuxa_7_3_cZ.lut_mask="e0f0"; +defparam b_next_0_sqmuxa_7_3_cZ.synch_mode="off"; +defparam b_next_0_sqmuxa_7_3_cZ.sum_lutc_input="datac"; +// @12:111 + stratix_lcell BLINKER_next_un1_toggle_counter_siglto15 ( + .combout(un1_toggle_counter_siglto15), + .clk(GND), + .dataa(toggle_counter_sig_13), + .datab(toggle_counter_sig_14), + .datac(toggle_counter_sig_15), + .datad(un1_toggle_counter_siglto12), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam BLINKER_next_un1_toggle_counter_siglto15.operation_mode="normal"; +defparam BLINKER_next_un1_toggle_counter_siglto15.output_mode="comb_only"; +defparam BLINKER_next_un1_toggle_counter_siglto15.lut_mask="ff7f"; +defparam BLINKER_next_un1_toggle_counter_siglto15.synch_mode="off"; +defparam BLINKER_next_un1_toggle_counter_siglto15.sum_lutc_input="datac"; +// @12:75 + stratix_lcell DRAW_SQUARE_next_un5_v_enablelto5 ( + .combout(un5_v_enablelto5), + .clk(GND), + .dataa(column_counter_sig_4), + .datab(column_counter_sig_5), + .datac(column_counter_sig_3), + .datad(un5_v_enablelt2), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un5_v_enablelto5.operation_mode="normal"; +defparam DRAW_SQUARE_next_un5_v_enablelto5.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un5_v_enablelto5.lut_mask="feee"; +defparam DRAW_SQUARE_next_un5_v_enablelto5.synch_mode="off"; +defparam DRAW_SQUARE_next_un5_v_enablelto5.sum_lutc_input="datac"; +// @12:111 + stratix_lcell BLINKER_next_un1_toggle_counter_siglto12 ( + .combout(un1_toggle_counter_siglto12), + .clk(GND), + .dataa(toggle_counter_sig_10), + .datab(toggle_counter_sig_11), + .datac(toggle_counter_sig_12), + .datad(un1_toggle_counter_siglto9), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam BLINKER_next_un1_toggle_counter_siglto12.operation_mode="normal"; +defparam BLINKER_next_un1_toggle_counter_siglto12.output_mode="comb_only"; +defparam BLINKER_next_un1_toggle_counter_siglto12.lut_mask="0100"; +defparam BLINKER_next_un1_toggle_counter_siglto12.synch_mode="off"; +defparam BLINKER_next_un1_toggle_counter_siglto12.sum_lutc_input="datac"; +// @12:76 + stratix_lcell DRAW_SQUARE_next_un13_v_enablelto6 ( + .combout(un13_v_enablelto6), + .clk(GND), + .dataa(line_counter_sig_5), + .datab(line_counter_sig_6), + .datac(line_counter_sig_3), + .datad(un13_v_enablelto4_0), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un13_v_enablelto6.operation_mode="normal"; +defparam DRAW_SQUARE_next_un13_v_enablelto6.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un13_v_enablelto6.lut_mask="7f77"; +defparam DRAW_SQUARE_next_un13_v_enablelto6.synch_mode="off"; +defparam DRAW_SQUARE_next_un13_v_enablelto6.sum_lutc_input="datac"; +// @12:75 + stratix_lcell DRAW_SQUARE_next_un9_v_enablelto6 ( + .combout(un9_v_enablelto6), + .clk(GND), + .dataa(column_counter_sig_5), + .datab(column_counter_sig_6), + .datac(un9_v_enablelto4), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un9_v_enablelto6.operation_mode="normal"; +defparam DRAW_SQUARE_next_un9_v_enablelto6.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un9_v_enablelto6.lut_mask="f7f7"; +defparam DRAW_SQUARE_next_un9_v_enablelto6.synch_mode="off"; +defparam DRAW_SQUARE_next_un9_v_enablelto6.sum_lutc_input="datac"; +// @12:111 + stratix_lcell BLINKER_next_un1_toggle_counter_siglto9 ( + .combout(un1_toggle_counter_siglto9), + .clk(GND), + .dataa(toggle_counter_sig_8), + .datab(toggle_counter_sig_9), + .datac(toggle_counter_sig_7), + .datad(un1_toggle_counter_siglt6), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam BLINKER_next_un1_toggle_counter_siglto9.operation_mode="normal"; +defparam BLINKER_next_un1_toggle_counter_siglto9.output_mode="comb_only"; +defparam BLINKER_next_un1_toggle_counter_siglto9.lut_mask="7f77"; +defparam BLINKER_next_un1_toggle_counter_siglto9.synch_mode="off"; +defparam BLINKER_next_un1_toggle_counter_siglto9.sum_lutc_input="datac"; +// @12:76 + stratix_lcell DRAW_SQUARE_next_un17_v_enablelto3 ( + .combout(un17_v_enablelto3), + .clk(GND), + .dataa(line_counter_sig_1), + .datab(line_counter_sig_2), + .datac(line_counter_sig_0), + .datad(line_counter_sig_3), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un17_v_enablelto3.operation_mode="normal"; +defparam DRAW_SQUARE_next_un17_v_enablelto3.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un17_v_enablelto3.lut_mask="fe00"; +defparam DRAW_SQUARE_next_un17_v_enablelto3.synch_mode="off"; +defparam DRAW_SQUARE_next_un17_v_enablelto3.sum_lutc_input="datac"; + stratix_lcell toggle_sig_0_0_0_g1_2_cZ ( + .combout(toggle_sig_0_0_0_g1_2), + .clk(GND), + .dataa(toggle_counter_sig_23), + .datab(toggle_counter_sig_24), + .datac(toggle_counter_sig_21), + .datad(toggle_counter_sig_22), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam toggle_sig_0_0_0_g1_2_cZ.operation_mode="normal"; +defparam toggle_sig_0_0_0_g1_2_cZ.output_mode="comb_only"; +defparam toggle_sig_0_0_0_g1_2_cZ.lut_mask="fffe"; +defparam toggle_sig_0_0_0_g1_2_cZ.synch_mode="off"; +defparam toggle_sig_0_0_0_g1_2_cZ.sum_lutc_input="datac"; +// @12:75 + stratix_lcell b_next_0_sqmuxa_7_2_cZ ( + .combout(b_next_0_sqmuxa_7_2), + .clk(GND), + .dataa(column_counter_sig_8), + .datab(h_enable_sig), + .datac(column_counter_sig_9), + .datad(line_counter_sig_8), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam b_next_0_sqmuxa_7_2_cZ.operation_mode="normal"; +defparam b_next_0_sqmuxa_7_2_cZ.output_mode="comb_only"; +defparam b_next_0_sqmuxa_7_2_cZ.lut_mask="0004"; +defparam b_next_0_sqmuxa_7_2_cZ.synch_mode="off"; +defparam b_next_0_sqmuxa_7_2_cZ.sum_lutc_input="datac"; +// @12:75 + stratix_lcell DRAW_SQUARE_next_un9_v_enablelto4 ( + .combout(un9_v_enablelto4), + .clk(GND), + .dataa(column_counter_sig_3), + .datab(column_counter_sig_4), + .datac(column_counter_sig_2), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un9_v_enablelto4.operation_mode="normal"; +defparam DRAW_SQUARE_next_un9_v_enablelto4.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un9_v_enablelto4.lut_mask="0101"; +defparam DRAW_SQUARE_next_un9_v_enablelto4.synch_mode="off"; +defparam DRAW_SQUARE_next_un9_v_enablelto4.sum_lutc_input="datac"; +// @12:75 + stratix_lcell DRAW_SQUARE_next_un5_v_enablelt2 ( + .combout(un5_v_enablelt2), + .clk(GND), + .dataa(column_counter_sig_1), + .datab(column_counter_sig_2), + .datac(column_counter_sig_0), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un5_v_enablelt2.operation_mode="normal"; +defparam DRAW_SQUARE_next_un5_v_enablelt2.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un5_v_enablelt2.lut_mask="fefe"; +defparam DRAW_SQUARE_next_un5_v_enablelt2.synch_mode="off"; +defparam DRAW_SQUARE_next_un5_v_enablelt2.sum_lutc_input="datac"; +// @12:76 + stratix_lcell DRAW_SQUARE_next_un13_v_enablelto4_0 ( + .combout(un13_v_enablelto4_0), + .clk(GND), + .dataa(line_counter_sig_4), + .datab(line_counter_sig_2), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam DRAW_SQUARE_next_un13_v_enablelto4_0.operation_mode="normal"; +defparam DRAW_SQUARE_next_un13_v_enablelto4_0.output_mode="comb_only"; +defparam DRAW_SQUARE_next_un13_v_enablelto4_0.lut_mask="1111"; +defparam DRAW_SQUARE_next_un13_v_enablelto4_0.synch_mode="off"; +defparam DRAW_SQUARE_next_un13_v_enablelto4_0.sum_lutc_input="datac"; +// @12:111 + stratix_lcell BLINKER_next_un1_toggle_counter_siglt6 ( + .combout(un1_toggle_counter_siglt6), + .clk(GND), + .dataa(toggle_counter_sig_6), + .datab(toggle_counter_sig_5), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam BLINKER_next_un1_toggle_counter_siglt6.operation_mode="normal"; +defparam BLINKER_next_un1_toggle_counter_siglt6.output_mode="comb_only"; +defparam BLINKER_next_un1_toggle_counter_siglt6.lut_mask="7777"; +defparam BLINKER_next_un1_toggle_counter_siglt6.synch_mode="off"; +defparam BLINKER_next_un1_toggle_counter_siglt6.sum_lutc_input="datac"; +// @12:115 + stratix_lcell un2_toggle_counter_next_0_ ( + .cout(un2_toggle_counter_next_cout[0]), + .clk(GND), + .dataa(toggle_counter_sig_0), + .datab(toggle_counter_sig_1), + .datac(VCC), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam un2_toggle_counter_next_0_.operation_mode="arithmetic"; +defparam un2_toggle_counter_next_0_.output_mode="comb_only"; +defparam un2_toggle_counter_next_0_.lut_mask="5588"; +defparam un2_toggle_counter_next_0_.synch_mode="off"; +defparam un2_toggle_counter_next_0_.sum_lutc_input="datac"; + assign toggle_sig_0_0_0_g1_i = ~ toggle_sig_0_0_0_g1; +endmodule /* vga_control */ + +// VQM4.1+ +module vga ( + clk_pin, + reset_pin, + r0_pin, + r1_pin, + r2_pin, + g0_pin, + g1_pin, + g2_pin, + b0_pin, + b1_pin, + hsync_pin, + vsync_pin, + seven_seg_pin, + d_hsync, + d_vsync, + d_column_counter, + d_line_counter, + d_set_column_counter, + d_set_line_counter, + d_hsync_counter, + d_vsync_counter, + d_set_hsync_counter, + d_set_vsync_counter, + d_h_enable, + d_v_enable, + d_r, + d_g, + d_b, + d_hsync_state, + d_vsync_state, + d_state_clk, + d_toggle, + d_toggle_counter +) +; +input clk_pin ; +input reset_pin ; +output r0_pin ; +output r1_pin ; +output r2_pin ; +output g0_pin ; +output g1_pin ; +output g2_pin ; +output b0_pin ; +output b1_pin ; +output hsync_pin ; +output vsync_pin ; +output [13:0] seven_seg_pin ; +output d_hsync ; +output d_vsync ; +output [9:0] d_column_counter ; +output [8:0] d_line_counter ; +output d_set_column_counter ; +output d_set_line_counter ; +output [9:0] d_hsync_counter ; +output [9:0] d_vsync_counter ; +output d_set_hsync_counter ; +output d_set_vsync_counter ; +output d_h_enable ; +output d_v_enable ; +output d_r ; +output d_g ; +output d_b ; +output [0:6] d_hsync_state ; +output [0:6] d_vsync_state ; +output d_state_clk ; +output d_toggle ; +output [24:0] d_toggle_counter ; +wire clk_pin ; +wire reset_pin ; +wire r0_pin ; +wire r1_pin ; +wire r2_pin ; +wire g0_pin ; +wire g1_pin ; +wire g2_pin ; +wire b0_pin ; +wire b1_pin ; +wire hsync_pin ; +wire vsync_pin ; +wire d_hsync ; +wire d_vsync ; +wire d_set_column_counter ; +wire d_set_line_counter ; +wire d_set_hsync_counter ; +wire d_set_vsync_counter ; +wire d_h_enable ; +wire d_v_enable ; +wire d_r ; +wire d_g ; +wire d_b ; +wire d_state_clk ; +wire d_toggle ; +wire [1:0] dly_counter; +wire [9:0] vga_driver_unit_column_counter_sig; +wire [8:0] vga_driver_unit_line_counter_sig; +wire [9:0] vga_driver_unit_hsync_counter; +wire [9:0] vga_driver_unit_vsync_counter; +wire [6:0] vga_driver_unit_hsync_state; +wire [6:0] vga_driver_unit_vsync_state; +wire [24:0] vga_control_unit_toggle_counter_sig; +wire VCC ; +wire GND ; +wire DELAY_RESET_next_un6_dly_counter_0_x ; +wire vga_driver_unit_h_sync ; +wire vga_driver_unit_v_sync ; +wire vga_driver_unit_d_set_hsync_counter ; +wire vga_driver_unit_d_set_vsync_counter ; +wire vga_driver_unit_h_enable_sig ; +wire vga_driver_unit_v_enable_sig ; +wire vga_control_unit_r ; +wire vga_control_unit_g ; +wire vga_control_unit_b ; +wire G_33 ; +wire vga_control_unit_toggle_sig ; +wire reset_pin_c ; +//@1:1 + assign VCC = 1'b1; +//@1:1 + assign GND = 1'b0; +// @10:113 + stratix_lcell dly_counter_1_ ( + .regout(dly_counter[1]), + .clk(G_33), + .dataa(reset_pin_c), + .datab(dly_counter[0]), + .datac(dly_counter[1]), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam dly_counter_1_.operation_mode="normal"; +defparam dly_counter_1_.output_mode="reg_only"; +defparam dly_counter_1_.lut_mask="a8a8"; +defparam dly_counter_1_.synch_mode="off"; +defparam dly_counter_1_.sum_lutc_input="datac"; +// @10:113 + stratix_lcell dly_counter_0_ ( + .regout(dly_counter[0]), + .clk(G_33), + .dataa(reset_pin_c), + .datab(dly_counter[0]), + .datac(dly_counter[1]), + .datad(VCC), + .aclr(GND), + .sclr(GND), + .sload(GND), + .ena(VCC), + .inverta(GND), + .aload(GND), + .regcascin(GND) +); +defparam dly_counter_0_.operation_mode="normal"; +defparam dly_counter_0_.output_mode="reg_only"; +defparam dly_counter_0_.lut_mask="a2a2"; +defparam dly_counter_0_.synch_mode="off"; +defparam dly_counter_0_.sum_lutc_input="datac"; +// @6:42 + stratix_io reset_pin_in ( + .padio(reset_pin), + .combout(reset_pin_c), + .datain(GND), + .oe(GND), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam reset_pin_in.operation_mode = "input"; +// @6:41 + stratix_io clk_pin_in ( + .padio(clk_pin), + .combout(G_33), + .datain(GND), + .oe(GND), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam clk_pin_in.operation_mode = "input"; +// @6:66 + stratix_io d_toggle_counter_out_24_ ( + .padio(d_toggle_counter[24]), + .datain(vga_control_unit_toggle_counter_sig[24]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_24_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_23_ ( + .padio(d_toggle_counter[23]), + .datain(vga_control_unit_toggle_counter_sig[23]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_23_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_22_ ( + .padio(d_toggle_counter[22]), + .datain(vga_control_unit_toggle_counter_sig[22]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_22_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_21_ ( + .padio(d_toggle_counter[21]), + .datain(vga_control_unit_toggle_counter_sig[21]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_21_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_20_ ( + .padio(d_toggle_counter[20]), + .datain(vga_control_unit_toggle_counter_sig[20]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_20_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_19_ ( + .padio(d_toggle_counter[19]), + .datain(vga_control_unit_toggle_counter_sig[19]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_19_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_18_ ( + .padio(d_toggle_counter[18]), + .datain(vga_control_unit_toggle_counter_sig[18]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_18_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_17_ ( + .padio(d_toggle_counter[17]), + .datain(vga_control_unit_toggle_counter_sig[17]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_17_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_16_ ( + .padio(d_toggle_counter[16]), + .datain(vga_control_unit_toggle_counter_sig[16]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_16_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_15_ ( + .padio(d_toggle_counter[15]), + .datain(vga_control_unit_toggle_counter_sig[15]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_15_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_14_ ( + .padio(d_toggle_counter[14]), + .datain(vga_control_unit_toggle_counter_sig[14]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_14_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_13_ ( + .padio(d_toggle_counter[13]), + .datain(vga_control_unit_toggle_counter_sig[13]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_13_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_12_ ( + .padio(d_toggle_counter[12]), + .datain(vga_control_unit_toggle_counter_sig[12]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_12_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_11_ ( + .padio(d_toggle_counter[11]), + .datain(vga_control_unit_toggle_counter_sig[11]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_11_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_10_ ( + .padio(d_toggle_counter[10]), + .datain(vga_control_unit_toggle_counter_sig[10]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_10_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_9_ ( + .padio(d_toggle_counter[9]), + .datain(vga_control_unit_toggle_counter_sig[9]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_9_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_8_ ( + .padio(d_toggle_counter[8]), + .datain(vga_control_unit_toggle_counter_sig[8]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_8_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_7_ ( + .padio(d_toggle_counter[7]), + .datain(vga_control_unit_toggle_counter_sig[7]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_7_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_6_ ( + .padio(d_toggle_counter[6]), + .datain(vga_control_unit_toggle_counter_sig[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_6_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_5_ ( + .padio(d_toggle_counter[5]), + .datain(vga_control_unit_toggle_counter_sig[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_5_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_4_ ( + .padio(d_toggle_counter[4]), + .datain(vga_control_unit_toggle_counter_sig[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_4_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_3_ ( + .padio(d_toggle_counter[3]), + .datain(vga_control_unit_toggle_counter_sig[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_3_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_2_ ( + .padio(d_toggle_counter[2]), + .datain(vga_control_unit_toggle_counter_sig[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_2_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_1_ ( + .padio(d_toggle_counter[1]), + .datain(vga_control_unit_toggle_counter_sig[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_1_.operation_mode = "output"; +// @6:66 + stratix_io d_toggle_counter_out_0_ ( + .padio(d_toggle_counter[0]), + .datain(vga_control_unit_toggle_counter_sig[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_counter_out_0_.operation_mode = "output"; +// @6:65 + stratix_io d_toggle_out ( + .padio(d_toggle), + .datain(vga_control_unit_toggle_sig), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_toggle_out.operation_mode = "output"; +// @6:64 + stratix_io d_state_clk_out ( + .padio(d_state_clk), + .datain(G_33), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_state_clk_out.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_0_ ( + .padio(d_vsync_state[0]), + .datain(vga_driver_unit_vsync_state[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_0_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_1_ ( + .padio(d_vsync_state[1]), + .datain(vga_driver_unit_vsync_state[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_1_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_2_ ( + .padio(d_vsync_state[2]), + .datain(vga_driver_unit_vsync_state[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_2_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_3_ ( + .padio(d_vsync_state[3]), + .datain(vga_driver_unit_vsync_state[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_3_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_4_ ( + .padio(d_vsync_state[4]), + .datain(vga_driver_unit_vsync_state[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_4_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_5_ ( + .padio(d_vsync_state[5]), + .datain(vga_driver_unit_vsync_state[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_5_.operation_mode = "output"; +// @6:63 + stratix_io d_vsync_state_out_6_ ( + .padio(d_vsync_state[6]), + .datain(vga_driver_unit_vsync_state[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_state_out_6_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_0_ ( + .padio(d_hsync_state[0]), + .datain(vga_driver_unit_hsync_state[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_0_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_1_ ( + .padio(d_hsync_state[1]), + .datain(vga_driver_unit_hsync_state[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_1_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_2_ ( + .padio(d_hsync_state[2]), + .datain(vga_driver_unit_hsync_state[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_2_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_3_ ( + .padio(d_hsync_state[3]), + .datain(vga_driver_unit_hsync_state[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_3_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_4_ ( + .padio(d_hsync_state[4]), + .datain(vga_driver_unit_hsync_state[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_4_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_5_ ( + .padio(d_hsync_state[5]), + .datain(vga_driver_unit_hsync_state[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_5_.operation_mode = "output"; +// @6:62 + stratix_io d_hsync_state_out_6_ ( + .padio(d_hsync_state[6]), + .datain(vga_driver_unit_hsync_state[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_state_out_6_.operation_mode = "output"; +// @6:61 + stratix_io d_b_out ( + .padio(d_b), + .datain(vga_control_unit_b), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_b_out.operation_mode = "output"; +// @6:61 + stratix_io d_g_out ( + .padio(d_g), + .datain(vga_control_unit_g), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_g_out.operation_mode = "output"; +// @6:61 + stratix_io d_r_out ( + .padio(d_r), + .datain(vga_control_unit_r), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_r_out.operation_mode = "output"; +// @6:60 + stratix_io d_v_enable_out ( + .padio(d_v_enable), + .datain(vga_driver_unit_v_enable_sig), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_v_enable_out.operation_mode = "output"; +// @6:59 + stratix_io d_h_enable_out ( + .padio(d_h_enable), + .datain(vga_driver_unit_h_enable_sig), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_h_enable_out.operation_mode = "output"; +// @6:58 + stratix_io d_set_vsync_counter_out ( + .padio(d_set_vsync_counter), + .datain(vga_driver_unit_d_set_vsync_counter), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_set_vsync_counter_out.operation_mode = "output"; +// @6:58 + stratix_io d_set_hsync_counter_out ( + .padio(d_set_hsync_counter), + .datain(vga_driver_unit_d_set_hsync_counter), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_set_hsync_counter_out.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_9_ ( + .padio(d_vsync_counter[9]), + .datain(vga_driver_unit_vsync_counter[9]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_9_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_8_ ( + .padio(d_vsync_counter[8]), + .datain(vga_driver_unit_vsync_counter[8]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_8_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_7_ ( + .padio(d_vsync_counter[7]), + .datain(vga_driver_unit_vsync_counter[7]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_7_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_6_ ( + .padio(d_vsync_counter[6]), + .datain(vga_driver_unit_vsync_counter[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_6_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_5_ ( + .padio(d_vsync_counter[5]), + .datain(vga_driver_unit_vsync_counter[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_5_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_4_ ( + .padio(d_vsync_counter[4]), + .datain(vga_driver_unit_vsync_counter[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_4_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_3_ ( + .padio(d_vsync_counter[3]), + .datain(vga_driver_unit_vsync_counter[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_3_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_2_ ( + .padio(d_vsync_counter[2]), + .datain(vga_driver_unit_vsync_counter[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_2_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_1_ ( + .padio(d_vsync_counter[1]), + .datain(vga_driver_unit_vsync_counter[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_1_.operation_mode = "output"; +// @6:57 + stratix_io d_vsync_counter_out_0_ ( + .padio(d_vsync_counter[0]), + .datain(vga_driver_unit_vsync_counter[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_counter_out_0_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_9_ ( + .padio(d_hsync_counter[9]), + .datain(vga_driver_unit_hsync_counter[9]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_9_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_8_ ( + .padio(d_hsync_counter[8]), + .datain(vga_driver_unit_hsync_counter[8]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_8_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_7_ ( + .padio(d_hsync_counter[7]), + .datain(vga_driver_unit_hsync_counter[7]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_7_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_6_ ( + .padio(d_hsync_counter[6]), + .datain(vga_driver_unit_hsync_counter[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_6_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_5_ ( + .padio(d_hsync_counter[5]), + .datain(vga_driver_unit_hsync_counter[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_5_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_4_ ( + .padio(d_hsync_counter[4]), + .datain(vga_driver_unit_hsync_counter[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_4_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_3_ ( + .padio(d_hsync_counter[3]), + .datain(vga_driver_unit_hsync_counter[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_3_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_2_ ( + .padio(d_hsync_counter[2]), + .datain(vga_driver_unit_hsync_counter[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_2_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_1_ ( + .padio(d_hsync_counter[1]), + .datain(vga_driver_unit_hsync_counter[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_1_.operation_mode = "output"; +// @6:56 + stratix_io d_hsync_counter_out_0_ ( + .padio(d_hsync_counter[0]), + .datain(vga_driver_unit_hsync_counter[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_counter_out_0_.operation_mode = "output"; +// @6:55 + stratix_io d_set_line_counter_out ( + .padio(d_set_line_counter), + .datain(vga_driver_unit_vsync_state[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_set_line_counter_out.operation_mode = "output"; +// @6:55 + stratix_io d_set_column_counter_out ( + .padio(d_set_column_counter), + .datain(vga_driver_unit_hsync_state[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_set_column_counter_out.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_8_ ( + .padio(d_line_counter[8]), + .datain(vga_driver_unit_line_counter_sig[8]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_8_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_7_ ( + .padio(d_line_counter[7]), + .datain(vga_driver_unit_line_counter_sig[7]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_7_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_6_ ( + .padio(d_line_counter[6]), + .datain(vga_driver_unit_line_counter_sig[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_6_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_5_ ( + .padio(d_line_counter[5]), + .datain(vga_driver_unit_line_counter_sig[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_5_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_4_ ( + .padio(d_line_counter[4]), + .datain(vga_driver_unit_line_counter_sig[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_4_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_3_ ( + .padio(d_line_counter[3]), + .datain(vga_driver_unit_line_counter_sig[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_3_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_2_ ( + .padio(d_line_counter[2]), + .datain(vga_driver_unit_line_counter_sig[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_2_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_1_ ( + .padio(d_line_counter[1]), + .datain(vga_driver_unit_line_counter_sig[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_1_.operation_mode = "output"; +// @6:54 + stratix_io d_line_counter_out_0_ ( + .padio(d_line_counter[0]), + .datain(vga_driver_unit_line_counter_sig[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_line_counter_out_0_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_9_ ( + .padio(d_column_counter[9]), + .datain(vga_driver_unit_column_counter_sig[9]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_9_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_8_ ( + .padio(d_column_counter[8]), + .datain(vga_driver_unit_column_counter_sig[8]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_8_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_7_ ( + .padio(d_column_counter[7]), + .datain(vga_driver_unit_column_counter_sig[7]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_7_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_6_ ( + .padio(d_column_counter[6]), + .datain(vga_driver_unit_column_counter_sig[6]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_6_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_5_ ( + .padio(d_column_counter[5]), + .datain(vga_driver_unit_column_counter_sig[5]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_5_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_4_ ( + .padio(d_column_counter[4]), + .datain(vga_driver_unit_column_counter_sig[4]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_4_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_3_ ( + .padio(d_column_counter[3]), + .datain(vga_driver_unit_column_counter_sig[3]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_3_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_2_ ( + .padio(d_column_counter[2]), + .datain(vga_driver_unit_column_counter_sig[2]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_2_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_1_ ( + .padio(d_column_counter[1]), + .datain(vga_driver_unit_column_counter_sig[1]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_1_.operation_mode = "output"; +// @6:53 + stratix_io d_column_counter_out_0_ ( + .padio(d_column_counter[0]), + .datain(vga_driver_unit_column_counter_sig[0]), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_column_counter_out_0_.operation_mode = "output"; +// @6:52 + stratix_io d_vsync_out ( + .padio(d_vsync), + .datain(vga_driver_unit_v_sync), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_vsync_out.operation_mode = "output"; +// @6:52 + stratix_io d_hsync_out ( + .padio(d_hsync), + .datain(vga_driver_unit_h_sync), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam d_hsync_out.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_13_ ( + .padio(seven_seg_pin[13]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_13_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_12_ ( + .padio(seven_seg_pin[12]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_12_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_11_ ( + .padio(seven_seg_pin[11]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_11_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_10_ ( + .padio(seven_seg_pin[10]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_10_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_9_ ( + .padio(seven_seg_pin[9]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_9_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_8_ ( + .padio(seven_seg_pin[8]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_8_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_7_ ( + .padio(seven_seg_pin[7]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_7_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_6_ ( + .padio(seven_seg_pin[6]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_6_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_5_ ( + .padio(seven_seg_pin[5]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_5_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_4_ ( + .padio(seven_seg_pin[4]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_4_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_3_ ( + .padio(seven_seg_pin[3]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_3_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_2_ ( + .padio(seven_seg_pin[2]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_2_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_out_1_ ( + .padio(seven_seg_pin[1]), + .datain(DELAY_RESET_next_un6_dly_counter_0_x), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_out_1_.operation_mode = "output"; +// @6:50 + stratix_io seven_seg_pin_tri_0_ ( + .padio(seven_seg_pin[0]), + .datain(VCC), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam seven_seg_pin_tri_0_.operation_mode = "output"; +// @6:48 + stratix_io vsync_pin_out ( + .padio(vsync_pin), + .datain(vga_driver_unit_v_sync), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam vsync_pin_out.operation_mode = "output"; +// @6:47 + stratix_io hsync_pin_out ( + .padio(hsync_pin), + .datain(vga_driver_unit_h_sync), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam hsync_pin_out.operation_mode = "output"; +// @6:46 + stratix_io b1_pin_out ( + .padio(b1_pin), + .datain(vga_control_unit_b), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam b1_pin_out.operation_mode = "output"; +// @6:46 + stratix_io b0_pin_out ( + .padio(b0_pin), + .datain(vga_control_unit_b), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam b0_pin_out.operation_mode = "output"; +// @6:45 + stratix_io g2_pin_out ( + .padio(g2_pin), + .datain(vga_control_unit_g), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam g2_pin_out.operation_mode = "output"; +// @6:45 + stratix_io g1_pin_out ( + .padio(g1_pin), + .datain(vga_control_unit_g), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam g1_pin_out.operation_mode = "output"; +// @6:45 + stratix_io g0_pin_out ( + .padio(g0_pin), + .datain(vga_control_unit_g), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam g0_pin_out.operation_mode = "output"; +// @6:44 + stratix_io r2_pin_out ( + .padio(r2_pin), + .datain(vga_control_unit_r), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam r2_pin_out.operation_mode = "output"; +// @6:44 + stratix_io r1_pin_out ( + .padio(r1_pin), + .datain(vga_control_unit_r), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam r1_pin_out.operation_mode = "output"; +// @6:44 + stratix_io r0_pin_out ( + .padio(r0_pin), + .datain(vga_control_unit_r), + .oe(VCC), + .outclk(GND), + .outclkena(VCC), + .inclk(GND), + .inclkena(VCC), + .areset(GND), + .sreset(GND) +); +defparam r0_pin_out.operation_mode = "output"; +//@6:41 +// @10:161 + vga_driver vga_driver_unit ( + .line_counter_sig_0(vga_driver_unit_line_counter_sig[0]), + .line_counter_sig_1(vga_driver_unit_line_counter_sig[1]), + .line_counter_sig_2(vga_driver_unit_line_counter_sig[2]), + .line_counter_sig_3(vga_driver_unit_line_counter_sig[3]), + .line_counter_sig_4(vga_driver_unit_line_counter_sig[4]), + .line_counter_sig_5(vga_driver_unit_line_counter_sig[5]), + .line_counter_sig_6(vga_driver_unit_line_counter_sig[6]), + .line_counter_sig_7(vga_driver_unit_line_counter_sig[7]), + .line_counter_sig_8(vga_driver_unit_line_counter_sig[8]), + .dly_counter_1(dly_counter[1]), + .dly_counter_0(dly_counter[0]), + .vsync_state_2(vga_driver_unit_vsync_state[2]), + .vsync_state_5(vga_driver_unit_vsync_state[5]), + .vsync_state_3(vga_driver_unit_vsync_state[3]), + .vsync_state_6(vga_driver_unit_vsync_state[6]), + .vsync_state_4(vga_driver_unit_vsync_state[4]), + .vsync_state_1(vga_driver_unit_vsync_state[1]), + .vsync_state_0(vga_driver_unit_vsync_state[0]), + .hsync_state_2(vga_driver_unit_hsync_state[2]), + .hsync_state_4(vga_driver_unit_hsync_state[4]), + .hsync_state_0(vga_driver_unit_hsync_state[0]), + .hsync_state_5(vga_driver_unit_hsync_state[5]), + .hsync_state_1(vga_driver_unit_hsync_state[1]), + .hsync_state_3(vga_driver_unit_hsync_state[3]), + .hsync_state_6(vga_driver_unit_hsync_state[6]), + .column_counter_sig_0(vga_driver_unit_column_counter_sig[0]), + .column_counter_sig_1(vga_driver_unit_column_counter_sig[1]), + .column_counter_sig_2(vga_driver_unit_column_counter_sig[2]), + .column_counter_sig_3(vga_driver_unit_column_counter_sig[3]), + .column_counter_sig_4(vga_driver_unit_column_counter_sig[4]), + .column_counter_sig_5(vga_driver_unit_column_counter_sig[5]), + .column_counter_sig_6(vga_driver_unit_column_counter_sig[6]), + .column_counter_sig_7(vga_driver_unit_column_counter_sig[7]), + .column_counter_sig_8(vga_driver_unit_column_counter_sig[8]), + .column_counter_sig_9(vga_driver_unit_column_counter_sig[9]), + .vsync_counter_9(vga_driver_unit_vsync_counter[9]), + .vsync_counter_8(vga_driver_unit_vsync_counter[8]), + .vsync_counter_7(vga_driver_unit_vsync_counter[7]), + .vsync_counter_6(vga_driver_unit_vsync_counter[6]), + .vsync_counter_5(vga_driver_unit_vsync_counter[5]), + .vsync_counter_4(vga_driver_unit_vsync_counter[4]), + .vsync_counter_3(vga_driver_unit_vsync_counter[3]), + .vsync_counter_2(vga_driver_unit_vsync_counter[2]), + .vsync_counter_1(vga_driver_unit_vsync_counter[1]), + .vsync_counter_0(vga_driver_unit_vsync_counter[0]), + .hsync_counter_9(vga_driver_unit_hsync_counter[9]), + .hsync_counter_8(vga_driver_unit_hsync_counter[8]), + .hsync_counter_7(vga_driver_unit_hsync_counter[7]), + .hsync_counter_6(vga_driver_unit_hsync_counter[6]), + .hsync_counter_5(vga_driver_unit_hsync_counter[5]), + .hsync_counter_4(vga_driver_unit_hsync_counter[4]), + .hsync_counter_3(vga_driver_unit_hsync_counter[3]), + .hsync_counter_2(vga_driver_unit_hsync_counter[2]), + .hsync_counter_1(vga_driver_unit_hsync_counter[1]), + .hsync_counter_0(vga_driver_unit_hsync_counter[0]), + .d_set_vsync_counter(vga_driver_unit_d_set_vsync_counter), + .v_sync(vga_driver_unit_v_sync), + .h_sync(vga_driver_unit_h_sync), + .h_enable_sig(vga_driver_unit_h_enable_sig), + .v_enable_sig(vga_driver_unit_v_enable_sig), + .reset_pin_c(reset_pin_c), + .un6_dly_counter_0_x(DELAY_RESET_next_un6_dly_counter_0_x), + .d_set_hsync_counter(vga_driver_unit_d_set_hsync_counter), + .clk_pin_c(G_33) +); +// @10:186 + vga_control vga_control_unit ( + .line_counter_sig_0(vga_driver_unit_line_counter_sig[0]), + .line_counter_sig_2(vga_driver_unit_line_counter_sig[2]), + .line_counter_sig_1(vga_driver_unit_line_counter_sig[1]), + .line_counter_sig_3(vga_driver_unit_line_counter_sig[3]), + .line_counter_sig_6(vga_driver_unit_line_counter_sig[6]), + .line_counter_sig_5(vga_driver_unit_line_counter_sig[5]), + .line_counter_sig_4(vga_driver_unit_line_counter_sig[4]), + .line_counter_sig_7(vga_driver_unit_line_counter_sig[7]), + .line_counter_sig_8(vga_driver_unit_line_counter_sig[8]), + .column_counter_sig_0(vga_driver_unit_column_counter_sig[0]), + .column_counter_sig_1(vga_driver_unit_column_counter_sig[1]), + .column_counter_sig_2(vga_driver_unit_column_counter_sig[2]), + .column_counter_sig_8(vga_driver_unit_column_counter_sig[8]), + .column_counter_sig_3(vga_driver_unit_column_counter_sig[3]), + .column_counter_sig_5(vga_driver_unit_column_counter_sig[5]), + .column_counter_sig_4(vga_driver_unit_column_counter_sig[4]), + .column_counter_sig_9(vga_driver_unit_column_counter_sig[9]), + .column_counter_sig_7(vga_driver_unit_column_counter_sig[7]), + .column_counter_sig_6(vga_driver_unit_column_counter_sig[6]), + .toggle_counter_sig_0(vga_control_unit_toggle_counter_sig[0]), + .toggle_counter_sig_1(vga_control_unit_toggle_counter_sig[1]), + .toggle_counter_sig_2(vga_control_unit_toggle_counter_sig[2]), + .toggle_counter_sig_3(vga_control_unit_toggle_counter_sig[3]), + .toggle_counter_sig_4(vga_control_unit_toggle_counter_sig[4]), + .toggle_counter_sig_5(vga_control_unit_toggle_counter_sig[5]), + .toggle_counter_sig_6(vga_control_unit_toggle_counter_sig[6]), + .toggle_counter_sig_7(vga_control_unit_toggle_counter_sig[7]), + .toggle_counter_sig_8(vga_control_unit_toggle_counter_sig[8]), + .toggle_counter_sig_9(vga_control_unit_toggle_counter_sig[9]), + .toggle_counter_sig_10(vga_control_unit_toggle_counter_sig[10]), + .toggle_counter_sig_11(vga_control_unit_toggle_counter_sig[11]), + .toggle_counter_sig_12(vga_control_unit_toggle_counter_sig[12]), + .toggle_counter_sig_13(vga_control_unit_toggle_counter_sig[13]), + .toggle_counter_sig_14(vga_control_unit_toggle_counter_sig[14]), + .toggle_counter_sig_15(vga_control_unit_toggle_counter_sig[15]), + .toggle_counter_sig_16(vga_control_unit_toggle_counter_sig[16]), + .toggle_counter_sig_17(vga_control_unit_toggle_counter_sig[17]), + .toggle_counter_sig_18(vga_control_unit_toggle_counter_sig[18]), + .toggle_counter_sig_19(vga_control_unit_toggle_counter_sig[19]), + .toggle_counter_sig_20(vga_control_unit_toggle_counter_sig[20]), + .toggle_counter_sig_21(vga_control_unit_toggle_counter_sig[21]), + .toggle_counter_sig_22(vga_control_unit_toggle_counter_sig[22]), + .toggle_counter_sig_23(vga_control_unit_toggle_counter_sig[23]), + .toggle_counter_sig_24(vga_control_unit_toggle_counter_sig[24]), + .h_enable_sig(vga_driver_unit_h_enable_sig), + .g(vga_control_unit_g), + .b(vga_control_unit_b), + .v_enable_sig(vga_driver_unit_v_enable_sig), + .r(vga_control_unit_r), + .toggle_sig(vga_control_unit_toggle_sig), + .un6_dly_counter_0_x(DELAY_RESET_next_un6_dly_counter_0_x), + .clk_pin_c(G_33) +); +endmodule /* vga */ + diff --git a/bsp2/Designflow/syn/rev_1/vga.xrf b/bsp2/Designflow/syn/rev_1/vga.xrf new file mode 100644 index 0000000..330b87d --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga.xrf @@ -0,0 +1,343 @@ +vendor_name = Synplicity +source_file = 0, noname, synplify +source_file = 1, /opt/synplify/fpga_c200906/lib/vhd/std.vhd, synplify +source_file = 2, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd, synplify +source_file = 3, /opt/synplify/fpga_c200906/lib/vhd/std1164.vhd, synplify +source_file = 4, /opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd, synplify +source_file = 5, /opt/synplify/fpga_c200906/lib/vhd/arith.vhd, synplify +source_file = 6, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd, synplify +source_file = 7, /homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd, synplify +source_file = 8, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd, synplify +source_file = 9, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd, synplify +source_file = 10, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd, synplify +source_file = 11, /homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd, synplify +source_file = 12, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd, synplify +source_file = 13, /homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd, synplify +design_name=vga +instance = port, clk_pin, , vga, 6, 41:7:41:13 +instance = port, reset_pin, , vga, 6, 42:7:42:15 +instance = port, r0_pin, , vga, 6, 44:7:44:12 +instance = port, r1_pin, , vga, 6, 44:15:44:20 +instance = port, r2_pin, , vga, 6, 44:23:44:28 +instance = port, g0_pin, , vga, 6, 45:7:45:12 +instance = port, g1_pin, , vga, 6, 45:15:45:20 +instance = port, g2_pin, , vga, 6, 45:23:45:28 +instance = port, b0_pin, , vga, 6, 46:7:46:12 +instance = port, b1_pin, , vga, 6, 46:15:46:20 +instance = port, hsync_pin, , vga, 6, 47:7:47:15 +instance = port, vsync_pin, , vga, 6, 48:7:48:15 +instance = port, seven_seg_pin[13:0], , vga, 6, 50:7:50:19 +instance = port, d_hsync, , vga, 6, 52:7:52:13 +instance = port, d_vsync, , vga, 6, 52:16:52:22 +instance = port, d_column_counter[9:0], , vga, 6, 53:7:53:22 +instance = port, d_line_counter[8:0], , vga, 6, 54:7:54:20 +instance = port, d_set_column_counter, , vga, 6, 55:7:55:26 +instance = port, d_set_line_counter, , vga, 6, 55:29:55:46 +instance = port, d_hsync_counter[9:0], , vga, 6, 56:7:56:21 +instance = port, d_vsync_counter[9:0], , vga, 6, 57:7:57:21 +instance = port, d_set_hsync_counter, , vga, 6, 58:7:58:25 +instance = port, d_set_vsync_counter, , vga, 6, 58:28:58:46 +instance = port, d_h_enable, , vga, 6, 59:7:59:16 +instance = port, d_v_enable, , vga, 6, 60:7:60:16 +instance = port, d_r, , vga, 6, 61:7:61:9 +instance = port, d_g, , vga, 6, 61:12:61:14 +instance = port, d_b, , vga, 6, 61:17:61:19 +instance = port, d_hsync_state[0:6], , vga, 6, 62:7:62:19 +instance = port, d_vsync_state[0:6], , vga, 6, 63:7:63:19 +instance = port, d_state_clk, , vga, 6, 64:7:64:17 +instance = port, d_toggle, , vga, 6, 65:7:65:14 +instance = port, d_toggle_counter[24:0], , vga, 6, 66:7:66:22 +instance = comp, dly_counter_1_, , vga, 10, 113:4:113:5 +instance = comp, dly_counter_0_, , vga, 10, 113:4:113:5 +instance = comp, reset_pin_in, , vga, 6, 42:7:42:15 +instance = comp, clk_pin_in, , vga, 6, 41:7:41:13 +instance = comp, d_toggle_counter_out_24_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_23_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_22_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_21_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_20_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_19_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_18_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_17_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_16_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_15_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_14_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_13_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_12_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_11_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_10_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_9_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_8_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_7_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_6_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_5_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_4_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_3_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_2_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_1_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_counter_out_0_, , vga, 6, 66:7:66:22 +instance = comp, d_toggle_out, , vga, 6, 65:7:65:14 +instance = comp, d_state_clk_out, , vga, 6, 64:7:64:17 +instance = comp, d_vsync_state_out_0_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_1_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_2_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_3_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_4_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_5_, , vga, 6, 63:7:63:19 +instance = comp, d_vsync_state_out_6_, , vga, 6, 63:7:63:19 +instance = comp, d_hsync_state_out_0_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_1_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_2_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_3_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_4_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_5_, , vga, 6, 62:7:62:19 +instance = comp, d_hsync_state_out_6_, , vga, 6, 62:7:62:19 +instance = comp, d_b_out, , vga, 6, 61:17:61:19 +instance = comp, d_g_out, , vga, 6, 61:12:61:14 +instance = comp, d_r_out, , vga, 6, 61:7:61:9 +instance = comp, d_v_enable_out, , vga, 6, 60:7:60:16 +instance = comp, d_h_enable_out, , vga, 6, 59:7:59:16 +instance = comp, d_set_vsync_counter_out, , vga, 6, 58:28:58:46 +instance = comp, d_set_hsync_counter_out, , vga, 6, 58:7:58:25 +instance = comp, d_vsync_counter_out_9_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_8_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_7_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_6_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_5_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_4_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_3_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_2_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_1_, , vga, 6, 57:7:57:21 +instance = comp, d_vsync_counter_out_0_, , vga, 6, 57:7:57:21 +instance = comp, d_hsync_counter_out_9_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_8_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_7_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_6_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_5_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_4_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_3_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_2_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_1_, , vga, 6, 56:7:56:21 +instance = comp, d_hsync_counter_out_0_, , vga, 6, 56:7:56:21 +instance = comp, d_set_line_counter_out, , vga, 6, 55:29:55:46 +instance = comp, d_set_column_counter_out, , vga, 6, 55:7:55:26 +instance = comp, d_line_counter_out_8_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_7_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_6_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_5_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_4_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_3_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_2_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_1_, , vga, 6, 54:7:54:20 +instance = comp, d_line_counter_out_0_, , vga, 6, 54:7:54:20 +instance = comp, d_column_counter_out_9_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_8_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_7_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_6_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_5_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_4_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_3_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_2_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_1_, , vga, 6, 53:7:53:22 +instance = comp, d_column_counter_out_0_, , vga, 6, 53:7:53:22 +instance = comp, d_vsync_out, , vga, 6, 52:16:52:22 +instance = comp, d_hsync_out, , vga, 6, 52:7:52:13 +instance = comp, seven_seg_pin_tri_13_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_12_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_11_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_10_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_9_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_8_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_7_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_tri_6_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_tri_5_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_tri_4_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_tri_3_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_2_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_out_1_, , vga, 6, 50:7:50:19 +instance = comp, seven_seg_pin_tri_0_, , vga, 6, 50:7:50:19 +instance = comp, vsync_pin_out, , vga, 6, 48:7:48:15 +instance = comp, hsync_pin_out, , vga, 6, 47:7:47:15 +instance = comp, b1_pin_out, , vga, 6, 46:15:46:20 +instance = comp, b0_pin_out, , vga, 6, 46:7:46:12 +instance = comp, g2_pin_out, , vga, 6, 45:23:45:28 +instance = comp, g1_pin_out, , vga, 6, 45:15:45:20 +instance = comp, g0_pin_out, , vga, 6, 45:7:45:12 +instance = comp, r2_pin_out, , vga, 6, 44:23:44:28 +instance = comp, r1_pin_out, , vga, 6, 44:15:44:20 +instance = comp, r0_pin_out, , vga, 6, 44:7:44:12 +instance = comp, vga_driver_unit, , vga, 10, 161:0:161:14 +instance = comp, vga_control_unit, , vga, 10, 186:2:186:17 +design_name=vga_control +instance = comp, toggle_counter_sig_24_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_23_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_22_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_21_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_20_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_19_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_18_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_17_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_16_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_15_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_14_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_13_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_12_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_11_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_10_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_9_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_8_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_7_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_6_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_5_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_4_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_3_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_2_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_1_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_counter_sig_0_, , vga_control, 12, 99:4:99:5 +instance = comp, toggle_sig_Z, , vga_control, 12, 99:4:99:5 +instance = comp, r_Z, , vga_control, 12, 60:4:60:5 +instance = comp, b_Z, , vga_control, 12, 60:4:60:5 +instance = comp, g_Z, , vga_control, 12, 60:4:60:5 +instance = comp, BLINKER_next_un1_toggle_counter_siglto18, , vga_control, 12, 111:7:111:38 +instance = comp, b_next_0_sqmuxa_7_5_cZ, , vga_control, 12, 75:10:76:60 +instance = comp, b_next_0_sqmuxa_7_4_cZ, , vga_control, 12, 75:10:76:60 +instance = comp, b_next_0_sqmuxa_7_4_a_cZ, , vga_control, 12, 75:10:76:60 +instance = comp, b_next_0_sqmuxa_7_3_cZ, , vga_control, 12, 75:10:76:60 +instance = comp, BLINKER_next_un1_toggle_counter_siglto15, , vga_control, 12, 111:7:111:38 +instance = comp, DRAW_SQUARE_next_un5_v_enablelto5, , vga_control, 12, 75:38:75:60 +instance = comp, BLINKER_next_un1_toggle_counter_siglto12, , vga_control, 12, 111:7:111:38 +instance = comp, DRAW_SQUARE_next_un13_v_enablelto6, , vga_control, 12, 76:10:76:32 +instance = comp, DRAW_SQUARE_next_un9_v_enablelto6, , vga_control, 12, 75:10:75:32 +instance = comp, BLINKER_next_un1_toggle_counter_siglto9, , vga_control, 12, 111:7:111:38 +instance = comp, DRAW_SQUARE_next_un17_v_enablelto3, , vga_control, 12, 76:38:76:60 +instance = comp, b_next_0_sqmuxa_7_2_cZ, , vga_control, 12, 75:10:76:60 +instance = comp, DRAW_SQUARE_next_un9_v_enablelto4, , vga_control, 12, 75:10:75:32 +instance = comp, DRAW_SQUARE_next_un5_v_enablelt2, , vga_control, 12, 75:38:75:60 +instance = comp, DRAW_SQUARE_next_un13_v_enablelto4_0, , vga_control, 12, 76:10:76:32 +instance = comp, BLINKER_next_un1_toggle_counter_siglt6, , vga_control, 12, 111:7:111:38 +instance = comp, un2_toggle_counter_next_0_, , vga_control, 12, 115:29:115:52 +design_name=vga_driver +instance = comp, hsync_counter_0_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_1_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_2_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_3_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_4_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_5_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_6_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_7_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_8_, , vga_driver, 13, 158:4:158:5 +instance = comp, hsync_counter_9_, , vga_driver, 13, 158:4:158:5 +instance = comp, vsync_counter_0_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_1_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_2_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_3_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_4_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_5_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_6_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_7_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_8_, , vga_driver, 13, 267:4:267:5 +instance = comp, vsync_counter_9_, , vga_driver, 13, 267:4:267:5 +instance = comp, column_counter_sig_9_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_8_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_7_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_6_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_5_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_4_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_3_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_2_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_1_, , vga_driver, 13, 97:4:97:5 +instance = comp, column_counter_sig_0_, , vga_driver, 13, 97:4:97:5 +instance = comp, hsync_state_6_, , vga_driver, 13, 187:4:187:5 +instance = comp, vsync_state_0_, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_1_, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_6_, , vga_driver, 13, 300:4:300:5 +instance = comp, line_counter_sig_8_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_7_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_6_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_5_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_4_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_3_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_2_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_1_, , vga_driver, 13, 125:4:125:5 +instance = comp, line_counter_sig_0_, , vga_driver, 13, 125:4:125:5 +instance = comp, v_enable_sig_Z, , vga_driver, 13, 187:4:187:5 +instance = comp, h_enable_sig_Z, , vga_driver, 13, 300:4:300:5 +instance = comp, h_sync_Z, , vga_driver, 13, 187:4:187:5 +instance = comp, v_sync_Z, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_5_, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_4_, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_3_, , vga_driver, 13, 300:4:300:5 +instance = comp, vsync_state_2_, , vga_driver, 13, 300:4:300:5 +instance = comp, hsync_state_5_, , vga_driver, 13, 187:4:187:5 +instance = comp, hsync_state_4_, , vga_driver, 13, 187:4:187:5 +instance = comp, hsync_state_3_, , vga_driver, 13, 187:4:187:5 +instance = comp, hsync_state_2_, , vga_driver, 13, 187:4:187:5 +instance = comp, hsync_state_1_, , vga_driver, 13, 187:4:187:5 +instance = comp, hsync_state_0_, , vga_driver, 13, 187:4:187:5 +instance = comp, vsync_state_next_2_sqmuxa_cZ, , vga_driver, 13, 97:4:97:5 +instance = comp, un1_hsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 206:4:206:7 +instance = comp, un1_vsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 319:4:319:7 +instance = comp, LINE_COUNT_next_un10_line_counter_siglto8, , vga_driver, 13, 139:9:139:40 +instance = comp, G_2, , vga_driver, 10, 161:0:161:14 +instance = comp, vsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 326:11:326:32 +instance = comp, vsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 331:11:331:33 +instance = comp, vsync_state_next_1_sqmuxa_3_cZ, , vga_driver, 13, 339:11:339:34 +instance = comp, G_16, , vga_driver, 10, 161:0:161:14 +instance = comp, COLUMN_COUNT_next_un10_column_counter_siglto9, , vga_driver, 13, 111:9:111:41 +instance = comp, hsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 218:11:218:33 +instance = comp, hsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 213:11:213:32 +instance = comp, HSYNC_FSM_next_un13_hsync_counter, , vga_driver, 13, 231:11:231:32 +instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9, , vga_driver, 13, 172:9:172:36 +instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9, , vga_driver, 13, 281:9:281:36 +instance = comp, HSYNC_FSM_next_un12_hsync_counter, , vga_driver, 13, 226:11:226:34 +instance = comp, LINE_COUNT_next_un10_line_counter_siglto5, , vga_driver, 13, 139:9:139:40 +instance = comp, VSYNC_FSM_next_un15_vsync_counter_4, , vga_driver, 13, 344:11:344:32 +instance = comp, VSYNC_FSM_next_un13_vsync_counter_4, , vga_driver, 13, 331:11:331:33 +instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6, , vga_driver, 13, 111:9:111:41 +instance = comp, hsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 169:7:169:32 +instance = comp, VSYNC_FSM_next_un14_vsync_counter_8, , vga_driver, 13, 339:11:339:34 +instance = comp, line_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 139:9:139:40 +instance = comp, vsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 278:7:278:32 +instance = comp, column_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 111:9:111:41 +instance = comp, HSYNC_FSM_next_un12_hsync_counter_4, , vga_driver, 13, 226:11:226:34 +instance = comp, HSYNC_FSM_next_un12_hsync_counter_3, , vga_driver, 13, 226:11:226:34 +instance = comp, HSYNC_FSM_next_un11_hsync_counter_3, , vga_driver, 13, 218:11:218:33 +instance = comp, HSYNC_FSM_next_un11_hsync_counter_2, , vga_driver, 13, 218:11:218:33 +instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9_3, , vga_driver, 13, 172:9:172:36 +instance = comp, HSYNC_FSM_next_un13_hsync_counter_2, , vga_driver, 13, 231:11:231:32 +instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_6, , vga_driver, 13, 281:9:281:36 +instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_5, , vga_driver, 13, 281:9:281:36 +instance = comp, HSYNC_FSM_next_un10_hsync_counter_4, , vga_driver, 13, 213:11:213:32 +instance = comp, HSYNC_FSM_next_un10_hsync_counter_3, , vga_driver, 13, 213:11:213:32 +instance = comp, VSYNC_FSM_next_un15_vsync_counter_3, , vga_driver, 13, 344:11:344:32 +instance = comp, VSYNC_FSM_next_un13_vsync_counter_3, , vga_driver, 13, 331:11:331:33 +instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6_4, , vga_driver, 13, 111:9:111:41 +instance = comp, LINE_COUNT_next_un10_line_counter_siglt4_2, , vga_driver, 13, 139:9:139:40 +instance = comp, HSYNC_FSM_next_un10_hsync_counter_1, , vga_driver, 13, 213:11:213:32 +instance = comp, VSYNC_FSM_next_un12_vsync_counter_6, , vga_driver, 13, 326:11:326:32 +instance = comp, VSYNC_FSM_next_un12_vsync_counter_7, , vga_driver, 13, 326:11:326:32 +instance = comp, HSYNC_FSM_next_un13_hsync_counter_7, , vga_driver, 13, 231:11:231:32 +instance = comp, un1_hsync_state_3_0_cZ, , vga_driver, 13, 206:4:206:7 +instance = comp, un1_vsync_state_2_0_cZ, , vga_driver, 13, 319:4:319:7 +instance = comp, d_set_hsync_counter_cZ, , vga_driver, 13, 248:4:248:7 +instance = comp, d_set_vsync_counter_cZ, , vga_driver, 13, 361:4:361:7 +instance = comp, un1_line_counter_sig_9_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_8_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_7_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_6_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_5_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_4_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_3_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_2_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_a_1_, , vga_driver, 13, 141:31:141:52 +instance = comp, un1_line_counter_sig_1_, , vga_driver, 13, 141:31:141:52 +instance = comp, un2_column_counter_next_9_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_8_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_7_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_6_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_5_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_4_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_3_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_2_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_1_, , vga_driver, 13, 112:31:112:54 +instance = comp, un2_column_counter_next_0_, , vga_driver, 13, 112:31:112:54 diff --git a/bsp2/Designflow/syn/rev_1/vga_cons.tcl b/bsp2/Designflow/syn/rev_1/vga_cons.tcl new file mode 100644 index 0000000..43fc06f --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga_cons.tcl @@ -0,0 +1,6 @@ +source "/opt/synplify/fpga_c200906/lib/altera/quartus_cons.tcl" +syn_create_and_open_prj vga +source $::quartus(binpath)/prj_asd_import.tcl +syn_create_and_open_csf vga +syn_handle_cons vga +syn_compile_quartus diff --git a/bsp2/Designflow/syn/rev_1/vga_rm.tcl b/bsp2/Designflow/syn/rev_1/vga_rm.tcl new file mode 100644 index 0000000..b20c77f --- /dev/null +++ b/bsp2/Designflow/syn/rev_1/vga_rm.tcl @@ -0,0 +1,12 @@ +set_global_assignment -name TOP_LEVEL_ENTITY "|vga" -remove +set_global_assignment -name FAMILY -remove +set_global_assignment -name TAO_FILE "myresults.tao" -remove +set_global_assignment -name SOURCES_PER_DESTINATION_INCLUDE_COUNT "1000" -remove +set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON -remove +set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF" -remove +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF" -remove +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS "OFF" -remove +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF" -remove +set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF" -remove +#set_global_assignment -name EDA_RESYNTHESIS_TOOL "AMPLIFY" -remove +create_base_clock clk_pin_setting -fmax 25.175mhz -duty_cycle 50.00 -target clk_pin -disable diff --git a/bsp2/Designflow/syn/vga.prd b/bsp2/Designflow/syn/vga.prd new file mode 100644 index 0000000..1040ee9 --- /dev/null +++ b/bsp2/Designflow/syn/vga.prd @@ -0,0 +1,13 @@ +#-- Synplicity, Inc. +#-- Version C-2009.06 +#-- Project file /homes/burban/didelu/dide_16/bsp2/Designflow/syn/vga.prd +#-- Written on Wed Oct 21 17:34:16 2009 + +# +### Watch Implementation type ### +# +watch_impl -all +# +### Watch Implementation properties ### +# +watch_prop -clear diff --git a/bsp2/Designflow/syn/vga.prj b/bsp2/Designflow/syn/vga.prj new file mode 100644 index 0000000..60b6f05 --- /dev/null +++ b/bsp2/Designflow/syn/vga.prj @@ -0,0 +1,71 @@ +#-- Synplicity, Inc. +#-- Version C-2009.06 +#-- Project file /homes/burban/didelu/dide_16/bsp2/Designflow/syn/vga.prj +#-- Written on Wed Oct 21 17:34:16 2009 + + +#project files +add_file -vhdl -lib work "../src/vga_pak.vhd" +add_file -vhdl -lib work "../src/vga_ent.vhd" +add_file -vhdl -lib work "../src/vga_arc.vhd" +add_file -vhdl -lib work "../src/board_driver_ent.vhd" +add_file -vhdl -lib work "../src/board_driver_arc.vhd" +add_file -vhdl -lib work "../src/vga_control_ent.vhd" +add_file -vhdl -lib work "../src/vga_control_arc.vhd" +add_file -vhdl -lib work "../src/vga_driver_ent.vhd" +add_file -vhdl -lib work "../src/vga_driver_arc.vhd" + + +#implementation: "rev_1" +impl -add rev_1 -type fpga + +#device options +set_option -technology STRATIX +set_option -part EP1S25 +set_option -package FC672 +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -use_fsm_explorer 0 +set_option -top_module "vga" + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# mapper_options +set_option -frequency 25.175 +set_option -write_verilog 0 +set_option -write_vhdl 1 + +# Altera STRATIX +set_option -run_prop_extract 1 +set_option -maxfan 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -no_sequential_opt 0 +set_option -fixgatedclocks 3 +set_option -fixgeneratedclocks 3 +set_option -quartus_version 9.0 + +#VIF options +set_option -write_vif 1 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "./rev_1/vga.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 +impl -active "rev_1" diff --git a/bsp2/transcript b/bsp2/transcript new file mode 100644 index 0000000..c9be072 --- /dev/null +++ b/bsp2/transcript @@ -0,0 +1,13 @@ +# // ModelSim SE 6.5b May 21 2009 Linux 2.6.18-128.2.1.el5 +# // +# // Copyright 1991-2009 Mentor Graphics Corporation +# // All Rights Reserved. +# // +# // THIS WORK CONTAINS TRADE SECRET AND +# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY +# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS +# // AND IS SUBJECT TO LICENSE TERMS. +# // +vmap -del stratix +# ** Error: (vmap-20) Cannot access for writing file "/opt/modelsim/modeltech/linux/../modelsim.ini". +# Permission denied. (errno = EACCES) -- 2.25.1