From 8c5b21b5de3f142d7210146a850cf7689e05c543 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Tue, 3 Nov 2009 17:50:57 +0100 Subject: [PATCH] after slot5 --- bsp2/Designflow/sim/beh/vsim.wlf | Bin 638976 -> 40960 bytes bsp2/Designflow/sim/beh/work/_info | 334 +- .../sim/beh/work/board_driver/_primary.dat | Bin 352 -> 352 bytes .../sim/beh/work/board_driver/_primary.dbs | Bin 773 -> 773 bytes .../sim/beh/work/board_driver/behav.dat | Bin 1547 -> 1547 bytes .../sim/beh/work/board_driver/behav.dbs | Bin 4824 -> 4824 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dat | Bin 1277 -> 1277 bytes bsp2/Designflow/sim/beh/work/vga/_primary.dbs | Bin 2661 -> 2661 bytes bsp2/Designflow/sim/beh/work/vga/behav.dat | Bin 3258 -> 3258 bytes bsp2/Designflow/sim/beh/work/vga/behav.dbs | Bin 8815 -> 8815 bytes .../sim/beh/work/vga_conf_beh/_primary.dat | Bin 171 -> 171 bytes .../sim/beh/work/vga_conf_beh/_primary.dbs | Bin 458 -> 458 bytes .../sim/beh/work/vga_control/_primary.dat | Bin 596 -> 596 bytes .../sim/beh/work/vga_control/_primary.dbs | Bin 1340 -> 1340 bytes .../sim/beh/work/vga_control/behav.dat | Bin 1584 -> 1584 bytes .../sim/beh/work/vga_control/behav.dbs | Bin 4988 -> 4988 bytes .../sim/beh/work/vga_driver/_primary.dat | Bin 875 -> 875 bytes .../sim/beh/work/vga_driver/_primary.dbs | Bin 1838 -> 1838 bytes .../sim/beh/work/vga_driver/behav.dat | Bin 5559 -> 5559 bytes .../sim/beh/work/vga_driver/behav.dbs | Bin 15586 -> 15586 bytes .../sim/beh/work/vga_pak/_primary.dat | Bin 2315 -> 2315 bytes .../sim/beh/work/vga_pak/_primary.dbs | Bin 3529 -> 3529 bytes .../sim/beh/work/vga_tb/_primary.dat | Bin 224 -> 224 bytes .../sim/beh/work/vga_tb/_primary.dbs | Bin 571 -> 571 bytes .../sim/beh/work/vga_tb/behaviour.dat | Bin 2525 -> 2525 bytes .../sim/beh/work/vga_tb/behaviour.dbs | Bin 6440 -> 6440 bytes .../ppr/download/db/vga_pll.(0).cnf.cdb | Bin 0 -> 2303 bytes .../ppr/download/db/vga_pll.(0).cnf.hdb | Bin 0 -> 1549 bytes .../ppr/download/db/vga_pll.(1).cnf.cdb | Bin 0 -> 7530 bytes .../ppr/download/db/vga_pll.(1).cnf.hdb | Bin 0 -> 2490 bytes .../ppr/download/db/vga_pll.(2).cnf.cdb | Bin 0 -> 11805 bytes .../ppr/download/db/vga_pll.(2).cnf.hdb | Bin 0 -> 3633 bytes .../ppr/download/db/vga_pll.(3).cnf.cdb | Bin 0 -> 5017 bytes .../ppr/download/db/vga_pll.(3).cnf.hdb | Bin 0 -> 1881 bytes .../ppr/download/db/vga_pll.(4).cnf.cdb | Bin 0 -> 1159 bytes .../ppr/download/db/vga_pll.(4).cnf.hdb | Bin 0 -> 1240 bytes .../ppr/download/db/vga_pll.(5).cnf.cdb | Bin 0 -> 1816 bytes .../ppr/download/db/vga_pll.(5).cnf.hdb | Bin 0 -> 981 bytes .../ppr/download/db/vga_pll.asm.qmsg | 5 + .../ppr/download/db/vga_pll.cbx.xml | 5 + .../ppr/download/db/vga_pll.cmp.cdb | Bin 0 -> 55738 bytes .../ppr/download/db/vga_pll.cmp.hdb | Bin 0 -> 23185 bytes .../ppr/download/db/vga_pll.cmp.kpt | 10 + .../ppr/download/db/vga_pll.cmp.logdb | 1 + .../ppr/download/db/vga_pll.cmp.rdb | Bin 0 -> 40526 bytes .../ppr/download/db/vga_pll.cmp.tdb | Bin 0 -> 45249 bytes .../ppr/download/db/vga_pll.cmp0.ddb | Bin 0 -> 81352 bytes .../ppr/download/db/vga_pll.db_info | 3 + .../ppr/download/db/vga_pll.eco.cdb | Bin 0 -> 161 bytes .../ppr/download/db/vga_pll.eda.qmsg | 5 + .../ppr/download/db/vga_pll.fit.qmsg | 51 + .../ppr/download/db/vga_pll.hier_info | 571 + bsp4/Designflow/ppr/download/db/vga_pll.hif | 1669 ++ .../ppr/download/db/vga_pll.lpc.html | 82 + .../ppr/download/db/vga_pll.lpc.rdb | Bin 0 -> 502 bytes .../ppr/download/db/vga_pll.lpc.txt | 10 + .../ppr/download/db/vga_pll.map.cdb | Bin 0 -> 14621 bytes .../ppr/download/db/vga_pll.map.hdb | Bin 0 -> 21798 bytes .../ppr/download/db/vga_pll.map.logdb | 1 + .../ppr/download/db/vga_pll.map.qmsg | 22 + .../ppr/download/db/vga_pll.pre_map.cdb | Bin 0 -> 23255 bytes .../ppr/download/db/vga_pll.pre_map.hdb | Bin 0 -> 45090 bytes .../ppr/download/db/vga_pll.rtlv.hdb | Bin 0 -> 44891 bytes .../ppr/download/db/vga_pll.rtlv_sg.cdb | Bin 0 -> 26571 bytes .../ppr/download/db/vga_pll.rtlv_sg_swap.cdb | Bin 0 -> 5026 bytes .../ppr/download/db/vga_pll.sgdiff.cdb | Bin 0 -> 14980 bytes .../ppr/download/db/vga_pll.sgdiff.hdb | Bin 0 -> 45146 bytes .../download/db/vga_pll.sld_design_entry.sci | Bin 0 -> 154 bytes .../db/vga_pll.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes .../ppr/download/db/vga_pll.syn_hier_info | 0 .../ppr/download/db/vga_pll.tan.qmsg | 15 + .../ppr/download/db/vga_pll.tis_db_list.ddb | Bin 0 -> 178 bytes .../ppr/download/db/vga_pll.tmw_info | 7 + .../download/db/vga_pll_global_asgn_op.abo | 15388 ++++++++++++++++ .../ppr/download/incremental_db/README | 11 + .../vga_pll.root_partition.map.kpt | 1686 ++ .../download/simulation/modelsim/vga_pll.sft | 4 + .../download/simulation/modelsim/vga_pll.vo | 11281 +++++++++++ .../simulation/modelsim/vga_pll_modelsim.xrf | 304 + .../simulation/modelsim/vga_pll_v.sdo | 5561 ++++++ bsp4/Designflow/ppr/download/vga_pll.asm.rpt | 128 + bsp4/Designflow/ppr/download/vga_pll.done | 1 + bsp4/Designflow/ppr/download/vga_pll.eda.rpt | 94 + bsp4/Designflow/ppr/download/vga_pll.fit.rpt | 1722 ++ bsp4/Designflow/ppr/download/vga_pll.fit.smsg | 8 + .../ppr/download/vga_pll.fit.summary | 14 + bsp4/Designflow/ppr/download/vga_pll.flow.rpt | 125 + bsp4/Designflow/ppr/download/vga_pll.map.rpt | 685 + .../ppr/download/vga_pll.map.summary | 12 + bsp4/Designflow/ppr/download/vga_pll.pin | 748 + bsp4/Designflow/ppr/download/vga_pll.pof | Bin 0 -> 1048717 bytes bsp4/Designflow/ppr/download/vga_pll.qpf | 30 + bsp4/Designflow/ppr/download/vga_pll.qsf | 170 + bsp4/Designflow/ppr/download/vga_pll.qws | 11 + bsp4/Designflow/ppr/download/vga_pll.sof | Bin 0 -> 966463 bytes bsp4/Designflow/ppr/download/vga_pll.tan.rpt | 928 + .../ppr/download/vga_pll.tan.summary | 76 + bsp4/Designflow/ppr/download/vga_pll.tcl | 184 + .../download/vga_pll_assignment_defaults.qdf | 626 + bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb | Bin 0 -> 7527 bytes bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb | Bin 0 -> 2480 bytes bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb | Bin 0 -> 11805 bytes bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb | Bin 0 -> 3612 bytes bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb | Bin 0 -> 5016 bytes bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb | Bin 0 -> 1882 bytes bsp4/Designflow/ppr/sim/db/vga.asm.qmsg | 5 + bsp4/Designflow/ppr/sim/db/vga.cbx.xml | 5 + bsp4/Designflow/ppr/sim/db/vga.cmp.bpm | Bin 0 -> 1287 bytes bsp4/Designflow/ppr/sim/db/vga.cmp.cdb | Bin 0 -> 56842 bytes bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp | Bin 0 -> 28 bytes bsp4/Designflow/ppr/sim/db/vga.cmp.hdb | Bin 0 -> 19210 bytes bsp4/Designflow/ppr/sim/db/vga.cmp.kpt | 10 + bsp4/Designflow/ppr/sim/db/vga.cmp.logdb | 1 + bsp4/Designflow/ppr/sim/db/vga.cmp.rdb | Bin 0 -> 32649 bytes bsp4/Designflow/ppr/sim/db/vga.cmp.tdb | Bin 0 -> 42645 bytes bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb | Bin 0 -> 80448 bytes bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt | 10 + bsp4/Designflow/ppr/sim/db/vga.db_info | 3 + bsp4/Designflow/ppr/sim/db/vga.eco.cdb | Bin 0 -> 161 bytes bsp4/Designflow/ppr/sim/db/vga.eda.qmsg | 5 + bsp4/Designflow/ppr/sim/db/vga.fit.qmsg | 48 + bsp4/Designflow/ppr/sim/db/vga.hier_info | 387 + bsp4/Designflow/ppr/sim/db/vga.hif | 79 + bsp4/Designflow/ppr/sim/db/vga.lpc.html | 50 + bsp4/Designflow/ppr/sim/db/vga.lpc.rdb | Bin 0 -> 458 bytes bsp4/Designflow/ppr/sim/db/vga.lpc.txt | 8 + bsp4/Designflow/ppr/sim/db/vga.map.bpm | Bin 0 -> 1257 bytes bsp4/Designflow/ppr/sim/db/vga.map.cdb | Bin 0 -> 13787 bytes bsp4/Designflow/ppr/sim/db/vga.map.ecobp | Bin 0 -> 28 bytes bsp4/Designflow/ppr/sim/db/vga.map.hdb | Bin 0 -> 17857 bytes bsp4/Designflow/ppr/sim/db/vga.map.kpt | 1686 ++ bsp4/Designflow/ppr/sim/db/vga.map.logdb | 1 + bsp4/Designflow/ppr/sim/db/vga.map.qmsg | 10 + bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb | Bin 0 -> 1355 bytes bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb | Bin 0 -> 8374 bytes bsp4/Designflow/ppr/sim/db/vga.map_bb.logdb | 1 + bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb | Bin 0 -> 21270 bytes bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb | Bin 0 -> 33501 bytes bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb | Bin 0 -> 33395 bytes bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb | Bin 0 -> 22512 bytes .../ppr/sim/db/vga.rtlv_sg_swap.cdb | Bin 0 -> 1478 bytes bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb | Bin 0 -> 14377 bytes bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb | Bin 0 -> 33657 bytes .../ppr/sim/db/vga.sld_design_entry.sci | Bin 0 -> 154 bytes .../ppr/sim/db/vga.sld_design_entry_dsc.sci | Bin 0 -> 154 bytes bsp4/Designflow/ppr/sim/db/vga.syn_hier_info | 0 bsp4/Designflow/ppr/sim/db/vga.tan.qmsg | 11 + .../Designflow/ppr/sim/db/vga.tis_db_list.ddb | Bin 0 -> 178 bytes bsp4/Designflow/ppr/sim/db/vga.tmw_info | 7 + .../ppr/sim/db/vga_global_asgn_op.abo | 14969 +++++++++++++++ bsp4/Designflow/ppr/sim/incremental_db/README | 11 + .../vga.root_partition.cmp.atm | Bin 0 -> 47842 bytes .../vga.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../vga.root_partition.cmp.hdbx | Bin 0 -> 10696 bytes .../vga.root_partition.cmp.kpt | 10 + .../vga.root_partition.cmp.logdb | 1 + .../vga.root_partition.cmp.rcf | Bin 0 -> 16717 bytes .../vga.root_partition.map.atm | Bin 0 -> 40156 bytes .../vga.root_partition.map.dpi | Bin 0 -> 1033 bytes .../vga.root_partition.map.hdbx | Bin 0 -> 9767 bytes .../vga.root_partition.map.kpt | 1686 ++ .../ppr/sim/simulation/modelsim/vga.sft | 4 + .../ppr/sim/simulation/modelsim/vga.vho | 7773 ++++++++ .../sim/simulation/modelsim/vga_modelsim.xrf | 269 + .../ppr/sim/simulation/modelsim/vga_vhd.sdo | 5552 ++++++ bsp4/Designflow/ppr/sim/vga.asm.rpt | 128 + bsp4/Designflow/ppr/sim/vga.done | 1 + bsp4/Designflow/ppr/sim/vga.eda.rpt | 94 + bsp4/Designflow/ppr/sim/vga.fit.rpt | 1775 ++ bsp4/Designflow/ppr/sim/vga.fit.smsg | 8 + bsp4/Designflow/ppr/sim/vga.fit.summary | 14 + bsp4/Designflow/ppr/sim/vga.flow.rpt | 126 + bsp4/Designflow/ppr/sim/vga.map.rpt | 249 + bsp4/Designflow/ppr/sim/vga.map.summary | 12 + bsp4/Designflow/ppr/sim/vga.pin | 748 + bsp4/Designflow/ppr/sim/vga.pof | Bin 0 -> 1048717 bytes bsp4/Designflow/ppr/sim/vga.qpf | 30 + bsp4/Designflow/ppr/sim/vga.qsf | 61 + bsp4/Designflow/ppr/sim/vga.qws | 11 + bsp4/Designflow/ppr/sim/vga.sof | Bin 0 -> 966463 bytes bsp4/Designflow/ppr/sim/vga.tan.rpt | 671 + bsp4/Designflow/ppr/sim/vga.tan.summary | 66 + bsp4/Designflow/sim/beh/modelsim.ini | 1305 ++ bsp4/Designflow/sim/beh/vsim.wlf | Bin 0 -> 5529600 bytes bsp4/Designflow/sim/beh/work/@_opt/_deps | Bin 0 -> 5866 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g | Bin 0 -> 1838 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb | Bin 0 -> 458 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07 | Bin 0 -> 4545 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt1c269c | 417 + bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs | Bin 0 -> 576 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22 | Bin 0 -> 45488 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic | Bin 0 -> 1582 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt | Bin 0 -> 171 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn | Bin 0 -> 37264 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj | Bin 0 -> 8815 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q | Bin 0 -> 21168 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe | Bin 0 -> 384 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677 | Bin 0 -> 1180 bytes bsp4/Designflow/sim/beh/work/@_opt/vopt8q1x0f | 239 + bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m | Bin 0 -> 2525 bytes bsp4/Designflow/sim/beh/work/@_opt/voptat15ic | Bin 0 -> 1277 bytes bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw | Bin 0 -> 248 bytes bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4 | Bin 0 -> 15586 bytes bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i | Bin 0 -> 875 bytes bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1 | Bin 0 -> 1547 bytes bsp4/Designflow/sim/beh/work/@_opt/voptgbd035 | Bin 0 -> 571 bytes bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy | Bin 0 -> 576 bytes bsp4/Designflow/sim/beh/work/@_opt/vopth28297 | Bin 0 -> 224 bytes bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f | Bin 0 -> 572 bytes bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv | Bin 0 -> 2661 bytes bsp4/Designflow/sim/beh/work/@_opt/voptjqehey | Bin 0 -> 2315 bytes bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn | Bin 0 -> 11433 bytes bsp4/Designflow/sim/beh/work/@_opt/voptn3fj4t | 78 + bsp4/Designflow/sim/beh/work/@_opt/voptnychme | Bin 0 -> 4824 bytes bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca | Bin 0 -> 4988 bytes bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms | Bin 0 -> 3529 bytes bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw | Bin 0 -> 8916 bytes bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6 | Bin 0 -> 840 bytes bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r | Bin 0 -> 5559 bytes bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq | Bin 0 -> 3258 bytes bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y | Bin 0 -> 7704 bytes bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd | Bin 0 -> 6440 bytes bsp4/Designflow/sim/beh/work/_info | 235 + bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI | Bin 0 -> 177 bytes bsp4/Designflow/sim/beh/work/_vmake | 3 + .../sim/beh/work/board_driver/_primary.dat | Bin 0 -> 352 bytes .../sim/beh/work/board_driver/_primary.dbs | Bin 0 -> 773 bytes .../sim/beh/work/board_driver/behav.dat | Bin 0 -> 1547 bytes .../sim/beh/work/board_driver/behav.dbs | Bin 0 -> 4824 bytes bsp4/Designflow/sim/beh/work/vga/_primary.dat | Bin 0 -> 1277 bytes bsp4/Designflow/sim/beh/work/vga/_primary.dbs | Bin 0 -> 2661 bytes bsp4/Designflow/sim/beh/work/vga/behav.dat | Bin 0 -> 3258 bytes bsp4/Designflow/sim/beh/work/vga/behav.dbs | Bin 0 -> 8815 bytes .../sim/beh/work/vga_conf_beh/_primary.dat | Bin 0 -> 171 bytes .../sim/beh/work/vga_conf_beh/_primary.dbs | Bin 0 -> 458 bytes .../sim/beh/work/vga_control/_primary.dat | Bin 0 -> 596 bytes .../sim/beh/work/vga_control/_primary.dbs | Bin 0 -> 1340 bytes .../sim/beh/work/vga_control/behav.dat | Bin 0 -> 1582 bytes .../sim/beh/work/vga_control/behav.dbs | Bin 0 -> 4988 bytes .../sim/beh/work/vga_driver/_primary.dat | Bin 0 -> 875 bytes .../sim/beh/work/vga_driver/_primary.dbs | Bin 0 -> 1838 bytes .../sim/beh/work/vga_driver/behav.dat | Bin 0 -> 5559 bytes .../sim/beh/work/vga_driver/behav.dbs | Bin 0 -> 15586 bytes .../sim/beh/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/beh/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/beh/work/vga_tb/_primary.dat | Bin 0 -> 224 bytes .../sim/beh/work/vga_tb/_primary.dbs | Bin 0 -> 571 bytes .../sim/beh/work/vga_tb/behaviour.dat | Bin 0 -> 2525 bytes .../sim/beh/work/vga_tb/behaviour.dbs | Bin 0 -> 6440 bytes bsp4/Designflow/sim/post/modelsim.ini | 1305 ++ bsp4/Designflow/sim/post/vsim.wlf | Bin 0 -> 516096 bytes bsp4/Designflow/sim/post/work/@_opt/__sdf1 | Bin 0 -> 33423 bytes bsp4/Designflow/sim/post/work/@_opt/_deps | Bin 0 -> 33489 bytes .../Designflow/sim/post/work/@_opt/vopt08ex53 | Bin 0 -> 1894 bytes .../Designflow/sim/post/work/@_opt/vopt08kryc | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt09dzge | Bin 0 -> 21728 bytes .../Designflow/sim/post/work/@_opt/vopt0ahy7h | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/vopt0bcde8 | Bin 0 -> 4770 bytes .../Designflow/sim/post/work/@_opt/vopt0erqfe | Bin 0 -> 3288 bytes .../Designflow/sim/post/work/@_opt/vopt0f4iae | Bin 0 -> 3232 bytes .../Designflow/sim/post/work/@_opt/vopt0iawx2 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt0ibkkv | Bin 0 -> 9197 bytes .../Designflow/sim/post/work/@_opt/vopt0kwmnq | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt0mgzch | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt0rtcca | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt14shet | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/vopt155tsy | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt1bdmvd | Bin 0 -> 1292 bytes .../Designflow/sim/post/work/@_opt/vopt1d2f47 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt1evfav | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt1fk02i | Bin 0 -> 2315 bytes .../Designflow/sim/post/work/@_opt/vopt1gksbx | Bin 0 -> 3516 bytes .../Designflow/sim/post/work/@_opt/vopt1ijeg6 | Bin 0 -> 1575 bytes .../Designflow/sim/post/work/@_opt/vopt1n5xyz | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt1s033z | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt1z6hji | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/vopt2b670j | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt2h4rzg | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/vopt2ibjvf | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/vopt2j8zk3 | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/vopt2mgxxq | Bin 0 -> 6708 bytes .../Designflow/sim/post/work/@_opt/vopt2t7j3x | Bin 0 -> 462 bytes .../Designflow/sim/post/work/@_opt/vopt2tfyhr | Bin 0 -> 2314 bytes .../Designflow/sim/post/work/@_opt/vopt2tj0k3 | Bin 0 -> 688 bytes .../Designflow/sim/post/work/@_opt/vopt317fgz | Bin 0 -> 20833 bytes .../Designflow/sim/post/work/@_opt/vopt31jqag | Bin 0 -> 55212 bytes .../Designflow/sim/post/work/@_opt/vopt33et9m | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt35225s | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt358re4 | Bin 0 -> 10110 bytes .../Designflow/sim/post/work/@_opt/vopt3h35rm | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt3hfw6g | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt3m2fgs | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt3myh40 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt3vsc4r | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt3w7zqv | Bin 0 -> 6644 bytes .../Designflow/sim/post/work/@_opt/vopt3xgk9v | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt3yrcyz | Bin 0 -> 1673 bytes .../Designflow/sim/post/work/@_opt/vopt3yt1rm | Bin 0 -> 7750 bytes .../Designflow/sim/post/work/@_opt/vopt46r52i | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt4876j6 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt4di3yz | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt4i7vgm | Bin 0 -> 392 bytes .../Designflow/sim/post/work/@_opt/vopt4qf407 | Bin 0 -> 3885 bytes .../Designflow/sim/post/work/@_opt/vopt4r7eqw | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt52f4ry | Bin 0 -> 878 bytes .../Designflow/sim/post/work/@_opt/vopt54b9zk | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt5cxa8i | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt5j4m4i | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt5qd867 | Bin 0 -> 3056 bytes .../Designflow/sim/post/work/@_opt/vopt5s4jbj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt5thky6 | Bin 0 -> 1248 bytes .../Designflow/sim/post/work/@_opt/vopt5x35ad | Bin 0 -> 6565 bytes .../Designflow/sim/post/work/@_opt/vopt5y0t14 | Bin 0 -> 575 bytes .../Designflow/sim/post/work/@_opt/vopt61mbc0 | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/vopt62q3vc | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt62zfa8 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt63j8qs | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/vopt65zr4s | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/vopt6avkz6 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6az7tj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt6b2z0h | Bin 0 -> 2759936 bytes .../Designflow/sim/post/work/@_opt/vopt6bvbng | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6dbq31 | Bin 0 -> 884 bytes .../Designflow/sim/post/work/@_opt/vopt6gdey0 | Bin 0 -> 753478 bytes .../Designflow/sim/post/work/@_opt/vopt6j0yfe | Bin 0 -> 4488 bytes .../Designflow/sim/post/work/@_opt/vopt6r7tsc | Bin 0 -> 1516 bytes .../Designflow/sim/post/work/@_opt/vopt6rhkjh | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt6z1zfc | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt74b6jb | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt78qenn | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopt7bqb0b | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/vopt7gg4h6 | Bin 0 -> 1296 bytes .../Designflow/sim/post/work/@_opt/vopt7jdhxa | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt7qcgtr | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopt7rsq0z | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/vopt7y4sc6 | Bin 0 -> 2840 bytes .../Designflow/sim/post/work/@_opt/vopt7y9y37 | Bin 0 -> 3529 bytes .../Designflow/sim/post/work/@_opt/vopt82eqsr | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/vopt83d5jx | Bin 0 -> 4942 bytes .../Designflow/sim/post/work/@_opt/vopt8ajd0d | Bin 0 -> 6516 bytes .../Designflow/sim/post/work/@_opt/vopt8cier0 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt8dhgb3 | Bin 0 -> 6447 bytes .../Designflow/sim/post/work/@_opt/vopt8jrgny | Bin 0 -> 408 bytes .../Designflow/sim/post/work/@_opt/vopt8k24r6 | Bin 0 -> 2903 bytes .../Designflow/sim/post/work/@_opt/vopt8nrfdi | Bin 0 -> 23104 bytes .../Designflow/sim/post/work/@_opt/vopt8r6xvx | Bin 0 -> 1352 bytes .../Designflow/sim/post/work/@_opt/vopt8x3c91 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt8x6iaw | Bin 0 -> 640 bytes .../Designflow/sim/post/work/@_opt/vopt8z0h4w | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt90edcd | Bin 0 -> 1267 bytes .../Designflow/sim/post/work/@_opt/vopt91fxkt | Bin 0 -> 1440 bytes .../Designflow/sim/post/work/@_opt/vopt94cwyj | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9bf8he | Bin 0 -> 1953 bytes .../Designflow/sim/post/work/@_opt/vopt9fdd3g | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9qd5w0 | Bin 0 -> 6285 bytes .../Designflow/sim/post/work/@_opt/vopt9rm6tz | Bin 0 -> 3632 bytes .../Designflow/sim/post/work/@_opt/vopt9sgzah | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopt9x2ytb | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopt9xtft4 | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/vopta1knfz | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/vopta2bsbs | Bin 0 -> 9824 bytes .../Designflow/sim/post/work/@_opt/vopta3bzdd | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopta9iigc | Bin 0 -> 720 bytes .../Designflow/sim/post/work/@_opt/voptace792 | Bin 0 -> 1419 bytes .../Designflow/sim/post/work/@_opt/voptafit2j | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptagtbq8 | Bin 0 -> 1592 bytes .../Designflow/sim/post/work/@_opt/voptan8zz7 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptanw60x | Bin 0 -> 9696 bytes .../Designflow/sim/post/work/@_opt/voptaqh4we | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptarssy2 | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptat585x | Bin 0 -> 256 bytes .../Designflow/sim/post/work/@_opt/voptatc2ig | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptav4gks | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/voptavffkt | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptayseae | Bin 0 -> 1821 bytes .../Designflow/sim/post/work/@_opt/voptb2hb4s | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptb5jf2x | Bin 0 -> 1176 bytes .../Designflow/sim/post/work/@_opt/voptb7s6jy | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptbc4sy1 | Bin 0 -> 3720 bytes .../Designflow/sim/post/work/@_opt/voptbe0yhi | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptbehe9r | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptbfih0x | Bin 0 -> 5712 bytes .../Designflow/sim/post/work/@_opt/voptbjwgxq | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptbq70ei | Bin 0 -> 6584 bytes .../Designflow/sim/post/work/@_opt/voptbrh2yj | 148 + .../Designflow/sim/post/work/@_opt/voptbvqftw | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptbz1x59 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptc24v6r | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptc5rdyb | Bin 0 -> 6580 bytes .../Designflow/sim/post/work/@_opt/voptcgbn81 | Bin 0 -> 1568 bytes .../Designflow/sim/post/work/@_opt/voptchkf2x | Bin 0 -> 3572 bytes .../Designflow/sim/post/work/@_opt/voptcixxbq | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptck7ky1 | Bin 0 -> 169 bytes .../Designflow/sim/post/work/@_opt/voptckt7rt | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptcmbbr6 | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptcrgh4e | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptcywdvj | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptd0zxnv | Bin 0 -> 4698 bytes .../Designflow/sim/post/work/@_opt/voptd1j2cn | Bin 0 -> 1476 bytes .../Designflow/sim/post/work/@_opt/voptd1nzv2 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptd2egs7 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptd2sz76 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptdbwi2v | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptdbwsnn | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptddtkm9 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptde8mwa | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptditse5 | Bin 0 -> 1696 bytes .../Designflow/sim/post/work/@_opt/voptdscvbi | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptdwdhks | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptdzrcjn | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopte1d7dk | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopte334zy | Bin 0 -> 8357 bytes .../Designflow/sim/post/work/@_opt/vopte3y3z4 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopte55fyb | Bin 0 -> 10405 bytes .../Designflow/sim/post/work/@_opt/vopte8nm5b | Bin 0 -> 3228 bytes .../Designflow/sim/post/work/@_opt/vopte9vafy | Bin 0 -> 4088 bytes .../Designflow/sim/post/work/@_opt/voptecfa24 | 1227 ++ .../Designflow/sim/post/work/@_opt/voptecfn9q | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptegbjqd | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptegjhtv | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptej8mb9 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptejzkaf | Bin 0 -> 1300 bytes .../Designflow/sim/post/work/@_opt/voptezbh8z | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptf0ahar | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptf3hgkd | Bin 0 -> 2684 bytes .../Designflow/sim/post/work/@_opt/voptf6b8ck | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptf7j1rs | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/voptf7z3mk | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptf8b44e | Bin 0 -> 18134 bytes .../Designflow/sim/post/work/@_opt/voptf8h5v4 | Bin 0 -> 2486 bytes .../Designflow/sim/post/work/@_opt/voptffewxd | Bin 0 -> 5512 bytes .../Designflow/sim/post/work/@_opt/voptfm6b5q | Bin 0 -> 1236 bytes .../Designflow/sim/post/work/@_opt/voptfq54th | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptg93f6r | Bin 0 -> 6684 bytes .../Designflow/sim/post/work/@_opt/voptg9vg4h | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptghne9v | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptgn7mc3 | Bin 0 -> 26888 bytes .../Designflow/sim/post/work/@_opt/voptgsdqfz | Bin 0 -> 7648 bytes .../Designflow/sim/post/work/@_opt/voptgydr4a | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptgz6dw1 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptgzk7k9 | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/vopth2119g | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopth3s80r | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopth4si33 | Bin 0 -> 1348 bytes .../Designflow/sim/post/work/@_opt/vopth9nmz1 | Bin 0 -> 57624 bytes .../Designflow/sim/post/work/@_opt/voptharqyt | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopthmq37r | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/vopthn4sz1 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptht59v0 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/vopthy0dtc | Bin 0 -> 1104 bytes .../Designflow/sim/post/work/@_opt/vopti16xtd | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/vopti97r0b | 78 + .../Designflow/sim/post/work/@_opt/vopti9n5xy | Bin 0 -> 253 bytes .../Designflow/sim/post/work/@_opt/voptif5g62 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptirdxd5 | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptj8ag4n | Bin 0 -> 1452 bytes .../Designflow/sim/post/work/@_opt/voptj95smf | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptje755v | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/voptjkewxg | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptjrrj84 | Bin 0 -> 2422 bytes .../Designflow/sim/post/work/@_opt/voptjtjdsx | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptjv1ry4 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptjzq16i | Bin 0 -> 1631 bytes .../Designflow/sim/post/work/@_opt/voptk31etb | Bin 0 -> 1234 bytes .../Designflow/sim/post/work/@_opt/voptk4aq1r | Bin 0 -> 1288 bytes .../Designflow/sim/post/work/@_opt/voptk9b2m0 | Bin 0 -> 3512 bytes .../Designflow/sim/post/work/@_opt/voptka9bz6 | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptkqbenv | Bin 0 -> 1632 bytes .../Designflow/sim/post/work/@_opt/voptkt6s62 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptktzfbn | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/voptkya4fj | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptm0yxw8 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptm4dz0h | Bin 0 -> 772 bytes .../Designflow/sim/post/work/@_opt/voptmbfce4 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptmfsiyr | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptmgnm7b | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptmiakac | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptmrhth4 | Bin 0 -> 45488 bytes .../Designflow/sim/post/work/@_opt/voptmx2fty | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptmzy4v1 | Bin 0 -> 112903 bytes .../Designflow/sim/post/work/@_opt/voptn5eana | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptn8ir5v | Bin 0 -> 2605 bytes .../Designflow/sim/post/work/@_opt/voptnh3sad | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptnkhwb9 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptnmh1t0 | Bin 0 -> 9704 bytes .../Designflow/sim/post/work/@_opt/voptnmhxdi | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptq0cg1y | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptq6cfgv | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptqedyhw | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptqg5wr7 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptqg9wyh | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptqh9jyh | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptqk28ai | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptqqt52n | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/voptqysdc4 | Bin 0 -> 533 bytes .../Designflow/sim/post/work/@_opt/voptr4jicd | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptr5kmdb | Bin 0 -> 1232 bytes .../Designflow/sim/post/work/@_opt/voptr7dw94 | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptr90j3k | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptrjkq3v | Bin 0 -> 1871 bytes .../Designflow/sim/post/work/@_opt/voptrtwn0c | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptrzyhxv | Bin 0 -> 6648 bytes .../Designflow/sim/post/work/@_opt/vopts3hizv | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopts4jhki | Bin 0 -> 919 bytes .../Designflow/sim/post/work/@_opt/voptsagtxc | Bin 0 -> 9704 bytes .../Designflow/sim/post/work/@_opt/voptsgwk3t | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptst48jm | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptswy1ge | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptsxt03z | Bin 0 -> 9884 bytes .../Designflow/sim/post/work/@_opt/voptt131na | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptt1nr12 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptt4f8st | Bin 0 -> 677 bytes .../Designflow/sim/post/work/@_opt/voptt62mnq | Bin 0 -> 1312 bytes .../Designflow/sim/post/work/@_opt/voptt7mqfh | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptt8t1yr | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/vopttbhrrj | Bin 0 -> 6104 bytes .../Designflow/sim/post/work/@_opt/vopttd959g | Bin 0 -> 2091 bytes .../Designflow/sim/post/work/@_opt/vopttev8ci | Bin 0 -> 1416 bytes .../Designflow/sim/post/work/@_opt/vopttg1dz6 | Bin 0 -> 1587 bytes .../Designflow/sim/post/work/@_opt/voptti24zz | Bin 0 -> 281356 bytes .../Designflow/sim/post/work/@_opt/vopttj7qm0 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/vopttq1mke | Bin 0 -> 9708 bytes .../Designflow/sim/post/work/@_opt/vopttq80dm | Bin 0 -> 1356 bytes .../Designflow/sim/post/work/@_opt/vopttrr404 | Bin 0 -> 2636 bytes .../Designflow/sim/post/work/@_opt/vopttvbqfj | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptty0seq | Bin 0 -> 228 bytes .../Designflow/sim/post/work/@_opt/vopttyd8ny | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptv8b0w6 | Bin 0 -> 6744 bytes .../Designflow/sim/post/work/@_opt/voptvgvxme | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptvk5snb | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptvmeb4j | Bin 0 -> 1480 bytes .../Designflow/sim/post/work/@_opt/voptvmhh90 | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptvn092a | Bin 0 -> 26888 bytes .../Designflow/sim/post/work/@_opt/voptvqcbx1 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptvrx375 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptvtqazy | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptvxnfzb | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptvxvs6t | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptvy0s9h | Bin 0 -> 1504 bytes .../Designflow/sim/post/work/@_opt/voptvybg3n | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptwa3dd6 | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptwezg21 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptwf7jcw | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptwi835w | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptwk8q5n | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptwqfjby | 417 + .../Designflow/sim/post/work/@_opt/voptwrbhz9 | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/voptwryiye | Bin 0 -> 1412 bytes .../Designflow/sim/post/work/@_opt/voptwzasam | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptx1tivb | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptx2qxj9 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptx7ttqa | Bin 0 -> 8696 bytes .../Designflow/sim/post/work/@_opt/voptx9af1v | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptxat1rz | Bin 0 -> 3110 bytes .../Designflow/sim/post/work/@_opt/voptxbsgw5 | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptxf48e0 | Bin 0 -> 24144 bytes .../Designflow/sim/post/work/@_opt/voptxqdz5e | Bin 0 -> 9033 bytes .../Designflow/sim/post/work/@_opt/voptxqs866 | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptxztqaj | Bin 0 -> 4715 bytes .../Designflow/sim/post/work/@_opt/vopty1afqa | Bin 0 -> 6037 bytes .../Designflow/sim/post/work/@_opt/vopty6ffkw | Bin 0 -> 6447 bytes .../Designflow/sim/post/work/@_opt/vopty9knv0 | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptyadfe9 | Bin 0 -> 848 bytes .../Designflow/sim/post/work/@_opt/voptybdmm0 | Bin 0 -> 13693 bytes .../Designflow/sim/post/work/@_opt/voptybxghr | Bin 0 -> 9824 bytes .../Designflow/sim/post/work/@_opt/voptyd54gx | Bin 0 -> 19620 bytes .../Designflow/sim/post/work/@_opt/voptygf8tn | Bin 0 -> 1168 bytes .../Designflow/sim/post/work/@_opt/voptyk7fyb | Bin 0 -> 9760 bytes .../Designflow/sim/post/work/@_opt/voptysmg2s | Bin 0 -> 9644 bytes .../Designflow/sim/post/work/@_opt/voptytct1m | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptyxvs2a | Bin 0 -> 40216 bytes .../Designflow/sim/post/work/@_opt/voptz3qgc3 | Bin 0 -> 9636 bytes .../Designflow/sim/post/work/@_opt/voptzaejdq | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptzar2ty | Bin 0 -> 9480 bytes .../Designflow/sim/post/work/@_opt/voptzgne4n | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptzhwx79 | Bin 0 -> 31680 bytes .../Designflow/sim/post/work/@_opt/voptzig3m9 | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/@_opt/voptzqf8ds | Bin 0 -> 2080 bytes .../Designflow/sim/post/work/@_opt/voptzt7ksw | Bin 0 -> 36904 bytes .../Designflow/sim/post/work/@_opt/voptztcmra | Bin 0 -> 6582 bytes .../Designflow/sim/post/work/@_opt/voptzxden4 | Bin 0 -> 1236 bytes .../Designflow/sim/post/work/@_opt/voptzxzi5e | Bin 0 -> 10882 bytes .../Designflow/sim/post/work/@_opt/voptzyb3vr | Bin 0 -> 36904 bytes bsp4/Designflow/sim/post/work/_info | 134 + bsp4/Designflow/sim/post/work/_vmake | 3 + .../Designflow/sim/post/work/vga/_primary.dat | Bin 0 -> 1172 bytes .../Designflow/sim/post/work/vga/_primary.dbs | Bin 0 -> 2486 bytes .../sim/post/work/vga/structure.dat | Bin 0 -> 112903 bytes .../sim/post/work/vga/structure.dbs | Bin 0 -> 281356 bytes .../sim/post/work/vga_conf_pos/_primary.dat | Bin 0 -> 169 bytes .../sim/post/work/vga_conf_pos/_primary.dbs | Bin 0 -> 462 bytes .../sim/post/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/post/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/post/work/vga_pos_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/post/work/vga_pos_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/post/work/vga_pos_tb/structure.dat | Bin 0 -> 2605 bytes .../sim/post/work/vga_pos_tb/structure.dbs | Bin 0 -> 6565 bytes bsp4/Designflow/sim/pre/modelsim.ini | 1305 ++ bsp4/Designflow/sim/pre/vsim.wlf | Bin 0 -> 532480 bytes bsp4/Designflow/sim/pre/work/@_opt/_deps | Bin 0 -> 24111 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25 | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8 | Bin 0 -> 3288 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j | Bin 0 -> 9576 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a | Bin 0 -> 6568 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4 | Bin 0 -> 18134 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0 | Bin 0 -> 47423 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z | Bin 0 -> 3110 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4 | Bin 0 -> 1216 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x | Bin 0 -> 4706 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f | Bin 0 -> 6444 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq | Bin 0 -> 1216 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt151g02 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir | Bin 0 -> 41033 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8 | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3 | Bin 0 -> 9197 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4 | Bin 0 -> 6037 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy | Bin 0 -> 1352 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8 | Bin 0 -> 1344 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz | Bin 0 -> 4088 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb | Bin 0 -> 57624 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s | Bin 0 -> 836 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh | Bin 0 -> 1419 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy | Bin 0 -> 720 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c | Bin 0 -> 6104 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6 | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0 | Bin 0 -> 8696 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv | Bin 0 -> 45488 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv | Bin 0 -> 3056 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b | Bin 0 -> 23104 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck | Bin 0 -> 2840 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg | Bin 0 -> 4770 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460 | Bin 0 -> 6628 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv | Bin 0 -> 256 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk | Bin 0 -> 258028 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc | Bin 0 -> 6285 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr | Bin 0 -> 3452 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1 | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb | Bin 0 -> 3720 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x | Bin 0 -> 6447 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz | Bin 0 -> 10405 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi | Bin 0 -> 1176 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka | Bin 0 -> 5512 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8 | Bin 0 -> 10882 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt6yd9kn | 156 + bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb | Bin 0 -> 177967 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt7n53ie | 417 + bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v | Bin 0 -> 1228 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh | Bin 0 -> 4715 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf | Bin 0 -> 2029 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x | Bin 0 -> 4368 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw | Bin 0 -> 2620 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz | Bin 0 -> 1224 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj | Bin 0 -> 21228 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q | Bin 0 -> 19620 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn | Bin 0 -> 24144 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6 | Bin 0 -> 6582 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by | Bin 0 -> 6565 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq | Bin 0 -> 1058 bytes bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3 | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5 | Bin 0 -> 70048 bytes bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h | Bin 0 -> 20833 bytes bsp4/Designflow/sim/pre/work/@_opt/voptarbydi | Bin 0 -> 884 bytes bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd | Bin 0 -> 1312 bytes bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx | Bin 0 -> 1388 bytes bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i | Bin 0 -> 1232 bytes bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy | Bin 0 -> 3228 bytes bsp4/Designflow/sim/pre/work/@_opt/voptb5612n | Bin 0 -> 3885 bytes bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e | Bin 0 -> 9640 bytes bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4 | Bin 0 -> 1234 bytes bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49 | Bin 0 -> 5044 bytes bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m | Bin 0 -> 24144 bytes bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws | Bin 0 -> 1673 bytes bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek | Bin 0 -> 232 bytes bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptc92bae | Bin 0 -> 234695 bytes bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm | Bin 0 -> 24144 bytes bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8 | Bin 0 -> 228 bytes bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk | Bin 0 -> 1894 bytes bsp4/Designflow/sim/pre/work/@_opt/voptcw759t | Bin 0 -> 136522 bytes bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr | Bin 0 -> 456 bytes bsp4/Designflow/sim/pre/work/@_opt/voptd275x6 | Bin 0 -> 10110 bytes bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4 | Bin 0 -> 7750 bytes bsp4/Designflow/sim/pre/work/@_opt/voptda3a10 | Bin 0 -> 1272 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a | Bin 0 -> 1575 bytes bsp4/Designflow/sim/pre/work/@_opt/voptde88ev | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz | Bin 0 -> 9916 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr | Bin 0 -> 19196 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdkka42 | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm | Bin 0 -> 640 bytes bsp4/Designflow/sim/pre/work/@_opt/voptdst94k | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf | Bin 0 -> 2688 bytes bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc | Bin 0 -> 2315 bytes bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptemie0k | 78 + bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6 | Bin 0 -> 5712 bytes bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr | Bin 0 -> 253 bytes bsp4/Designflow/sim/pre/work/@_opt/voptet5r96 | Bin 0 -> 1452 bytes bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e | Bin 0 -> 1871 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd | Bin 0 -> 4942 bytes bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx | Bin 0 -> 1821 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9 | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgf218h | Bin 0 -> 772 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgj358q | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y | Bin 0 -> 1396 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgsd662 | Bin 0 -> 677 bytes bsp4/Designflow/sim/pre/work/@_opt/voptgshed9 | Bin 0 -> 26888 bytes bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv | Bin 0 -> 1953 bytes bsp4/Designflow/sim/pre/work/@_opt/vopth969di | Bin 0 -> 24144 bytes bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0 | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg | Bin 0 -> 10882 bytes bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr | Bin 0 -> 24752 bytes bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg | Bin 0 -> 878 bytes bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a | Bin 0 -> 1280 bytes bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd | Bin 0 -> 2080 bytes bsp4/Designflow/sim/pre/work/@_opt/voptiy80et | Bin 0 -> 392 bytes bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n | Bin 0 -> 1328 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r | Bin 0 -> 57624 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn | Bin 0 -> 1356 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej | Bin 0 -> 2605 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b | Bin 0 -> 1267 bytes bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk | Bin 0 -> 1631 bytes bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy | Bin 0 -> 1592 bytes bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn | Bin 0 -> 6582 bytes bsp4/Designflow/sim/pre/work/@_opt/voptm09i64 | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x | Bin 0 -> 4488 bytes bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y | Bin 0 -> 2314 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0 | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq | Bin 0 -> 6680 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26 | Bin 0 -> 3516 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8 | Bin 0 -> 1104 bytes bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4 | Bin 0 -> 6620 bytes bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7 | Bin 0 -> 420568 bytes bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy | Bin 0 -> 1280 bytes bsp4/Designflow/sim/pre/work/@_opt/voptnm275x | Bin 0 -> 1039256 bytes bsp4/Designflow/sim/pre/work/@_opt/voptnmis97 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv | Bin 0 -> 972088 bytes bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5 | Bin 0 -> 31744 bytes bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9 | Bin 0 -> 9640 bytes bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx | Bin 0 -> 6582 bytes bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k | Bin 0 -> 533 bytes bsp4/Designflow/sim/pre/work/@_opt/voptr663fr | Bin 0 -> 21736 bytes bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00 | Bin 0 -> 1587 bytes bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx | Bin 0 -> 6582 bytes bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze | Bin 0 -> 1248 bytes bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm | Bin 0 -> 7648 bytes bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6 | Bin 0 -> 9704 bytes bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m | Bin 0 -> 2682 bytes bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h | Bin 0 -> 9033 bytes bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83 | Bin 0 -> 919 bytes bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz | Bin 0 -> 3576 bytes bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6 | Bin 0 -> 102093 bytes bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn | Bin 0 -> 1247 bytes bsp4/Designflow/sim/pre/work/@_opt/voptv5v0a2 | 1227 ++ bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i | Bin 0 -> 2676 bytes bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w | Bin 0 -> 1332 bytes bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz | Bin 0 -> 2422 bytes bsp4/Designflow/sim/pre/work/@_opt/voptvdey23 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptve8zdn | 258 + bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve | Bin 0 -> 8357 bytes bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q | Bin 0 -> 2903 bytes bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj | Bin 0 -> 1296 bytes bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2 | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax | Bin 0 -> 1460 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj | Bin 0 -> 9480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk | Bin 0 -> 688 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwntq90 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1 | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13 | Bin 0 -> 3529 bytes bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em | Bin 0 -> 2091 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b | Bin 0 -> 408 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8 | Bin 0 -> 6568 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja | Bin 0 -> 3580 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx | Bin 0 -> 9704 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxmke47 | Bin 0 -> 2249 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79 | Bin 0 -> 1460 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd | Bin 0 -> 36904 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7 | Bin 0 -> 575 bytes bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd | Bin 0 -> 1292 bytes bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb | Bin 0 -> 1340 bytes bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03 | Bin 0 -> 9764 bytes bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr | Bin 0 -> 173 bytes bsp4/Designflow/sim/pre/work/@_opt/voptynym01 | Bin 0 -> 13693 bytes bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1 | Bin 0 -> 6508 bytes bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09 | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh | Bin 0 -> 57624 bytes bsp4/Designflow/sim/pre/work/@_opt/voptzczb23 | Bin 0 -> 1480 bytes bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic | Bin 0 -> 40216 bytes bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1 | Bin 0 -> 656 bytes bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw | Bin 0 -> 10882 bytes bsp4/Designflow/sim/pre/work/_info | 230 + bsp4/Designflow/sim/pre/work/_vmake | 3 + bsp4/Designflow/sim/pre/work/vga/_primary.dat | Bin 0 -> 1247 bytes bsp4/Designflow/sim/pre/work/vga/_primary.dbs | Bin 0 -> 2682 bytes bsp4/Designflow/sim/pre/work/vga/beh.dat | Bin 0 -> 41033 bytes bsp4/Designflow/sim/pre/work/vga/beh.dbs | Bin 0 -> 136522 bytes .../sim/pre/work/vga_conf_pre/_primary.dat | Bin 0 -> 173 bytes .../sim/pre/work/vga_conf_pre/_primary.dbs | Bin 0 -> 456 bytes .../sim/pre/work/vga_control/_primary.dat | Bin 0 -> 2029 bytes .../sim/pre/work/vga_control/_primary.dbs | Bin 0 -> 4368 bytes .../sim/pre/work/vga_control/beh.dat | Bin 0 -> 19196 bytes .../sim/pre/work/vga_control/beh.dbs | Bin 0 -> 70048 bytes .../sim/pre/work/vga_driver/_primary.dat | Bin 0 -> 2249 bytes .../sim/pre/work/vga_driver/_primary.dbs | Bin 0 -> 5044 bytes .../sim/pre/work/vga_driver/beh.dat | Bin 0 -> 47423 bytes .../sim/pre/work/vga_driver/beh.dbs | Bin 0 -> 177967 bytes .../sim/pre/work/vga_pak/_primary.dat | Bin 0 -> 2315 bytes .../sim/pre/work/vga_pak/_primary.dbs | Bin 0 -> 3529 bytes .../sim/pre/work/vga_pre_tb/_primary.dat | Bin 0 -> 228 bytes .../sim/pre/work/vga_pre_tb/_primary.dbs | Bin 0 -> 575 bytes .../sim/pre/work/vga_pre_tb/structure.dat | Bin 0 -> 2605 bytes .../sim/pre/work/vga_pre_tb/structure.dbs | Bin 0 -> 6565 bytes bsp4/Designflow/src/board_driver_arc.vhd | 102 + bsp4/Designflow/src/board_driver_ent.vhd | 42 + bsp4/Designflow/src/vga.hex | 4097 ++++ bsp4/Designflow/src/vga_arc.vhd | 223 + bsp4/Designflow/src/vga_beh_tb.vhd | 196 + bsp4/Designflow/src/vga_control_arc.vhd | 130 + bsp4/Designflow/src/vga_control_ent.vhd | 53 + bsp4/Designflow/src/vga_driver_arc.vhd | 404 + bsp4/Designflow/src/vga_driver_ent.vhd | 60 + bsp4/Designflow/src/vga_ent.vhd | 74 + bsp4/Designflow/src/vga_pak.vhd | 85 + bsp4/Designflow/src/vga_pll.bdf | 847 + bsp4/Designflow/src/vga_pll.tcl | 184 + bsp4/Designflow/src/vga_pos_tb.vhd | 198 + bsp4/Designflow/src/vga_pre_tb.vhd | 197 + bsp4/Designflow/src/vpll.bsf | 49 + bsp4/Designflow/src/vpll.vhd | 274 + bsp4/Designflow/syn/rev_1/.recordref | 0 bsp4/Designflow/syn/rev_1/backup/vga.srr | 297 + bsp4/Designflow/syn/rev_1/rpt_vga.areasrr | 174 + bsp4/Designflow/syn/rev_1/rpt_vga_areasrr.htm | 193 + bsp4/Designflow/syn/rev_1/run_options.txt | 71 + bsp4/Designflow/syn/rev_1/scratchproject.prs | 71 + .../syn/rev_1/syntmp/sap_log_flink.htm | 7 + .../syn/rev_1/syntmp/sap_log_srr.htm | 0 bsp4/Designflow/syn/rev_1/syntmp/vga.msg | 0 bsp4/Designflow/syn/rev_1/syntmp/vga.plg | 13 + .../syn/rev_1/syntmp/vga_cons_ui.tcl | 5 + .../syn/rev_1/syntmp/vga_driver_arc_flink.htm | 7 + .../Designflow/syn/rev_1/syntmp/vga_flink.htm | 8 + bsp4/Designflow/syn/rev_1/syntmp/vga_srr.htm | 300 + bsp4/Designflow/syn/rev_1/syntmp/vga_toc.htm | 17 + bsp4/Designflow/syn/rev_1/verif/vga.vif | 141 + bsp4/Designflow/syn/rev_1/vga.fse | 0 bsp4/Designflow/syn/rev_1/vga.htm | 12 + bsp4/Designflow/syn/rev_1/vga.map | 1 + bsp4/Designflow/syn/rev_1/vga.sap | 153 + bsp4/Designflow/syn/rev_1/vga.srd | Bin 0 -> 27667 bytes bsp4/Designflow/syn/rev_1/vga.srm | 8960 +++++++++ bsp4/Designflow/syn/rev_1/vga.srr | 297 + bsp4/Designflow/syn/rev_1/vga.srs | 683 + bsp4/Designflow/syn/rev_1/vga.sxr | 380 + bsp4/Designflow/syn/rev_1/vga.szr | Bin 0 -> 14085 bytes bsp4/Designflow/syn/rev_1/vga.tcl | 41 + bsp4/Designflow/syn/rev_1/vga.tlg | 12 + bsp4/Designflow/syn/rev_1/vga.vhm | 6914 +++++++ bsp4/Designflow/syn/rev_1/vga.vqm | 6253 +++++++ bsp4/Designflow/syn/rev_1/vga.xrf | 343 + bsp4/Designflow/syn/rev_1/vga_cons.tcl | 6 + bsp4/Designflow/syn/rev_1/vga_rm.tcl | 12 + bsp4/Designflow/syn/vga.prd | 13 + bsp4/Designflow/syn/vga.prj | 71 + bsp4/Protokolle/notiz | 5 + bsp4/Protokolle/notiz~ | 4 + bsp4/Protokolle/pics/auslastung.png | Bin 0 -> 82638 bytes bsp4/Protokolle/pics/col-defekt.png | Bin 0 -> 52600 bytes bsp4/Protokolle/pics/col-work.png | Bin 0 -> 53801 bytes bsp4/Protokolle/pics/logik.JPG | Bin 0 -> 153271 bytes bsp4/Protokolle/pics/postlayout.png | Bin 0 -> 53953 bytes bsp4/Protokolle/pics/prelayoutsim.png | Bin 0 -> 53529 bytes bsp4/Protokolle/pics/syntax_fehler.png | Bin 0 -> 69915 bytes 906 files changed, 120959 insertions(+), 167 deletions(-) create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.db_info create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.eda.qmsg create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.fit.qmsg create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.hier_info create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.hif create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.lpc.html create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.map.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.map.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.map.logdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.rtlv.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll.tmw_info create mode 100644 bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo create mode 100644 bsp4/Designflow/ppr/download/incremental_db/README create mode 100644 bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt create mode 100644 bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft create mode 100644 bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo create mode 100644 bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf create mode 100644 bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo create mode 100644 bsp4/Designflow/ppr/download/vga_pll.asm.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.done create mode 100644 bsp4/Designflow/ppr/download/vga_pll.eda.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.fit.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.fit.smsg create mode 100644 bsp4/Designflow/ppr/download/vga_pll.fit.summary create mode 100644 bsp4/Designflow/ppr/download/vga_pll.flow.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.map.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.map.summary create mode 100644 bsp4/Designflow/ppr/download/vga_pll.pin create mode 100644 bsp4/Designflow/ppr/download/vga_pll.pof create mode 100644 bsp4/Designflow/ppr/download/vga_pll.qpf create mode 100644 bsp4/Designflow/ppr/download/vga_pll.qsf create mode 100644 bsp4/Designflow/ppr/download/vga_pll.qws create mode 100644 bsp4/Designflow/ppr/download/vga_pll.sof create mode 100644 bsp4/Designflow/ppr/download/vga_pll.tan.rpt create mode 100644 bsp4/Designflow/ppr/download/vga_pll.tan.summary create mode 100755 bsp4/Designflow/ppr/download/vga_pll.tcl create mode 100644 bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.asm.qmsg create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cbx.xml create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.bpm create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.kpt create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.logdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.rdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp.tdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt create mode 100644 bsp4/Designflow/ppr/sim/db/vga.db_info create mode 100644 bsp4/Designflow/ppr/sim/db/vga.eco.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.eda.qmsg create mode 100644 bsp4/Designflow/ppr/sim/db/vga.fit.qmsg create mode 100644 bsp4/Designflow/ppr/sim/db/vga.hier_info create mode 100644 bsp4/Designflow/ppr/sim/db/vga.hif create mode 100644 bsp4/Designflow/ppr/sim/db/vga.lpc.html create mode 100644 bsp4/Designflow/ppr/sim/db/vga.lpc.rdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.lpc.txt create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.bpm create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.ecobp create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.kpt create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.logdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map.qmsg create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.map_bb.logdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci create mode 100644 bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci create mode 100644 bsp4/Designflow/ppr/sim/db/vga.syn_hier_info create mode 100644 bsp4/Designflow/ppr/sim/db/vga.tan.qmsg create mode 100644 bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb create mode 100644 bsp4/Designflow/ppr/sim/db/vga.tmw_info create mode 100644 bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/README create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx create mode 100644 bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt create mode 100644 bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft create mode 100644 bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho create mode 100644 bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf create mode 100644 bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo create mode 100644 bsp4/Designflow/ppr/sim/vga.asm.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.done create mode 100644 bsp4/Designflow/ppr/sim/vga.eda.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.fit.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.fit.smsg create mode 100644 bsp4/Designflow/ppr/sim/vga.fit.summary create mode 100644 bsp4/Designflow/ppr/sim/vga.flow.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.map.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.map.summary create mode 100644 bsp4/Designflow/ppr/sim/vga.pin create mode 100644 bsp4/Designflow/ppr/sim/vga.pof create mode 100644 bsp4/Designflow/ppr/sim/vga.qpf create mode 100644 bsp4/Designflow/ppr/sim/vga.qsf create mode 100644 bsp4/Designflow/ppr/sim/vga.qws create mode 100644 bsp4/Designflow/ppr/sim/vga.sof create mode 100644 bsp4/Designflow/ppr/sim/vga.tan.rpt create mode 100644 bsp4/Designflow/ppr/sim/vga.tan.summary create mode 100644 bsp4/Designflow/sim/beh/modelsim.ini create mode 100644 bsp4/Designflow/sim/beh/vsim.wlf create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/_deps create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt1c269c create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt8q1x0f create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptat15ic create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptgbd035 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopth28297 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptjqehey create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptn3fj4t create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptnychme create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6 create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y create mode 100644 bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd create mode 100644 bsp4/Designflow/sim/beh/work/_info create mode 100644 bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI create mode 100644 bsp4/Designflow/sim/beh/work/_vmake create mode 100644 bsp4/Designflow/sim/beh/work/board_driver/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/board_driver/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/board_driver/behav.dat create mode 100644 bsp4/Designflow/sim/beh/work/board_driver/behav.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga/behav.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga/behav.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_control/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_control/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_control/behav.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_control/behav.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_driver/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_driver/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_driver/behav.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_driver/behav.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_pak/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_pak/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_tb/_primary.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_tb/_primary.dbs create mode 100644 bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dat create mode 100644 bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dbs create mode 100644 bsp4/Designflow/sim/post/modelsim.ini create mode 100644 bsp4/Designflow/sim/post/vsim.wlf create mode 100644 bsp4/Designflow/sim/post/work/@_opt/__sdf1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/_deps create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt08ex53 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt08kryc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt09dzge create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0ahy7h create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0bcde8 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0erqfe create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0f4iae create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0iawx2 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0ibkkv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0kwmnq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0mgzch create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt0rtcca create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt14shet create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt155tsy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1bdmvd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1d2f47 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1evfav create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1fk02i create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1gksbx create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1ijeg6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1n5xyz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1s033z create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt1z6hji create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2b670j create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2h4rzg create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2ibjvf create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2j8zk3 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2mgxxq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2t7j3x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2tfyhr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt2tj0k3 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt317fgz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt31jqag create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt33et9m create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt35225s create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt358re4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3h35rm create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3hfw6g create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3m2fgs create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3myh40 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3vsc4r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3w7zqv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3xgk9v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3yrcyz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt3yt1rm create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt46r52i create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt4876j6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt4di3yz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt4i7vgm create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt4qf407 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt4r7eqw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt52f4ry create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt54b9zk create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5cxa8i create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5j4m4i create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5qd867 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5s4jbj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5thky6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5x35ad create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt5y0t14 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt61mbc0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt62q3vc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt62zfa8 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt63j8qs create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt65zr4s create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6avkz6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6az7tj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6b2z0h create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6bvbng create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6dbq31 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6gdey0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6j0yfe create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6r7tsc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6rhkjh create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt6z1zfc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt74b6jb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt78qenn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7bqb0b create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7gg4h6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7jdhxa create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7qcgtr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7rsq0z create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7y4sc6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt7y9y37 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt82eqsr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt83d5jx create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8ajd0d create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8cier0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8dhgb3 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8jrgny create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8k24r6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8nrfdi create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8r6xvx create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8x3c91 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8x6iaw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt8z0h4w create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt90edcd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt91fxkt create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt94cwyj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9bf8he create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9fdd3g create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9qd5w0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9rm6tz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9sgzah create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9x2ytb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopt9xtft4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopta1knfz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopta2bsbs create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopta3bzdd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopta9iigc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptace792 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptafit2j create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptagtbq8 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptan8zz7 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptanw60x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptaqh4we create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptarssy2 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptat585x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptatc2ig create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptav4gks create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptavffkt create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptayseae create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptb2hb4s create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptb5jf2x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptb7s6jy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbc4sy1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbe0yhi create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbehe9r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbfih0x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbjwgxq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbq70ei create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbrh2yj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbvqftw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptbz1x59 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptc24v6r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptc5rdyb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptcgbn81 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptchkf2x create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptcixxbq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptck7ky1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptckt7rt create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptcmbbr6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptcrgh4e create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptcywdvj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptd0zxnv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptd1j2cn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptd1nzv2 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptd2egs7 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptd2sz76 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptdbwi2v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptdbwsnn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptddtkm9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptde8mwa create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptditse5 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptdscvbi create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptdwdhks create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptdzrcjn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte1d7dk create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte334zy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte3y3z4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte55fyb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte8nm5b create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopte9vafy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptecfa24 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptecfn9q create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptegbjqd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptegjhtv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptej8mb9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptejzkaf create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptezbh8z create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf0ahar create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf3hgkd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf6b8ck create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf7j1rs create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf7z3mk create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf8b44e create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptf8h5v4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptffewxd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptfm6b5q create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptfq54th create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptg93f6r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptg9vg4h create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptghne9v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptgn7mc3 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptgsdqfz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptgydr4a create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptgz6dw1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptgzk7k9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopth2119g create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopth3s80r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopth4si33 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopth9nmz1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptharqyt create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopthmq37r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopthn4sz1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptht59v0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopthy0dtc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopti16xtd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopti97r0b create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopti9n5xy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptif5g62 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptirdxd5 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptj8ag4n create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptj95smf create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptje755v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptjkewxg create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptjrrj84 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptjtjdsx create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptjv1ry4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptjzq16i create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptk31etb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptk4aq1r create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptk9b2m0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptka9bz6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptkqbenv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptkt6s62 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptktzfbn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptkya4fj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptm0yxw8 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptm4dz0h create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmbfce4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmfsiyr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmgnm7b create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmiakac create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmrhth4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmx2fty create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptmzy4v1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptn5eana create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptn8ir5v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptnh3sad create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptnkhwb9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptnmh1t0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptnmhxdi create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptq0cg1y create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptq6cfgv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqedyhw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqg5wr7 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqg9wyh create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqh9jyh create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqk28ai create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqqt52n create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptqysdc4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptr4jicd create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptr5kmdb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptr7dw94 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptr90j3k create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptrjkq3v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptrtwn0c create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptrzyhxv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopts3hizv create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopts4jhki create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptsagtxc create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptsgwk3t create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptst48jm create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptswy1ge create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptsxt03z create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt131na create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt1nr12 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt4f8st create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt62mnq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt7mqfh create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptt8t1yr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttbhrrj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttd959g create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttev8ci create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttg1dz6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptti24zz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttj7qm0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttq1mke create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttq80dm create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttrr404 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttvbqfj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptty0seq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopttyd8ny create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptv8b0w6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvgvxme create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvk5snb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvmeb4j create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvmhh90 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvn092a create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvqcbx1 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvrx375 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvtqazy create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvxnfzb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvxvs6t create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvy0s9h create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptvybg3n create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwa3dd6 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwezg21 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwf7jcw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwi835w create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwk8q5n create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwqfjby create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwrbhz9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwryiye create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptwzasam create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptx1tivb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptx2qxj9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptx7ttqa create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptx9af1v create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxat1rz create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxbsgw5 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxf48e0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxqdz5e create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxqs866 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptxztqaj create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopty1afqa create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopty6ffkw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/vopty9knv0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptyadfe9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptybdmm0 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptybxghr create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptyd54gx create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptygf8tn create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptyk7fyb create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptysmg2s create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptytct1m create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptyxvs2a create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptz3qgc3 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzaejdq create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzar2ty create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzgne4n create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzhwx79 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzig3m9 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzqf8ds create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzt7ksw create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptztcmra create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzxden4 create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzxzi5e create mode 100644 bsp4/Designflow/sim/post/work/@_opt/voptzyb3vr create mode 100644 bsp4/Designflow/sim/post/work/_info create mode 100644 bsp4/Designflow/sim/post/work/_vmake create mode 100644 bsp4/Designflow/sim/post/work/vga/_primary.dat create mode 100644 bsp4/Designflow/sim/post/work/vga/_primary.dbs create mode 100644 bsp4/Designflow/sim/post/work/vga/structure.dat create mode 100644 bsp4/Designflow/sim/post/work/vga/structure.dbs create mode 100644 bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dat create mode 100644 bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dbs create mode 100644 bsp4/Designflow/sim/post/work/vga_pak/_primary.dat create mode 100644 bsp4/Designflow/sim/post/work/vga_pak/_primary.dbs create mode 100644 bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dat create mode 100644 bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dbs create mode 100644 bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dat create mode 100644 bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dbs create mode 100644 bsp4/Designflow/sim/pre/modelsim.ini create mode 100644 bsp4/Designflow/sim/pre/vsim.wlf create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/_deps create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt151g02 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt6yd9kn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt7n53ie create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptarbydi create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptb5612n create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptc92bae create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptcw759t create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptd275x6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptda3a10 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptde88ev create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdkka42 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptdst94k create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptemie0k create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptet5r96 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgf218h create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgj358q create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgsd662 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptgshed9 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopth969di create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptiy80et create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptm09i64 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptnm275x create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptnmis97 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptr663fr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptv5v0a2 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvdey23 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptve8zdn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwntq90 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxmke47 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptynym01 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptzczb23 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1 create mode 100644 bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw create mode 100644 bsp4/Designflow/sim/pre/work/_info create mode 100644 bsp4/Designflow/sim/pre/work/_vmake create mode 100644 bsp4/Designflow/sim/pre/work/vga/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga/beh.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga/beh.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_control/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_control/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_control/beh.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_control/beh.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_driver/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_driver/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_driver/beh.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_driver/beh.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_pak/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_pak/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs create mode 100644 bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dat create mode 100644 bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dbs create mode 100644 bsp4/Designflow/src/board_driver_arc.vhd create mode 100644 bsp4/Designflow/src/board_driver_ent.vhd create mode 100644 bsp4/Designflow/src/vga.hex create mode 100644 bsp4/Designflow/src/vga_arc.vhd create mode 100644 bsp4/Designflow/src/vga_beh_tb.vhd create mode 100644 bsp4/Designflow/src/vga_control_arc.vhd create mode 100644 bsp4/Designflow/src/vga_control_ent.vhd create mode 100644 bsp4/Designflow/src/vga_driver_arc.vhd create mode 100644 bsp4/Designflow/src/vga_driver_ent.vhd create mode 100644 bsp4/Designflow/src/vga_ent.vhd create mode 100644 bsp4/Designflow/src/vga_pak.vhd create mode 100755 bsp4/Designflow/src/vga_pll.bdf create mode 100755 bsp4/Designflow/src/vga_pll.tcl create mode 100644 bsp4/Designflow/src/vga_pos_tb.vhd create mode 100644 bsp4/Designflow/src/vga_pre_tb.vhd create mode 100644 bsp4/Designflow/src/vpll.bsf create mode 100644 bsp4/Designflow/src/vpll.vhd create mode 100644 bsp4/Designflow/syn/rev_1/.recordref create mode 100644 bsp4/Designflow/syn/rev_1/backup/vga.srr create mode 100644 bsp4/Designflow/syn/rev_1/rpt_vga.areasrr create mode 100644 bsp4/Designflow/syn/rev_1/rpt_vga_areasrr.htm create mode 100644 bsp4/Designflow/syn/rev_1/run_options.txt create mode 100644 bsp4/Designflow/syn/rev_1/scratchproject.prs create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/sap_log_flink.htm create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/sap_log_srr.htm create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga.msg create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga.plg create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga_flink.htm create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga_srr.htm create mode 100644 bsp4/Designflow/syn/rev_1/syntmp/vga_toc.htm create mode 100644 bsp4/Designflow/syn/rev_1/verif/vga.vif create mode 100644 bsp4/Designflow/syn/rev_1/vga.fse create mode 100644 bsp4/Designflow/syn/rev_1/vga.htm create mode 100644 bsp4/Designflow/syn/rev_1/vga.map create mode 100644 bsp4/Designflow/syn/rev_1/vga.sap create mode 100644 bsp4/Designflow/syn/rev_1/vga.srd create mode 100644 bsp4/Designflow/syn/rev_1/vga.srm create mode 100644 bsp4/Designflow/syn/rev_1/vga.srr create mode 100644 bsp4/Designflow/syn/rev_1/vga.srs create mode 100644 bsp4/Designflow/syn/rev_1/vga.sxr create mode 100644 bsp4/Designflow/syn/rev_1/vga.szr create mode 100644 bsp4/Designflow/syn/rev_1/vga.tcl create mode 100644 bsp4/Designflow/syn/rev_1/vga.tlg create mode 100644 bsp4/Designflow/syn/rev_1/vga.vhm create mode 100644 bsp4/Designflow/syn/rev_1/vga.vqm create mode 100644 bsp4/Designflow/syn/rev_1/vga.xrf create mode 100644 bsp4/Designflow/syn/rev_1/vga_cons.tcl create mode 100644 bsp4/Designflow/syn/rev_1/vga_rm.tcl create mode 100644 bsp4/Designflow/syn/vga.prd create mode 100644 bsp4/Designflow/syn/vga.prj create mode 100644 bsp4/Protokolle/notiz create mode 100644 bsp4/Protokolle/notiz~ create mode 100644 bsp4/Protokolle/pics/auslastung.png create mode 100644 bsp4/Protokolle/pics/col-defekt.png create mode 100644 bsp4/Protokolle/pics/col-work.png create mode 100644 bsp4/Protokolle/pics/logik.JPG create mode 100644 bsp4/Protokolle/pics/postlayout.png create mode 100644 bsp4/Protokolle/pics/prelayoutsim.png create mode 100644 bsp4/Protokolle/pics/syntax_fehler.png diff --git a/bsp2/Designflow/sim/beh/vsim.wlf b/bsp2/Designflow/sim/beh/vsim.wlf index 646c9c8eef4ee126ad90a2a551a48acccbb8a0d6..12b6145ee848ddc18b26554d2571ea701aa0aa45 100644 GIT binary patch literal 40960 zcmeI*TTC3+83*w1oVl{*GK;y|EFK6a3$bA!HHJ`Va10I@jMEw$>MB|9Wnd>PGh}8K z?8;J?iby80C5xuHGhFts=E_Hj4bv zzC?LSrT@{KnbB&FH1nIYPye&~8LRXy$`O$ob?Tk?_)2tOpLHG`b)uEWwW7P^dTXv6 zI(-MD5AU^Oe>-8xhkE)mD#_6}sk|pL%R8yuM|XC1KBT?TDPzPZdZ~sgWZq$FF-mOh z$i()s9)ok%!@86ndEf`5@&H!{ekLgcKRzoDIFjtq2q~+9v%JJdn5&HN`^fTELTlN} zb=U=JkaP;|Y~Ct3u3kK6N&C4%-7B6SjzlN@4-NEwWrWvIcM#^hVz@v~!FJQj_6Tvq z3YS-`({%F0so5u;*(n-7KO*VO+Qsi&~)86V}>A z&tH-cSI|7AR~@hDPwFexp?+bbyYgU8k(;>A{(hG(m89gUq?Bx*C#jdD_CC_i(6075 zlBP-f?gZ`XPR8VZVtOtWdRG<~3$|iZUDD51RI%Y=b(N9!v$5FwW6jsuI9JCg$LA`)qw9M7 zhedbR2^%lPCF28Svv$)suhtD+ODZdDO`g-wpd-+QP2+C?7Q{c@_w>rkfS|A;fUTYW+m^>6KMtgRqwyDh&<*Nl$a z9i^6mJzqN`cie7cRM9C9i$G9TLdCg+kDl4qj%+?_NXmo-z`cf$O#O`(%Dy_IN0+RYs> z!@NzVZwJLfXwsTfD3}@BWM*}z9dz|{#2V-Z`~9T!4*M;;xiQoH7wt&H+e4ErPt*l% z&)4$b>3^!>9#vIJBIs9&Ew7hodwctV=(~dk0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<;PVMQU(V>|mMFTfih}%|ZYE@Nhw~bLBxa~nU2oLwA5^EhJEwnjR(+~eUVxBbdrEXFYW2qFdjMPo z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz06i1s|DW8;{|~Sz z|2OHs^M8{)B2nZ2#{ZpB{I7~D<>;RcMr#1d$-kmbMah3GivIU5)s>_F*3M6(|HsPF zzdCh2ivFYIf3)k3DEWVCy*o<&H8KBL=FdLH8-V}>AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2teQq2q6Fe0%#Zl0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00I#BatW|7`u+c3$IAczKTbL^Z7%J*j_fY7x;AUojH=p)8Lk>d z*9mz|w&Z~D|cc~N=k$3HLA%B#Ht-KeNL=UV?(a)sal9h9EcA>~sO7$JG zG6AhTXpPx{vq}V?M72U9=^X8H2&Pn-nl{K!_y#0}O^`pFeb^oh1#^ZM7;BP3N^$Nx1 zZMWvVpBt?&Rn#;sq|Z|-D$Q<(DQ~;j`s_(<wb|NU(-GA#1#IxAEvL?d3l= z9Nm-P$M4_A4}9>i*X6AT)`#Max1P@LE)cJpwS$n%1;=%Qyj{vE~66F4u?OF!&(DhXs6~2y$XC!R5qp5_lXeF?QW1_{abH z@_6GiiEVb+bQoXQiG;r26`?OY4|hcLD*yoF$O`x)2|n+xk1RrH7@0vQv=B2xfFUG{ zod`lnIvpDyx06glworDGS0RoGpCmfQCmdx&oj{CHDv}s=!x*Ec9It%_NNn%CU6s@k=PSaoI~+ZI}x(JV`BU#jsXbEhwZ=jBOVV4 zcHfCoNsihGZ8d3!)oH6Eh}AKxqgE%ZxQNxKRxB$&D}Thw)rx85Vzn2s3bFFB+Hb{1 ztlX@;tPWUtB340G!B+dM0uU=_D{rentAhr_j-$w)qXvk_X#|b6q7bAdOp+PNgks4* z4F_aH`iqZ@=)Iz@La_B~*3b{^Nl1*^PG3#xLF_kdUANwrj<`mhj*a2aW0BMJ!%?xE z7&?in8yg>cCTh=72L}f&DpI|Y^z{MS(OVE2@<%#HWB2GB4I%3sr4?kOWRe3}x4=lJ z0n2v3ENn#}eYBPCFjvo;!8;5XbRoEVY-)CF7Cn z&TV!)eEO61j_BV=^oazX<7wp75yzyMLy?D(sAG|dhaHlRojd9=;8RG&NsIR+9wu$0 zcM<6^HvI!eKok*FjE)gE4d8@3H< z6J&s(A0fm#14KInK?4mC!VA%McSaW3+h|eukZD0A;%FrO*y&`>5p16UewanEB>#q3 zCgGOnQS@je<)$mXJB+g16+_Ho@bJsH83i$0eV8y?iDRY9Xs`1DX$P%RYZ{{z;rd6B z$i#%0m=jTvdyXVUI|X+hY@GbJhk9^_;y8J(fd9OprsTViS+W>qjNV9?>6bmT8me$d1)fr)}LGw2YTwCN=phL?&g+4H=g4I-l@84&YwkuBC65SQ&gxkAYO#VUg!;TnS z))}~*zYg(3_hAe})93&f3p6|60K&Vl;>_-sRMJIPQVohmXMg((MyQYyY(-gQ`zK7& zx1?uih&ythG=#KL@9)7G>Gzp9)1VP~&UiqICJ`6T^;VSmI@%fMfYiS!Og;@YeHVcd z)O<<-$%2vKPGK0F`y64aNFfNJuQtXRyBQzfqA<1dsH8=sDxYq$J0d_YZBq{Dse{Hnw94$96i+3byGb?yvCW?5>wK0)F75& z5dYDL;U1IKBtirSBGnDjJ;~Qcojq^E9sh+hG@45^Co4)x*)O7NFk;>@)B zdM{TlK^a$ck`1qzPz@<$_nVc!P&l-zmB{>;I$GG5Za7nX;~xV`!BUhd{2aR>v|NTV z=|j1`6vp|L*Adcpf1-Bow@KfioU59&a}=g!o+~xB6}v%g^`vl6b4J1+5ZkApQ}Z4f zWKfIrf3e0HyBHtup)j}U8c^@P!0cXJ@WUAqp64hGOluS&y>YpY7QE@eneJ)ZXkTl! zxSFA+Gde#}XW(_dxrV0YwGAWf;O@e%9y)bo8;O+0-{wKyyUSzyxud6IlcG*VVqS)} zHs+RS_xyc~pqWf)NzppPW@Fn`Eo8pc11%ESiuSuJ%IGw-Fw`O~zDnjhc%Tf2Bt6pA zVUG11+hcoRc-V*?q;5QjGiDIOZ;@~<&y@yf^sZIs$=I%KaFn_V!;dKp7k`9GX6{Ap zm?tf9hW#78YbzNjGZ;I_5BA5I-aW>)jCISA0)8ADO^W*rXI_o}!9mwx7azHo^cTu- z=b0Q@PUvH~cg&M)EK%nRt1;q2I9^aA*h9I;$4qleQI3A@GyL9D*K40p7`AZ2eldhH zt{VhkC}$$`Qv(WP4eBhQtt&xp2;ynTPBMd{>&p98Dn;m@a=@Px7b(Sbo{Ro0hszwtciD(C8M`n7 zOT-yoy?ZVpiw(t_P*eTQpJT+&5Zn_#=y|Q&j5<4$Of3n+Oh z4jIEpXYgtPQ}5-vUC4L*AnagJ9A0p>&k?5!`sk4Y{NDJ=EWM)bXb*#v ziV=D*-3gsfHw)GwE>w$TsWzE$W}Ca=^=!f}R?lmPwgvUG6@KVvhFMfcl;LFz$U`t~cPWv~4R;#tE1FtJIIl44v5Qavhs;y_a+%XM?%D%WmzwJ0sdfjJw-PP>yTO z1LHM&wD0ooVRrXUQh(y31+8X!HdJTrKYq$Hz=-d0#=uHFyubxAL1!68{EFDQpiCto zePl=;Tvd#&e6G{-B4Tegb;aKYOk7cC_Ys4Bsy}0dW=Jl`raHaUBkzMS%wdD`1oLWW zJL!y;7q;ybOmi?Ig=~irHO`a*YDzP0!%D4_`{Dm#=!X$}oRNK_+Bnf2Wl&9>wqj<^ z5!3(_usCQhhA$ z49bYRP^W7{OK~zzr23%FNxcRrlV^Q~#<*b@Fi-o)fJ(lgqjiBsOGXP?%<_LhE*So` zPU=dv$=$1>V!3WJw0^@y)@+@;FDyfCurEvwJEMb2WHp89%0hd-S@+{gYVtQGFV1U` zwU)nwtm2B9e&_U5ANy`>2}R3&`^z8-V@07p_7e|1_!I3Q2W5t|8w5B9pqyVdHrY^Z`=$O_waaCEaQ>XNHWsR7L!lW$Gz_N69DVKOC-sWL z3{cah>rNo<2xTd07-F>j<6RMGv^&Li+bx8_SaZ@3E|Cz=&F9woGANr3`VofV=5-2` zdB)JmvdWMWX^C>2A4A@l(=F2e(q8W+AG_?OKySEvJD)@g+?&nyT1;YABA0_$-(i%X zBya5(i^y`q&zwQbJo zkPTB$F4nTqaozS(?_`v=SN4@F81VoGtKgUu(b^}eH=LeQN@%g^C^NY=e-E;CxqaMi zeTwJ^g<>dzXmGBttcmVFrtM{Thx8q_=#I+_&bfb6uf;W1`=t2%yOq=y@wyk5=)LDx zc5QPxjxrvz;Mth_>CBziD`IRrKvlbT|9~>OagM&O$tk^}6ObtXYJ#h8T{fAcyKUvi zo1es^(Jr%2&XifwMi-P3?1?k^9P(wH?0M-bPF|M{gJklK1IrU&wA5YvGj6C0=M?k0 zq5Xm7CI$!+gDc>2or|a5PC^kO<>7 z*}8Ki>j$UNd-~hIz=$sAB^147Q+h>)Oh?3-dCA~&5;2K0^tZlrtKxpHO%4mrLOAYW zG=Hs@t9n802bLcScSTHN4$=%sMB0~n?w_`vqwZak>dMphI%Qz&f-p~2;vDxcYH2ZR zzIMS(Q+u?Nu{7hq^zN0d{D{I#)-Jkm>7oICX%Bu+|BtHyx6fE=w-jE$2#UK>`eo-(M%np@eT$Zz`kt!iN-j8yI%n5j!iW}Kn>~xRetZsDVMxB`xdnIm z;qo^82j@TF>RJ>8fy+)qnH_tp^zjb8qD72Pcj~R$|;anFLOSM)iD8k;?7i+(?8a28VAANr&{46Tzo7A|vZyYRW__^XTlAz4~z z6;Us0kqOMw^LK)hH_FgodRR-Vh2(q?K?tK;mZ+UkFLjGETozkik`}MjdYOST4XwB7 zAa*vipD#0qp8GTo2H@B=nh)ByDmx#zT;;O#l{I5uzp_sp-kT}lj z)hCVz^cpahp4Kah?J_{-&&2&m7@o21(<(AxZ28#ayX92p4Gu)kOKmM`u0G|SL14a@ z`45&?sIL0O9omk?7c@A7w-a7d#&W+6G(PbStC#pDpqFk?>;MO{;Zvek=goy$^VbGV zbhjP+xV^;vwI5$4?$f%pyyymf26{!8zVe~|@zBZWD)I2(Ym{+SL0)IS{59jyJF42{ z*HD!BHS?yvq4D(QCxw=aDbfLyFnoEwR;$I(aC77pPea1xo#%ey-R8}7!+?(Ob;&D> zP^QZ1I|iX5Ekc>uNo~JS7{w4`DzP?*YDgTsk1~o3Yt4CUeW|X7zoB-EZ;RjxYP_ZQ z@LZq1C)ld7xao;Z=lf|F%Gr~=PWi(go7_Z z8T%};d;28FMoThhF&vWR#h28;BRGQ^Xc>hvTm!su=1r92e)tYX?Ak_OoV07Ju8n2f zF>1W!<*NunBzj{Ba$;h{6f3F3T1M|25y-m?V@L&8wEZy5uhCU zwYu++GtBie13iSvA9T7%9kk@Y5Z7?aWZreVQHA!)>^G2w)%d-uJ^6Y?+Yay%=CpFL zuKUcT9C*I+i{`v_o+}X!IBmg;&{oqpU48q)O>k4^^^gYbK zt~ojcU7~%2m^!BrS7|P>weWl!ESK|4tIi>nm6dA{V<=O6`1*jr2LwJK@Bx7j2z)@` z0|Flq_<+C%1U?|}0f7$){NITH$q9P&6RxJvy59%&J`#2S`qY@dHF%;y{O*WQ170H;S>u!(^Pxi6tkJsYenxiTbA!PDGOQb&$i*sE>mllRhWv(?oK_ z4#e@_CN#qRw+XF(H0s2H3yrM%w+sDmA3XZRo&_uV)DbcZdag&H2Yr-A%E0o;pHz?p zl>Fn{={i0v{0gfWQX?J|OS`fe#3LK;Q!c9}xI}zy}0AAn*Z! z4+wlf-~$355cq(=|IZMhq`?7`um%5LmxLg?WJDK5bm6=8bt#B0j@VVg_bC&)7Kqs% zl!TM$@V9XK2$>E@-4wp-S=X0DrekEYSC*up6|0dzItir%RA2h52kB#7Yh-DJ7#=S)|Sm z>2LFTJ4SVO@A{lh`fEkH#nop<=cNbdjLyF`eITB(BXOB7XZIg_%TUSQ{KHVm8K6(( zr2a@OhXH%V%=h(SL8|>@EpmTMaTu_c@%PP* zhUaE*V|Q$uo}mFVIZK32mSZx>O}bKnj9{cljXGLx=tbL4?=<8lxF`xVDtlz z#u*W%DJQen0R)^@9SCpf($S=)2ynGL2KXIWE(v~AVs)qSdW}Mo^h`a}kU7~7SiyX= zD#y@rB9a|ZojlYjYL~~n`P~{BF0p`miHj|57zSURF?)VOloXHhC;inoy26wO11-g!r}Q z%2{z*`KvnuLT(qn(|&16opoE|kVVko;}Yz@4!DXJePcQo<`%K)pLZ|2D^ zQZXfW6|`CIwT=xIhh4YSv(XCm~WcY5cC`P4{TjB~kr=S3M=bPbmu@Hq|zt z1_9Sq2b`3xJT&6KzQ?n%PxmjWA zp`q+5B}WQ}QIa5#@CBF*Sd;GfW#DU&n-zqW@lb)cp(tFuSm4!k9Y zlt(r0EiJ9%r;<_t>;8lg_fhvm%vdF-XPAPqG%es~6A)F7hmY1J0pH+~yav!YL*bUb zpTtAy6FJ$O9wE*P72vW-St;-x@RH9t)pZbqeQ9bxhlKjfL1~l_53j6hlJNgg`HRwI z4O`SaFDV1CwW!mkuRgL!TDP*KVV z9|=}6c?{Czpw8}MqqCa4Iis^4M(NT9hDzgf2C^dC3ZyS^^c8L!Nb$IEqDjr)zuwP%fSSeDJlaor8rd}_JmUTa+^jrqM zE2{TZrA!NmNRvya_Fv{K-H{{Qaj~vTNaGGhcSyJ*Tz+y^gb78oG3k@aim=_{0R=6* zv=odUhts?GGpo>IuDV=KG#7&~{#9>_>lNL5#T_E5LMX&rCI^O!-C#09gWLqEs_Q-(mdX;;4F`TfI z;UJ(=<>nZdo5=?KLSppz=^1S>BEVWkyCf-(mS@?NUD-F%#5bKPl@oQ!eBi-1YnY!Z zX_)2>Rl8|qDj~&RS_=F}cGiDUT8_6>J$a&BWp<(%_-2_k)Twea{G~Ckf88dXp@laH zDP^+Bc#WS>y%+3k;H#T}uPV#*Y?L%xBvS||(}36dt_#05OWl$##1&c(i7j z_sDW;iY3!R+F73L*_lU^t9%klqRT2|9uHw4uAU24075dx8TT8Q^WO5ut;)tJGR%xcNHBxxfWR$Ws$@q|5`m%Y6zD^t}h z*O}xAFd&^1;8pXT@X&Q)$z)$S)|aedz1>-kOWAWbO=V&A=Xu2f^uE#q>{pqzwdI#6 zQ|G73@ra)IvciWM=AB?x<2kB)3i0PfJf$|vrhtFz&zpcoJ-Br$eSxIqG~S>L#u*^X z1);63k(E=mZXVni>9I$WdvVWI?#(Uma-0oplB5-PXxvku%VKL<#)02(r>JgHk&__> z(qI8rD?SRm#rsOrm6^jVX*=-cn|Jb(_!Sef6LKmya$@{cgLpirr=NQb__nKAaf(p~ zQtb)gmylU77X#<=wfI0!>&2;bF3mt z7Tcsrixc2|eKNqh)9Tt)%6~m9tL~t(xJngExCW@0-1K4rE?0_Q0M?(auEkDqjahvS zlC<6FnP#9@!yHxC3|yK8yrdawr4$5AHP&D6%yjFN$6qPyxl?*OPSIO8GEv((ki9)U zHAdsN)@LSmq>+DL22Zf`wtTWiB$`o2zz8?`LO}5eOuY|$z0~d?v_7k;wjKB#72$QG zy$_s~LdqOSk5jXXe{Pa0C!>Hj-)sVM=@5G)>xy(zEu^Tz+hEw}`n8#zS_D{41F^ly zPl>w8spEJ#WG%HB*HTkF&Y) zlC;}{UXJYe4x(&KDo(4KNdxZl%H$~_-tgqX4>M8x;Yjfq#Nv^WG#m5;noH8_R4i_q zidix5Feb!L@gyMPF$l=7^$pZOdVY~U0waoS{QhkENKQrrklYpG5q-lTtaZSvGc{&B zHhr?Qtni9O!JV$T{|HYKU@tkZf#8p|%>;Kw!U5UGiHM%I9EYAE0lqeT)0Y2yd*?!* zD}XGEZiSoG@PX0&bV;ZkiTs9aMK4+kmWtpo>B50%!Zd#FntB!n{@~2`@HwW*#pS zLB3AZTRM-Ii01TmaCKb3yivN-8n}_0t`wR|WHUx*c{7l*D>q*IedC{{OD{A>G5{#` zQfXvwU`p%n4F+`~le_*!uKan$*{$32DhAU?z|iDsJ9k-4ty}fpmRXOK zO6D`?=DQV(q;=&K&8~GIz^u2p=XLjfr|AwtdcT}n0OHJqFJ9U86iY9^Rfa2QK~4$DHu<`!G8?4d4_V-Z>Lns$mKzn-oMhq&RubrNN=b%u(6M zw16VI+xp9FWLra~ME0bt@aF6Z(Uzvq24&YC4ol)C3-h`)%d8vbFrvw(?&}J9aAVe- zV=OIreeoIGepdLo+9SzYp9d zn;J8_+sg7crDU1Pl|uZjW}KfFUyj#QimL&uO~Wj1mgZ$Rghxoy)=yq-dlXZrOl`_g ztKrqC9qqqzGp$i^du&+Rrb$jyOxCH??67jp!FhX0duo*A+%(@zQL6G#Xe4(BE_nv_^#k^hKtF|7r1FA8 z!FNlatJq`f^RgmKzbuLzuU5{M3W-wLWRv84P}{zdp&~1peDDsO7v`*MzymUF9gOhd z62P{Xw9XAYFQ?RUWMze~@@}#<>T-guPHRm|2IaUaJqZT;(ay4Kms|IxPpXQzPhjTb zF{bfXDeE&dvT*^S0;K|c@c!huip_mqyMMU0Ns`_ls$y0)!ziO-mr9Q>jhu~3Xv}C) zMuGhqW)nj>u+}Q=psL|j9PB-PEoYNj(<#6da+$`z2F{5o?tS2`8tU_x)MtgmbQO{^ zJ3aYI+0)j295`)|nrWx4H_AWdn1Nz*`*VfCuos)5>d?J{RDU7EcfW3!yVIl?Mzm^;vzII#~G} z?Q>7BOfLX_9j6E1wrZH&icldwR(pI71Ppk!Nv6kRyTXUntl>L#ZjnG8P>#Qa;XL$J zwQt{i|9EUl%wm&!Us*MQS$5gHD&p78;%_VB3IhjYgxfo~GOO(ts<;O&Fb+1AGXR+)n| z7}A0`J>cINFVY6tv;eXw4jGjEoJT;x9+89-7xIP01W{&R$MZ)0X6fFW_OOgOvs3Ne6 zVh}uj@wCb-ytpA#2*QDHdG)aPM12^HH#z zfV0GVfk8u|pwKlX!lwiH#bT!`Q#Bnlk+P*jNb9o#QK5?Xk+SHzvDSR+=huFo+Xd@3 zWzo;d<`Xb8lO~JsipeJ$f7Vc2nWm{Bt2f;V1f*w~Mc!9=!N9KtSB(%a)}+8mruKm^ zxD?g{Yn*CWBMhcJE3JNXbQosc0LI~zn=>amH6AQQzmPI%2Lb}JEJhW;ORkO(;^N9D zz*lh-?4N9!CBhn1tOkd;aw4#-(2||z^eBd1R>(@9<$vC@bjDGDmkt~%YuOA}Js2s= zf2fd#1eD~>b;_yJgQ1dh?=-Bw8&^J?bm#THvK@t93gS8KGgF0oCHv zk-UrsSY?YSr`3M~Uz4`@rn7>S)aPwB6S4vjkYQd5>;W@XlA94ZKic)@hLKrOU7bqh zmpC_mWpcd4NL^a|psaGCq+EzTkokP1ku5{7r*pcWapz`;G07cCYI3V<&6>51nLVYF z)TbF{Aqq_^R|8duH9-0fhNs`3cTk0rGb4Zg*r#f!YrXbxmOTReACJd6MF!W`jUBI& znItv7%tw7n#Zw}JEA4L*C?{sAGl8KR){~qyyLm;O zgyxAP{Vxc7C0xe-?CqR43qcN6PAse4VqQ;z0@J`ktGK8I%r*TdSyYInS8gWss{HTY zZOjNyO)D#`*7#2+0OnieLK4YtC@WM?_0ERM8Z%p}yZ~GCuzfOKTSGVgb4JUw8kg4d0;gaO}LX~yU@ zoQVA@k2zS8;k>{ebIIAXr2`+2$nMl3E;PoU`)Ip3X zBziGT2S1UAGo8MmnMKKgBRLq{@fefVvmNdzE8D*oAsV~pmcwjBBfU=&`V1t_7 z)?V*fnHZkLA07rOz`DIG)2y+6Ph?A+Pg7>PM1-f-f}np>GYJ6zs30{HFt=UElx^UH z`rk8UoZNs}tJ`S?8r1{d%^X)C()znpxVUV^1k41p)U=YlFS3_xC~FvpE!aJh8am zYTZ*jgKK1ALdsyB2ycnIU&-qFw$AG*rmpZ&)!m$ieBYm&8QBi6QqUKD-t#+iv%*V3 zq#eIdTykveMd2?~()+VIb+_pw-*o?N@=ecM;hl)`C15Gtc%(qpxUBZ~PJxyjR`={f z%d=8WbeT!|JcLTFkkpq40#+0p|au) z@ryK_Gui|4!)ouPg0$m`9KQ6OywGNLbGeqMd=a;A^VH$cr@Hbc5c+QRq&z@0*DM{( zR+nl5MdQW4^d!tIy04sWOaIQe5DD*Z`mA|Pu_mDDz+-{dAoqFU(&r-DfK<~ePU{j- z!>@hmuyauTHsw`^#;JYivib%HYAsorIH~e+YM1MBZ9wD|RbWSGQ>|wJ4%#%Kk2`#?TRE)ueRQ^6K#K&5$G~^ylisr>j8{8+p{u*Bw+tSzJ||QW{#rn*Jp(nDtlw?gRX)5lxvu!QlawmeUGz)Es5fAzWmq&f z&D1Iuv|%l7@1qlAl`8SYqu`*hcB%vKmkD)`?^cJFKGjLB6>q4`j*%}Kp7&VOl*CuH zObaWdfk_~QBgxZeOBDJQX_1=XxpFNf7#O*bAkq?znW}>gr*p2P^_8?p#z9EENN2RE zT$dYu=f~|eo_&3y@o()rMoJ5l2Q{6&UXB1bfUy48n56#l1f2R>stFKzC-1p*FzU|L z){N*RSx%PtZrfU)z!X(T)%4FRy846y+8L=j7z)|7?OnP_U~EwO`vK4wCD0PprYA!p zXO2kAwYn9ZAec{=@+yTole6lu(h`&6u@|%YEUC37w58A6KXUX{&)T(ho~e=T4XT?pP}_9S6V-FAiKb(gTRx{ZIT zx2tE)v99DcA;oH+;!#H)-*rS2 ze5cf8Rx-P&xb{U&Zd&V-K5v#<+4{rqmb^@^q_thKK*uykX^bXBG+vafNZ$s6!Oi;L zL+hQ&fRnQ6^4Z7gNWtkAF3hj0`Xv|BCi4E2q_@;x?p62nUio@jW$|UxCE+}rfOFkj z-&Tt%`xHtM4H|hMv}Nc@v$QQmc{WNN!p7=BM4qm4n3tITN)C5E#^Yv8}UQtGzEFCtFxGr4B6Vn_y4IjSX=G8n^T@q1H^G z@sqFx+8TOYzB|kDy`hCMb^inW^6rengq*A4-`36=F)x zAogv=BKLY7n%+K5tWs~EdeIk^i*}Sjlc#9eTD{$qSn;PU1`9QD{AleEZ(&*B&8b3syhOv#9T_eiFG7TK%hDk%F)Vs~RCA>nI?O|o}eL6dM z_3-p`b~pPhD-gW5`e%&J^ZcRJ-)#E8G1ZO~?&orG|FLvsFLrF3p{ddz!8*UN z98)UWfxi&A)$I`C@2&nTr(Zo_y*>LN8v0O#Ow4I|5PCu~+OD9^Kv4#3o`1Dq^|x!> zdFtf0pU>QooU^#@r*ivWSp8qR=0c}`qNecY1`uSwVD+a+Kbu!hKxY9ai@ryeH~p)t z&@I04`dFJJDN!P)j)<$vuKjPU{*Lj3njC&D)Nk2uHG9{jKPA+~zz5p&Ly?XbSaCzy zQXZ6>DkLv7tUz&nai@kE!Hyry7D1QYuklgryn2S_A6W{Wu4ZvOJcQaUy!qi?dg^Fqmu z#-6r$9$+Oaq>oGTUhu>Xng2(lU;0NsV7-XX;fwF)WOPB}ryN&mX0P15(VC`Ebp-~5 z_m)OiCeG-L&1_sS`cvxMg#SCEfBE+JMt{yXr(?Q{M`S0;DIlS&aH&&YN)9}s28*;Kh=}>myX!8@mno1ft-g39r?SKM$ zBU5}cziX`r(lwn5+KWE+P{)QLA?BAVfqKkBQQb+DO-eO>0gXRLr?=!}Oarqis1yu9 z8G5YJsTc$-XplQp9-y=_E3g^bIm4Oe6H@86TT%flq4k_-dY0Dtzi|4K2ab=yUIbpT#$QxjPN)?T3z||*<@eDIi<&@< z09QozSp&ACid9-p5<3(CAB|g8+HSrpD6P)~%76R(D}Wzpb>+VI`R_>5kCfa_ot&A` zkwW3VbiPUfy~84Iu=oc&){Q;QYe(3XuV6nuR3asoK=p4-uK4Vx( z9%RX0o!zN#jn2xxg;xKJQF{0oLnZkJ+D3s^Ku-LJKNLcNPJd;Xv!mLd)RS*gu;BD} zr=yDB9OTXtf7Q0VG0JdvRP5V2<*Hl%6&a z(0=n^UzLS8ZCXT44gRd}L{5(!n*F27|1|r@5C50huh0$yl|o9DE?}E}GsoVT$Oc}L zr?eU|>=v;2`p$#nVSQrhB~9Azu!vVfH|TejJ2zN}PY%JE{HkNLJvTF?2Q&(a<`=NG z1=j^=mBti!FOMsGd-KXZsmgu!e{%e5-2z~T3q>?PxdV2PjN6YvWhI<_Q%*e#=X#b| zO#qclB(nHG%-({IjU+W?yExUFLC~R)mJE;4|$Z4{wSr8~t z2LW})z9jB-n1xH;^~i*TP^_qQf8F}7Mp7e-gZ^#nFR;r1`YqBJz&aN6DD zzMuoV%Q6p;@ZxeSiN&YUh@HVNq~w~M^evZ>nx2m z7<{D+b{p)P%j+4LZ+svK;V$?bwH(-5(L2{Ia(@7i_rDE17 z9iTqQ<-(SN-DBc?s!(YErL@#tdd@eW>s0NZ=4n*$W&oWcN|!Vh&WVJE>x$Z;3oTQU zv={vvbfgR#{A`X>{cYaFjLxL^MHk^CZxW|-pQxEUc}i|ZgRHG9@wJLoTnWYYL0+%` zZxN@#p1X*go5P*;nN!fJr&V&Ga*&s#m}|<(@KG$hMk1?f1O9WZuEU)-qnpF~rhspz znL<{Mi)v?EFTysuhDyzX$XMdT8?$T7?1PP76B8x3UzC)E20Gm@)>>3~`iS7|Yg@F|eJfRFdm_028*1#uFlXOOg{}a~f7d$y zKe_^73VrTdxc}UL7dsbj+5_*^1Ut82-z(jH24=w8(9lBZI7%mzozYcv>EGBYSC~r6 z5sE%aM^QU;4@{_G%PeYpY-K0KmOM>fMJCh9wiGI6hcVg^J9rERnT`fvsZ7L|9EsU} zWnE3NW&VwekTWZhFfu8JM5$R_vW!H!L=HfIL&>XL@jeRH?Y`zRVomucAO8)hq|kls z&S3PF_%9eq>8x$+0B~VP3t}O6UWf+ z@{!ltbrj{Tjhm0@K4l;*@^>zDO7~_fjLy_9rM=77dWzUltbMl{Vb=Lbx84t^9iL*o z9mTBuboO4*ws4xdY82wCEq$lc00)nLp=Gpa=fCVJj#MwEUJJ=_X|((9Un#+e>q`CI z%e2z1@J@1{vv!5Ermbtiyu}qJ4&v_~EVNy+Re65!)!*=~{e0BA~t;AM)BlE>SeYK>B zWkcB2>ljeak5e$a3iKso;9$@U=ICiYZk}jJrY;tS%={H( zB=j(SZT`#ZuxEtbCZlg7Jboaob+xo{-;cj*z*13>0DXy7-a1@%PItTe(j}<*UrhS1 z^zF;-(W80SC{CuwdMWF_jZUR->aA94yWKi=;#)npYvxAFj`5eQIUY*bJ#+lYg<^NY z1KxajTNZGdUc)$>=~7wJ0o z8=7Zc^hn37-M*(SIg-J#!d4l7w#l?R%Of1~-sPi(UAyG<>=UCvod?Gm>GhYLD7HJ! z7HNN@9b~j4J@@z;EDYYoXw|JNCi!11wOqmS4%7OaD#UDEm+`H|`3j7lzj)Mi*M-8@ z-(#-@2Z9~83GgYa(1S%i#MXRcLsV{c+3kx5grlub@N&A9_{+Oi#Cq!pc#ox$uir42 z?lxYw__~YL*_)qZs}4@?aPy4VW)|?xt#jB`BOl(^{-5X@F|-y<3LaPxcQ*V;|90or9n#p3z5SnO*f1;YFxzK#b1wGRt5+a&kE36#+i!R%e;29N zLkpv?{nxWqXYDs!a0;!P``C0V!~M6=4Y8ry80%uSk6Oj}-+n{c8nUql+nRf~k8;u@ z0%z))>_vZV_&M8XvnP6ZQ{(C;=(E@_x<|3Dzb~M8d*@`f6nv+%xRBGkX{%e?1&Vdt zqF!566ZW`Xa+0#%VVThusBi6#h;^tSniy!X7tP9QMx?6l7*<&6a znTK8H{O6uq zm@LFqh&;^C47L6CWEmrymZ#JG$`RY-kz`}UU5-1wHgCXAx>f~XC%<{4OA$RY`{(Lg z)h~;-V^h7GZmu31!>nVqx7&w&6`eEj0<$&Raz$47`0pk53Ga%miVHp5-rb{Y@-*JC z*+a`{^R%djVi);0e{HzDIOmz4p4i1cM3I>@Te0zrgL7Pr?vXC%+&ZQ_N{OpsM4Xtp zs%B;PFTcXjr+twTYy9!iyG#?Ak>v(!ci=+Vbbua$RmOkfOd_o#>}>U(UMkt{n}5uL zRUf#n=q9!?lSEl$g*mPW)7|xkglmM@Djgq;{0oUX!OVTk`W2VcY)nX6Ap;a!9kJ&M zSIq7!opy@dH3!4ZnVBTLW+KdQ=h|B&{fc{x_+rFbSJ&6@3vAVP?y1!zqb$tYHhTS0 zJ!fqbz;O;_MMPG?|$C@6aZ2FC~(m;q=Tc5Qg zF_7G*nuK*rH~(rSqu6=iKN=WbDCd)GhOpp}Et{^AY@cR-z5InBNT=>QEcY>KZ7bYw zi_B_qzwTm&eO2zPq?Q)6p{M=^_c<0L1Q@ z#iiv|>6>DN#QJKxEYg;M+}wI>PQ}~CjnT5R z*OoARb{iT}?Di}{T*>sy2@}FO$4>`sJ$aaGw=)TI_2A!GYxt|n-~SXz{7{MOkTc0u z3NM#~i=~;jTPJSI{89eh^JTnzyKO5sn(=(y+X!2~06*8iFRcCEqlQkY5j^o?#9T`| zv-+S>?oT9!R|)R4+`0yH!ltYaezQ^I>>WlraGBy%w`78{;h66kcY8fHJ;`=O*uL4- zB)?d~R>-;IMad%h2O(P-%VoaXnfi>Mojd|uHpl|@XBT;3s}{TaEk>7q^li{)%&x&M za96yMQB_F3Rqh;`i5)I-$TI482{XbRYjof3k3g?cJn+|MqHiLn2cmsBhyMgb?I~kJuKiGTopeE10?;ElQ5`t`Q zfG7dkX;EANK@owl%MuK0tD*=Btpce+h$5SntuYW6AT9~WUX`V?B(=p25UjFX2qCQn zku@zOM6#XFf!BTC&vVVZGw<{M`%am`vD1l3&gFM}kKb_~pO0dA%kMhGjT0&A%yT3b z88t{G*`O^4Q}-|_8aWy2RL=H2)HlZS*@x858z4-B&HdaD5z~**_L6N4T8a=Xo!eUAn0yzR<7-1EG#g0`=5Ip2pfA&g{#{o`4bz!;6#DV(9v|aLl4_AKH;yPB*s;>bF7L z_-OHPf9veLY`Xuo&t|lv%?4|my!ba7@vT*bjwx?f!DF>Cf4JM;9r~zd8f?VSdZJp*@nVDpo4kp;!&nvgyu($?7)>5wn&O~-@RPyP6v}uZAZ4}G!Vab z=_rv^Ax$wgoDEv~F7Ap_l({zu>E<@ZiDp;ttFkX1>dJ+wokQC*zF2QqOm6w11O_{* zX20oAqWN454yz${Z-*fmhx31hff!3Ratwl@_7_Y?Bv%;R_q^B^iU@*X?3tT134t&T zA7g}x-uGB|DGqm3+gfpjnvhA^MBnL#I#e>W0Unz@!L(1j&TTbb6Xb7l7KWPBP!i>t*lgq(E%5q+wMTa zY!*oQ*_(=EP@8xUV0J#^2-D;rd_f@cL~%o4U-#36mY_~jm!qxHm) zT;dv5xE*djUjF`qN8f$eeh-90soh`1KzH{Y2>S)jn*fC49gl5@II`D5mhLgnb$eQy z$c9LF1FW|i+I)rqz5 zQ-7ydr~@r7X1_45Aw10gejV6}x^ikC^T6iH!*%&uPIE5r@4!NCqAiK>=gEI#;Ui{0 zgk3R1leN$XymrCDzeCebx$Q?9Hc(Ffc&%lkwS#Fa*YkFdBqJOeJf3kif6z-Wi9V#} zp!u5UzO^^OEWm_0?+uIHSvX)Ee`=ow^J`PIxn16yL!tMN=^)8Wj{xhhZpXpY^c9@e zBHTCn$|6|kiNBE!3@rnAC(_X~{&%D!R}ZCczaznF0O@EO-m`G`>m7Se^Gej2xSXFa zzl~be&^wgWun}o_ebsE|MdMG*Z^{uSVNVQpaLzKX29-LJc}%+@;%)bo3rL5=&>qXg zFygD`v{OhM1V_i&?7Oo^@+Su`kv5p_esSl57s9lev%$^6J!2QrKQRh*=g^K!Glc)g z`6w?LdR%@Ywxxk7%;hB@%e&ZsNJM?gHp{Dl$b5O?gmy@_-f|C_)yBWN^uF-S)Z5ux7*T<=F^;p` zDQPY)K#~oAA-fn_{E&{OS)Q0da9&(X+`w|}zFMx23o4C3SY9~YVF6>25T=%>U(U1y z!EWr?f2Jkx)^>0M>u%`ure``{r6U($dey zz_Fp;r>Ni$gFLL+#ztBT6Pdc~`QvFd(>F#*8BZf@zc3yD;$h_PgO8mG21wQqA{Uri zNLaJaOEp&q%;oc>y-i2T7%*577Yw7Vdo7WB0vHX51H?e?7ZSup&z~&N7j18P-m!k! zzM(+TY?xwn$6(j3&IB~mCdbdTYlZ0_%q;z7#~%Jvsd_!4pPQr+6(00tu+)g;dm!r4 zonL=NT815hES^4O8W{=ZVa(@um>y+DJM}|f`jj9{?T8HEx~+8V4C>%Sv>LWj&fTVQ~3;JaH%WLa8+Qvh_A7)r)?h3B7F?4NsOA;NcuMuzHhLS5 zchff5D)H!h4JX(kEfX?x5CT zXRCAC3C`Rwim=>8L%}z_`0BBq+wd|oX2o<)ujL^;)UXZd%y9j(Y69W9$+)T%d0|53 zV|CVD^SMcBspgx+gP}jTBjww&5vHeX6nF53#B&Ib=4dNj+Yn~7IETX!|Wa8y+8%hOm#&1}zEMS0^m;M0~&;OtG|9{s1|5^Y4XZ`=5_5c6huK%xs*!%D8 zNdL3y4>}6}S1bS!nYAzfzpVQI1)72XUiF8Ab$d9`1f~vyTjql`cZ4MZW}m+vY4f+5 z4H03f?utOc;r53reo{x;gBQU}f;PfUM2J{-wKy0Imc)SRAvEFZ_JhX(U~rGuQE!f* zJQ!+8YNhI~9xy6WJtPbMZ3^l&;#+S+SUkLco7z)#81)CZBLaOH?s)sE^EXIG??ogB z#b96%7!6pwHlTM2?lQm8MmoYviE2+#FG%WCL_fUp^4&Fi6UF#%K?G}cK#lM2bN9mF9J#c$?b+F!$UV5;>Y5dzD^>ZY`TL%0( zM!D_~5jn314^jtf0s8O=!Z*5Sv)12Lzw;0`%Ft#T9Am)yk*eE2eR!dd;uyTdRb<0I zz1~ddVHiBq-32HQ+Rt)uucresuZf%Fx-L2f#+9fU7{kOMbMqbjOoS0eU6swaXoxX5 zWK1P)-b2*(hUx!=e`S)uFn+q>7U{3|_|VMKMX?pZUm3GD3EAj6A?P0!5}4l=+ueyIN-3wDK% ze_uwdLA=v3)P%*~7RQ_KBWYe$Lz?Lxfc<2FACA~kz4`ZGcpT_k!3LrU&waGc*xiL~ z*98p^lT$XR?R1iLCW-?~%Cfo-Q$E9wa&)nFcM;pwK_lSJ9<)U74MQssTnVq3xYrJv zx52C&|7v6>HQ406?Y~Ok2ajW3Z_;bteoz}6nIwGoB}Xkdn4Id@F*MKP_Lo`s;>NSh zrofe48}#ifaCmi-_u%y=%ha898WA=d;8$SVFa(47y>_I%KkYqeIt4o77HZm)I(nzA z|AG^5@4~;=Oe4V=>Vtc}VK_b6BSw~*G_~jNq^!f-TiPRpk<>#B*z3}D)Dz*qv@sI( zQJB}RX~71oS;$}d**_CTnOYV*|0E(tG|kkek}zOvqKTB`eocJ@JmxYrsK0gdMTS}} z<|OO|5mVtlfOYRfQpIXM_w^raXk~2p^GkmX1QDaYfxH!Iqr~_dnRy=8MIG3F9-+5S ze^)Rra%YmYna~?y{jL6v4KEm92rS(6{wRaxl8T8Y_50H=x#%L?t4a*NC3-t}x02Kx zU0Y1Gv-~b1C}a9W4|uFTigDLm2HW?O!J%n{_qT-mhQE6f8M{8L+pC>SM3RwIX1<3W z&f1OWD=;XzgY0F0rw1p7W+44Z>M9roAwSUC@YVVqKIT0(Z?M65#H($8U^?K3&s%DC zc%CI`#*owe1(y(02H%+tGvM-|Kq9$HS8bhPEDZjwg5d+69r{)mo?>hmJ2PB1Qj(mkYfg!ryL#n(h zJJhy+xsPRb6yuF-5#f28TYU^3Y&O@ycSOHIdcPuW*x3tr^wEmkzeDFJ41RQyaRmQl z$0xOT<}MMQ#W-{t*;^tn#P_VX(m?4DpS*VYTHDIZGdgJ}R(FTtMU*9D1@lB_Ra4yT zfpTRw;}T*g_oEJ2eZ(tCCxVQVu*k$Cv6h(Uh(yu`ORX~og^Z1f+sp!}2%7O@20ori+yKfoV~f}V^OjJQrw6( z+@O!7_KVGTx6wNAk9Kuto}0fMAvrxUP}pRbpe^_8`RMT%1M_!?m>FPh_f;->{~4oV zA|@lgqT%!z!zDA=y9;-`(cyRS&k+vRT8J5w+lF@zpp%C88{IiY&^WN=4mwu@)5EZW zHta$D)@QaW`i;KQk0E8+G&KZXwGc}5z&gMZ0^esv)EwHL{LifvNd157sQ`ornsSwayBCkRgPdWhA3O7 zpQ>3w+RNM2tDdOi3D;y{yw`bJ5Lj+@zMF3mWF;7j3kE07PH+rvr0w0g3x?siHR*q4 zz$U^g4P20=ni%q*Z+_P+(;JHLO4j`s!-RzL(!Yez2*f09;Ob@Wr0E(y!Z36EGJIl^ ziCgd45)SwkV9GD)sWniCkj2xON3B0#hT%6J8k94Q!|5C!+f7Q=2(FV*7ff*Usve4!7PdON4uiNE?2{eZBQlDSns~@DA6V zq#KcJciN201C+re z!}<{XoeOWjMp*nFWYQ@Y9@e}`536xHp?pg<$@HE^g}#1}#^v7#JrOSFnU8ez&Y~P| zy+Ic0?7YCJ4Af6|@Y%2N&?$a>AH%a*o$vcQv3=IWf}|!_Q(1Xu!S}pO#>6z285~1l zh-!O~u&r>%-|rb!lhi_dub9^`)NQgBK_a1MYDoVzgCF(F)ziPP$t2)X#{7cHLP&?XuUJH@)#ZAJ_4n81NjGrlF3;J@;(Q z_y-yH4b*I#JeY$PnlB#Up6G8+&?E|{@J|eg70vi4@BNz6O<%45)v5b;d}bw1E1(A7 z?Xp|Ph=SvoT~3`a*gGStS~}1Y2ydjFS-#L0vhfH^Ly{ERKcT&LiPdNk33cq zY5O)K)2uBb-1B7+MXXkj9Uz?;G|k#*nssasPH1sPgJ@tyuCjHpP~fk6b~t6|9cVs@PdElD%bRT1n_6EIu`;s`d{HC*66;jzLh+<6q8}O0yxwP6xy5o= z>um}CM|J$$M{ha03)vMg{By_Kr?z}@dzeFDO(c}Yb9T^9kG3GLZzx8HGVzj1QtCiV z+2u2Dc-IV~gS2pO4GZ4bT|(Hu`EtISQldpfV|eZh94(&xHLmumzSVv$XP0jr3rM?q zv+2IhM=;MBo4rsMy1zBi3jQXCM~Xpd;)w|aty5fXiaSojY~ywJFAhYhX z(9~t%v~9kyJz=7CT?;>Kn~c_7wZv@0-}BaLI+*Ha%pqA@*?ghlTBP+Xn405help7| zT}x1(bCYP2cFox=N8R~7$!ZyX%jOh8OZ>Z~QDqF4r1@Iop3{*M0!| z)A%~U!qi7Q?1!0jnu`-+@HD|G(-Pm4q)xIprfaMD%_d_G;c)pQi)?z5Q%dUDAVT~! z;dO*zl1l}4UjpG`f+6m;t=qVr8#<3gU44wbEcsW-{klM#EvM0@YgIU8+>PN;7WWMH&|B!v^V zvcD_c#nDYREY8$s##<6z;r0a}9JbP9zKYuhzv8WvcEYkU4UHjb^2~xaT@KPNV-!zE z5Yp;jlxR0RPj$QZ7sp&3Kb!-nbSv<0)3PJC6Azw>bH%^sjDLZDuXng~7aupL6UNiF zSdI(Q-&}Fr=cMWFbs9GcR+wd1$PZELw)V%9%rbNix4!a88ZOxUdfi@F;a|Z?p5}`T zHP<7?tlZ1%f_A66dt7bSBfKYRy*BO8KscW~%`kDXtNhxwF9Md5rGs0Sc^e}vs2D;; zaqOm}+xM?49oj<=^3nR*cGewEZouN7`%KtapAEM7&MrM`x9PfWSA5T~OW>wmLF>jC zXBUij{BkdvnK}P8nV8yWy^N)-%IDTC1y|$8U1MJEuw+Lb(7}#h+{T1+2MiN6bha|I zb94`Osa2+UtTJ4W>|kK=hE?G@#65@bqbLtsL}H$ww@%>sxB^sC#QL*4BsxYOtOXMZl)w+s`-F42o~zzkct6Bm6QkXxswU?$buE%Y)@vS1|L9a?y^lc<(uy=#nH zsi|{yX>WFF-PGgMzQ(^k!z?@YsiYUz7OhA81)<#d;hv@1Wn+f}eRg`Id-1OwOw%qe zyn$aaEY4Ew*ZV68-^2MU-tD>B*N+=6o?1u9Nb=T4-%UNHMu{jmhI*P6h|xD5!F5M? z+)uPmJ+MWkzf1GtejmO2=DRNtPg>~v@5eniv%6m@d#U>`&DYr$PxOzc6j0{50h52< z;(&_>?!6})>5yKNdb*j7PJ4;K-PUIad_Eo;+xDKJ^Znh>s%I$uTAln;ftm$hVU0^u z^7NlLco~&mGn^D-e!9!`M&4<3*z~jh(@okyJiUe`)Y!DPdiT%IKS$u7Bk<1=`2TnW z{=NSH?-yxQtq((jO{3fXyk>1k;WTjmXrjo%!qj6)8`-^G0Qvds64d_6xIL`sV(g%_ z$`}yr1C-x#)^k36I2F=bEvXAd^BKx-3m%*+`m?JFC*yMy*6(f)1rXL5!rdm-trp7P{`&F=an}g-^G)XYOV9i-SF1dlG=A&`S*k;`}$NBZ6yuuyHrPN z6oKaeNJJv5)=63}7;UP;*A1}JQfjI(@_khpWd{d6=X49uhA7Jmrb9RCbN5aCCaFmI zT1ETtdTo0vJ6>A4q9c-r*dPS6jUU1}s1{X}y^!;|LaNi$*w%G%wL8N}s0bEPxSESr zR0%=J=5ST7z;?Q6Rk&p&=ZoojZ{DNkD++3v81EXXIsyVi-JDoVkjysx{)pB4hOG>H zP`No=*$xgg4I-c6U>Dm%!(hq}^`yDz!Y|oiXRh;#n4{@*j%QrfnJIfX;t!B}4Sqa; zg*>7RQ|<1<)2COoYMj~p>^zee)qK5=AQtdf-nD#Bh9WtdydEWm+Fph0Xl3R%RHJ9# zm1c+^PZYHa`|yFZF8-zUzT$7E2Ypxjx!AK(Ks1`q+d7ym)v9ZhRGe5XxKi7%Jd!qC zu~8-*FCGxasUnv~{N4(aC4`x|hZ7&mSG4QE{w%f1_GvP1Bw z5P39rOGl4bdu*fyaAw|BVTB{pS)Nb3mSfpU@-aQd-G!zGMX*wMz7MD3FIQvVgz*4+ zrT9u{Y9=@#sw3jzYI(b`?fV$PN#&8I<+dwPbRHD7(zr|76bf6kv#-L23m-$|`sb%p z`Tgj}^gt+_CvbrzU{m>MA5Jb)Ku4NOE$Fk>Qt@277-!e3q}1Ttc#4UFLE!)(4_UYI z+eK|{SEYbKpY>@Y_prT$7&*no%H{LQh^VUKLb+|Vrl3Me5zXg$k3(syh=kOF+-Q}A zAZvG#Xs4!<7w_~lUk(f61XmxwgJLFC6mDCQc7!Co?fcrE;=8V7W#2o1WD&;o%}xvR zuG^=IDp?gaQUdt?5pDg?PYsDA1o_-pxudjFs#P0ccvHm1iaIh>l(?!(tI`#%P+=K3 zAd>?r)KTUOdAf5~R%ZDIRe!dZkUq`9HjSyGrda#fO^WftAv$|)FZK_K(zt4k7Tyjh z@-Y`zOAo1jdw%ejMFj`jqKK$)@*SKXiR;&_v9bp&l@b4z+5iuW)LYG07VY>PY(^^B zGupu;DI!#vC`Xkf**O-+)sQ@P z(Umnsz>$U0m;BnKkS^OArj>nD{cNgmYND`3)DMNkseEgNtQT$&U=-UgfA}uBN~1xx z(y;AZX_s{vZ-Rf>ZlQ0mrLnj2)P<3St}OOjAh%F)v5iht-utv6|Bl8-?b=8CZ~z+1 z!JY-XY(tt96v1j$&GhU!h#aG$$fezXjtMDZLR0P9omB}1$rc)XmX)_uVdIb={d~R8 z0^|%X&9LZU1*N@nxSUFp1Kz5V(%hXm-QRNcLNwJ}b%ZLsB3u=mdCKhMr4CPWd5?xaWfYnC8Z+AJA84mtA36~Q;iqOJ9^lxN(Cj>X>5fMYYUO* z%3ID(vro}#6Y1T@#)_}j0xTCbvkRt@@_Ky*B2~!4YKH)6`h3y?)GsACg|o7!MUZku zD?D5TMVyC<{!rZ1404I8i2AI{Zx^vk%h&~j>5aY0@RXSYQ@7oH|J^% z35}wP>S_BvWM~zlOs%l~wxaPT3P)7$x-QSAR<>Q4o8V`;oyonxr%S?N%CssCo@hyU z#y7Lgsi}Pz6gHZ@Wp2D62Pi`{XtPd%P(*rLK_h*x;yQJrEO}K5iic#Co7Awxtc#2t zF1k|F_^zC4CC}Z`G@AiYR+__?hRrU-mReyHgFlA#1O8-7d&)3)4?D@BXQRdWYu~(= z!s}zz1)lXy<3!91s3@@u&)TbH3(Z%3Q>o|KHy%mPrK(!KpY3U4C-N6Pqg8-UHpORC z0YNNUctaJY5b>z=F=fO|r($e;wfs~Iw8m~550itNx2r(=ZR+`ge3rbm@_NEl%UD=r z$G$H5aQQ~wqwJ3>Ldpt3wFFUGRKazb&QtvY>4yP4zy8@D)HF_Qg9r=_VSV4wW_vjr z0B{T{@C8D$yW{h{;n*y>8c~Dg({7AfP59%s|pTQs#XZIv&s)`)L}tU4Y;|o z-&dqd-l-0!N#u*yrt5RO$>z}4;GzjHW!_QFEuLK=q(<^Czbr#6iG~+MbFCGull6UU zX8@rAcS9jd)gfda{ z`UPSC-&l7IxSATa6ubuie{SH8?Y#~*ZiD^X0Ly{;Z>swh7!IKe@Eki4+6t1oHhjAp z(g|$f|2LHw?Z*ZPqhJIZlHpuF!#VJ7YjFxN+I74Q*O^A>9l}jgXV+iKv;r(6fnhhx zRy|^4d9d}=mU5Y0)rcL68tmlbwrkc#z5S!qMxE=M9KZ@Od`hb!V=s7^Oh?{WAC=-{ zpf2z`J`;{3+aT%H;b=(PWIEA%qhyRb$%1k*XMoQf_p45DJ_Pm*~XKbl#PS@k0zK%8;!Hp6zA! zDk`dQi>@`wD8cbpoQR5gKe=;sC?fT!G6bZtG!DI@AWtre0^~|ANMqE2x{*3Ax^Bm0 z`5YJ4*sn^d0EYr#SjsoGwHgotnAS6iH**p6x!6fBEL_%SFVgjJz_*xs__% zRM8pdbJ?Wmx<1?@3lJ`kKV+&2YN~Ovvnn!3W;+1NP%@hqPpv{j{PJSJ^dApP2I#`7 zFC@IyEA2w5Mid}W!~&MsLKSwk-A@(PsPdBm<3zNX(m%F4maANqv`;gd z4Mw)10h~0l`Kn5EnuDc=RW|-&H53Y?je-v|iTup76at>*G(Y=Mp2;wqqp_gsfsPEf zwtTN@6Km0gWlG99DUJ%s2X9d9`BW9DP}=MZKyF(c#pUj<9k>lbH>353{`# z)W&MG=*{@HHO_?dF(^zrWgDAElFBCv9w)g0(i=x}wa%gHb@g`0YE|hE=$3#iNsFGy zIX|}y`Nb(H4gI;(??#^f&B6LkXA8SJqMNT#S>wf}9d&1*$eHmn=j6gGLOv8}o1C*1 zlGpnJya^$8WEzb5EX47)!j6swC{i-Pw47`26YD|L39iOsdpAVcD0%8z$#LK*Ug4Bs z6UqoX=PJ!u{#4G~a^5U?s8Sj6sIA}}6sd|@%H9!6qZD76UQDR_{^$+fv&B{@va4*X ziZ)&dnC}|O#$|psYx>elMaWwLS4Z`~2$y zAyFGgBR~>friyZS)(%ZH`qV6T3X?{2w$4mQ*QF^b%)dMAtSeacy3NN!VnD%lrAr8q zh<~|lCC9rbwc_p_dVTiYbJKlVD(7U5hLpalqRcfEBmg|*RhBFOFclS)`ROm#prZ@2 zW!~j$&z#yOSZel?IWD$#jmxJ9Xqa0n$QJ_ewnnOKafN;TD9?vYk1cyC0Ley?y(MFs z`H~Mg^ZRgv!%)lP+X|*v;+aOR0LZQ7~41pD0^vD91Sa9h?3rRrIDTv9qDQ-NNpEN2=Ag< zONI1|f;>U=XJ)E`&H7g-Nz~tZe^o3<(Ogi53|z3d^*H>bza!1prnAedfYxTo;gvNUkkm;CZ`qWFD5%r zG9X@Ip1fB@2?q(zX`uOg;K{+ZUx-vit~Nh={74bBEVMnkLrGMODkB;KP8JA6QUX_2 z4@TqcKwd}~Y^1BXpgmE}d?_6PR;1p7JFXSE-T-O>{-a8R1qts8K6NhD`1HeR$!qsk z{R|C6l-OCrg(hk`gd^(jL`NmEm ztzoo`viM*sxY~B5)?QwG_lS~G*R_V=6%$$-6Fc~qo7=96!2NsKsq0w>YqqWEic>gk zZl2%Jj|-u$1?QXo-}he;F87^Clup&pOgApESw#v8tH0E;F`AaH4DTPA?-2lGCwHZJ z-S}!Bjx}qQT>i}%%W^?B(mk6#RIX|(eHlsXDtjxCMfalt88-GJFl|B0wAfJgXN0S z6WP}%#t(D(4IGVL>Pg<^mWL88DlM+-;xc=~+cnx`U6Zo0FtAMEmQH8AR4P|EwNsFD z<`M_{_)KT!0zU_U|H7l^#0GT>1LsdFBI~*GF+nL5+0eCOs)%g#ZIgp!cy1!cyJKzz zqAZP7Y1GWV6DC)V2M^WRFN?LQWBjZKlP`VJvbXZa_`QH2+K=NV)OBT-7ok&Cw*6Y4 zNu0fd5Bt}yDOqDZGp$qlV1eH$ERsmm0hSed2t_WXO-pBYOEiYqP~^+Ts5EaiFM42ddERmgf&QQ>9X z33KmSuO^PLlwp5YZluNzb2Mf|3;pLK(i;DoQ$$ zZH1^1cvv*J@OXK84|`GjOUz z5X2rm0jy4+w#Mcw)LOtVUQ1wZ8&ZdF$og>33DPQpvQKAdPE3FTIIs~(W1|OvJPooT z{|%d1VarX)bK`l=%guShZvnr0>esDDr1Fb z`Ud~57(BcRLYMZ4gEFe1x{7n@ZI%8IJHj(G%8eEAX1u|tE89!N-%*XF51obL<_pRy zkukzcfKRWfdIx$ssi)IJiVCG;9F2CnYpNp+J_`Wqr77ksqrO|;9F!1Jd_{7jyk}oI zqC&E6b#{V_8XN+W1jFHxneq8J>LM3Y3&O~jyJz_}lCklk#l~(W*?_4|Ju}%ackbnA zh9`r8LD)=@5@hmKiQsC#gb+?&;9ws26zvI#Ys-~o1`gob!0cRnZkW<~Wzh}%YmndU z?mkUvco{??`$e9t)|lhbl$7bRmrXq33V|v)*{>mAo9Qd~{7VDk#p zn9x{3-YRfRR%0frumkd&aesG;$+4AUyo#6B?3>)OYgNcWr_#U+q3~;+YHgyvR~jP= zDzf$1^HX#R7sG=bI4}5BC{afn|Lz+W2MNLjm^F>e@M{NDq5^9-_2y& zx8;XH9V@5~C7|=CZiIq)8ylX?i}x>-Qd!Vgo+mvvO_-?2F;a>KN!38#P>?q?#Lhh= zm5l+o+e&5hY8&TB53r4XaWY zK7#^t3#n{Hhf$X1?#+dVUKF#OXT$*gT5PZTa zmA7mmZ;A@KYavnqI!o?8RSH~*SgA6#wfbbw{Cj6=3}B3k*5sgK2fnlOuotZjNaa4e z3O+QBtm$fxdj7)X=^lP9)YX_Y zzEGH}Y_IE^)EpjB)(M%CvjIkR&bVPzO&qo}VrS6vU^B(17Vi&o0pfKjYY%e{Ik^ofLqc1^(6nxh1FMu@baGra=9_U3wJU3^RqzZjW(7WkW+R49@@Zysgogooe#@rC z)n`-dq3*t==*Y>JO-&2J`0uifW;%=UF~a1|XVZWsh#a7WM=C<*mY|=>eCAVVtvedr zsUSh^{9eFV45hBMtNFPcsi^-5tu}#QTsg`wXMa`>jg4QKfQF98Ug(8q$;$H-qDX45@a^z|NVZw)7sTHR{vp)eiE2iA-;m2 zxzq~z${HFxL&D|!Owqns$r}?D*F z1Kn$8IKo;R!4mvx|7RPa8DWaDUo*q)VWRZl>%M}m^$&)-p#HbXO5UaQD*l9~t(i@<$JFseaFLJ024 zX1SI-&Smi?;C+$}w?Q&Pet=#E-Ak&M!L@<$?SbA{_}eFcXeI?}it$&BY%$6QGK`Le zO~voTO+|Ip>hc<(xEN~rUZAs)J65oHrv768m!TshFlSIXORBJ`qPtI`>JI=$KD<$- z3)FDO#_mpFFD#E|1J>_c6Bi0HVv{qlBU~{Ya&DAVBgYkf)CCSg))Wa*G7Rr*D{H77 zsID4OE=rK1awup^*-}fcBJ~Crt$O!t3z$$M8sBk|E2+EQ_y-P_(EyBd4wSZ#+0X#7 z;$TIXdSm8Bzy$nkmm8H}YUd{UkR#ELmZ}k*g*j~^A1JC-e5N1rTT@hWRpnr6Y}_VO zGM_1_AHdYY*}fW;I+PBD0vFr>J(+*;QLgbh;NSwnD=vm6T$uRR%mf#GP7>K^HwK7= zG(ebqBt0{wB6W03CNvLDH!P__N}tA0(+9*Fz{kY_IMRM7cv9t4BR^A(3}2HokUuJe z>CVzx8ef>-rjmDYP@&<#D);BcoaYsaZ1cCQ@l2r9Kr6tV{wT*#3I>YlTIb$!tMvZr zjt;Tm>6N_B8X*v-(WEhokoNh46KT)I9CXCVQgfCFcy^|s7q-d5Q31H1S+%?+_Da7< zVKN0YPpB?7a|>M+(p4TQT|5m$ZY)&@3&@ZAQ~7|wTsOM{RG7JeL#l;F&mjQc3>*Vx zAT_4baHbcya;eHOHglQh(aTqmL?Q*>d_-!%PfyG?60R2JOv&Vc#7m_C!H#|1(9(E% zKe|Ew2pEp4Qj}}*MI~+GdqHP4DmXe)f~~9T;UWX0sXSHCntghx_Dsh-FEd1@+7FDG zsHR7+Futkb@=i(m^3zlN!Ru`P@}So_pdSgb7bpTLjh@rBJD{+~DnI#~P*ik_s8R(vb>a~=Lx-y9Tzs24D#kXbT=?`g=aaA>Er?TvSql`N6u@v6btv=v zL#w8Km9JTGvgva+E9h7jpT?dq8cGWvYv4WZsX`^CRwypV)p1dw5Sz`^j}<3Qt4K|} zhY#Z_3)*NM4~6l7K|Hbu+}9z1uZd)&UC+CLbDIzgam5>fTmK0Od0hrp9 z>_G00qCAL|_q?m8B^OfC+93a98=m2T5ew=W?sjb8cZo6Mv^6K5A>h?}&xlR}xl!rZ zuko`VqoNuG91Req_!k!z>ID(B(eW!C{Ah^WT6|Q|e?K_(JO{nnnzh?1O#zm9z*&}p zBG@$FIx-e(5A1kQ;Z$=z@EsK7^a9)KD>Q2ngqw)u{v*o8HQ`s&p(YVff;mAW+aWLc zxLKdGNEm2JGx*Z_3Pl2|zuG@-RjI9c8$l#|+BAHL+B1IoMW&sQ=>A)$=%Kd&yQ8W}oo2qq$I){Ib z6o5;DDw_kh=5ZjBY)|1L1Z(kTxhQXq96M8+_&-89U@Sr&2ZdPYKj#YovizSyIe=G& zTMeRZXA!~P0I!U?P>t{_0&L|s=)fjKxpTy41ih52my!P2Ewou}(+q)SaLL|EqIapr zxW`ZxZ1@_WmrG){HO$)JFI?GJw}ZRc=3t85yV~8sv3i#Pi{A#{fw;lN(e|BTx^}NzfKv~c3GBrt_n^C^2a5^nzo!I8ZX;(u?IRN=7u7yETNDs z|z6(_Ep}NeTA8pp-_J6<-$)dJPMvILOCfY7s&aCpytiKdBBw11C+;M4s_% zo47_%A;C7uYW=xz2_GnS0iU)t8vthcnJc-5i&8G?Ej6Hv+ zcC2G!4yxhN4HWXaAamcY{(C-Kfkns7t0J}HGNF`N~f`uaRxp-@s_0(_*h}Db5 z(&R!%5Xjd;cNL14{uY{JfvVcn1YBOFs{Jb33L3be{DISRs;`>+Zxws=4JdG21v1U_ zi#aE1|<}3U2`=?+{yFy8t-ysbKh%EG7V_J7qj^re5V6O08_pO9q`{WoSh@ zAP9#KNr$U|cXFQ1994!?x3=cchRmVhIo7k0@U4SZ@Uh#DPDX^{VUh1b6^?sTw1;8ul#_+pJ| zRo(pTkJEi7E&YRD1N1hC{GEQov`8UEtjHUaT2%eYkar*^aCyM{EDQt8;gHpRTx1w{ zh$Kb2^~mAd-uWD6LUt5MNFJ>fq$?sqPFth{Ba zNdZ*38x~ib(z7>rB!a4WE|zsO8v46C+6r*K^D1UVpvI00Pqire!+S=i1o@@F&U+pN z`ee6)907HegPduyy2YmflKo6a!_-S3XjB!}(;XiYyHf87(lNl6httM@!hxSg2C<@H zt`#C57k>#gCd#E)YHZ6Il%MYdhO%+Lrn!fL{C>%KHgmUqwvmLpCZmiLVv}Tc$YPoPV-ErRX5xpGYVVPb|jiH4-&G2cp zUIzc(sts&Jk3LdO1OgF|p##jJ|84~xGfF%rIk8)IJTJfo0ab*nCy+LlUtTpTZ^-kL z4FQ0D$YK>Lk)y*!X=V`l7ow}SLNg|6jbH7VTW-X9&hF;u4bf`>XS{f&nqV1H4F@yKND?r}rx$*|mWqQEkt6k< zV1(1;)$QWIQ>70i)z~gBf-8@O$S#`)-?c!bxy(RbAD9XUxo&C;Ru)}}>nA);7~%!1uuA=pbQ1j5|KNhnrHNgQ|{PoJ^T;6DPVFShOb;pb(dfiN&&s{#y} zA_-D%7pn?74|%l_P;B7vq?_#FRPRbSXpf}l z7((gIxhj#37%K@&uBx5NSV5<*Wi0-(g8XJ`^7vGLKPF59lDLvQTs7S*Uzo@r6pE@4 zjr=u3W7)AVRYUHfFePx5{}&FucX(@0tg3hzFu^&<3<&hn?UleXQKi1f022-WM-q%u z@DyZMI&c>A7wO5er^A4*57ywq%EU?i9Bn6RW}{bKM+Fp;ZO9h6fQbS9WHqWm_Kr_? zOIDH|%Nhh%#?7Fkfy&UxX9KB&Hn&h{$sOv#~IAqSNd)&jznSVaP{zJ)jY zu%L2aOoCZ;^MyiI`W8Btb3F#aPVz7APy{ZjJb4P{Q{a*tKsU*p<~sj6owHd)cdI`H zQHO>3kAal8veN@vtY6%8E^L*b2~LJIxh;|e1{2}nbRY=Q3?xb5R z3-a$(9SY@3up^DL^Gnk}WYEx@=9nT%rJFh_oojmrh+(gro~47-2dT@=}V86abgu=aG!-*=HpJ_CY1M zCW|*b%Ls2R|JjP#(5K$fBRt8@Hfrf_-qi%25#ypB&pD4lN75UEri8`OsuaE$-8ccJ zb$Q0Nfg@f*5GKKcH*=upL<5KKRV8&!oW}3sW-g1-642YPXEOtbLD)*DYjaqREY6v! zYaK7|D$eez?Vr57G|`DgFT~zi|D64B# zgbYt9NmEekhlQl++(X@Sn+A`8ip`VF&}z zi8uJ%iQf)7@t~!_Q42-~#{NI4ofdu98W^A<&())gB0%jm`qV{K5FwRJ?56;o4Sz+o zA5`o1zZiS-uqN+(ZMcqGEH0XYvCBfIbu-lm?e| zxCS%dx$Kxyvci$;YPqh&-)0ixzVkO|R zuPcX}ul@?K(&BseGB*GX*Ibmv;EeYi3@s%s;t9 z#s?-;Q4_8!9xHa?W_-6Um`heDqrLuN@sr0Ky`1G|hUS?>>HXG97k{j&&XT~y6Ubgz zNQC1U@pa1RNaA4li0%SC3{ACz57YWU*~jRpPp^5(=j}pAsHXY~2nEOB$FYeDn^D#} z^U|Fn$NN~%1!+=3??I6a6Ru_Q-fJE1#pvDh0tt5E4pI6rH*}&~n5M6{GYweivkWKv zJ-vqViU;hfMf}nK(W9D~OyjLkbT%Il($Kx)N7M{7k5w)r&PUI;R>22QurY0UlC0fD zWuHQwFHAyAb9PV1zBy^@-NiSZ?cg~2P%4@IXuS2tthKeO76#K%-B6;ET;ffZTPPhc z4n#Z~ZEz%DY)LwOI5?qinC+&>tg6AL%iVZ?Lz1HkP6W3lF@w&|?LY6gDx=2GxJA@E zQ@@$Ye-P7aKo6A5C=3(YOu}pQ;Vxn1UI{6Y-5}v%B$=@uK>81Q9eA=6XWm$7>W6VB_X z!`%!^3k6=zmT7Af5J%sBM-s8poFe8Z^9%GJV@>sgVM-BIX$QzZ+}J6Sjy=U9Hk9#1 zoJ{$89>nB0@bcSS%od$37;FEzwzgvQAm0pkDI;*wO{GYTk3DbXHol=Z0%rsSka3%(lXFNHx@wZDBGzyHGw#hp4+PV_LnOtL2id@ zpu5~Aj}q~9bS6ZjBy#k!*1eI=&mTsV?7{Ayv5@r1nZdJ-7nqdp0S$ultOla5JdiIh z8RWcgVy(GtnQ z0;~l!bU|hq0QhYN!D6#xn;o97>BtzIZ@fq@2D%#nFyt)I*>3#qd#M~4P9#7Vk7O;s znI#lakjep-(oPjJ^0sHsm%~8MYDcT$=)ibqB)>fBcpEqjqiXdDN81pUa=<$)M@9t zo^4+Fr}goy%UeLambCH3KklwtD^3nmJC_WsYnQ+N-sbk~H-Db|t$7D~XRJZK`zQOx zigvO1l8ql0Z9_qC4m%Yhs8D6-2$^~9Be9=n$X;s9>qLAw5Rb%Pd%mDCPDTFQ9|*Gf zVcU{V2_@@s`}@J`D0kz{*83p8-Vu#YLw8}7FN27^07UGm*bCjjOmAor7uP4v7C@L< zw=%B#4m!5JCB3Bi%}5%tf}%8vn4ylNIzSp2jOQ}-dtVliKY>}oLKt2wooSnAf><7a zCw!Sf1Cc=E(DQ_%DPB9BHFu|k(lX?>E~tto0-PUhq54a2?Sv3J}YpFY=i2N9x$Q>J+o*gZ4E{q*sOyPCaZ88u~ zUM2W2@}aS_s%V^7LuU`sy*7;eWB|LRug}KtlH}yNjm}6QwmY($7mO{`@7NY1Vj#Wt z;2&H~z57H!Sj;pJhbl(hZovAn^8jfFW*Y0x@&{mw=nc0zf6DG^TVEKX8 z0GpInbbH#ErCb8yDd7M!4HRgaF81B~id!@CizzEpyy0*@qBZSzeoP*~ZjF9bWG{jI z^7{A?z#OfQPacaXqq;oh0QN(r3gYP(6NP^KJsCs!-Yr%GgFqlY9g68T5cKiZ{*Qa- z=|h#My}$k_IufF?2KD_7a}0wrtx3#4$2$Wjt^MM8{BsyYPL$O@4EA)!O6iyV_{{ZF z_97~K@XhAm@+FNoYm*ewhyoVS$wuuNl zmxxoSLny~=x;OyefO9GypR}pi$@YQC35gkM9+#qlCxB!m!aq6)7f65(_wvayU9BzRR}paGgSzfz!0pQL)* z$DB1NyOv>N%@<#Wkg_bnv~+M@_hTGQ!NUV7d_a_uKlcpx*M+KT=;K3y*f~a{&35}- z=vXm%SX1ilZwNs+TNZN`NU-zxc7UY_)ZN*iTMkTMrVBwxCXYth_AyNO<=O|I>9uKu zwoPmnO#9{(>^wW~QQTmWWex`(wR-X7sQX?iiat4Kd33 z4<(}?5ewK^MKq7Yye9Zoza2V-UJHm>$!xB=ueI}>v#@%g!Ef=w>6YW(tP=WXPuf9@ zcEnk~d!SjoU;t3hse&`!gr^^cG04ej%@m;SO}!SA zFruBBjtCw^3G6Tn*Ee1|CDoJ@gBGw2q_R$Gi63TRx`ryFF(HFK&SYhxj$)D@Y7~3+ z(#NN6mv{{Y1Y3j!V);hnul_bg6hJ}Gf`Y#O{~j7%rb-m6Q;@ z_4-0|`m#*c2}2c2afpmkOtb%ElogzYWIz}g=CEX-egPfw8d2(sWPUG0b}+$!J5ETq zBA+^VgrqKk=H<_&>4)P>(@$@e7W~xm=p7;cM}o6tn#1zGyiRs$s%xXH;h>N@9}6!( z+n+}Mt5>xI`wXUuK_XV5;4D?Y2>AKqnL`@E>plDNK}D1?4`jXTwUyY>$dQ%?!U!6{ zB(5?Fe{7P=mlY73gFtd9iD;+>Q<87f@T<;>_Pf)y@X~hTy$&*kuYsUFA)#PFOxmFn z8^<2$#tw~AzEIQ$)|2(c(2vXsQbe#se|xgw8o&X*)}P)sgJhP_lPnElSSzS)M~V>uP&8@$!Rl^uBSF zTeoQeS42OqDrpRtFqEmF82jMmWa}$tYfKvqA9AMZHmYiIWsIE?ns$UV2j`r{uFGzW z8OV=4{hBU=LrW@;9`N>z6np`H+vZfF+J7o66oq0b!FX`F$55zX73x9#P=7j^C~i0~ z;CmBgj@P%Te8lAC5D0YHQ(BN7qy|oT$<|Z+5^Id2TPJHR0nq?p6)7cctZOrBJBB`+ zx`%m%MbHH8hIh&-V!CZQh3~A<48Lt``uH^SQ;Rop7#f+5^af%pgPnBtYvfUWk>=pE zaVM}8x(12bKO2l2t%^Y8s~5^(k$HbNkO&Yp2s1Q()5RcQmB?dt`!CI3_twI{f&(%= zhMD$H=32J7J+FiMp+26T9X(v_WSCfWf)`3TX8@)KPxmC5pMIax0JVK=f{A7|wRVyf z&Gpk*V0PU?lUO5+i1)+64h+mWJ!I8+G&7*O$k7}!VrDw4wb?GxfI5ZUR#_OHb6&Rb z3Yj`E^7hz*I0mM=Bhfqpq_1#0v+TJKtG+S$v)bwo1Q&ddM`AJyYbG!I{pWJg5g1Mw z>!;=@AWTeTR<#@Q_)ywvB=$6$6-ik_I7R?z{U)HL)9!0FptQzaXPgZ@+eho z)F!(TFMRR#d#=0h4x?iHVgdT1ffxpLfE)vJ*MKoWlkhsx%c0!KP%>BAm?1Z+ot{<*%jn1sb3>%m$y? z0G444+TIRd8~+E|erA0y>lOAt$=O?2uhcDh<8Shg7hpvs>MZ<;_m9N?Pslv-u_)sCB}o$V>&t`I$Z^-#7fppDXbO#4$!uJ1uj{!$MU;x z*PMU2<|3ikics^yR#fz(pYeP5h%jbKeh8|x>U4t9UUHf8aHISV)zYkCI`yrU^elzau$?_g}o*Q-ZXi-O^Fgq@YM5Pm$=NqRdG{qndel65zJ z;sgAwe6qnm`5=bch6)DaWivmYF6gi4OXL7&Uso>U3a+8ljnkLrd+JV0zBesA8)7*# z(IHq=2%a3Nnb( zCAx-L4Krb{i}$*;U*#?Kr(SOd+~cxdVzi>#O&+A-ZOjnVbZk0Jb0D5{!IFP!+|pm? z+f@o-!^nt^m_jE=P&jl)3ek~Hhua6yopaDu$k}=B(eHYPpA0>67UNfdPffN)Q_yms z50p#kqd+?}Oa1cTgbKhyRK7K<)@*j_efYgaF`8mYS^{&F4h!z;aIoTmue0= zE#-ek8SnyI$&_J+tdMxUw*UiDnU9;_ph?1oiTdgz!5ob5Wz;sH7J;_G?}cM)YVx1W z*saMcd^$zRdNaP}qHJgjDkf|i$j*UhuKM1HlkdVv`D@nZtWnLFZ*sn9Np4C?uox?usLL(!Tc{A3HD zXpg>O0m^Y>9mf2i?Xu5<_sNvf#f(_LMGN$Zb1d-0XKMV>98~ebh=rmvTYC=j7xi+6 zY#e3{Yz@3HI3 z5K%a$Yv_%X!W}mY6#~$(eHvtWY_ir}3&8l6-8&hKkkbo(2E202=-9k@KW>I}*Kj$q z2-|MIJ8KAm^r*0EVy3MoVvQzIbtuM=1nn`I;x#})i-s@w??0Je;z{Yi7_enoCh-P4 z9O_(VRtf4k9D3zNP%P|ekN78pF@7M{m=PeLh$^1}(r4$CGcEJ?hIczFpa#=8Cdv;< z3kLN{HrFwJb>{=u<#G;HfeCD`bh>aV7;RL8)Mu>B_QY=BL4U#ry^I>U5a$U>r-xma z6YK!IU#`XY!B2@8z%O$48O{rT=S;w}HR>Gvw40t`s0~J3$6B&a z0hY420Zh(gB}H%j2X)=Ipsrgs$NJW(UJV27RR_jie70-N8(Ay%{KfX*dEJIJTIUN5 z8R0bzidFk}cP)Kq#fOhJ-s7v+Uf{0UQy5s-!SbvXZC%&#diull(S_Sy)UFl#96Oc( zWzAu$vioTJj-^fohjpqe^QQtf#wq{3BpuO76r=#Zf;6Y7bP_-A?<7kD&-JpFKmD)W zoXHNmdSq=8Dt#w@!d^FT;D$C)U5a%+IVz3s_;KCWs9U*-7IIZ99a07m*Jp*qDS{Hk zvzGE#5g0NIH>8Mu6H+}l)x4+cbwvyZYdh~2@&T)P->fG(N(Ch#=`*CE9P7*n+LX*7 z2yM^bS4GcJFeZ3fx7jViZL-G*d=WL+&5Q^;dI;Z)u0H5wc+!7iW=1|!;oZmb7ns`VT6 zq7Vc{51O0n#wfl}+%c|ml!A03%Vavhcemd$dmmF-!&9)&ywBg5P^J`4;R^O+0ym-@ z(%R{$Z7lHnO#nrCuzY8#i=WINfkobVTMW!>a^&m)`#tjyZVXZF zEn-@TLb0RH5={7j%*;?Jmw?V#&;l$?k{_!M!m=~FTl=8#j1i^y^tKpaz#0w^hJB3g z1jKn*AAS-FlG(bbU+e#50hn$Qm%&KzH5-e=@I@1oJP}mj=x(D;EOPm6>}fxtjLJeV z@|yF%bQB(eV@yuB9hf8+@H7b3%5Jo*ZTwB)tElnUbHQ;?=b%|C>ue2~GVAN!DQAJW z7$C3fqzDP+%30sMy*v{j+p6Kg)F&Q-fL^s)XC!fQKSVXF9GM^V}taE!osmU#B z{1~>>^$kE|kHkof+tXZMwppXimfaPYXpqbZ_x1BcIHafFUt|a1WHD-Q;isF$UgXWrRTV-Jdkv)p zYwd?6z9H;_+a%fxOo+$~@z=}+sO(@H%AAF7 ztfa+#{v?RfE~tV@k@I9}*^?J=!!9%uJz3c1VsYOdRzg1s=g7*he)~l0t@>rtzi?)z z2CA_KTzopxgt8jq&DxpKuRxumV1p78?t^sUglT^7hK=4?-r0fKlSm`HfwGCXDsR{Z z2W5eMC(8HZ>GQmtkh(kBjkqYg%0tkp;R=+d(2_h&G19uIl^nsFA~@S5OsvNlK!t?%|~(Yi#>JP4DQlJcNBJW@XbA4Au}Lf{`eMe-p4q4Fo{X2DKIXccyj%O@ zoQUP(2OoZ=KhK{6yozqoIjwXCO=%8h7Xl;D*LJrWHih7Sph9%)DSa3ZMRWNz=s3jI z6QaSMhs<+ox5Mx`aJo`w;wOooei3YwT~CI?A0Jn+Bia&v)8x;OLWcqa%YhxXTR_A5h66Dn#3#RzM^!RYLdzaHdy39b;|+&~9OH;% z+$)yw>E=3bcajJu*;6R{z9J`q%Z&OAjH-!Il2#tL-_}&e3mYE?x*rSszZeAzr+c6Xqk&AxDI7+J>f>>&1->~lD`(FWA|0$VlUWZJLUF!QXk6wFPZd~_BgjYYEN_Xg(a zR3QHwFle(?Q2_#^)59a50jLi|Pw%d!GtE7RIDm!aQD+Hm5nl5COYIxre)-%L&2UFd!X9RVGPDAHV)#lMD*tnEj@WcRu*KMD%q zXeO{KdqrKDU0n;Zz8dicZ-rLQt`=cEKfo+xAcjlfP=3t^$_b(Ca(6jCjgUA zj*kvW{HET64+S-t$Rv&*mFODmgT_;(vbLhhbd_&z>I)(WgK?rEJ`+BTbHS1TSVoZF zbKK>8)5@KI*?RA>Zqi@3-%L-?AcN28Z%`Sp!Mo1wHp$r!MfacEN5m%C;jPd;=$hkB z|EPt~VwsrhvM8(tHD9hiO@{hHAi>2%dJ_A3w4$}zjI5KFlYuu;fy6WqrP3y$cHYWO z#?AQ2y`qNr;DqT{7hy`$3IJ0S`1vsWkfZ2qija*s#fBCN_@ z5j2V)aC4%;A0bwoRCScZmGWgC;fE+Ie&Tby*1%IP;cY{y(;H>fPeegt8F4nCiYkV# z)#3tCKT(e|*Y$3Cd7|gHx}F2-9SRsotW#`^9NW;+AH7LtMTaXf1)ShQM<`a14;U+G zQd>auWGO_sx|wzAJyTyGJv!C_CoR3x*7KC#4oKERSlN~M`Jjqb5hx75Hgz{xLFc@h z*e*qM{Q-5-ID>hoT}=%nuB*Ed5d^?AWvb`UI1!U281LVu3q=E4n!Sypf1aL$l6>H14}g&d~uHk4Ju5W=H*&T#T2 zPUu~;))uWtSxw0n7a# zRYJ1>`i#t+_PeJ%#7MM_AB4%@f=8#l`ic2K)hS$856dUV@2l8F_OhNkv(Bd@g4~Av zUO!=DkMTj5;W!;QC4G8N=69hZx#D=^{ER-t56R)ujRG-MMc3Be6Hj?7DYz2D zWCkS_9}pPnBOuTMT>I3324JPuG=NaFlAFj`!tWibb!_zTL8)2DUL+ewl*Je#^}@q z3rOXxqQ&)yguqjNnEbi*$@eQnFxO3lYE>WtNbB%u&tt+I%fSetDKD)8*Pkz6s^2sY zlD@(SL+l_p{xWy~@N2n1;!VC4q?6KAY!KABZlA0!jJPhVyb+jUDNst->}(k2&Y?p< zl5kuWnbx;hN~sVg$V3OJP*a`C2)Ny0Y`m-))-@Qa_yhQR@!h6_&IK#i3=q3BDM!4~ zlLqZ0U)Xxbjr=hCwQ|SF?CCz;WoJ+*YaNz;Zgkd;{<;kqJ?IP^vn`!(W`s`rty~fK zKxwbMy7}W|m?B63G0=&|iyyt)`VimEZ1Ug(vKykl4!%fAr(hmiBR4$L(S*Xl0xot@ zNW3mI*7>;~Z@$EL)au^y12tD!nA72!#pa1v7lp>OSH9`sCrEW-x3?M{6E&xQVNl~x-;qR_Q@a<7X_~qq)z{*QDSN!__C5R?dSX-Y-ir(JK z%3xOE`&rkb`I)d)2);yJESX5KV$UH!%ih&ENIUj#`SbG~8~^Fod25twFE}#-n=EPH zdxsr0z3mmp*0a*J&9nqoT7KHPx%-Vwn!Do#{=?nM$&7hUOwD1Ua1;dIZ-mL(bZ_Z1Gd0{yl`yFs{ z)&0qoQ5A)p9mhVEFN@GG!YEGS{Bm-1dbr_G7WFj13U37HJJIx}NJFrZP#IJ$n^drH z3RFKc_3d}rt{x-btrK^7fVw*s|FL7T9#&J>gT~>0PT%Q4%lt?b?2sv0)94+jtfkXs zGtK;`an4>gNDyFfKx2U3T< zw~JwKL&ctVDPu^m^ux7^7Yqrd1E*xlXDz4f2Qc@{djQqpt&$+#B6pnLEv&^}5kUWU zOB^4PDr5ZN+KR?&{=4P$Uci7@69ruEllw?&i_scf=mhK<-=|XeL8BrHM*Kz9;!Wy4 zMRbUJU(K4jX+=c^ZSPguZW3Y!95hXQ$uS6Bq4Z9nXU@@E~up@NroAn*KqC!8JG8KO3)TNF&eFC7X zocfJ4)p`epkV-Id@(E9@UL9g|(K539`xoM!lK?~hSNNW9TUK8ViZ7wQ_vz$7l3 zg99JxA`i{u9|u_KYFL4wGrbgfxDscC-RJZ$OY?bvOKnD3FB_pc0QJN93)5olecPyH z3#y79#?M$|=7=`i+igQnzW{$Sayk;Zg$X1?j4I4WSzY8ofW>Et{LxS?3~+HgKYaZ4 z{!5&~9PyMNLF<(lyapOI>qe1oWP_`)cJi_j(CjfXTXhSi9!1oc5(bTZfEk6R{ar)a zO}m}`)|ab5hMYBLSrjC)AB*sO?bQclRwAdy^xSL`>Ud!;7@?2BKB5hksJbPfzsVyC zK~gj5vtgpz@%jlrEJBsq%|MqBv85b`uK-M}y8|(F zImrB8h}5<5X>sc;w!K@<4hUrUN&cL9|6{ZJ>p}xCSLIY0$x#gV!$w9i zjv|b~0A35&vB6G&7o9jhO%!o8bo)Ufnpy=79;d)xObN}ecoISLZbk>XaJ(T6Q9Uq& zOe-E}AbfrT6Fnuf+mdX6p0^=hg?LkN3csTA>nE=~UR*Go_3}Z$*U5*oegmq+9(?PJ z?319}hRtnSl2Nw!@yjxgfdX>9nod>kGWMjIQHp*76a7jGtC)o?7YR^{%20&rpUUIG z)z$*-3@0R>4$dXeP87OO_M9p@v+C2vf7OfQhpT3vd|w2HNP*Z)!T~b*T@_>QD$IP3 znuY<>SVO#r=y(^dc4+i4Kq_ePvV(rORYYkqU?E|$>k1d9&VBXq)oqNbLkk;FnKd1a z5r*ERn3bAPu9oE0s+0rW34hn;F-zehDB-VcS+a5ImL*G;ey|9$nK9vS(XwZrXFdDM z|Ag5foX%!ts9*;%70NbCMtMP#mj_EM&x>Gp#qt^a64&WiJlkNL1g|p#yttn-`e}kp4-N)m z)y8ziGUv;SdxNdB-9xQ+=lHsoIyJmLuWoL)iCg(k8^y4R&8`%<18g7t?!1aslOd1d z4WJXh*x2>`TaMp5^R02}Bp5Ai{utOYr$4Y_QSBzO4+7=doI-v|reSA^m_nGY!OR8h zk75Qb!=@t+IPbOUhnqgEf!RSf0oz$H@lWpA#J9l4k~J8UH2~UJhNk!!Rv>MPP?oIc zP#dggfpA0sBDQ-}*25fY44n+jbbft1Z61l?IHv+}gAq_YJa*u)&Tt+bn0d7W#f@QYuHOBIt*i>4cMdusBV$M!UBs;-{=+PJLMgEM{!;W9hJh z2x!=@S`9sMl*~h$P}bM$TVg(Kc~=H)L3MBeK4pD8nGaA(2qFs+r>sS`83+g%lYvqW zgj9+Sj1gf4W&Ll?iWnINs*ts=O$(L!U6%*_CQSJK%^gNvL$+fo5NnJ~DB0WXPM7w| zE%Sk+vt#aAdLCt6UtCn2i@SRJ_kGW*5)(zfY^%9c?EIuiL$3;n!jiAN05wlOczz8SmrZgnZ@B@wZA%=3* zM`lKEgO-G=-iM7+r(t#8U^t6%i%bW)hQJm!+s-Tp-Ae=5LDwSpAjMELU}ut5{8TrE zhhl|LQL`kb#yS@iCRNm+_7(!A!GT#^R)ear$;(o73uXO(FKL#X%7=!bGzo_l6rY?$ zdwVhAlzBf^OOOYp!5B%{in#L@aeKJ0QwH%bW>!w^98dt>V`z#ZO}QchF9%@W z@Wf;T3_s;;YS7bn{exXaJ^AXsC->)=W7FN81nmI`38$>>K7*Yy$d^FzfmILh7;$D7w`?{59 z^CGK`u1F3lR;~J7c+E9nt_!_u&ilVw*b!5=hDV$J(6tTu zRo`-A9__j4P#r;&tV)v@oii zU_ab2`X4!6(~g7runPi;TT9Zb)DfKH1iQA^sAG+CO)Do0nzis&*b$#q#lEI^p-lBg z-o`%%i&Zc9$_0V#qCxrdmgFBIemq_|aQpYh*R3yBC|0kI1Yqk6#cf0tDqx=7W4>sM%qkfaVR{q;r@`Pd6d|*91`>WiR zJq<_sl3*Mt@p3oXRBvFKqrD#3(%XFFA=5a>W3e;TFZVoWdZ;ZY&f-@njDF|7Hb#O8 zwIH>MB_>8!h7_x*pidROeO`XyQ!so1`P{LY%K?q6qUUJD|0USHyE-pQtt&myfoo7^ zM4vLW(OXLK7cbDwJ8=Vy+%NGo= zex(ckdW=kwhE^*4b12tr?VSLA+U}o*Y?$ zq{`)9leyvBj&(*2WwF8vdY-DVv9Cn_(4FKUR@?PH@9d8Laek*VMl%Obb{u>?^UPmT z0A3B8ngNUZ43rZxt*%_t-LzIlZ5n#$#Nrb))s(kqms%LdqjIcg+1e_vqWn3P2O1{20Q65``Q71m{SU1Mc z53Tm$;ItT~GH~VcGop#WJy2H0!nXQuMR}t55Q63KXgUM&ih@&*XJQ}bHeWV+d*K2q z;|Bage_%C}_XhmgR(7GV^P~GH-3|+4n2kD7S#o zliu@Dn!GnA1$1zw#yI=%tIy<14aM+Te>2^yjGW<*otRC3}4=N}R? zW)AZ_oaM$b&I$Kz5$5l4wp2UyhzCaD<4;9y^cp%*RKMk1r0sryH%#%!6B%yXDCW~k z?pG6Fc%cCe}rCpoK;lb|(R0f*p@qnubv1$~+UT>NHDqrd>k4M9B@*J9veE&@LOmw)SZ;8&(Qu2(?a-zo zUuhzpJ3t;EoFAQ?!x!+TDbKEC>{4#yFU;z`r-wTxn=qzH<`p$;=~KpqxM{CFH?krq zqfgwI+#lvvP`DC-JkfRe_O3o_7R$syuO{+#d#hkX1^F0e`mTPY4j+?6Iw3y(Ro&^{xEJy z{uPzq^FW`Jp=%Ui&gLqM8a!}YF+s1;I4ULiE?ts)FIER;k>Lq_3!@*18?;h+`6b}a z>zN_*Mn5Ljz~G6oummFDG6y_Z1k4dH zPg#Ou<`(+W!H zG+5@jmR*%&zLeyUpU4GYX)D+x^1*mD(eYpfG1bYD_;!vg7r7O&n1-GOhg@;Wlws_A zTp=Sd@g*OH*n(Nl{3qXk-?e;}w@>IOag|H=G$JWj%_4Y+$u+(v>*J9?-JwJWFHFgL zC#&2gcNpizy*V+ zZNK2rh8Duh#L`~SW5khut{7^}3%BC!d!Xsn+`@9bh_ zeh+j2RCIbPjGp%sR+~X( z0YJJ?h7EfhiWP!^^Bv*WS}qBaVQGS`w5`x^1JBtNoG4hj$k{ml;fr|m8ahz=xoSk^R;+q1hQjaL-j!jTo6GF7ZlLKo;Whsn&U z!tnVxe>%L#B8?*2pvNw)o4LlP(|I~o3>+)@UDnk;t|GQ@mge<|-IIJ}Xq9@8`J(RL zg>0A#5G%rwz491TsC*C`mj3AnV<~QRA38A}`1NjQ>xOYMEjS;9A$I7WMFLefLm*1NgfsV-vxx zTJeKfSM%jOelg0=+;fE^Y`W|L6TyO9WyC04I5XGSU`PN9?maKw`=Aql6>r@}NVAvz z#rT>F^~Og0<~o%z<#7a~xj%;I9OYW>mMy}Wfw9}x#);~Z{;U2QR9442EZjG6ZBi7n zTsot?XcoJ%#n>1Es$5RC{%Xa=yPx-@k4lJ@3nCuM?bNLim4e(t-}>uWvpjZ`18$}v zNS)!)&a%^YFED=Xk;k2;z(jBApk}_W;9K{O>4ccnm(Ba@iw zw~*dZ7R&Hxsb&_0<~-}JAt+vm0Ojc=U42R`h)l9nraE!H~|2^6~@(t2 zsQn9i|J@$O1J74d)hMq|wLHr{PUgGnw^R^Q(m^a)Li6A{dL~pW$2dAFe;!QGo#qjG zdbs7Se4}psfD9$mbo`g_|;B1fGtpitsnH$q2jM`v49_u?o=j+68 zShe1Af%L)q#FsBnJNhQ~1)0xcD4*S-8@q#f_2R?%#Lm=?3T-Meu=no1G}u*QemqMV zeWEzH+D$)F1+;q`L?V={Z@wHc25rY`m2r_$c%!6R57N~7UFF5Cd-dv3?o#G#n)N+8 z9X|$VR0Qk_b0*)IAN9@ieSuZsKI{^2Ockdt&%M6U95U+ldyaAznr_-AD}-aRb-tmN zDF>W_v{2uzbH$p|C9+xYGBDVMMk#GW&$ESdGX{mIMLmWrP zhB>Kqg$lt|`>+h2c(C3*Qz+BaPVJF=A;5wwRG?$acbQVUhMapL*#j@Hn!_#@6#=B` z?(1#7O6GBU9J5Zms7__mjEImAp0XsqDq2v1iN7(WbNvYjaNzY{;Txg9cs ze7z0tQl0(3C`aat-*&vTU^UeyLdOv|D`Fy3Oy_--oEYcM%_dq8n&(yRgifqxSK%~X}Lb-5+C9bh43wEA0wl6Te~bXvX71y>2CSM zdnb3JNNCky1j1xy^;ZThB+7kgY!oA_{+eadS&Z`F?kDia#?m$0YoMlX>P}1^S>eES z)QMY-|7>HPNOdBZL*9w8dqvtt@J@6?CuU57*L7^1()9JDko;=N{lNmba#EgA--!+XFqnU`Rzwi!2CNys|B3W(+_yW%Alh0 zy}iK|BB0bCf{3mfs-o{SP+E%R>cq2+m&{CuFmRRfL&J}`q#uQ)jw5{aZqA{EB2}!~ zKacXh#g9u-pJjN|3{+afmOM&0Q$C3F=1Y&qTc6pDVZ(vKBFlxojt_14LU5H94cTkX zhZ-+0s9g^eYjlshMGGj$d0gr>&6ZcA8pL(oE1Tnm#E0l4Z~wI_D2n%~%pgF(0Sp@2 zyU$I5$(}RZI3~cGU@<8cjJ@bNMXYwtRo5}vIpBTVaVnJ$p%8N@vkI&Khzr>mGD*l{ z_EH1a9K2#G|CZ^JNQ%CO&%nFaK84jvcA$c?Co1si?@5E3p^EeP=J4{TWUi^>!;rM; zGQL31LT7}I)5FB-G=3Q>I5`2ZsSJ|1G(Fn%N^G)OkNl*wi4Wq2TpOOLu7rFei56J4 zy3I0V4y-1F5ojDG_h~2EMQ7!A=wa`1^{lq!Z?ouM|8*9fz|5j|!q<+!F<@UoLJkWO za^l%*ds(lt*1o*#t!0N|*+-VVmGyUs25SN_%p`l~KN4ZQwBP>EVf6Jdv;V|eI>y=z z!0iY0H0uX!5D_tU1Ib|id^38{5e6)6!EOK)n|t`#U^kHZqHK+bkS_YN!;&hs6$Pg= zNP3aeI$JA`KU-Tcp$=5ii`%JPBG%D$vdkN6;$__}S0Z+xeSuap{^8*|B@Oz#JrXMUV{}3S5PY?CCOz7;?r~M7EUmp`J zyYha=zxTly!ZeHzj>Mw!-%!V%pS*$wz@2{hslG!QZA_(d?>4I4$xr;JJ$zzCu&^r_ zYuI!BZhLFGIuK;R-AJPkq5^Ps&;9iDo{pb^@!~2!a2PL&TdUSyz*??N(WBhw@K@-f z4Ecg6U$I=X{T>BA7nx=HYhB&dia0dY=;+>+C6DFGu!{{Fls}lYk;h4?9?btXG6V9a zeUp=l#6lugpQrc53uq24);pK70}@IHnVgu+eci=W=U01oSp>=;;NTs`Ht*`kB` znPOvUmYmIlzS?zLKe-TEX-I}qo7n3|y{$`U>pcs4@+ieOz&ua_648G#hv(`JouO(d z?)xuF{)_B*X%xh?$p3y&6$d;uf7ZInQ@-%spUb(Hv-0RFJeSUe-r0tj*c?G{HLnu_ zq;RUBq+{Kzp*pmJf@1TU@`AbkE2#kwtRk5pnkkTjnGV0PvHhYAUdzjmmp7v147KgvtEa0kxgU<>@sIQw-@7F~V3? zB2QG!H(w@#5Gn|!o5QH$;U}NV()LhD|_lEYmEn{KgF zMzA)^of=CA<`m@u)Sc-+S_L5@5zij-V6F8#3lMBnWj0^Jd&t}>o&Ks90{I+cZLcm^ z$dMJ9lzGzGTMoA`7_4sZdpjw#3Wq*>PSuqWgjPB+Ve~C&HXEAW6-8VbToaxC+GG`k zB+dJcG=A6ACFB6jyny+p2-N`|)v1W-K8NlCp_3w^cf$xT z^qX^b-cSAgS7m-H&CP=M$TCOeej;0|_qo4@Gi{<>bW<+}vw{3aIdv&U%iPt3&jR1^ zLb_Xi6{x3vV-jC${T&Ql1JC0!*+;>LYcAjmPpn#ys1-QIbnh?dtt%&}GOFP1EIOMS zo2gj9fGRwLW9S#(SWnNC-?|VHFr*MkKF?+JY;)=pESAP{%;8aF}?`;SSu59C-rf1{U$~*e}abk!57T zH%sN^lr&6(0kCrU96b0(NOMZHzdohc58+B@@+TW%B_XuB5W&fPkIvhTEY{^{2yq|L zlNDZ5-C+k_BJb9!Ap%kaiB1nz7%X69;hAhQn4EhbG2TFoHlSF181jJj{`Iw|Aipux z_$CdFf~6{0G!?TQNe)fc0xf5pYgs7AI`n>P{a4xo3PQJfOsV{mv7-tf1i}=RVD&cf z7M>tin-&(L&XaR`>cs30l;Jd!`EB-1gV1oE^0r(VE5y!6mCq}Na}{yYhz&Za>h{;& z+mlT|^S8aq2Y=fWp-$|4csB6tB&U|<_St971ffGvV9)^<^*oq{2-+&_>RjQ>fCe{P zhEbTl4kDNo{<%owvx1V~YRvl>I3^u=Tr;dm#m*B#^QAlTv$S&%yc})HrZcYk|78Fe z*)NYXc8A1YO^$VVCh88QQ5A|feb_ilp9s31S_ctSjV^Rh=BQ`?VrvWV8 z-B=6rrdXaYtb?xe_7ukgVQWI39=rHvMg4cUHB7?<5vM^fdwO&vHaG@lc36KcSi%73 znN2)LG2X1#f+UJFCONK!OwFv^#>(B;j=`2(OhijjCkn>UGlP*Gs{bHdlY8AhllkHqp<@P285FUy&W{{(t$Q;B3zJRV zSUD7>+UB>g$Xv_iimF*SBI-6jGEY5F{hxdQ48mxB05E9R|4+&EKWI6?*#8;X!(~fX z{Lr)FXB9C1151CZX^VTqrW^A;F3HLl(B zy!6K<$#ovbj-{{=wG_+SL3p>4*zW?BNFt0mzsjs6oX$);Q?YVpT5pJAfdm0V-d-#! zDyM2l6_T`_glLO!M!AeFA$>a}DG4D*1u~G3Ju}Bk1%!8$ka9`(nJGv~AelmvU6Sm* z-?eGya-K7P=+pM8iXr*!?{9tA`mB#=!`OeMh?|x^wX)%v-lnw;{Elk#iuYOtxjgw3 zbYA`nCd*xfOSb1jO7~EH;!cSv@Nni9rO+gZ%cNiLuWZ#ElY-PoWH%+s)xU_(XdC7m zbaD1zQhgVS{w{v7`_w%2F@3@_V(sD-R&*ozuI1jlQLi@6D}F?$8!*X)E6Sf;dGV<) zrAZgFLl4r2x4bboRz*%hTw-4DQ0($%Zd&Ykir#npv5w5qs&i5^$RY#UxS+_Ri`!tj zwoAJ>azq?VD4|R~eki7!6}+)}@bci^!V-ug{_AY^%J%QqR}Oi5tCW2C@dn+ftw)l9 zc;mb+6s9^Vb4ot7Y{c(6V^C!{M?8;~%bZjq>=-dt^8^a=vNz65P+^qv^bRZq-FN?i z@lw7nxXgC~`$aSL$tKal{~WI^%f7wL5zbv4K~m%ZiNHFWbFubjH#2ZebmjIUUx<)@ zp;*)06Wxi@M4sMIOjAqf%Up{=%M@WQ?EgN$py@$($RH85Qp;q}|63g0sk=MQ$vL=X z$Nh8uN);5@yEs;0R_Cv(*UhnxsJ&%79)58r;m~cVSR4JgO2v$*?7iK<7k7EZLu+;S zu7yDXiXw}$Z$n2;cXZo)YFb~s7$odl%H-Z|YAFYYK+ch~=z+>q(jV_PUSasP;D~!d zHyzZ+7-|dG)E8Yljp1@;1Mfd*v-R1WhnD88R;u^1Z+t86b?4$ASr+c3>?s9VEg;TPzB`2JpR> zjcMut?(+VP`WRZimEGr`_#kh5QHhz*xCrwaSJ%csob;HcCXKND_EZPEbHSb{cVSIo z8@zj$w80v9!m3F3j<9(g^vy^-jqr??XY`bn5OP73Z|oWR>I}afH%zu_^E_Ar<}KC# z4!?9e>xDae{@&*^EWGOAwur)b6!M0oYC4&Q=hBFblv`}qC-98s zg;*z-I%<4t6V=^zv8W)rJp$8%LgI1MkNu~R>35&qEM)N&KkwJn)Un$=mYGAD!XiX{ zyp?ZfiDXT@NhYoM{YrPu@64QkZ;($QkOcl2J*0liAETh(~SZJuKq+z7-tCCm$p~hQwhyWLv$(dehhr+A;Tjoq^0ls_4yiOduc34>NKAQ`N&OqD|yf64yTGH0TP+e~r8Lyo!tiKGBR!$94 z_^caPFTT2IZLTb{j|Zuvy~59Jre)nSs`;f3g$Uo_TL_u52Wu{Ck6ZiR4JCga(eko~ z+g05W2G&`dTsSpte`-`R0WhO#jexj*$kA+_w*4g(JYA{OSi{byFmr8%cFuJC3}%r1 zw=@#(o9-8BLs}}EKGUY^oMUrrHxEq`@bQ3#aypnW(FK}Hdfq@%yN~M69dQh!5yM@v zp?myQYQDcix2vRDDd+Hug|O%slTkqgnOVbTIWUN1hCb5mK1;W=JEoOM zbO{yjMJFV0fRc3LGdaB?onLzI7UskSLSnbS=ly3sBK)ieoQsoE`QO0UCEs1XQ@G1_ z3V!_S|GIoHdGy(sCzq^vHf9y?Uv}O1%94GHe)jj=Bq;r{BK)%F{_*-PfAJFz^tYPB zk1ScdkcR&@1iye`eG>6^phqIjaTzgLAJZ|KR#;Fpbld_G8~YM%{I5Coe>v(h?Lo*)Ojl}(i*aeA{eUAIWmKEAV19d*)!!N#ahG0C`;J48R^ zf;%^yHTQ8;P*GDH1z|qvQusg?ZZ|=Rw<$54BGJV-+u8J^%F+HXUpaEOmDwH^4#bil zvO89y*TeTEo;c|*d_LLu7$dAyNb0EsFAh6Ura-+rdb0(bxYPn&EN}~1SNdy$tyRkK zOE|X3uMzyVp?ZxOyY`wLiJO7JjnhCN+3^L`QOA!C?E&`jTo;bPh`40TQJDFIQb#>>sh+?8ohn*X%PXR-XEJeL@G9lK6x^ z0bBtHoc0a!k7^3Jw3}(LaN0dQY42-VB9)A?Ih}M%W$EPfpDg~U?a6W>Fn93o1)4=z z`84seobz2@BffzZMxe9A3db0Wb7z;XiS<;!C-W+{&BCE3yG2Mg0U^MvXRV-XeaK|9 z%uK~ZrV9lLUn034?5R=9M4C#a6sRXH?~Kp|>L^9LSfD$=x1PnCCdWF{@JM-4`&lo+5meB< z*cC;-QYu2SiB-&&yxlZf{K$mG%2^> zjaHE~z-Kxt{C4|HV@>`gx2lV5e9JQyVY50-ZO$RrK|G#}MiU_&zgcDR;R#y|rAB}_ zGsUGwBdFS~*$#kheb*?vZ+I+&;8L0c@@Luf;%GKUfO*I7&@SeocoIe6P&m;%3564gr?kiu81uEk7|ph5;ug`}Z@6sa zL_y*fkE*|Y$5tYp7moqTb7R+l-&Nd#&A*w%^^i8nGqX&*Q<@2X-FsAnE zmWd~9wo!dTu4DAt{|#y5a}a2H_sgU|4$h4|Z;HO0I@*`Wxey`)?Ca00)sEgcDjgmK zA%yFJ3~mjBrq^arBk}a-YaO8s8*qxmr(9kt)0q-fJsox z^~SBa+8CNU#9B`8_Gtz!x>zB*71~$D<7{c`E>EOe8|Pp#&CW>M>ec-T?RcMOzNt)_ zaJMP3A3}{*0ebt4-u|{#S6v^}o4cm_5)L5qd}L#&xVxib!ahg5r(fHEOd0K}8!NPP zC!>Qv@tnIu8RBCuoJKYzm-*mQ)aK7QsJLhGS#5ndWRbp}jP%m{fr$>)ybo_-#hS_f zN>x-{RpIiW>jj-7VB)xSf;8@ZJux$ONIVos=n9WEtfn|vz7>QBDxb#e(z@nrP-2P55|=g3Q7shx}NmI&J5Zv(imw7b&?UJTalH;(sIb6{go zL)Zxyw0{Iz@30-!I5!BjW5ius}M|ZGqRCaQ>a`r}w$f8_eYq4Dj`XKpYk2V~f z%l(+m1o$(@C1bPJ!#NM1ubwx7zGqGCkbN71hs$Ni4rcx7v8oMhXz<6qLV##-Vus@7 zw1^>OWuqMX>fRHzSKBM7HN^Y7X+fEy-qRa^HxDI3dOtCP(NR-r33m_Ham7Kx)?P4A z4XC6^9XsnH5B9}P7-TGYXq*t6WhudUp#?{I^yT1$?@;}MTi)R+@fZHoYuh$9uM5>1 zk-66>lAv9asyp(uSxlFLJT1>}++>aBi1S)YEL|j&o+jmaeCJ29Y=8y!Ut$ezn z=2Q0Nut^&yc7#~|`njqK@#%pCAg|gh3Rtw**Z1D|@37RhmCY_jN;}dQH_4i9hT6uV z9df%z%I-tIme3<}>SE!WALy1JpHbG&ljt@ZlLFBzZtmjDn0P2UG4hAp=aAmY?mbrZ zfk#$s`<%slMm5P5t7lz8unqG-4>Y2z6W~f5#3O>)YW~B8H(FkqB0A6y;J_!4+;hZ* z*krw#(p>z&CUbi6xsVEnt_4dtE*4!B%{J}6RwTg*Z8mURO0>$fM0bfLXxN$$Z$L!D zE)#i8_BZl_qvYA@&;R2r ztXcorKlNlU@StjVkHkF%6dzLTsc{)Q7s?jJTr&*vHG?r4f}`+ZA{Hm6iT)Qp1QB*e z#uZZrYi7Sf%H~w#2c8BqY;x3c2rWPSvRAr#qeH#vzxqD*<=kK-yH{THuC7_R=WvEF z{3+4~+##*u4(Wh4L454W?*sk6@mt;t%RoVOJq0`rM&OCJDPLbKaaX%Ym<8j|r^fOG z-$(!h#d8QePBg`|!tA^6&7@x)tz3!EQx2hzn=g9?C5xX@_GkQ-3dFQgEQMD;#*R4E zzWM1f-%(v`u9_R?c8;M2%VZCi_r;3k8w2_n906!I6nwo(zkR>zjYxOh^#go34Jwjn zQIBOs8Fr&~vhDF1e1h-hjyA3I_F9zg+)b zmtbtdmlN2y2;fVkdWJuK?K-tIU4mird)p7-9qiJpwXaK*vt#;wISHXm&T9KIuWQ0cj8|&uZ)?-n;pj&MGUo z`A3;~V70^Fx2)4RO~}7dBzvQ1yaFCe8x!6Ot1|K?B>BBFt`ghlGu)@!PyVbHA_R|= zFHEj`*ljeJm3A4|6BCH%CZxDoOBc9kBfEnU+f7r%8g-q`hSMo)*4Nq3&Jg9#mf@vR zN3;EoEgSL%KI2k0v6(?Bb`A^3IGZ>EU50jnZ?NLtt=tjh+=K;+T8+<&2|Yk#LZ6wb zd^_E#MPmF4%kNgyn(Wj5!$TYd%4vw$?%CD1<7$a6pa3TRddjvlx%%>JMb7r=!?}zf zkIWpv$M*FiTq!J69}JT~>?)G9_Gok$Fp5Cs8Ep+$Ks9+#9ZuLD5lr zMPa?BhK#C`2L0EH_+7ZL@p|~uPB>g|-ab9>vc*T)Z*D?RHE*{6wUXRC&0Bx%W5&nN z7v^ZOwo*ECCvS^MQq9GOh8}aEc-vt?8_-D+$g@u0`;x21-SoniZ zjfMXXEd0Msy?lznc;T!C@ia!WKs?35KkE@q*Q4??3k5I#Qn#9ZvT$nSBH}kem^8PX z4?eU~`||TEwcDOgyU;bXaXBRK2Mn1f4une&J2@&UDirmKS^HphHSNia`t@j06lAbL z=MUMu-jY|MqCb=J~nLN z#J09y>#rh)4GB71nM~q9p0uZZd53DTqePH5n(M^9&WX5G;o!ZlBe?lT_bm*$IBko^ zUHrVN3g7z7#tvfqsdh-ZoS*=DNG8{B#h{*RGEnRd=$6yBSP`<5YBn8tU8tB&63=pk z?cAKUF=Z{+@jhk?GJF?-X4f#`4joS*FV$S!NEOdRP&tlC0WoBEhf2WBlqN4h6Ecp< z9X^Vz0t5~A*=RO|1`F$MdGm;L*nE#8rp1Hefu+$k)qS5SN={nn-pD%GaTY{o zlJnZAL|0zKV7T@3T!V$*?}I69~a~8yh8}sqWS_11=O2TIn2DS<4oS|7b8?>hKTlJqQE}LU_L~ zA%n3bE(&8fa7z`n^LSwJPJ-*Wp!H7JJpTFX?;c;og*9~zK`7= zfMWo4sgm>Q!ulJ4v^2%e34;(V+}D=-q($v23uLW*2Z4xkSF27D6b}*~SDYlh!SXc{ z|3RHV#H475vWg^)5p~gSk-VUF^`xyoE}NX!)I5urvikZVv0Yw_kb28;5!WmbJLjO* zu&;W_jJq_`cyI#mtzW%k`>p9@6>xug^a&W}TBb+v{$%ZG#2;RpABuK+EUt6Ca*j(| zX6)Y|l5iJCpsVdSi+mJX63K3kA)o~+4V|Y)L&v&16rINNEMJ+_?E@g48;(H*^z>k1 z479DOQ$r|%(h3Rb4Qx~0$y6dZrfvV}l<#Ms$%_TDMDZ z2<%a=9_!pJWA{P5-YynvQ7SK>XAwc2mr&26Ev305#gC z;tavO-A*nLyCrZ`9nFZOZs?15Y1nkEnQ-lmw;Sd#u(ma5L7liu0i{9^IK~93TCOpV z^xp|ywfzN4BERE&C2oM{a5F{0vok2`kLw~)TOg<_jS!W{S>wR2RHmQ;UCV1FNThiv zw1Z&C9mj#q^!7j7fDAh0d5Fz^tfcjKk?8L`i`ka#V?hq2P=<~Wwc`hxt%SuHqygAB zdNy5T3vL|Cg-NDJh+NpK(DEo(q#|ipvn9%fudRF89gOW2EZlZt;l{kG=blk?NA=sJ zqPCYDCT^NpS(-jp!l@@?DOGi^I2hCTOS9@gY{a?|cL}a$vgJZY(Znrvc)b_W1PQ*; zLfv`bIU!7Gv8;xp@IPAPd&X?@mrF}Y$e)_IK!SL)_Y>Y!<%iz6`s*W74YUB|4~=6V zqk%1#zlcWU+IvNnEFhxkF2+Bt*oIfi-OBWje^Mer!4%x2!1IGwh%?B+$xJpFS1iQm zTEDX?ftBcVOUy9c?x}fD;_aF~uN-i2h-UuU-xD?0W;lqIz`-fv0C%HqXECO|T5*}H zy;>7on2KFz)$k(gc`lsMub%b?VoqT&_4PDVW`eLmU zK^KmlZMFb?rM@ghE6oQ^OWi~DGHFsLNAY0nErj$~|{Of*a1+g@=JCcK0h z;~8Vq39g1Te8ddMbU-kZ>aBgE+||e|fFqr#+cor7D%Z1er^0rODJlLAC*RJI)5+(gvtuHJB6$m+6cJ694V=8mweRNjeFN}rV5txROoEWT z8BK?QT#lO}f0C=cztLAJ0$yDo5wh7>cXyHCPK)^yWYGXuLxz?Yt@R=+P^?k=@mv{@ z%kvsG;wv6=9Z-yPFSNu08=IAu5g-6ewh@kNX8 zTm0bS#QTsaE<0$&=FhY{t8aolnH>EhRy zCiUlvZ^s3_{Fn{9R%*Va)@r8i^@+G5AWmU8X#UUq(nGAyyeook61n4b?B%}98ykOG zJ$5#dI;W3gFQ7-UA(#-cAAl=$0*+l(-f88wRvFcoATI)ChPc`kGnNZ>3Ay;ri?!q97Ob{nCS&ee+L+IU8@=Tzcz&q^?BsfJ5 zK!q549lI}3Y@Vx9QgoiC=4+J46`@MWZySi`%L@Q6F>D3ke{|@pi1k^ViG2-vAQSPM z;+AihrQr^&8b`?|dFW$qqs8vZCkGb59+l766K77MPQbwsvMXrbasqUD##na)kQzPc zsb&AgW<8?UZRXU_%ZlWoOMsqW0p@h&wUmFWtv$saM2Cjj!n&}b8S14uwi=41gTc{g zRvCN!tsKcH7&2{zXPoVUcnEYD^P{`!eO7Mi#f}p1Mu}JMOkT@U`=f0YvsgXvi#jKr ze`~Z8!eBLif)tFON4;ah9SXep-GR3!Ctda7+kvGd7WwZyHoeksHj(GJ0yH4=37e+w zbhljl5|f@wFiS=KrtKRJav-28#Td(6**ABsD8xMqd`7I$Ga)aw_I5vYTsnaQylBp5 z{^`K6nN+K4+fY#LIxA_XTHUr}t^x}X`Fec@;EK&?p-Z7%3gy8Kl9Jn+i`ns24*o=A0(WgQjP)+3!&*DJA{UY;CXWXN-{U2qd)P5Mzjs zQ@nlOoRDyx-PZ61pk2RkXSA~&196$Tz+?4PIpD^lV6~RvfjR4_)zsjOx*tEV|Nl4c z=gp2Q?z<&yA*>O&=4NrG4zhC7k%g<;0QWeMMl>~-nd||gN=Y|c5$;EaL+S>Lil!o= zc(Vtet*io_K*Ms3D}nExpR89~12mSxKL3Z`7Kty(vJ+Mk~?Zk{C zy*YBb?LUI&*n_L9uKEW8D^Q-%^gtKmW((h}t2;xs>_RqZ={(84Hhx=W{b`jdxAs%P z_0}KE`J0wST1SE_PB}3`wsQJws6_7^E93ZT;ZfrKHc>qZ2q3AsqFquK8zMqK^G~;3an0Ym*}8syRg3Ndu0Qcv zE|@ar0>$F6V9|oqn^c=xR(Td0N+7^fL9QdT7)vU|s@-f2J&8M6*cTj3;HZ#prLVn6mfw z@kY4^#}g@&y$%SY&zco|;(O6;{xJx>61+(bMiiN^d5pZVs#FmV4nZIeEhgF1iX`zu zEe(tyCtZH%f0a>ET7*ST7(uQf_9n1$xMl{zivQXXV~TNl6)hRk@eGC@ zCTH((^jDMp(TY?uZ(_aPKC7wuGMb|?1d^_)D@}Z+|Ge^y+*uM>RhAu!p@*t9s1DiP zPQKSgc3;24au2xN>i1#vli20Pj!)E}R~fo+qlsoqeH)owV)e+y7RS!n2zeM!*(?!c z?FG#=o#lVb@}W)Nv7&E)Qod5g!fH1O_%Gdx8EwI8=Hgr~ww8T&@}j%!SAz+?{Dak+ zmk91Czj@dzi_|=c$S2mB9s-iJ79@#h+beRx^Aaxf3=Au-o%S~@Dis#E&g%UUo95!_ zz~RjW%ubNZc`k#=eC|tCl3vC^qqxS`>Mue#8Lr$!Ba zF*njut#zYPv<`Yrx;Piv80E;&0>XZi_JB=SA(AdQmRz~QS=%f5BKP1VlUmReE8fZq zHYnG!5jG<%W3eF>Q=w|j*WI%GvZ1VYxwUmWKgdlR$|NVScl8W+XiM2{tl)aw8GagF zF11};mju{&b}K~s!CYJe9Ocn$ACqBk@gKhHfDX8@Jb*o>r}{lHbTcP(Gl+e{B0M=+ z`zv%=>vpppZ$1zw(cQfJ4i#yyApEV^BMsBplkSd+bO~o!B7%986hnHFEC ze9C$r6*AdU!z{gP1dIrjJox?wmbT%LeieuG*89HIDONxIIF@sqV`2rng!etBdC~Xc z*6oULs8SfBMGE=al1^5XodmR2KBL5sw<+zO6>t!kiJ%nV1Fa7qXr=^m$gkX6Xwm&VPc-oYScakKSrs1 zH=Djz)5&c2aEm%gBUFkbAAhv6{XJ{=CH&r~kd1|%g+D$vJr%7OACqrl_w?Gt>hsE4 zrYov=IVTrc`Pl9%1>wOpogXOHUhPmyDO`)8I~JMm=@+V|BvSCmEoib3!Ic=TNDlf? zRdiJwClnUBM&@m9RXJS*Qyl72s5k>YD_O(W-wMMRTu7JL{aRf021pu4fm^DY4Y=22 z-U>XFSrkYxc^U4FB2Tj~Qb ztt1Qpp$?U?b)-s}B9}xiNLxCFg0l7s>v3+iOq|xs<^nM^nn`CNV{qM3gw_0G=pE>Z z5k)hc2OHk1$1`Hz(Z_}w3y981JmIL?@v0&@_^2dTRwLI`1U^dj|CaP3ZOz;)jkkpxSms%z#RIkYA@h9%#s>!p-GO9qi ztv!NA8Z_B76+O5I?c3Ca(e32$d1W^P>2pFwb4qG%(FbF5s+t0Bde?ZY z@Z{CD)tl!!6l3UdSh#hQWj3F+7$tfx=bAgUX-%<|>n8M$E%Vigh__;FIFU5d&VxW2k85(2FiqT0{<1raU?`Fuy7=AvrlwJAC>0-7(ahHI?(IE=%}WP&wys77fq z=b3K%=uYRDBQ-M=@4y!2G^aV$R{kqBk6uEwapKwtR+NBgs3}VM^}pE0@0NUII!0aY z7q2gB`CaGLy2j)DdM2m+&RCUXXdoUUawuUFUwDZX*wl}IKEJHcyf-So{;KF z!`RH^)VgoHl}k_0HpQKYOdqagjaRg&dcaDB&N)M2En zc&jcf*^2S``TzVYPI_@B4&@)=|Nr?vPRe9_1!y-s1SxPv7^@LX8%jZ5*34 z1|aE$3V^sw-cx$60svFdGH?{#fxcy0vtP$3S552=y_P>xb;V2i1?v*4Xf5n^|hTPhrg9^WB4vkA7Ha4I+RSxZYKNmwS$`n zbUdfCKfG_ud1oGQ|HvNw5)7%CwavEJcHIm9jXOUj&k)pA&)YNrarRKba#36#eb! zK7qvvtrG8QvYtHrFuay(RLNKC+|16I@LbK+(NRl9>TX6beC=>+vDJN6oZC(yPob%F zltj0Ia*?o!g1C?VIpbkm`o>-F{mz{*(xe9Il~m!mTndNSQ&@ z9l)}dQ5_QUmInT(EV_>2(Gjp z$uAmveI_+x+7TqV_`|hhr-Y?0B$H*hz0Zo^SWcnoT_x(b2qQu;2U#>Q*xqr=i*ULc z5YOKcIA)rwywocR!HE_|dEh-s4PK&Woz zMb7j}&3m`XXXWltg4d3rx|Z`>QSP&Dja^Rl+$^F*g0XY(X`{E67Q^cmE60f zF<&L#tydoasYFFg0p*9g(@4IihDU2u$my7xM9HJHn&%~evGGc^) zOmTtP*^G3hN+9NLEjnIQBxtz?^TP-!{+szhfRhu&-1q(BU5?(2qjwSSzQ<|q$UL`X z@!|*WTk^=xg~Y{0tjT!ms-Q76-N)yx&;H*KXXpxvX_WTS^4513bcI!suU4%q_~@Gz z@1?J^xWXV&J+boB)JenYEkpdR6*N~jxOs04z4x#&hiBOP!;4)R`+tLa?(8=!UFH=^ zxwt$b7lt(_(iUpnqSoT6{72otvS&4DB4`s7cU}e);rPEGT@>L zquE_HW%r2VSa|E(fuO|AdYYk#mPB`VZ8Bp-ODk1J@6+VR%}42d{)W^jaU)6yt&j^y)2J z=^UJMPG+-TbCL6!b)%@BDri%|(g8Ok@HhO|R+=)zS2VNHp^9T#He^2gp)$Fi?QV1S zvufK-AxIRivpuYXTPL+$64bVC7aPvt0cwNu&bwe!2vVH2(w(JmTH2-5DEDNMB2ad;G$bAw@Dq^<_Z-FJ{{9)8n5X z$i=(b-LmhvB)oAECx5_tlOt~Jz{?~O9%FL!(T?$SX~m+jZol*rr}=J2t;1b#R8y-ZHXU!|0K zB5!;r8^`*-8+~8?j^WI;sl4((N8cu1a?F!Y+_z%M#$B)u$1d6Uhq!0{I{q%@;}AcD zU$gBW#^1$j{J;IXYxtA!w^Q)C#gE0Ty~8eA8}q$&;1AySuUvt5GgpEI1^FMkWHAf> zwNnK#kKK=a_=r%y;(c#Edc2e8yVtRR*?6WP_afPmv$%EHcgc>Pz4Hy^B4n!*%ry!5s(*%yyb1%kFd4??szAL~ERLH+xT z#sBkcq7A-dtRPYRx;K!xV0q^@jq-#Rs>tV>-x4_n51g<04kv6b&W!1aM!$MOc`iXIsF*xVa;hf7vWaDpJSTlAYB8 zRO&uF(K8vSJQo}$&wg7#_pKTCTG3tc>0o>p$rdb}-Sn7BEU#~h)ff$MVW7auXBygh zN0djrXJfJh5SGlwEe#vgi`T)`O%ba;jM{F@eb@5s?vI;4z~&W>zv}He5M0IrUvLE; z7wWX)zfPxcz0xV{4i?#nOVPdl^7aZ>yQVe`54j*$cJ8-?mfq#sP3yZjkURHy@~V;% z32~jZ4?yOz>*6DZZe%|sB@BOq_57n0VcJfGOGHd|o(>QBmcbv4wc}SuIPH4A{=r&y z*8b$Tl^bUJZW?af3A3lAW(TUMg`N!`5@pfs_I_qZHidh;7L2@7)f$fl<*2lqxQR_c zn`%8jxR9vfwbB&4QPlNA(Gt`k+F9&!Ayc0ohlb#O*D;~Fa9tx|w9%J2^V+Nn%77Im zelEVCDsGZ%PUXX9)}F!crl4*5?f9#~R>{bX??=A4`T8yHAt;yXcmx8134 zubA+|d^@aMgPq8ZyHo^GhpvSlVMg(rnf|e#q59l^sNF!Z`??huCsMtYj;I93uHH{} z{9auHl3c3Sq7ukq*Tar8yS7eD8huf_EK4vDul1C9J5;5JFY#}2o&sj*o*}IOV?LfI z8?Z(lbv~{JuJ?K>MqVnxi4LaX#sY@QqjObFy&dvDEkLI|%>_JkC38A60o zJBgb{!)2#owq^TXikr&J{&HsD!4{RsH$C)KzMLzI?7dMW<;D!IQA;pE-RtJ^qq7nR z{QTL>UOHsH$LUK4E0SmMwDXj^x>^3^X!d}5=qvt$QMK{1li5Qmv1U0difl6|RtrG#oH&Gqoq` z$SIi0V7+NlWZ@QkX1!~7Cf$0h?L}kXqPx09QjUA^W&7F&S55L%-xB|4cvCp^39eeh zs^>a>*{xmD>4Az+nfzsHG-LBgw*m>8eMxm)(d{Sks3o8CW8qe%>aF}_Xoh;oW0Yu@ zJ2b55o7F8-M?fqWJgCG<5dXHzqq;w4h>QwsdH#P-j zGBAhvn`C04pt52I#vyuf`ORmyxu5&Z~JR-#%hLYSl(caK4-lp$_# z`%&I{ibG-q=nNtlKgmT^-$eczn5gXBc~y;v(#D=-gZ-SP#W`R9S}iCBarsm#E8q%0 z3lfsqy`Fk4%- z&H)oMKA#Wb2loH}hx>W^0L$VK?rqr@DKEMmr}2~Qs~5TN`-n|;riAe6WssHeI7O4G zoj(LbLKp5r`&K4z@g%K5rE01iWR^MQnh<=*?cTjPTkt0#PNPLA8)1&au)XCH9Tggb zn~>#~D%W&T9jYUgocog4jzjcl)$>|3KkOkI#GG@Hovi=qyh&C2ZT(7WN)r#^T{i0u zvmNFGm(h>itB0(Xnf-?`Wwuoi-Y(?2Uk?;dP51BL8^n1!l{U<#E0Q96DIUF0IObiC zVW|5RsSl3%JMv!kb=-4;SVBa+rVlh9;`we!>Am&_rz9KT>k#F+_q2N~#FMjPSdAMl z8*&cbj&Ju^XEp0mYsar6(%lr}bU`|t9^cUe?NG~FhEG{Ny#MmLFqY{rDu|t$+Q(q{P;=e`aWBJ3G?wCv*4YmRt`e;t`s22)0CX zP8M}kbb4?{<;pZo?W%&@S~{DsX4m_Y;pXU^`4kaE>a!y)`mEVI3}Y8 zOTm~=TkoRbn?pxFa6#ruBsm3oJvM~xYxk`AArpL9{s2@JuHJh~(#op*B*(f3)hiUt z-i?dI#LBv+)VpizPHp++j=7Dzl$TRXF|lbr(N7D4-c8^4UHIYqMSs4tPN3#LYN4bghx}2MZb>lbHC3&i~sQI%Ja3Yx^MUoX7d+Ii8EVHonmwMP`dj~nQVCT+pH-0 z!#}oGUj1?9c~-4o1SI^SB+aREogM{QWuP;wX~CfD&DOS}lO5IKv{1G_u~iSS(t(D@ ze!r$EeYE=Apk(un)RX-y#J(oGtN%ecL_0$U{A0mCv)QYoe?C=OcyIis|3Fhbo;BGo ztWpiD>lU5=pqZ6ce&~Q>lqtm`k}Slcyi75{?8So(d577i#2nU`yO`iVKz7#u-iKE5 zrKjoYKQ)5cef-NSZ&a$R9@IPJ-K_nQZYgGzyxsw6eRuDa8~{g`L7TVv$pfP96PPr$yC%^rBd zIbIGFCp$v#zwv0_t9ho6eU^$HL&7{ZFF&JQt=Lh|qOSy{Lx1rfrS2E9_tcME4J|LH z8^_er;4*m%yRSM>qF-8X=>PM?8{u^DAoIvdUkVdI^@duvtN*vmvB3TG44Z$r#GD~f zqc|YI?Dy-N&3;^=X6bJBz){Z2#x_4+8G<0a-dE@JG*@_R5jcooF^p@lnqLw2dc)*-{rA#bOB&hqF zd+7ZGsBrO6^|wTPy)BNaK3j@gSi+Pa`^Nde+naX}gy{~vEehE^?)IK&!+(UF^Y)%8 z>oI!&WaFbV_HRHD_lKH(nJFw`UORFAKXQrN%QZ~#mw&$9^oi-JKEFUZS@TA>iF$gR ztcrMoTW(qHJsygBAi9ZteN_8Gw;-l#!$tNr#Shd>Xsl`oRptk7*_VtEp$BIoKc)+0 zEq$F=nSI&dG%tm>xCAc|o9nS?mpK4zuP9>*x@~1np8>@$K|h^uy4tupi*~oAPMJ{< zq0`C0VWmNFS~}TEu?Mtc=UaDc<8lG`1kRve)dQs?mXZ+-BxLBooh)il|)?UwaBaNe-oWDGZm9U@=2CoqFN&w zuDLadYX*nnK)45+q^X_!kTmxTbX}WW`_Dm;9+%5!_Y^m4>OVokT+geN0 zA1U=IhDkM6o(s$av3l*;9r2WoXGLXE@<8MG>8^9tl2B zAfKE*G9zu7LWaMfMZc6UKC><@QuDB*p6Hp`Kt%HfFKL$=3-=!3%LX$%S2&C^>Msms z)ds3f1tOuXw>KCB_Hyw%lHR zm(076`n4(G?hZUS-?fp>E2=*&^2zI&JT%g@nySw2rG+6|>*&3{ObYDQStjpJLDlz6 zVUzz@PA%?#%C&`5DT+tfekOmf%$z+j32+&n5ql3o^A6Kr zebyfUdi&YTd$(OBw-dX&Mxyz9)qz4yYj0>-POZA0eUVk}a5;u={w&g6^G*oIcfy2G z{sz0>zKf6>QD`84gw0D)JWdX`r?z`G%Tc&=b6gYduDvxH1Qxf~xd-+sglaisvhK&UisnMf~pgM5=#i500OvzIIc{Jm<1DndBsOKYN2M zY&^pTN(1aXeCvtX8umbo@`qy)HY+U4?SDxA0=_2As$f~gPQB-9iy3+sI-8;(Rxto?Oj#+t_<*mPi9Y1f~uNL4nGOllw*9lQ`Jy#Ts)xJ0J z&zr@M%G1KNE96f-By+73H9uM&nF13bxN)%r!;c5D<|~hXS#~1!dia2{aQR2v=1!Q4s zQ^CoxO4zp&d?0RAYJUvCurLF3uujzvNv`KahtL3~{{oHjsosXeg0fVg~KgCH|uwAGkD3;jNIF zBJIHkjCPyCH-pD=Yj@>q$8Im1$MQ;0eYV{*!kKIzHw}T?Q>0sJw4;M-2FDchES)#m z`11)8B_q`QM~=DkPP$}ZsbO>3r=mJtzPoi7B^j+Bk&&V0q8UTR_10ax9p~8WN6hK% z+#0D+@%U!?%?dSO$E{@Bo4sJ-do}@aKX3VLErZ zZi;tJ9X!20<@PcmCalwR-FmN>A%2W{7k2-NrbLz!+7Wvy+1K1JAiOa?Tj zU6YyS2G5?<>k@rOsLA{S@}QB_#-9|MUa-uy>~e}gq!ESQE*bAPd4CjGPR%g6&lJ@6 zkR{apX1~dGEDwiVe{|0rIjmdCpi8E`vDoYxzw=@!k?H!WmgneZ^4i_1f(^mBxr!Zy z1-hkxeV=efocg0|!IbtPpBwED$cY-rzWZ_B(|Iq7`tEm4O#k09w)!kza;)aDVW>5T zn;wi76c*LI3O0D#2fZRZET(5a{k3A;-%)cb6V(2^dUws_8A%};5lV2tSDkB?rIJwduh8e2m|MT@OU`^il`@aWBK&@__J*c2v*Va|3eAjO6CRV!569++o5D_6; zTWS@BO${kxlC}o$fY2$2+7hy>&7lTJrUEHBJ==F4s$lZ_Dv%-x`TbUrl0dRblBXnj zp67o*v|IQ4Z~wp7rPoz1r6GsU=Y6>E*PVVBn_4_=;SEGJO^)R-*%a+M<0&ho3o9YZ zwszDoVV@xe8uMXI<~cLS`3^`5w>rzyyS1iAXEC#8ZA+`vz!kuxxO1&`osrslyU1 zNDyNB#DKr<=RqF>6#aN$k2gy6IYhm5zPaHwlcWV#2k28}*E-9!8) zcljz4^TZgFJ0Uq7`T-jaQ&z5CC{m~&>z=+|M#)j#*$ihYsDfzWh0FdYatQM+%(FBL zb3_D^wgS#td3PO>gNWlT3@?(*kV}N(W@b;1X17!XEVN?u-t)JGz1XApCxc5W9R7xn zQmxBWKbh1Rrwi-9uMb z3@j=dqIchL_zK`9YYlqjWj-sb?K6*$RAv!t?KJ{ z(dr-g-O2@?0j9!TeuLV*9wXixUQ9{%3`KWexT%S(hgW}rNH;L*|eoI7G#}t~=gT4(&goBVE+SX+!R0~z@qj*5Y*AMo3 zx6`}A6we*_J~$Ve_!ixMsGmk;Pw1!B@h;51i<7ILAcw~66WShX_ei(7YAhu=xCBJ3 z;){)zwesi=jj2x^@1`*9+~L}}Ur@qcP5rbq z;PcsioYeonTMGcTsG ziTifUE_jVpJK>avx$`uttDHqm@+BKQnr~@S#z&5AePaAaElLzc&%_renB6-=OQjRf z#WDEuubY*R|8oDPKMtmzptN~$R)=Vb{=k3xzxy-VAtaso;N(}Hd+%1>S1|DH}=aupLVrEZf;^4*eqwiTonuXMJOi6d5B2Y8Y50~-O zDQSPS4FSFr$seEndD6W0B6?4l$`RyqgBEJnZy#~p%js8xUKks3v7--!%^F8NKYPo- zdH%`);YlbnX9`}Jbb4XnaRwIi(5yGEYBfLFd55)>suo1_4^g`w6Ex06XsAh-Nh5>c zxVFEp26a)qb)>cYvW9CS#xBWQKAZ3z?BIJ7dQf}X`8G8ESUQemtnl4aS3l{l zXT&`x84FpXxlFwCmS{tbYB~$l+eOl`yK-|wZGhVFKoeI!6q=L` z2FDEV`8FCR^LiR)NXPcWqCz&#?Jv}bZHX4#tKAc-N5bippqL#j1-_Rn7-0(U-uv~a zoLmu(Od$;x-VQ-dlqW7QC(Y2AOrce^(6koKu{W#GZCiXuit8~Yo8AH8WOf8*f(3`& zs8pvBIwCpq^L8WUSQc&WFEXLM-tcH+fBC>KI+NYx64&0A>n02hb6YSY7&X-2c8yq% zXC#H77;;xlU~H+7sxaHqcv$+qH<KFLl+&eO3(7@&$LPQ=Nnzu&MTK3by*l#V3n9?&+b^4M zUA8ZB`7rjmZ%#9$qzr8r5~0P!2vhuq?1vhw*;!viBKpvu*HcGX&3(v)*!6lxs%pCK zgkaEJb>C)MgQs`gjr?xwJ48|)E$WG8 z!Nap)J%1ddFy!lv4k`wIGGbdih(LO-as4opYfaNTUYCf5ZY|OsMYJwm`-Jt9^Gt=P zgethzwrqFMtBh|)c1;%`%sPG2M^ifcLiXw4GdSk^#{Mhn@AQmmEAaZ%uEMk@^Cs04 z-DO#ik&TOu(m<8xYWiFCReF0a)r0x3|7&C+`+Mj^V84sB$ceR$HQ&4t>FmtlKgYaU zUi-sf#IfBQc@8N$$T5?TI(&G+t;e5Ej%G6=8hIZ6jBs&&tGGQmC9rrf!W2Ndafgy% z8i2lNPt6l8?UVh~G;H4seP!)!@4tT4A`iRG{cEM=mgCh+n_nhhG_Ms+4af;>sN)4i zqL88`%B*S`w-ssEXJ9gQ{Q#Yz;RqGf4mO&GuHC9jO~I`xnM^dU!wW4G7w8_fkxSN# zc08QraVq2UxyA?s_RRPRLnlVyZyn#z~! z(;Z<*f#WSmA8UNMELh?^(@7g)e-3rLrdd-uFp0?V1%m+772ngpUG#i3&plK7Wea^X z@Tc{Ha(Y*v>Y=FP(vz>)AG(7KTk#~lJA~kb_A0wMA8E9HX1#zeDA45rD|2FZ@HyZ} ztDkUHMYDTNXSH*>5?sb9dGqJ3k4M;hnLRx`lvVcTbq+k-GFeDcl-< z#lFLi%Es;8j*SxWaQCUI*~00%Sru`)>fFP0fu@)@&p~0ptqntoNf$Wi=Xy>C(Yave zerzwfWXAX$Rj;r|rL;l*e)-<}_D=8Q=#S+0Y%PdqI-Z{I*xnu<*ktD8JNT?1?GK~n z9o3~vph?&@^>R%MgJ?y%G@E?L-4;l5yT4i+G%4e3SpG&c!?$@c!g4lP8fkq6HRG7( z)t@-bQ`k5Y`?G0&<@hbV_Ag-=`s_^c*Ubo<(ma>M+=qA{<$^4J5z=+|jXy-}VY{}$al zCaO>`^i-Yx;Ma=f@E$6)4nGR&XclDYqxC3v&wTX7;5iq~=f(0{>OLsQn^y!9qQTD` zqzg-~bghpdlrIST7*zmt*h0B)l=2lAHJ6w5V|NX@pKAlpEi`wJZ^XM^?T znG;gRG5l`v<>-^iyz_wty35S25-KZ#mT$KOR*Ui1(g3X|P8AS*DFbAOca|oUHF484N4Hn-~A&ocZ5_LL_a@59Z8^ zd2}szHHK6WR{tTwlI)Gb7{ykA>?gQ>2MZf|Zt;e=reMrRDljtwtYeS_T<%AYEUjkP zW#C6&yl{BMPdDvO;YjxngQYP|pRSp#a~us#1WOZjZxvw6Xh(fiN()-4H5*S&!HY67DBH6#N~qY#6RQW+0}WwInK1$oJ%$ScTVfkj^Qg(|YO2 zvtRAi%z3)p^*Js{ShItMKs=%KKi~MhLKR1)lfY>*qr30-9ty^>V|Q8NZt<|;CCJ^J zC*dhp&JTO-#IX;}n;gCl;<|&)!-$lzF~y0ve`cA(%9!4;-Mv>~kqGXZH%aBJLm8S6 z>A`P2X9D@nMSOEV*CFOr)O6dNzgdrhHDVdXp4wgxrI<$})=lQ;kQC ziD~n-8Kxf8Ck0EB7q~xUb~&-Et(e+p3X7RpK^+lQF0#lHTEdV^9AX3&RNguPlSx)t zSL^9}%y!l@5@B&8<#w)Kchn72ZfpIF?G{%`DsVni)rpjUAT5%@;JM}x%TA8s|0A3w zKH6zWfV=7|{SEh^xx8roG`(ESo$`Sr*vj(n3CQ;foZ$bQsrFH>3PO5_$z)2LCk$+2 z0jS3m)Ciw1YyESJp6c8(O+p16HGg{heElh-`&N9h+oJh-%gyF%q;z6sZyDp*Iv~R6 zqN=>-JOjQI@KH>jxnYQ!W$TFDI4b<1fv{*?w=$?*mr967nYtUTH`gL;5%lp?RV*JR z0`Cw?(Y8>Wu}{&sZl+^1nCx}c4-jP-FZ3ZzSMnB}JLQgUV-uxotp*0iOoE@qBuWjf zjZ~=V`!^Z7Ujwthl5=#HFVbRK4|i>$`7IwvtwJe&!YX%7Gf{VHCI#ZWAvX$!#!1WO z3crm@8l~-u#+lYX8MGa+9Xf)b+C^K>I16-J#Zk~8(t3Xd-Yr&%Wi-S+M8XI;1^`pGc+69jYSM59g&@^f8Mtqa zM^NHGEV#S;{#Op)I+J_fTh)oZBt%JWQDNY^rY!f~H8csiEZrVx13=Vs##k3ceYKb8 zYU)XM`$DZH_9Ea#F1j+M6cp?&KJ0KI#rNB&w2ejIZ3IRc{K6+Zo9&6$;`fe!>xsFs zsyRuVSDlY;kt^e7+7DfuoSp03QO>8}n9mME zR=x79PM}V;PlEkv)x?XHwW31xA|O^?Ra3i}VA7A>=gG(D$adw!B>5^+_PDblQtIx1 z2tenpFRr*J>Kppo1#&*rT@U38#>^R`>O~Kv=k1T)R4w2O31*L995^Dc`+2vn&wQ(b zO${fn90V_87Hk-27b9W^d;Klq8MDp0t^n(?0z{)1xfNHI5-+nACa7B`gW~=B=Ed8i zX+db6>HRFKCEmjHDZQjb9jEn-67PHf2-4;82YjM`fgDME*?)TMiYYgLa)otch}BO> zCl5%2ETYb8cOU#9_=TZ|PTNDRzkf>Vz6)#hsH`zp08A__3d-1AKChV0zsY0__?@Sx z0MuH@kI?z)(d=Leaovl~A=WvI2R2s}I!c0E-3TGg!sSUHO&(a~KU1UdMyA!VcPMy) zLdy+jrhAmFleON-uEQaDFs{XFBcva~cN4zkJdqnLs!(y;^%;yHL~QYPT>rxmCFyLL zISS86Ib?C|FNCv4mE0NsThmJJc!lO90XK?Hl2U!&lF;j8NjpLZj+n8bXtrpybxJgsP~uU%;fcRDXkiz>QnF960FD{{|j?C3Oy!DpGujBa8T%ebmVl@B^6 zou6Yg=sGc>m!uFSLb7QeQh`>b&-5bj(@nX9<66_*muV zTxxly+~u#??6BflEWA%;NpZ4KhI>ZWC~Fa70NGk5UqSW_{3KgY(YYm}e~!r=s!pUy zIxPq4iCB%#p9JTpZihjt@7xlF{2+(WJl}F<-&Beq7%vSL$~;XZ8JFienjkN4nqo|2 zSG=uEe%o6?ueie`YU`1CY;;b3b2JU7(V6PpL6M~SidV;q_&W~XqBlER$e0OSOgq%7 zIHOHtra}t&sS?iui;S05;sifrXF~ zLx6uwI;?2^iq6fD`F_upO1fm?A6G~kVs{|TXYsb9Jke0YA1}JLr?|_VC-pk$FG*iC%@$2_;{%8C!+1&2 zbSkvJlhkdwDF&i074AurHMX0=P`-{%{j!rmDdxaIY&k$}gFJ>SHR7!!IVpfh}CDy>3HAgSwuaU;IO3>MuOy5EOua%UyeYD1vJ0@WMe z4mD$~ID`vvZs+``l`dQ=oF9C|aGpv7>WB-%3T2HG#v_5bB7Ep-6S6_% zMWh^OnrS-w=T);Nsz9G6W<)7fR;0(Fcb0Qz$dQLC;{_V|yGTXH` z`Y2E^K7G9Hz|@sdSGStm=}j#)KUY4`GG**xYs+ypIB?+pj*v(ar6#!MBLDPWJ$wBT4>H49`!VAvokie#2CTycwQEX!u{ zVE-UI8;1VA*X_8#s)d8(^jFH*J76eZCHLH!Ve;Qvu35U1yL0uSjV9gJJv;!`ArnWm zTpbRT;DQlXQCRcUt@=8Lsx9hG?PKyIT_3F1TUR@SaAEt+BBz7p+oM_~q_BJ+W$ej9 zq7%YA_?&av)bSB51u7?ulKD5NZBhTNxN(n&*-@$CUNBBw(S&H0Gc-cw*-CuNEc!xx z5NcO=48gcyP|@r)5R-umLp=CCACUX*u?{@AN%$;2J+wH(A58~U0qDJAp8Fc|M{=bF zwePg}44_)Wbe($%uu#Fwzz;91(=+FTvuF%>_;;SGt16no;ZX&*MQ(C(bs|$JyI>)v z*|ZM=A5&lWDpRoR*5$R8_qrzf*n*bFqST4TbJOzfT9MBWD~X$u(j?dF#w9h{IG|bM zZF?hskj42{uRP*!kyEp=A_Dh8_$LVKrf5}xPd?NmS{+S?!39_~2x z zxHT`9!sb;CZU)|kLJ*#InTIZ<`$vB+^c@8dorRAY9KTy9M%Ec%9VrY6+#+q2tc|pa z9F;w_KFKiPITu^1>luF z6i#F5N1S=PV>WUB>p~3gN8sgC+|0&k>_;)J;g2A3{#RK3V}J54l^Ta2yE)Eod0yD_ zX2OfVq>|<)er-vbn-pVAMLC=iT&1{>&++a)+MizgaNb-L#k{#1X}q!cxp_q@4M!(< zI$ESs&TTK;)S_2Jy=#8Yq$i%c0};;L+kcEvbEQu&YyZ*5>V$TO@Lzt_Jw{N)lk}S3tC?ZUsCK9!bhml!3*Hx z9aPOX9z}m+=c=@4F?`r@xpREb(m$VO=N(e1buxVzAdXR6+yhEM^E#ul0|PCH#0Zx# zi1o<8ih9;4PIt6wCRH)*CJ~c6tjss*f`J&6>ED2@>SkI7SM_O>Ud-v3NkL=SE?;)1eM z(iKcjDT%<*ZQvyAJc@)Fj`^IWQ$ zBUMCm$1wch2|+mce7WL5=SCAE{T#3l$W|7LD_eVJQr3>143dR5?t~s!1x#9~DDK}y zk~vyWOCt=H{hHWC#755{smiHsj{tr7BTK&C3ixb`~{O^-9$*Ioib#MF7#Z2dr-D2Vk1Q5VnUNkk*-FhCKtB*96}nbG zJ{kQX6oYWG*a&g4n$8GQEmxu~gp~il>U&V$u@xpUQ+Ms5;NYW7E)mUj7QitQj@pm> zjg6#D%k3aRtQbPotgUm=yIlX&ZDq9~hU#-M)OUSZwrwG4e(fjo&F z)hlhNv@JfnhLg%O;7&E_fOehfPM6b$x}O&Erx0jW1 z!vZ-Wz7@^Q*o!O73iFMi^51{5 zvO3aD|2)0f0yzbTc0R_*^H@&D6EU+9ee<6;U}Q9TC8nQGCmtUxaR%C%_2&%&LHH!K}o?@D-*okv_i6smG<>{H+3m05u2MBY5l zY(;$hmNN19Eh$%}_A_{2!||PEN+wTED+ceijYbTu-C{JQGg>9M z9p`$m69%Q=4?V7uM~sLE?q(ciqCeI)~c65byK(PFTKn_<770LN%9VzVB-Mtk=H* zo@-GQ1?>@VCbT{b@*2qBKYb^5RTmE0pLFCdY}!7AH2)Lbgq)9=HZAZM^B-@R|wa?PI)kOzsDwn3vi$aMnzK3nuQ zUlV)$q@9}^#6jG>E*Yp`L;Wv*F;esEam*w^Bz9XsoYQSIT-l|G?F?TE#ZNPZ>KGrh zf!;X=V!?DzjoURwR87~e2sL%pS^zWyt%Ky_Sk1uqDvYMl?B|V##}swmJyP@yc}Ii0 zrA2>Im=q|L4{Z}$tH74k1+n;}u?76iB%4>RIIZtRt^u=jg1x zZo2EI@TLcixSy09|Imhd$KEs58t>W_#M{gKs$u1U71qhRAKKlOZ6*Fl9Wp5r!Jw!c zB%z->0g@$t#GnX`#HdfOo~h7nX@})3$KUj{oAy1gneP@9fL0pK-OZEKBfarQk&d%QAnip@+`V|jQnNYeZMQ^ok5tP3yL)!9Yq|7CKA2Vc zRHSRT9Js0hUJJ7eKr6c?6klYNrT~0cXBPBcuCLA(xQ6?$jlCS?Fng(7OBI09OgWQ% z$?Bi>*CvR<5*)O5ME-$jll1`^=a!Niad4V9Ifr|ybw?EhW(PrficZU&%`EdVz$N-^ zBtx%7`b!nPRSQzm8n_?7!uTRDH1Jow?M%&07f_XT4ki=-Xul3v-IDt@zlJO%vh$Q)R4i)OI^@eYbp0tzQO0LSgF@?%&F^h1` znpazeQp}~JvP4(q^}TxbMsc%%nA}PMxqx?&c>8J7XwA?FoqoYjUfG+Bn@PnP53l(G z(88TJdK|{6fF-M_xxiD6{w56fV3vc;IVZF?6^$vCWV|MPmf1t)3EN$DCmwmJeKuWW z&7AcpfLXd6|6lBWyZ-MQIBm{y&eE7?N@E^NoAa~z&v23+Mo#tO@9ci_a0>qr-=g4O zOJQp(@Sm@ILrj`K3z-8mY1Z!d=qzLo0}B>1hmpk`-g>kbF|&D5F#IX!W3k6ZQnO&@ zR2IyPv-tMXcS#i(8?zqp4e)?xe(M1b9(Y>6_gx=9n~@TVXt?Sdbo1>{`0@U^r7q=M zq)N3;UtBR5_#w6gad%6D94<{c>K@b;68~KUvO$9kR zKfH7EZz^MWD1^6vp3w9A^@Ge7f0t~=sAN}S8{o2be;A4jCu5x8YGQKhCQpQt{q7Jq zhmTO{gyx>sy~Jhri6EQK5%C^owp#c)NX-RZ?14gM&iF2_u*ym#<~E zxNmU_#beM_k6hU&oC5remcYX-=7SiY8Qtc9hjq*oRWwz~5+{x(2jY2Bw$$_;z0T}d z-moV%SPDJqc z&vqlWn8WkvMj*hNa{dNs5S?50@R|Xyx$@?bA`dyAO-xT`94t=*OTpq6k;zjtZD`#nChk7<+dK=@+$$DhYlv_YCsi*buk$ zA~AbKxSn!grYrTWWmi4b%``Y;=?FHu&rD6aUOL9ci)=7gAaRRpErWY}$oi>w>fT#- zTvu^NWshU(t9N^@l;g7U81FK?X7_tWcW8l=03;Y=fv6v0_Yh103QPh@`7_Bf%cdLV zO&i4sW~MhHU#mJX;*LUu|4mvr)^}1+KreNDnBUZ0+ro_CxjS>-Z6pKne32{P{);sk z_iwVr^*9tl$ntCwGCIi!HnbZFiJ!?EYkpd!3uAV$Mno3e`}??eT3)h_{U+%3?-B#! z2I4Xqe}_UwSgD4~lV5wYcZ5mHn7wI;Fh&nUET$5<$wh1&iY$?Y*qOF5X{D@sJ$3@V z{hUy-bARs(qo-U=*jTZFqxEJX9Sm@wdyO6UcwVOSN~jD|I2hzM!8M+QF+|De2@Ne_ zdTK-h0{H9*N=;-pgp*Sg=kgU7_4fWx)-d4JioNYe*Is$GoXuxO#oE9wSr7D7^A&{n zdb!It+;i<;FvYZaM||B z8V8`oxn;m1yWFtnm)A|6>yJjRT{2NPA0wuXUW{-pqCvWR z%DK&#C(<1x#6wK(`s8RDK4-IYMl~m$3WnVK%HZl&&{Cqs=6SwMX-3ROcYHJ)I zj-dIWt=Q2DtcDuTZe~Zmt47}wj6cFp{O4JVQZ@E%9F)7Cn45qo)VcF!*>batnCFD-sm)mG5mhPS+!9uNV*DQT)dK|BvjSyYrSvMISw1G{awD z%u4^-(|RC~7Yi)WBH}g{YN=$mdY)|oom?bjTI5mVZtRH@8$TQTu&y>z$TB$J!}g6$ z*^6mX$9p2T`ZYyfWeok|=s}Hw@vgm&lW?Y>H@f4wx)*2nRv=M}kwA#>CSNquA2=58 z?j&v)_;$Hh2-MnHBa=&R#{A;;3Au@ldYnr&eV2# z%D}jAmH%z%V%_HzMUgW#Gg=%>Sz6}T?xySM@SW~}LSkAvVQzc5b~746u7QqJvMi2h zs2y6&4~ETC`vaI(YB8%^&Vb>`Q@CXdO5GQ3aS>pbf2gv0%b4D#-!kGDlfA3hK3O7W z(Qn>VOxfDLY#ObjL33v-(bT{KA+9XV=$!m$XhZ}CJ&Y&}0{+?PD zyv1a5^?8OORtFH8_t^{>bljpKCaax$m^89*Xj^aDFedW7EVW5IUN>lAZ2fWNVsW|C zVZw&ez=m*7_((iRr;MepVzUE0`Sx^@Z%BO5g&O@`m18im=z! zEwlQCU=R+(4my{_@!oA5QJkxnB`Ew+JNFKJy&Q3SxDU9M+FtjA$>Kiw{U3{rsVRhX zSTtbklAm zv0^c5eGB7H;wHP`qe7>CYL?9af~Abt`9~SvThZJpTg^e^9v-$}CXluF3#4p@f%@Ze zOKZIlX?@T=v;ffWat>jZ>M%w2xA({0fz>KcGugOksIu|5xN@$%xh7~}ws*)c+L5Zx zAh51najv4{z~<;HI8WvN5kiuorniMHKnYTVh)L3z%!=iGmVs?W-qKRc4Al9-8RHnU zwe?RT-(>$TxS+7toS;KkX7Dg=*(Cs7ZfM&WLE%`Sto~ zy+csqc=sS)E0AH=6IU7@xGU?bE#U11;`c*i&g4ywKbsybQ8acVPwPm9`89Y)&Qy=^ zUA_0d4>o#+3$4v%XXZxf-htm0`PR&^561uvO)BO3C-Ned`KAUg{1!9E##8V4w;Fi< zQVx6r&(BXh%lXlqjhyexVt&rbC3yJt2rHNP1plh&UrXT8GjDwdn)^p3d~)8Bm`6bl z{b|hi=rp)8p>f*^D84Z!=XQ)D5km#LqkPiLgQ>(Xy$w5i|JzUw79iO1V(8uhim3Ku6%7+vX*he0QZld=OQ)u|SxUOb)iKdQ=2FI^GnNn0IabOn0q^MCwC#hmw z(4t;jp}aEMCTbo%6#@3@SC1w=tgma(+XoPWHvxZPik(~lHegJ9J22w~EpJ~UM1#QX zs=}8s*}L-Qg=7Swh}uxF`6&69Vh_Mm$K2HvrB=>|G(7ujvNVU;0`b5W&sqS>Fa`5? zz6c;<3W;(Kb`bo$p_ZpmG`3}&QWFJg`s#?q{a<9u3Ftpk_a5JMU0d>(vORgO$~ZGwxe) z`*|Y7LU&VUe?^h0f39yWHoD6!o3o0q6nXoiTftG#fPq7Z-#|dP)IYgT=w|suY)n>m zkoD-9qItX$^IKE?BnSxD3K}pZSqfvFHq@{j$=DfsJ^EXei&{`D`Hm_&Z!J;(vyiI< zk^*A-7H5cWU{gY!`>RAf0BPGHEJUie)^_Rc(F&_icS)9b7ir$Hy;|vq49~iWp)qP0 z_$Ehzlrw3n*&GGdxiq^1ptpGp?h}Whe$F?wUd(SoW){4X$17>vHjWo0A3+1z5sV~e+DZuTT`ciHBBCwPv?J~k^eHB7h{?4y zE)uS%obSjRuL;}rogg1pC;CSN3+_-w$fqQXFJqjgoYRdEcQ-Xf@0?v5GTOR@X|8qgO3JpqRV7Y4g+TNo4tI0b@|QOCFzA_!1k@si!|-rZDRJw-fl z|E!9rdne*Q5Q-C$H;z6QEiIS#&yDzBWU@ORb7p1_cYWaPBmbvenED`sq4dOKjnchX zHRZ`|(TsGmh<2Xn)x$=BuMAF|E3Jp?An5uyJ|a%idE? zt5?037m9c5F;tzE6F+Z$mK-zGh9wSl3?JK(oWzl9(2Jc{a{bxNjzbCWsbIss7TzJV z<~If6W^}0;su%(O-lHoTU+B~AnCU9^Wis15+ps|_V`HGOTN2}*YF9&xR!oF zJzt7WJv)i~^#$e?Nk)(h3wZvadw(Sp16T`;AV}UwIvv5Lu9_MDF;$F^S3pRT$g4CL`+D3)<9+ zOfC-G8M0JTPE@%3!MNV!QbiL$a2`yk?@$J%y$V=TW=ytX+=JASJ>qh_xk9A@iL=;x zSrr>(@VQL^X{8s(v;PvlP%KLb-)w!I6shgd;`LOIVytYZbBg^#Tl~HUpv|*W)KRm~ zR^+XF+rJCdWEE34NEKBzR8M)TQh}`md#jIKXVjfn`1@5023cTVl=rGSZiaSi`l+GD zi!*2-cSEhFXq+8uAUL4xHIJb2S}$~|1+|IrzH_ogC91d!^axo7yfw$SuCz8m8gbUb zPlbsHNUb?H(5-g7OIa_E-8jr(2nzIV0AM-Y#s;Hw7Io~t@|$8rg=;UVIQe{nRgmPI z>#2?qQ6|R;eTZJ~Qr;+6tL4VR<-e3DN`O4YIEq3&Z|X0FR|U^`hknbQgYJD-&C>Of z>IB-*vGumNg&nZy&^s?*##lC$Or9F{J)Xi$spIHAgm0GApYlkU@y~*8zY;N`uy*D~ zM)&#MHf|{^Waz6-+)WlwE@NT*IebxmNRh6X)o1m77RiU^;LD^ zZ3`p{wBPJHahqXZCWzJi%}-m5Y`BRs-e~Fw#Rr)&h}U%G9};4A279olI@m;1+1XJs zu=(`*6xkWv0KG&5R(`y)NF#N~5Y14S+QmvP@>wBR1SY~&5L%#$9A@^+fKN(v3_Uqm zVmM(T$_V=pdB(te(_Iy(R2pof^B$=8uOBQ|yg}%}ItNx(8$DBfmvM}Y&P{2z#pOwH zy&Z|ZGTyNT4T+kQNc z^R|oKQUQ|T5!hE0W{?bamqNup-s#-Wigw1~ZON{bUzAsQ1J6h0t&axrK7e?Lt%hB_ zm_6-!tF=-7(ATfs)0?EPQLjxRK68ln_L`CiaY%k~e6+qsf|n!^Z!jSx_;o7pv6-1& zSBnz$jZ3BxD`H)j!Q>U&4yeLYqo)DfK2sC)n1Bp)6jc&$cbKK=<2|(zT`RLwwz4S& zWsk#Reh|c>D2M0B$8*@@Fh$8xLUM`DyIrN`n!>FgeNMkZzg#a9vc^;;DMpz({N0Ccz6Ok^xN_& z==%qvyM~pd!e`nLS|A{2ly6F=`#BI8aiRdK?n=yq!tO9ZJRC!T5KXo_2vt{5C2hy??55XGEfBH!~()t z!pb_>wCvyfhjKpVM;Y^%#w=gWN&4+~Y1y%CT6P`&#c%($l&2-1{7WhSt!3p8F|1={ z&JP;dxa=okSLuvN;byWU?U-z#F{brn$e#yd6vUzp){npS{sP>evIb_A3qQK_NVV~m zSzX5?%7pm+KV3DLL|%AllSG`vEjqjGy^KGtdher|q(zRbmJ112tONXb@(w&J6HhVv zVvJ=(|FEhA`$5Emk2g!s6d9Nu+i)*9-*I#+W2_lW?(+%fRB?m+L*eb#xfXS-i4G*3 zHbNrtLcdb1syjx!fcjj7ZRGr4OfPcRJlhL#eHN43y23fH{z-qHp_a&dh{gyf_p!PY z4+I}#S?NEZ;!>+my@A(>Mbq}#(QhLWP4=F;?rj=xDxs)^Txj(6tLO8Yuoor|HtRM| zc^}xha+cZIP%V~g)AJH~1wBeGlhT9801{bIj5a?ud|4B7EXYng3E`kWpoyf6U_gQQ zP+l}mAURX5#Q|1!n{N%ly8{N}AQEf0FDJD2+NW*hR~{Qraj0U&&@dwlVxWbcLmedJ z9D>NGIK^@As<6^oJM(S+wu+N?gDE9{H#B^IjmcUB(o^k9>jT3HR!hcd@#_G;C;v}! zcHqD`?a}-AlQcUH?N=@?n#CSN;lG`wd-l0b4VGXIpyBQwobtRmJ@CYkyMpn6)PWI; z^f_ib`wd!0oBSKPWeyyj_S%(M@Thj;r^1tn0x^!wQ^+mQk85CZ-)XLH9G7BTb409A zb6UdFMAZzjDLG=jK0p;~I1{*A#|fz->H29S(xZ7(KS}VFxbsaE^}3knay%5;!R2E43%rjY^Wux+_l(6!ZG41dnlX__BEfvfM3M0#YQ(tVs_l~$om5^bJH^Nxix)1LUKG z1Yl~E=ZG5bygCz_sizD^cRv>^5Hj9Cz!00`Z@$XV7#QWt656qd+c>M6>(pWGfncdk zy@X$y$asHtK3Z zPB>d}vn~%~Gm}o|0$Z!*3=EFS-Z;qGH3sk33GvNxC{aG~_N5^*-LETi=*ltQjZDJT z*HDe&ItE}ZMqLM!>nf(xv?(K9)dn`xT+M-}2A?ut$@<@s@hw{Wm19zfCc3pF4dwYW z=$1_Ty^U8X78t9t;@rJcg;Si>^UIXHUZ@p!yQKxreFxX}4D!Ys+7j6?4K>$V^&!qn z)Z^wWRX5#iEML2K8hGlV`vftfXgtN_D4<;4$ha>eeEa4>dz{!;HqyVPT(OAfA&0hQ ziHjaE1@6qU8TSdoa}ywRNi=uDSznK1_%}012p*CW{aopU)y?FWQ0a2N`>L9pv6cGa zWG%ttTCcZ#!V}R0lcW8gtaBC7jaQ)~hl}aJ!|*u7nijZE8@@`kExii|% z?$#h)+K3*`+q|OUq2G;p(C}Jr#SMB)_R%jeL25FU?Pd0~i3CTz=GPqfl%5##v1vEV zR*m1FQKz~@9XQvu_%+9gGr0g~@~i(jTQJNHQhpFK&&&C*ZFJ0kVWI+I9kK<}a1?XQ z&vI{3oYq_%#;Dr`Y~3DMykYm!>PP<&URoaxBrQZCpR~~XR8-&gH7_nJJL-7k8|O(~ z;_L#Hj!{nxrF$bR4*A)a|Ev42d-=8@|x=MUqqRn2*M`^sUW%^ zFu5x}HgB>$bJ*Nh)Y89TW-XEdAJ={9z4vF`ElnJMT=r$YI)1QQIsXF9Hb08K)ftK& zsu|w{Au6$J6@TOaW2oslr_@dvQ3yGyG1exCwOC!k#EFit4i-93I>v&r;bLYh424(r z@&)X&4ZZ(>W9D{-v+Etc0wN#ntYV+pCMi_KiV)g+yRJrfu&!F=m-0g#*DZDlS^O~v zqq01AG9$ROoPVIj4#fTMBDRkAZSI2ZnprJ~EV0wt(Xkad>l8uaFjKv0-18C?M;gurk2(DNVY6?dycDwx_c&q!qB+^)^wwUjxx*ra zp9Dzd;0VH+*)na7fPuZuK&K5*74_8<56~vFN{1;3@PZWsPZ;mwgyIKBkb=ylfpQ;; z_t|OQY!9-Q(7oS^u9oqmw?1nY68;W2-5kS&ID`5%6M;lJ1zPdh1MnMxarKU)1^wUG zCu3S8KwSLQ>cqR>YGYNLHH>J6jhQmP(+4K7MLP=7;Rt+y#;Loa*EAf1(PsX^I`LS& ztle*rZL%|>4n*4=8VRiQTRRkQgoODFugu?H;k=;!o`-B``;fU#MC%80$q0D*wDHW% zXGfRvkEY1qfVzw&vEOBR$|$6BTQuBmPx_XA5rPF3CIYoKDZo^h)#Nc&Dvh{F<+{gY z3F9elR$Grnme*5?P-`=lJ`Tz>=OzWB_5)Vup{qaPd-3L$wz?rU<>MGBr+4T#&|3$~ z8*0Y&NpP|?_Xuc8zhwwci4z8*9oOJY>uD3JfSUj^=0Mby%%HQ=N*eyQsrDpfk+D4C z)65=rXE?+5M=7ToJx&Qlpw z?+MGdr?+X{_0?+znUdNpOO+rH3p&=$NwcJI_N~;>u`FXRadcX?q5|7?si*zHsmwO3 zU)0NVo;v;oJFw_H)%%9aVIq~WQ9fqN_9zeIMTmzewoxGa{{R>*N6~)M@Dt()J{b{{ z1g0%Tqhhk-UAKD%c3}vvu;v~7QtG20DE>Eqm{0qS&~q50ZWsy>Y|djKpn0C!M)~pZ zb+nz3{LPsuq-_J+4)bRe=dL@e2_cP*4{MoqL0XCh&7>epJUsd4csovl8McK)Z~-y{ z3C8!FEpE`2Z$RQA02?7^rkHUvj)+{igME3sxv4VWx0aH-IJ-p~d>D1w7moBlmA|*;*ai2MgCUU` z*F|`Y`Tb;Fo@QwRtqR47u zmwS=XQ>OiEqddq?G08ank*~E!U=8EQC)ufaRW`FT9DN0w)^%X^F50t=(v-JAdZ*j2mMB~01`VwRvm*UX=3w=voG=qy-r%+Cb+)yL1 z_C@o`_*Kz#)odvCgCcZMQ7Z4SKNL5ikJc(bU`G>^%@w=Fs9qUwWFzCVwX$g{sPyyc ztP7I0Zlt_7C`6a&TsskrAJcj)n!nx|!-T}=gOSL*Dd>wf3+;pBE$8_iHHp*+WbG2{ zA@2yrJHm2ZNUbi%hjbZ!WB>nW_RkW`Zpk>kHbh9@Qiu#T-4@2h!*t8vS!cH6n1j4h z6MF}9_MFa+fp>-U&=y|`qp81NoiIMC<`fGKHm;F|A1KulmmAU=&Kd|Wgfqk;CVx0^ za3ZPaB&pD!bl-?(y4VGTpPB0Z`*eZ4#9Q8gb}hHX2D6?U;xv=nAZss>t?He|-ieJW zqr*_jJYbz+lO3@56_};+bs2`)Ep`iInns(t;Tvjx_ z(a#rcFvxfc`w`&{Hdmm0R2tMe>)vq>*HyCuNEL@4JdMUS@Vw)u`G4A7-S?h#nY@&v ztik*#v!35@A~;-qJ%Vjq6#ZL%pFgGjo{jIaO3|URH@m6hYzcI`O9TCOFvrFHhZ5W8=xe5KtL1Rb#&$3;9G--MpH1STD$XWV;TcJ27 zeQ*n8c=1SM_d#}U`_HoX(wsS*SMUt znbp6lr<^tNwrIHh3bQ@2sk4!J#iN;4&wcU3<@Z|?*NYlXlR3f!S;YRZL$?76D(OI>?IKu4u@v8ILbuWs~_b48Vb*IIg)nw|?gl;cm zve^`nuL4TWory=zg##Ombi)ubCO#W-BYY*|v9(Oy4Gx(<^H?*P9QxkM_0s!4W@FpC z%Nq!+En4V3fq1eA>4;%wH?pLox$9Gz30HY2USoP4s=UNbi6g+F*uUU2q8hf;P4KPL zx40o5(0tTqg{(4;lpVb59(JCXA&^p+>tI1JOx``kXp_&-{LJ0KVZ?Y|-Af{3gJ+~i zT0XS9^Wh6&ZH@Z--5#XA++()Tead_Z0_gr9&fYYv$#ZT0MR<}h39W)v6vZ+q0@7Ni zib}P}JP9Psq_rX{3RS^~3~>k`O2A@5stshR36U|a0x46{)jCv}ED}4IJ3An1TFSxJ*LAW9dHM73_a<_Us^iqI z-OUrm^7sl7lC>7|K$>^r4L(}hW1Z3L#?sV#p@hu7=PYt`A0mR0qqGLstsc87nH#-w zRzil0&O+Thi=Jk{^Y{<9_W^=K>x1_Hg%^S*p|b|3BM+f>3wW>GlSCr}uR9-_MH8!O zz)=UWHi`n@VW2uiI6AB{_<&CV4?v0gir*8}TCnB`pH(i;NGsQOX}U@a2_)3O+ze8M z&Dp84WBmd@qczXJR8p0u2mUH}2S{7%v=sg+;IgFLY_Ba}5AbAti1#&m2@DLhbGBogH;8oz<@ zI8g;I8wlC_kF*Y|WVJqrSMo>~oo+N?*Ta2o2x32`A*Dzr9lZ{dj|jXz7xLL_gaQ?W z0RnvyjYyfE1kf5bR+f9m2?jH{B1Y3X`pn58e~FNN6O~GT1YjdJe|%zE`Qf|@N>h0q z0HLC5DgSs;vs7XXi1GzyW4Co@N%8J`M8XnsaKp;BJ zs|TBmF1qmnq#lysm4;!dko>FB-=Uz$qt)`(UtuJUeVHw5gZ1~MHr&wkdK#Sr_{XC( z4%!Pjz~H|WjcYa;wHtqDAJaYyYzR+z z!Ib^6N;Hl>6aL(tTnrx%tL6qeHwhl~^i$;Fcm~L71n3QvFd9l+fVRt(WJP)P4z%<8 z>}r)|y^lLwds0x@l~M5);6k$vqCl;nK~{1$8)kxX{R~+@BH0PNdH9EdH%Hjro?HFu zsk$D8T!DhuPsb)6{OD10_-e-^ef)cXY18Kn1#tv?ihqbmOpuoFv{E7EcL6#1yte4% zS^WYq5;zuT^kaHLoPGI3%go%vqfxPKfQh9;k|f)lt7wNFBQRCl=SNHWWH~~zH?ZzV zv6~I?_4A^kT-88Jy-d9{nyydEdjg^$e|g>Up;->D@jmmnZgCpp8Amfa{nuP=uTA|9 zG%;l~j0d{@5}i7wKl{7Jl{w0cDq)|Lnjkx=PxL|ievvSgR_b;ihJmP`jBU##{HHB$ z0uX^k5J;M_8*VJ^kNzK}Jp?FXDj?6r%FMGFalkrJaL)e~w;(_k?}g7g_CHJey`LR5 zS{>J#E!u9J{s+ZLyEr`s80H!eoY#N>@mfTUN8v&XAlr*&%=Uu0>3~(B_5NfqI4+J& zf#Ib31q|sSAB2D4jCr!4t9hxNPrLH*4>H;CM5e zW074Bc=5)-RUXZonW(15+VBnpw|u-JdFsR@_@R|-TO%gKwoz$|*2Pq#cxW51Y5Spv z{i9Je-F!t)I$yKI9gSYc66r!2{T7qjn<}o=mR=juH9he?0Dhg3mXrBI5^FA6yK%`ZGuFvBsgxmb>V{yK+7n=;x5^sk8Y8C&Q54M!?9A)>juB$zr>H} zhiOaNUNjfUhMTW8dEYSL*#+Z3ZB`O;+T&|O3CY4W4Y8p#RB-u~(@y(RGo-CgbwQaJuK0e|h zc#f=_gsRb#?OCa306^FB3e7yjwt(Sd;&=0>l&_~w`pB9~0GDCWwjE75TfAOypKU2g zhA&jTDnymrV-4nfLp-s6QrN9sB+8rjt+*_P^9H$z-* z^~x@`CA5Tq96Me2(WAn_krsWzSRR1NvbFa|zLWP7^e55y@06#q1j=NPx>!q$EzDx4 zSYhd};QtnfMvDNJ*-*7ApFT=$5q2BmE7+DDApi6OS%5{;-iXJ3^w+%#%{==8^c~<& zG)CH``jnEegal7xYG|j5Q21tia?TKY_~B08oZ99EI$Xv0!>-cwq+ZOKFTb+Dy9Jm- zMjsGs)lbnRG_kT1=~9gL+K3?&!Na@8l3dkXR=YW($A;LgPpxtYN54_M+x}8e7%nv= zocG|qQ00oJLEBEfqQ=vkmI^oJE0Gp7?x}b>QJq-%blLzE&2hay*&84+tbl$)tI~Ez z2DG<%e(9(LAkgPMipGfyu7$8&;U&E2xvJ15p8X;0+jTExz*Hv}I)N4b2f1Pp#EbC& zwJr{j=^;jiOMw3TQ0Zq{A0M`*Y-}_@p)b24j^$qp_KlF1$~w@b-E43C0{z?=3edfU z!gb>yyxof?R~r&Kg&y;&9O|83T|9BDFhE%E>qC=iiv#5K6%I464sstb|0R_z)L6(- zsUeO~(EFlE(&?JpeAVDX9;L=E7~7piQfuk+U?6IsjKr5YN2qJehAJSLB`>jceCZ%$LMf?%d8gSm6ru)F<3qNC+=1mUi zrA2|Yn-pD_nT80(yFKlR~YC)QV%r?Cc7DrvjBHAt=I%rdS{S+*GP@I z48p?SQr$~G z8O%cBawn$_XIC4U-&(e1REr@|e>{R;N*tr!**0V^4zHiob-q7W@0+LXs<9X(rLh=~ zSYOY)f?$UUNW_ur;u(GD!C;8Jw$!Fi7T$YZwMs!I7X?VbOV8_~1jdr4VfAPW$ij;Y z{F1tKs7NSW)p$dVWj;QIx%l#fKPI8ki+@dQjN6eGj>%vgY7L4xm2Eh_MRsDHg5CCN zzVXS>qYfR~hZ1xNyQ-KK5<_2UNrD&%_VOuS$XW8R;Ij7_LpU*|ykvYvI{J@(VXqaV zsZ|$0Brn@30_zFac`6#@sF}f(Cp~u=#0INNpC2f_Jm zZM#WhhUhJZ5RpP<+tr@ID?UF82LVU1mn@{j%cAJKP}S1x|8_k20Q|OsqUQMDUJMgs znPIGE%+0{LhDFUvOjeq{160buMbeHt&LwKmlTnX^I>TH^ghY%Z%rYb18)2au>)mBA z;vcg1%^$bRQ`}p$4CTu(?J93pM*e0~36v+M!8cco`)=D%%U$rq#Cn;k z6Ocv%3!R8Hg^(4zsq*lZj6l;o4Qo387%@)utoOo`mmLi?@W4@76X|v znrpHBM)wFMh}fmHHz=}dtkmNfKD(RVhpXo`BV+t zUOjZQlj3VWJP$c15&(wZ*F}tq+tjw2Jpg$v4ro)9>f;{fb05sxD)I6P@91W-Y?@lQ z05*s?IqSVKVH^alN;&3d^H&HdrPYdBt`kC63Rosj@v;C_weJlfIltD0>QW&HT%!=1rt%#{ko3AQDf4i!sh%e5FX zmvRy$2+387-3$7Lufb1%lgoscuPRS-9~dH%@O>JU?2Cv*7UR3(bx^-tp=-(g`q-RT z>nEq6)s(y$7%?>#sPIwhGl=K)fxwKQs4NOAQk zS|6LEv|Z4sAs=8wJTpF-*LAUTney8%QTUifIYkgK&TCHgFHP=}4opv>e=7AUo!thA z1hyPB-u>05U!hU$vZ3&2*@?2uw=m&-l&K}MEo7=$eIx{w4HpNt8H~%Awp*wfP+A-D zuH2c;L^Qs#GgqOL_vWD~hWPV|Pfn<{kXe)dVzdk&k0!m;h3l$RwhYZPeG*KYwzM_r zRkqo&xplMOl@5!u+t|1|^dXwGs?jquzSDQIyD@M+Hb)=dri6PZv{p2G^a*LjZ&+H$ zmPKu*kVlO# zbefc^ZBOfmX@7QX_Nl7WE$?Yi6SdSU3LyjyoE+ow3iRNKT77B28?p_F(DEJq$XhDi zqUFOO04|!iMD!qppj{i&7$XZtpTc)k*__4a=o5S(D{fRYEHo|Xe&q#H2MZq0o2-v# z%&bio?1G**WgWB@AD+<3AenCg%uY%J!@WHhLKwwivO4eSsgIT0K@nVI5hBV5ZhXDo zQ33~CyRQsV7Yy-ZKwh6s)=sT!f61+TnTy6x_ZJ2D%DTEPKmkW4Rq7&UHVgZ#N3(Ov z-zg9knCf4{Gpt0T_3`2v{ZNQ9yVVePzlggD9jdXcOYg3s#*a!H>(ubS;hxe2D5_X( zVSv0nF`+-DbUkmb*#CvB9|~eJpO%x|G?N5xhgTRMVrwayDZK10EW16gfVT%Ixvj`> z+zIE=Kf_gW+Sfzjhk(lNGfCg0Q_Q~u69eV}*xf^BI{O<``bxEpAy0S_j_!`Rp-^L} z?WMPd_?JSJt+-tSY-@soUs|it8RA6(cD({F2YB^x&B?xoZQWI+CDR6D#@wS5Rf6D} zB}5~hsL*Nj8#eIlueHmYOFCW(F69mnO=;Vwl(w;aV~7gyxbp>t^$}~@WDC?QjCO;P zna;~z(8A>*Rx0lsCr?rW2k<$Dc=uS2wP;!_(t5q>C!g&-H)qVGXj5B*Tqo`YVJJ+i z&{y8pr}9ex${jAPpg-<8K!Tw#E-Tp43HT)txD3$ z=4}&_;Zlb(IvHrlcmZkN8WnO`n?{rkpoFuKw>JtY3(Blr-L0kKlkiX8JZT&hEfzhj zRWNwqmyRF5VTfzFCU2LuUISfNCfxJLebz9|faV4@i-;yd6{yMJ!6U1Nd(+fV_@LUD z1Y%}D0wYDXW&AVGOHBNRzd0{bVm~sg|ugqnWhuX_UI7A z^GwP*FW=`ha?q1~OC{L?LsFD3F&}ap6&;`wMdOJBc&v)9PkhT-HK3O&ZEJ-MLdw9< zR4OQs-W8EDb%x=8HC%hM#1z;i=3<#bP{_&M|*R*A#r3 zJfctd*tjKRYo+#b?@W%RS`5JzqfvFxLAWxlfW@hNSN)W-euR|Ew$Sh(DO4$~TvA^E zFKX;bL&63vg?oCeDOjjQ_3g?y!4O~Cv$cRcM!iDPkYz9-EDB!Wg`z1FdwvVLvopvIw#3pyyKygVU7teBR0b*(*v3`TwJygbBtVGTUhDt2qV} zjH(rucHX;0w!0&sw&K`bQiQmoWE|9N@BiULTJvF1vhT%+1y6*xHK1c?H$_%USmLsZ zmAIa>j^+1M1O9qY{ot`dUz8J;w+%Yi=5A+}B(ibSUZQWPl)1AAMYsA_+~Y{B32)+e56M|GY|D08%$XG-F-HB>b+eTD_78#q$`8|A zoM7*QgOZ7#>|tZGiQua7=3GL*0nh78H1-rCSK0AcSI;^BtxCLx9- z3O}MxgmkAqvK$>=&_mjuEDcS`Q=Y1Ne6k9hSvD}-I8?koTwe*9y}P`tzCK&!Ab8g4 z@lu^;h@1U)h@XW5&NMXs8*#u)Yz>0mL{l1yoRU)qL!ro2+A0}rEBWNK;Bu;Hy3rt3 zTFX}J@db9TW9Mm+XT(ENDvUkh-;?Z(5)#qGYPK5sK33vsVd2}N^=IiEONJn#@58h) zLSq4$GM)@k1W&3~B|!hjrv0UXm|8)8Fh~V#-9Hn7jLpSW~EgcjfGfj}O0e!hz zXhz|O=m)hB@HZzOL*qGc<%6)Ugeqw<<+w^aO4S+#PI+hvSY4}j;%C|3O7IkDK@=HR zP`J(jp>lI#xt`zy4m`b~#-bz1kR)aQJ{hWu5vRWDmp8ra+1D!XY}ZknW}sml|N#LdsbullAOvIQ1G9eh#)04QhS*z`#~ROrST3y;}Z)5$J+bu zgqHVN)fYfJM46q5CeGG)>k?Z_>wIB|&9!W)3%^xFU|UXV;H(>{=9kpNY4g(98|><} zCWxyZ-Qe{%za)dRp!sTT6G+ztfh=-jjXAhK;^rt$vsGvWpxsqAB+=R49H!DvXz&#|5w0Rz@odrcVnlZ_RGP#nbi>+Bf%H)^w+Gp?Z;&~ywI`MA%v!%aIoQ9m< zuAmP7ylsf=q>$1}Ss#wq?Jw5$)xPY4p! zo`uw#vXAQv$h-+O6-_*Ch(ZhGeYL_?L&6Az7%EU`aSkNDA`9lqugJuDL8-UCC;-n# z;~(kb(@8@;4L~ERu^fedzx;IhH9U)XGIOr1B$HaQ00(9K!qBv~U57>(`;(Aj9HSm) z|0~CP^etpZz;JU1bo*MXC-Y%5fbs^88y_?t6$C2bi!anQu)c;9z9rX# zdL=n_h+g%GwTh^(gQ!oadrqR^lZ(3(L=tAtWOy^3g@(8Ei5)d?)dMO{L__u-Vu*vYA|0)>Tzm^e}zCgopC zfxc8?L(AIop1@e`q^`$W1 T%^kW(efId_qRei6eEiTfM4-&uis{hjBN_0-vt=cM zTvo*e3InZc*NswDpwmmaKcpin)Y~})u<4MdQDW3OW;=9&&i?xesg<@-$+`OYab4+W zB(56zG!RmQM;9Pos@mBHt!@ggds%)*u)tz;d6r+v=WCAkK1oj@4tHIcVy?`GmsUJO zhOIJJZQCZ8FFksneI|QXKgS%;U`GhmmFE&9ne?aV%ri8Jp^KR49;J4x0gH7XvW)Uu z(8R_)km1FGIQP!R;31Ks#sa)B@CuI}Y?*vX+n7sMpm9%T_34nqB^c2uq~x?7>#n8_ zm}}4Tn9v7fTkOSW8>#9~{)o^^IdIPlLsK9GRWl-?hLF41-;)aJ2S3gmE4`v>2hE1a zCwZR!Sd%#hg3&-$ZthTsM95+!cQTt3@n=V$F7$D6^Q8X2wQ+HvY)gQJlJWmdVg5f< z7<3#7kaNa(U4*5dH2S&!ve|9*JMcTa^>&vW0f2vLgFOK757ll!wc`se=zjtD>M&h& zI7M#IzF)A<;M?v|7`fKvp9FW4ofL_*ob*lBEV6&_TgOWUf*`bh@yp>#& zl%VNr#>+pND$|a68M<4p&6A?gL+dJQ(1QhH^)j-t4xQ-ZEEQ$vqOk+|PtaDCb-he@ zF|BwV$io>MU<_s@Z$F;NT`jD!P|Q3SeF^Ux^D)idF(7PbOg6CI%M$ikaiJ%HCls#( zw2S$RY%OK=NDVF#4}6FVAWLR6qoBJg3>YQf2d$7YZD@NVzsCGba^fBAL0wS(u<+@) zLOohy#Lyt}b}5)I)akQB>T zcL|G8ouQfvuD=33{&=p^Dmgaomg8K{Js>rL2u=gGWB*n1ZR2vslvlYUQ+IZG4~~<^ ziVpCFXa9|A=a&~Hg0cX^QUHa99&D&-8JDnUt7>qfI!G3Z0MYVHV^hGOIuWu+Q6HG# zD}na~aLuR)o-WGAW9SW@VYJhNvp_9)a!yuEi%=(mc0|y}>6=}kg)a25ky$*9B3*rk zE`yy0*8x%zX|ot2B{`TmxPt)@IofbQf0j2k38*?Sz{41;xrqD(nEHD`uf@t0KhEqL z94ECaPIGa|Ff5Gj>^eYF2!5u+J{B`KRF)WK|7nFJe7rVfF zW~9d(f;wIf%zObv4=L-$H}D5{#{NDoU^&=Wew}`3eU{O?sDRsW^k{p{5>UuQqmRAoONS)GXb=}9d*Ja% zHtsvGCIMObh7MxkXt{K_QKP5$=}1O|kER0v zsBZJ5(KRarpL0sh68J_@Pg{1h=hFdQEKu~*+K=ZCw-(deGl_=C_)3=rA>_CS_*K#d z{{mF@7LXkUbb#-*cfeaVRc%=d;RNx7>56eOPjO7C&h2~xTR)hHBvAK7uIx8g)la^H zP$1BvLi`ZCEmU=y5!mH`>#5M*M+u#};OsgMGFyv{ZJ# zxmaynxAK{$Dd7cqb1WYL@bTIJ!5Ti z>VMum3wD|RufDy-mVOk@g}vC)Cm^8#(9*9%B3>X&M_^_#5yhe`?klShN2@67eG}I- zHv0(HuTYKs#gH|E`~x7O{LW0Z+$ zG>DT$%ZBUzm~t3@@?M0w_|*?2VCN%scx2KKHs#T-U2E^eQVxhGnlF3cA+=6kF&I<4 z-FGgUYt{t5>rEd60l(x$pLfSt_YA4moR|r5IbuyM*&b|^R)CAMY$uP+HqqQVd}G-- zQFe6=M!Otj4&}8EsDpdEn|~z!>1LZl(3{%Y`vn-ks{kRc zu8nHxMaCyW(Fg(SsOIFWpFvR#B&LzapY^@!23DuivVGz#7{6mz2tiMuKE~WL=Hm_M z02UhYUGdszA*;1Z-Ecr2uP9@FiSJ~(SzEqYOPFZJ`eT>(86U) zTetMpAf#mYX*|{GIiv3YQ`mei8RqOoj@@`89BMbeNUwj*#@2Oo3iDFYz;+pcn29+c zh|-7aGF2Ai+D+u19m08>qJ#g8a->znnE=`0DyqlkoYHvBtO}=x$~CP4Qj#)tK@j`{ zn~#wNQLBYrCOUbj`iOS6lk_5%z%K%PNK}7^vG(WQ>2uL3K=-MHV8pn$hNu^bw7BWM zA%9{vxamQWEXhR!GzGqFjFAaxRJ*no=eHa0HS;H{$i&u?HoYI5dI$Kf0jHuMND=deUnS&KHCplyw}i!i35cV^8UIO`YN| zRdS^TT>~xJNF$&pOo_uosWU6^>j#Y=z#jU0f_+DtG8)_S(a4leKckUDDgogCcSURG zG7TX-fvm?I{K+lFs-{Ku#8mk>Fx`OG7A>Eqyf3RND^%Ck<${1MUkLWd6U{fl_97R| z!P19~QV3qRToK*js*W*C6d8J5_X@ekML=ycfYt+(CpjrB@Oq&SL~E3|NuZ=c>_`Xf zL1J0ACZ3tz9s{26F`l3iydoeYmv?{>L>Enj@b9xQTQF}lpn2S*!a@HkMP@E|kA_~$ z6|K4`qsUz}!Moq@ub(e+oK_62Z6gkWOI}bAVu+4s9jU4tF~me0ZGgLE@CRVnKz#vv zEiX;#GD^WmlG9i;hOP#yL*a{ox{iR)E<+>jg-_J=9gt<9i{j4paTAlLJF`-GQ(xtQ zXJlH~F{O*i$|-)p&~!~5Bay!WpJo5%5JEpFsXc%Mm7kn5L-*;fK|qiiEgUIp0AnyJr`qL5Xq8SI5H2$g{xzpqh_Oy(K`ON_vy-M``&P1B}ZinAR2HZ}Sd&xrAeDrnWs#KwW-hlIXbqCd=PER4Vn zi}5_jGybnxy2pC*MK!7dJMPzxEdEQ{lRU7uYWCKm1V?6AL!ej=Yz&_l9P`YIEm@wX zv0y=;^i?bNPSz}G60c>9Gj&b3fMnzjWc*lAj<2b`^p@I`Us+bv0U#3~Br(oL3t>;;&F@$}^~o(=^@8-1smJwW{_{8}sHa^ZcXwlMO? zk=Sg&kbQ>36!GtFOg(zARBODsz^Z5EG5&&Ja?F~J>QxqD% zsoQAH0N)ghPor~aPMim{)qRj!)>uaZf>WX6q}1qA0%{H<;X$et3tCz)MPzX74j3YY zqgM@m{Guq55gE$GM&q?bzbL$4l$WSSdT^R1+!8aV$-hjUOzS=iGsC3Z``j79*`QbJ zzQr&mgQp9B;LdpC(NrP2P+K6;A3CWs0dX_)Za28AOW)_TZ$T5K4Uyjo$T~?1_fj{D zP9zu}3o<6}bhJJBLeo`scRo2E;uiaMbqfV~98hBy{N7C3<10Hh#I_JTpg7IR;vanq zL4M6I?-yH24BZd+cN1el=i147Kb85_Sl`f(5o+U>%Brj^qK(Ov$!d~8;yT`OT-zfT z5w(WMXVNcH(CLAcbsc55bSdSmj_fspu~AnRNP_t;DS$wX@{;I6bDE0{J`wZg5;qjw zwV}j<>bv8@3~yG&P>)aB+~BEsQo*Qet-(uJU;t&XNNur*=1}mAzRTvO@rKr-(R`x= zvP|g{n+(-!`$WI&=r{e@+4q%~v!#`t(?AxF>~9hqvsGld|A)S^#2_|H! z@&)iwS{j&}vO#|grEt_ML9@^R`?oZ;;v>;i=S)m0jV1%DCEw*d&;_C&=we>vfC`$Y zyJd4#R~!p*@puX+yJJolJTHr%$DFj`2?o(FsP6D1?2zQYSt- z+NNpbW)o4pbf%_W3&3J}D@V2<_#BwU7O9Rwx zvAmd8YxFLv^e2H&{gDbWI%tJmextcSqduNK_-FrYgME`^o@f8aC`E3gOUtM_u>V;t zoGiOSta>TmHVG2=SO$ux@74ty_jWQcdI=Cj&?1!TqPufBAUXZwKN~it1WNA(*s#wm zas0dPh7pcQ*56~Fdr*8Mrj-y9=+=x_A$BXU&SnjN*W4yoVY~kv{(AQRthuW_ASKWV zw*1u_O|X9pgMpQ?m=g$PGP24Zx5+S$Y{CNN6c>RD_0_s!m?j+6e_{ebM1>L%7YB7Ts2f7+qEPgDN0sx%jvxA-Ob$V5iTD$axV zS2tu0HofMpd8WvM_#ytK@KL5s6?=)`F2tUk{f7UVd8A2IT1cAVBH9S_P^jahxweOr za9Od0hhM@YmE`%q=y0rTB-?6wA?c)wgBv$cZyDzQS|GupncTa`IyI~H{Rxd*b9-GH z`^AvnA8q1bP?+AWV{Q>{R(0@;1mN~OBkF>kxx2)BF7|ogFgV};3pC#12%{(%m#%8M(Y8@Q6nQs#-5rp-iA>CvvjU1yvm?F&eq&- zDVik$j#F2-Mwh8OVCbW~%KVSx4rtU9nm#m1&Un-X)pX1p6kCGaT=DvRW3{Eph%m$q zGo8k#26dA7ni_8V4P)Mm7q3hN*8?^-1BeTF^7bDOK|djv5ysI@^Za8)^kP4v26nEq z5-pe$457l-D~(O?j5}wy8BGj)pq6%Z{i(}j*9d1i8sOq2f{XnFW0Bj3saH_Z2%{A* z4;l*4gOJy!%c?iVbC5co(LO7_>5VcIM{i0gGr?$lfsj9$wMLNE;4cJg7#ll~t%8l| zzCI{PpCY-k(2pkmWr&{4Uh^!ixp-30-T7oQ3bT4-q65xawzObE-GfWOZ$QJo(804) zp!apr^NY`p2!;-*uA#aeNd`phRMA=;V65TLD^39e1T4ckBQABQ^MG+9Pm+O0GDIJp z_%LloHyE&ZRcUMT@P*yI(lx(Lk!OgM>jG*g?(2e#4sy7AoX({dvf2fWl^42+XFE4G zrDqDsH}&D*_K}B+Q_;9tE-oq=peXe^z-|KVjxg7GAULh^F+(90UN{2ElRnyG;KvrK z+rS*D3u8>@gUP4ne5?Y3X}YTI)u57&)HUr*07nfl8y++nUJkRdT*D$P8ZIx22Ii6Q za0)3lJ(D}%c$+?)ZcCwH~ix(Ui1IU$kb``6_w_v4oKDDEaLaHgH2u?sZgq#Ll zx2ATW4=IG_>SdCWV2LZ5QLn>`GDkmV%xoqbb2h+RuQ7}W$Qg275R9Ny7D+9JST5Ws z@`!?>tMCRO;6>0?oMlm?h4PKW$@V?MOOW<^+!r`HEpWe)0-wNWu&tl*sh1r;HyR3+ zm9*se|4r$az_i93HrliQI{-t!2*ALuaQ{zicIa1&5Tc1Jgc$(+=UOalv1+#Ridl^) z>uvWH1TQR=z7sfLX1Zcot=*1jJ4$SjLz?w&)d67GaqRMV<;HZt-8-_|!CgIMbXU(; zh3WE44Na;T0N7Qd^_9bIf6M@F=!e&jW5;_C2#!L)-__#CRAOi9YC$C}DW!l&(88eT z`5Q8H6H@0wP{QFg-|3Z0wyUj@+g|G+fXjzqKtnPbsnLG| zc$(872#(C_bcIp?)O*H-dvZtvdjTXTxoYfEEQUg>tm+MWqd69_8_+|BRSefU zZ$OnAAsY~x3#?}I`u1n{F<(etj!W4DV0nRgUI2rorfOlNW<9V z%mDm&q!ciRqYs3a;~|eJvnQSOy17^ps>Vr%fz)2;DDL9mT6o=pKV?LMcwAFJ?8l%m z&?52Mrq;IEt!qWHI+2c8+3A@D+n zr9g?_SXMY7R<};Qhfd3Oz&@Mi z7xU3gBh(@M*(o?%sD^lIJIHMxz%`)j@r32mAoA8EGdM!%3vY3+=`Wh%VaLC(09@H}<}A9$jE_rt9yQv#zS7UGtr1`$6Q7ffILklefs$OVNf1wQIlwo5u`T4_GBDN}aTXq4rZ6~-o$>-3-W zL(~|stdjukNXF;zEFq}z)z2fM5txz~ciw+j|KA8N=%VxM?)Tv$M5#cy%Z1~D!H?1# z0R=k1WfNTc`#unY8MJ=J*chQd>JYI0G=>^@6T4WII~0Z(eQxK1 z5DGRMnBL<5urmaAfvGk-f1$4DQBS>y!Q3laP;>$z3zZ8my4H93OA?xg38ZEd`&I0G!5Ocq@r@N|WMq~`bG-Mm?I`+N@V9JqJ$7M zN|ji3q|pEn{8Y#l6LeAw`$@8#S6*^}mnZ0>;U{6J# zy_L7K>*(%f1 zJ}2G65YC@yIcktK(afKlUEWIlp`-jBC&i0addaNNnQoOu>mKmn+Xr4RUT$vd;r-n* z|FtDirY3HFYb~%&SKqoKPS~S`N#B+Jh8&IBoEd!B)H&*US^o!zU$Z`X%8Xua)_h%n zakKx}vZApU<2H56&-tCEr@v0&Fav}SaLnmX&1g*O(*&f*-`8??OXamjjJuatz}M$7 z?)7_I|8eWsh1NCO4oI27afs6qpW^BOTyT{2m-}u0`H$^Lq`SB6;XAH$CwkDcmM&{E zww)`^d-D%_yv%BX&2ZbEIE1{P_R;x3?kqj>Y`A;YCR**k5NE%3hx+Fn#0h`$Qls#% z71s%bCzf{Bye5~wmY9@pv<=t%zGvBy{XQPN_QQ{AV-8c^|IpOTC+_)oRhXyr7rd7Rb_CJQM;*Um z`MTC0vRL;KeSrxEhf_Dd4;7W zqu!=7_G2{M&C#XLh;hs8n_J{1%w4p@Y z7W`p?+og@a2ICINH*dInX)7l6ZG1!AQ$$_2QhvjRx|eePse`x%>O`0%u=@WQ?v2=h+EL|?e?*ECvPK8 z?C{?PnZ;()*O9QO9pC<}AbTB?25{_}IMMg%uMfV>!0bHcxxLok4B2;ZE*Z1W;@wTA zPRlU+k9oaPR+#MBc-O@w(#0b0lRK*`&X}&Zu2O~4zpmXr9#i|3+J`PQ`I>F6G{kK^ zuzz98CamZEb5Sb_vK~ijSN$4RGH~{WC&e<fdg=`Np|v6>N+*NvF4 z_m*L-*Fh?C5PheO|3A7Msx1*D_z~iU?Y0Q`2*Cz7BVmIFEKdK4m><5o5dg>s*dAs~ zLFS$~Gv{ZVwW6ekp^!!jqom$K1BP4{2Vx8slcSeJ$dUUAw?X=DD6EWx5T2sd`+74^P`BCup zLe_O;rxp|Nf%mI#Fa4U7b-?R7vTMR5>yGb*o)-YE-s~ zr^|-}W}Xf2S^B1>9d1Fkn3RSx|J?8M$+2iUG0J8u_P1rx8vEq*;Qh36MB))jpq=~> zaegoNQl`^ArZPr;cpq}g7Pl>EMM8LV@o58Y=YY!#|BL?$yL>xq8h6xg-QKhQqx3JM z3oCZcSpDvz-hZweaX!ZSp1Kr&z8w=uu&wzyul9s$5qXl1M-0kgSE+iDg zhJFuoiR5%FVEvP%V`h&IU}=;i$d)zH4n=r5;`HR`vbDFb1p6Uw+lmUWenj_sP{Zc9 zoRM2pwN73_O5Zox$#$qicDAgGj`(?h*}KTjM(e|rXvTGu>lhbP;_~(OVbNa&D`=j( zk9+L?WblTR7P%}T!7QDg&`WogX>AckC!J2PdTzIM@Mc0i#=SB=DDn;BdHWkU{qT5X zV^s-lo2#F0Gc$&Dj!tlJy>>f|g9Pl|V{W=T?Jl8(7KXe&e5s}PgG&hK0M5H2`q`R_ zsBoWq+^xtV`TDq@jw1E1ky;lH%`Ik~eW+*sv*n1hXg_`XkCBb0i08m&Ysb=!O>dFN zW8vLiAM9Ix;M?DJ_Fk)X-SPZ>KgKO#_f|WL+sy(@82xdZmltLE53P+fLd6Q(Z6yEi znI_Hyj*+%s?cY}2ehqPR_vUAHp0D(*u-fJPf?i^U2sRzV?4(QEO8KvreNpi(Wp(2Z zaoEe7V}1<4bXxAHez-k|?)X8qt6+6E^z)8T09+#O)u*a2{@FbIe`W@BK&8ah~ow=rls+}R>ku-N;)Zuuxq#f%85Uy+Qv283V%O1HGnur<^Dm)+wXd1=AVfBQ=hHH-+hAo z`7O<_akC5in>~!+=d&#Og%Cm^EZp$o96SWkGBL$9%K(Ve`@{xey_~G zPL$3avt!=&x~@q?oJLunev0UVagpwsdtwZ`=nl(P30G{uG@H27_ht2Lzn61qM{^S#1piO~z7ND$3{r1MeZZZ8 zInaf%`pFfyCGgu9HIE`OOYh^h1UEUaHg&)pwY~k+WZSN?BF`JK3@6OH*RKo&nL2mW zzjMPO!xgi(U7O;0(k)w$Q@r!}pRmeed_v($+%Es?bJ^o6VE0_6Jrc z_+X+pTR5z!#5fLYqMmd8WFKbTD#Y1+_xJk>8JP9vh~F{mj(xr@nD^Dl(Kgy&(c3P- z5*ni>)89)EvO2!@Xz2t7<2KZW@snM^Gu*CYHa^1m(5sFu_5TU8sd?Z7{;jku8|GV# zXYgIbZL{w&|Hm6Kn=5e97WUVd`+jh-F3!&Pmn~mn+$zEkedOs9w|^@UnD{j72l&GS;g?;%ytnTSW+#mzGpoM-shG;d&bpjwcFv=^;txE= zc@BhrW4T9<3E0$viKi|-6U4?vZ<&7JZ58Dba)a7z_Q18q*2ALEZd2GL#5)0bo$mT@ zFya(KOhCpx`RfmUPGxayK3Tf-V00wT?RfaR8!>oa9KqH5-15)Zv74_jd*1JvYO7fB zh1HpG$0AI;Bi85et<6*7$_&deHycdid27tx=`c^>>Pwg;Ew(kh(iAbhd<&B@k?`f( zA7583#e6o*!FeZD7GnoK5M1*Lxz2Bqm2scXIGD%Y~e7 zw}%9K+gtfDBRfNhcgfE%ZY{{vR>l5{ZJ4lhm$IPMx2?){zkmDkJxu&^D_$X+@tOJ; z>m8JEVsQ~1^J_AK*HmLYHm#>Yvc9?o20oT30c6<4IR$YH$VyMwnQNo(& z<&|!d7jH1-`zvi+PV<-l6!_JL->P2&)ym%X;0-6ldz0(uG`cO~?3iR(X=pPEXmoV- zI=4Eh=j&ZXD4n$nr3|x`R{N<@cw3QszH`>k#J? z&(a+OXm@TRZgz9aoP*fRD;qnrGd|49Q#c%$uOYeOw)x2SgdMsUd<3^;d)qP0AzB?C z=e;3nnTK@)%`Y>&!tB)jn0L>ecy38N(rf|? zZu7KV(ibfW3XHpdxP$#ozLZ0=DtP^ATQB17UiogJ-TsbFs492uD6q2d*}QaVS3O)J zJT2_k{4A`vb>$~hKgOPT&ksBz?6Uq@Ql^VC;o`R3`{^9byI16GWyO1x=2|)(S+>nH z=1C2KzTD1N{xsnLCTt1!2<1*BrhD7UJO#Da1y*W-;&%UXjHfH%%OispE}t@v{$lEd zAqq|xT6YY*rD{)g?zhDZ{s!Or@VEAPe+@nBN1RK`nW5tSzX~d?HkiMqx*mVoNY4ls z*gLr18f9`AJ2S6EO0Shl&z~%${dOJ}x&1(D*2dqD;UcMy0k7haQ&H>6_dDZX2T;*; zYhI!4=$q{(o`)T>9(TBe>^f7I`O%G_^%*~IJO8?x4nfnFfvn6sXeYsa;gx8?`XXe|GVAcK~u)$_d zjFR-N>}|+i^=TfaM0%d%$S(ZIZ=sszJkXQlklnAPx*~4N>#6n$`aC3Hpz)XeA2p)s z)E~T8WwNCMeghY= zE6e`!8`yLd>yVFe3o<*k{5qQU9J}TOCM=i`<#HE;U7Of~#OEKPEI;5BQu<5nzp(G` zDt#3dp7o>sdKdT7dDpV8eE}k@+e+$mf}dz~aD(T$h7Jtu!|otseYQAw-;E5mo3~mQ_;CjHi@W@&=hl>l z{n$RAWSrYE-&GcSBU}^rgu?ntXaMtNE+7MFakGk=T8k;0CrP19M;=`{}A$ zlP%5vY_ZG4Z1F!}j@iO_&&+jy!t&gs{(rqwv(^hSh;27w1IKXg_Ku~&!9zIrP-k0g z=%e7BnEVwcL0d^Xs_%t2Bi>>96Y1MtDkJY=(oM;A*rHT8^}B z@6thJ-^?SOV^0I(to&^Cx2u+Fx}4lsoC|w55PQfH>%I#g^{17*%)_pU_Qe8j z+iD+L)=wS<*Hhn6=dJu{8wx{%{nNx4Pk}O$9_kcI@;~<2#IwTmL5hfNLfHNJ(exI$ z2K`@*y?IzuXZrY!kc~yL6?aft!39w|R%;8W)hf!aK*A2wRzyXmRYQspQZ+0pi`9f^ zC8V}lDqE@oDG+kHxKzNZCs};=L83 zyf6KW576J|eR{_K4u`Ry9C+_WN{Ij0`8zm^{ZFji(90><$k~2SQtk4$4d(q!AMWCH z9$_37~*%Bd)c?9{Z+>c|E1y1Jv)eM8L5&D31R5N>iOUK^TRHm zf@h6hu!Vg1O27xi+J!Y%5{Gku6H#3rnf1%6>UW*iz4JP&^34i=@wFhhEqt!+ihTb0 zO0I9t;+o>LlQr{*fz=zA8%;Dq&|yAGOxxzOSI|89?xMGjcZA&>BKRKJyxVov>(Ot2 z)dlbP`T72S@4fX4N7P1+4n4k0@-=T>_-bolK#u=G&Mh8!OUzk1JZ+p)qOdCq1)Hqjwkqv!e#>>eQ|f!x9NX9HnLIbq5HYz zFT}U6#C)^hve&|0GG7Q>wD;i{Z}TJu4$O_)^;}L>0TZ2(~RwI zuQ@yCba5VB=MWQl1O9(m`%@Wb(~*KR6?-^c3{JdX+?muA8dD8YNd7l4^)y{yhG9xyI&JAC?^gFopul9RfA8#aX5X6diX|ub%jRaR zd)uGj`<3g$xf$yt))3ZtY|A0;=WJL+-tT55xKsrZzsdLh$=)ARy?QSB4I`Hr!WKUr z&QV_`Z~C3MbAH8Np+r1V8R-Kd#k-sP!Yhva_4!)S_9jNMUq0u23Hhz>$&gFN%{>+N z_BZ7Cb+NS9+!euJlpT5$e9q;Y?t@=?2gQ4JejQpx*dkh;8gt?%d3zBdsCtEFLx9`6 z$6E+lUf8l-Y2jr*2A^}!3;TvGUg)~PV|S!Kd0qASEgm0*MFf+BJU((=?!0f^qlyn* zm?Jroewmq{I+q-=1isZ3&o{?ZkrSfG#7$QgxCW4x-}ornT=DFr%e8MkgV~n3)oJ9| zQGfE=iw+UfuaXb+i^%H`7eb?n9RH(;9GLDxTop&X^Ti|YAKYV09$#`fBh;M@jNG4i zlMs|6Olb^V6v*D63^k9pBN}> za{fh_(LA>>T6pbe@<;cHfhnE?p@XlTZ01rTd4_W?zn}iv>y)hA~OTZ9`gZdrWb%twS(N4?J+F3h|f^Lyh;W%Tm{MKO>>u3k?J`s&kdH<|+qHK4gf! zoXb65@yW23c4fhL8~^Is;RS!kGv3JxndVx`Uw)6dI7ZlBas7R#?ay8>)Nr3FNgm`I z`xQxo*GtI!9fVbz1RHXq_xlMpIt9)>8*wc8DW*viI|@r z{(9|7qA^J8W|1_5Q_Ah+DpHK1JZF{8}!ze(-1Mx3m}K*H(!7&XK=80M`fHMD6bq zR{MFm?)WI@Lc!~6-*hgN{4Dn=o6~=L+Ueu3C6DGX>b{W>*8SxT-wNisjitr0hZQS{ zK?7+Y#<{5dx(XqYeE#*TQpBJ;=GxwDFOdh+d zny&fcR`u%0#r=uz=2=rgw-htZhI=$J~>G6-QwY|+7!2Vb!S~sG&*%3x`w!|P7=*psGW=6#{Qhz1^2f`NM{AdtIh}u3 z64nHT>FH@IF{rlSYSjK^#@+A~tS)v9DE;uX`OQx5&C-?U$$!4i`6-TeXPKzL`iehq z`l4XxhripMzBxToJ94#oZz2>RUU_41`ex4SsDZe>Z*x}4_Dc;ve2t9|&v0no1cn=B zPQYVg$lb}i`tGF^mn(Aag%uxfCzMbCUB9Z&gK*BXkV5{Pus4pq`Fo1%#mkGsQx@j_ z-2MKhR&*!DJ)gL)gR_&{^ygyw7nHe&iCea;PNf|5OuU?29p*-PB_fRA>qqTgB9tdi?&J_b5x9$$^jJS(g^B^JlH4Ec=5T zxPh!$dE#w~_uqaEIQ``GP!pRd-H-_ew+NOce^Qe@J}wJ7&m(nLn1at zdfwal7Ga6VGrURj_>Y~Gtus(b-;DTD-uaERdiKoj;dokk;!aA)FOU|^&HMZE%x&LQ zV194ZefwarV4cV(#V_f#9a7TU;mf?lQwh5DgrNSNwUGL6R{uc-IFlyeh4K#f=FJsZM{Ef6DPq4ul>JzQ{;7+>sJ#=H!9z2Ey4EEZ4M=k;jW$nTW1M^A7Z>sEcgS-5l6{PXF5 zR_!|^>%F-_RvblG-P~i`@az845Xb=*czSccx)1=Tagk5JhwN42OUt-{8ErrAT646* zl}pPZ+$i4sXXT$ zu8yPy-|}&bj=uI)3n#76fcKI*#__0 zU3*-=$b|14lIXNoZzB649PJNCN$VqQy7~PM;wI`A=Gq@OlFwh5b7rOO z{tFHz`6Mb4X(^@anoyLQ z)>KtIF(W@O6S7*YcjOPXFs~yd+3Nq}P4$5ps&nv%^56ZZVbAG*?{_R9%wIiA@C$bd zAQ4G#?sX87wxHwWByyAlhNb!mHH&SH^L7yg3Zq9TzW>bf*a7Pug{-|WZ6*zRlje^x zaH7lZ-<>yo=Cj9H}t06wqOQ3v9OT=p?T2%0d5Zzhkn2ZFw^xOz6z z;))inz5~8`E z{47)lE27hYu#GPE>BbcO*}y9$LCWT!m#EH5OrwHUbR@%b>4s1^M1{!IBm zAAPoTw+>WXBpL>busc%CM{|Y0jVeoaXVA03QG>F6P#Z>14268W3fGOF#gJ8P_ zgn)`x0p~aQZX`xb(84;?4BPwEm_1x%+t@K&rb&k%RSP_P`Q>S&202U#YhXLaUgBe- zVUaLR1U42{IzHVj4NFx`IpWu6-p|HhkhoJ?Co{Xo;OwfP4rv%*(qNR0?R|Pg8a9q- z#iTRo)Js_O;^rHs^*PNqn8~HLxO&TQS%zg0jq7MY7y}ktO9{A$;CTfG0&$PpqE>j%~a&Kn>D%b5CMh5eycBzQ8`5IgQii z$MoA-&mwX`duqiP@LV=|jlx!hBf$a_;C6dBtS43#tLw2Y_MbWQAsB?#KSh|&6}wwl zPq0WdqcKcWHznZwv1H)OqM@2JNf1`er{NQ}Hf(zc!pgU3A5C69l`SUf>Zf2en(ZS+ zw#gr{#zx8nR)fswI@F>)x<%T#XSf54(#wjuMHp-fE z=2a9+>p_kUYQJ0X*;N}+vxqdN>oq(+VJVWmrL(~*Ss$aNN?@7@vD%`4iY6#W zE6WrcQ6X5yBt%L3QG7~r_-Nw{8a~ZRt+kKYYb(I=6Mv`F$IKnRz(ww=_id!A|KkQ3 zfYB`sPU15-(;XjcE%@(ISdz29(`qN*BapYahr4)@mbvWyfw1~Nqp)N+#t*=sDg5tI zSmnaCAZz;fxlUN_Nw%cO4!}&^KgyuTt=AY z!)bmPNL~NhlH3EocQ^F}ilpVLVFFsu?77a5y(F&aZzKsU9s_L`#Yl7nT2Oe!&R ztIuV?uR5Q*dF4nmyPmTlSW|?1P^;@FS60VK!%-_Zn<_10kCYxy;KLg|kW;WcjQxg1 z`26_9h`x)IpR*aRfxanDObEh~+q^~nz-RAuH(GAsi>Ifs=wr);J6puWsSX&V1m9AB zZ?|om(5$^>aX|!sNJwKF6zf~%JA)ZO^;B&~iawky*7kM}Kb_`_fa$jhOIo*5)cx=% zD_`WQuuYHrdOBA`>8Nigt~UX-zoX-+kbIJh(F!dm#%Xh~I}R!rea|5)FP&j!8{O+W zSf|7;>7cjk2!QpO!S-A7Ol}VSZ?IS`eN)b4 z&cfieZWD-jH1JOeaU^s)B5xHHs9%82y5MfHw;Bes4Y2B9a_cYPCW(40i=Sw+aRF1L zJ=GpLYiKaK6@u5TTTC`5%GgUqLAgp2LuC_O!56>=akm<*_^M$<7~TjbKvf(eA=)z3 zG6Zj}?#vE^ncs4~LstDD1x}NM{Gk#q(wxa~D4R<|;Kl?=;)z^UoS=Lf)gKcR>4-Pa z3{n!_*kejHxk-?xrRQk*lfA1v*w%-qNgYn~+U-ON62qal3cKaoV!sx1T7=UkTXvIv5AT1W33X4Vh3hV9`wYCYCeb-F0 zVBy75X9KlS+=zTu1ZpEUwLhRG1m9E}-S~Q|rDnyH#kJ82KOGGN#!usbv~t}@Kmd?B z3IozMxDM^w76E6a&XE8rZD+2bp@;Gm!xxtqbAp*&J(3v{t}<;xT9HqA(*2g%E)zMy z0#}cW%~kRGU%+PI-SXmI7VE}yF>Fz6G>vMH_G+UH%q-0Xsp1ZZo@#Dmb<+(i3V;@- zDH~H*JF$e(es^{I#*WcPdGrhrTf^$3y1*U-fen$Ge!NPs4U_NIvVJ4VXF2II9QqgW5#gcd(ckKuQ+=+xh%kr%z?u+4p>2i01+X! z687ItlNb|(nzetznug0`!jm}CpS~|i)M$z~2_-Z#tR4}SHgGU`@}-`kR#-DAJ?*hW zjfmrBUb8!?9%V`a0vQ(iF+7EbP!dzb71B8*)$20K>ZF0uY=he}2>A&Z;-L zv=1AnfycUj=~9917yUB(7f-QpzTWK>aL_OEJLs4SEFuDI!BYRQp@fEyYu{kFVp z)r(OKX8FNeKl;xlZg|bC2zb^w=2?UlVs@p23tD71y3!LnjimY}JJ|8Sa!JcEYORha zS^1W3?R5)T53$N1$bTo~&o;<$!6^Rf8gryGH7S6RAvWq@+vyN{#aM{0_K?bwT3$S= z!?*a-U`_OqXnwF|peby;$zE0a99Bqs1Ya7^Dlaxn!(L93+ixC@IflbCh2oKy+0Qc40T7rP)1Oz zZx|*Q>jMpkwSdaZG|betmLfw~yr{B##N^5_!Hy}RNr^BIS#lm}OK2*{=fGWCbAYSF zcNhxb&C;Lx;n_$7B^Zu6EiC0nACncUK!zP&egOzZ5(lp3CI;uof5KE9AYfm=7mHt1 zINuts?@mO}0<)j6IS8z!wa36~xn)0xV&~{I%ACTeYx7Jfkb3ubZj4 zGE*xeP8g0ks3QA&)@h>zg?C$Evk2F&#*#y@gk&trS_Beb49&t~@rwcXkKtUZB0&}; zm2i=n=npWe@kC$#NZB~JtEZVmPyd_|RH)xVm;*~nd|KJw40V%*1kfhPssLa@n?_b= znt+;kNB&_XD^+`89N)|sPV59j*vk!S6Ir+Z5^@${(WiS8Gw4$|!=gP6i>^lK)+z2i zI(;J+jW2cZ8}11#RjN#_0sQ9e4u~q`d-x%Sv45OKDvHyaVzK?OKt9pUc@XgOq8I7w zATb^eS}c8#ZnE0nQ`Te^V0;UC6mD-gEu=bF$&9ryZiD==>o_(clkR|R*W_Xb59^f2 zPBR=MWw!$0+d>mG`sm6EjRA6Cdz;!SwnZlG8sor(bb) z1qFd)-JJQP1$)ST5QQHlub~s7gfAETf;EJi1MmvOISB|~f`niP+!Q+oymBVI3UdSC z9e~?G-M-o$^zvyB>Gq*+>$Yy*UTF@TlMzxnvB6E}zf`om_LjFOGpfi$^+?xMJb98p z2CpiW+|G{8K|@)5F)&M2G4^ei7BL|TbJW9)0*B_?%WD!C)$d#Fu`!}KYEX7BStj+h zNH*Rmhixu+H+W{3_A#(ocQuz&GjDCA6aYV6+a@9))-;S(TlJ-J_#*!?xecT`@wL?# zM=DDTHOh;HrB~uXhy+Y35m7@ubT18Lh$a=TY?_T5o;*LHJzI22NzjC0)Ri@Z1N*E& zPRT>R-3Ir)ET`DZ< zl%iZeJ3P*Ni@hy~m^?KRu;bzf3XK|6Fnd%$wI?`0R~K)1aK@l*c;3YtD`!h`U|Xo* z;o)|z>|ZRmdIS<%^D&8~-+D6JzR#hwP@Ygh$x-YRX~|RXgTuDD1cmJ&uE8AW~YK!-1{u<11+~bR7j))LAx8jI!3DR>l|`>{OPvJb5iBS!849~ zhZJ|g^2Vf2Llq*P2OGB5PTu`#4Xn~a$U}wdP2KiW_YWhoGKkec8I13`axo<7Ut5-Q zuD~N10Kd-u??c_sX_br55mF%Gl_O4X6L!B(4#X2nD*T5igrgnD37q@yquuEA^Z&!q zj=ofdIXM#+t^e2Nsvo|&N{Ze7@`-i1=|d&nJTObV+2>**)k!?|8v5rx+ly~pF5a5x z#b!6|0px(4+4+QOWtTLFjP-3cwQqC| zf=Lm~y4t=z_|IrebL?SDl)c^XrMcElQ<51{KBiB>;)Tl$a+W@`h>!@HjeX0#uh$k! zCeuSu;JjxGUD|;|7x&D;J7Y1Ckdbq?^-V?zG3_P7BS~BQT_<2>@I~lh9LM*z_iTJ` zV^VfamMtXSNM=Q1dso&ZH>3KV@{6po<`Rf*MP!um=;EP)7jfwG9ycfp5l}ijymyR8 z>D91IuEe56l_e80iz_5TCW~vF!sKcwN)!8Fe*lD|^19Ys zzG!gdSY&Qhc#Cy|{3ixiz*z{n4&UfL1pzatTEP;SET8_{?6;o3KfCe8$^q{i=`*z+ zPm!9hOm!&#%#-XI*%ZzVSmxyL(QHLKAA+t_)|z0q4yfK?MI`{3G`pD@{63HV z=6sN8l5b4_CM2mdY%Iebu1%ggDp8!ok_V7a!A;whnO(D`6^xE5Iz*p#%WS*}D$|o} zZxyeHVsYrYi`OZb4zp`VlNrDT@;wHnV=q{ue>l+4Qep=Y-43=lSQhR9%Yge8C} zzGW(DxI{uy2mtbu@{^~vxypK)N=Cy0s263bw*XUJ?3&q~Qd{Fiw~7gRdX!zAEq1BP z`51^$_a9}+O53$!BJiSU{XR7lMI;1@D=!r|F3(Nw9l`N%_W-2P`?DL5hy9OBNVg^q zLT*ImtQ2&vvuVZT0TzUY^^RTULOotNScEk%wXZrMg&0 zxJVC6$nikXjR#%xVmhs%Pv6i`ZRcBv_1nP{R_xQE#1Inu?%^q2ds zViMH#6M5RE=cyVTn~8Ch5>k zwUkm>dF%uE>3lmLc;0e!LQU0pEZD|=r~v?Fy#WFy_R7%^xW|lWp4qKwVy5I&FZ@3? z=`o0j={P;o5s`rK{4Fm5qc2yV#bheI>A|s$Qo$kJ7AT@6Vo@DH zs%C-2(I_TssL$^oE~*zCGFnpM^FczO6f?rE(<1k$GvPJDtLSuv=AC-G*)?4=WhPAo z=_Dk`w~(R+`JPkRzymZ)j$AN-ne`#y29f3xiEU&3V+xaXd_;8%C_fg~D3o4R*SZp+ z(lZeRCF6A~zwDNO!k3ka0JQ}NDK_MY>uSC}FsmewI`B_c4A_(|>HeYIicg!0q(V~v z6hxOz^`Th8EqmPE){>K0K2m6x7yVXKF$UbLR15_&%Y>pDi;(Yz0!?d)wi8%QxD1w7 zy2s!+Gi0Wq2*&QzPPUF{Z$lAm}g1!=v7v-grt)K`z~>$O4SITBlCAhe9K7rIh_BYw$S0sZ5TALCKuOCN9NzlQfyBZ5?RS;e1}}J zF-42l-Vg`g;KCV)y=)E+Vp5!eQYZGX<(P@0PCPFB5wSE>2SeLML>h0vXqplEo(_mj z;0N3DMuUKJNWjU&D>a#eRUa2YScIWKA=zY)G>uLk<{5gi{aHeHXnwVnDm5tl5bcWH z(_ld6wF8aj!4k!+^f=pRswv$}g5DY+^f0KUPq!kct;Zj`(p#|PZpF8(&XxBjWb*s) zYPhyItc`u_PaZxFf&eq!l&4^=rw^B=i%sMXc-$35nY#3#joc1hQ3rMQUmz&>q)r*Wl;M>cmX_*7V7xjv#60#*77QSbOqt*j@=|Z|5AddO$ z74<k`Pe@h(EtqPiJx-cpsYOHgbVuZSFjs;05z z;iv+YtaB|N0UrtfHmI)}Y)VERI}GkJ5&4M)=Z4wzJJqaw&gwBG#3gWPV$%%|%x1Pv zeUPrDG!J7!I)$O}7Nq}$0Pi~Wvi_5GaG-;Lw-DHP3jvSwikr$Ka6U9yOxLm@vg-(52iT13z|YGS zQrfMBC3?y3?+s~?kfMc`bdr}^ZuJ|czq)H^?#DSxtoJbLa&OV|(e5IM>MTPR%0!(^MChmENP^mJBZzoT z-F6^D3vP1*A7*O|&BZq4cSsZ@Gm=m9Zb-gS94jx;FxmhQYZ%2c&AH>9^0k1Ah_#-y zP*gx4Sl4TDQ>PC=fIk7kiR6)2W@W7<{XpfQs_~!r>+lOw&UfLzoJyk5TKNMEMD+}n zC2#ueLg{W?wl&ps6=XEW8{c&7Q{~D^8{tUbkJqiVI8e#xHmfM8XJ0gmYV5i*kOozq zvfL>TQ1S=%%HTkc2W+^!qR|3bzCho5QC&nUAJy3_Rf%}P%g|9bX{MZpJZJ{W#E!jf z^;rRwnu`6w_$Iy;ni zt{r;(>0-cJ58*&V6JVpDT!-Ly%%CGq*Vq(xit&<3;(aPtzNQ5WfnbW6K04eD&)Pcw0q!A`0Ro^PZqj4Z0?voV<2pMaViO@Rd*fSkqRGlQXDoG2*v;Z2r&bkcnPFkn12W%P6a zEs2Il&S9f?+xA{|Hsr02MBrjMBDvNgXkyb@Es$JF!%nCWs3x*k#Edl;zf4BDHCh|C zKWRzL8D5A~8fF~j+|ShKK);pkEr8-tCJT{2RN-kiDT7W`U^H2+uNKj~tp-jo6uw(a zCID_%1{i3Ch){1vSkLY8ygGZ+iAd^kd<>vGH~BH8Q2~v8{tV6Rs#nMz2_{-|rbu^3 zNZYQ>LYP50>al+!nQRs`{^Ttzyhz#*X35OgX1~9zE-YOzR zq?>GDzE>2T>D2Eu-Le67m)mIY zMO!@fSwvpN2xQa6aei5u6G6^J&|Yvx7qMLMZiMrJSIs`#8g4CS+f*CpK(c*3b%)RO zl=Lv{2@YBfy`YQVNYLssFRKe(8FdMySYXVEaA>OuV(;cXnu+Es?fr>FtyJtR4fz-< zI@dD}m9Jqomr70pUQ$f9Ln~sXs14jzagZyfKX|&za7HAa(=NclMl;X4O>jc%j>17x zx~I{m5fjz$sr8_dSW_6g z@!4{3S=BW~H*N>Y?r{UZj>wG;v@%-3lSyuJ3GY@BloY9L7%h~4M56=9Em$SuO?=K) z{&5LK#pBe;Hi*bj8*G9? zTTrZw%}5q-Zp&F8R+dWi77^*RHmiTGC}@3ucskXreMixKFB{5BLXu$uNP9To=vmef z%nN~X9x1{ZZ6RoR2@XEi*GG$7OfYhQ;7n~sQ{!)&u(-jJ42g{W;fRkhNJ!@Qx}gz#{u2iYoR}aZg@(_2y`rf zxkZCa$q^*|@~!0JK1o63*dwiBxO5a(;R48P0~EWKLCaU#Hmwtr+uJ+Zy!ZBJJXqm>M**+)7Y8H;c72t~D6|F{nH^NHI81uZ-T51NU zUA+DIIb%j;vCeR&qeRM}Y2H>m1!}4#CkSJE&uF1{-slK)&_?ec1|@On9$F%=|KikC zONj-rx$!M>W0IY3q#!yiZfCH#IEw0pBs(Uks%3oQRWiymmy908cu@U#38V@~tVPoD zViG?waU7_RT)KCoz$0nsd56p-H#Humz<(NIG`bX&_9unCpCrf&I~U`94n zw(U(}W+qQeut}9b5Pse~s%;9hC9r6KL6^XBor}dcPTRx#m^=#wYqDK|a>i}|kX9C_ zrUS6tu|zzXZ4*v1)U9xA8h(YoFRx3ww;gS)i`CPjd>`Zc~poLJxS^f5VBlYR$gkrIaZ$~jE|`Pg2z&#fS7 zMe#H0b##oVE8`VBO_(00Kf(!Gx8L2m<(;y7}CH$ zq+|isyKxA71choI+<2p5QZ!dY%WML}FdQSDbxJHDX4IfgoUn+9r!Y{TMjLbJ=IVQj z6ClAT_2yb(4kDGAm=Ey+wjClbINm3USRDFz%Ait@$Ih$a*M$C3 z^FQO}V!)>Qfp^%M1PA!~mj`$#VZlYH{gdB6O6i7F zIV-o2PgJ zFDG9_wCS36*8_wO$%Slj*wGjOvmI0ewtsqT=-{)TboviqBr`u!>4qj-jt4M|t}0bE z)aFN^$7())CZ|%RGL-I-!dwWHx5Je#(2>UN0|2-~K41P2Skqe2#4}S(N2eM(r->atL zbhN3jEC8#=|9Vi6r9Lu31>IqEov44R+8aqvZWtSS=5OKmkYw!$iZDNc2{XqYeC*I5 zEd;o6rL&9`goUGM5q@F;*sNN9dI~PSGz}bj@@mUWF7E-iy}idmVGfCiio4Bk8=>dO zH8k0=xNnw8T0c*WDbk6>X;hkk(@^4Vx7qi-oQ>!LtB77me3CCK%T?EW$7>zr>CNDm zuSsLz4pEq$q!r|fDVh9;h``}02tO#Z^}+Q5?w^W163c_bT^fUfwtk2F9}I8~T8lD8 z?k03P?j7Z=Y1Q@S^Vo5yd4oIW({A~m6a1#VD#b^6ht+Y@xt#<~b7z6xFRR;FXW zXt)3n{N!#(nrTz5_Q(_q<2uyY>Hz?Wiy2rp0VfN@Fv`j;NWaBqavw)<kv0{EZi=A4M`NVZ zo*i&lxF80GM!3C}5u4j@A61rb-l0vNJUXSbIQDaa(LP?MJ-0N-TsjLs0x0mSA*t_H z2Cv5kN_<4^3@myU_`D&|tvZ#-<#;k%A^%7;dzS6f zk&_FPnGAer$dW7kHEo~t9sM!+Mq@vETXAzFxfN@KSlB&ri*M0r$9U=ThxurIqx>iM{P1@# z8cV9fsM?m&corUCvR9~h&83MQO;^xlO~zAUk|w`&k7S}6jlbhhmA904L$zB2QN9RL z9DL9buaP}iLT%bEK#D-bY6Vm}?)o9?BGC`Jw8z!sA&gE((j#^FdR0p~eQ@OcB2}*9 zCx*4Y6^i`IB+G0E6QkumJbp_%d{LXuL-&U^v&~cO9agkqEY|V}jlaiIUzd0&v=T}z zJ+T^Wb*lIPEVZRf;DAw^BJ5Ed76sCaSQxeOKvhaKUbsGB){z_&mCcu35;^i5v{`ky z#H!wQjx{JI3mhGtf@_})M+@pk#4K9^^d}>+SQ8jj^@a_dXF(}%mR(58Y(8wmE1G!xy`$sv8gqX`{92gO#NnM|16o=`=LPYPIvZ`S!##dsMdkQMw~!WV**y zGGmK6)B13;w)Fd0kf|SDq>kiGbhn!>N!<9>CMI$=PZJ|8kjgF^SN=z$S^{qRGjK~> z`k%<&{?E(d73Wu-T$aMc00k9@>;a+u8QcVh`tIXm0?MI!6t0iT8E;AHgc42izqjs|clOsNhre$m0Ys z8bz+{F=+hoGKmeL60L^QF+btro4$jEY6%`%ilGD^r7bssGf8#(n$V)_0WW$smUL~o zz3Ztu<}?yG#}RT0NQ-f3ruKb(uZLr+BPqW+&Y?<_K{Kw;_SL)V>aGIIp zg%m@m(nAGJvmS8@(iASTzKJD`!`+(-Sqp-tOEkTns%$i=@FD9K%v5NNp0KI`mRSWD zuZ$m$pXT^wi(PMF$B^>_Y+uD)%RCL%Ds+>cL^B6!mRg6{W5DG!&8t7@NV{%Nz$axb zrhMb+BGuM+av!^ocXVNiGwt^9yFyoR*}JvqWPS3a&cZw_bC&H}9|3M`EJMNGWRFp| zDCaiT`=H57SkKzkw32a%pPDb>TO+Ot1^oN5qzw#v)R=kRxHJ%97dev7BvxKRVPnJE zfF{$$hQmcWO!G1kEVXgNJr=)o9EQr9FU4sDrg@FlyAq$g+}`>|!&V82c>_yL7^y&? zTilQaN3yBi9)&kxTCfzExn`-FK3G}Oq(qbQtY}y!JTeS9$Yf7vROioP+wLVHye>ZU z50@}y&6hg$y#ikCbkIU6C-QVb>&2$+EWtHLiLXN=^h`&39<*#=PnZhO6eUJg86JDg zI+zaJABo+mybzBK_MtN-&&2fW=2xU(wQ)^I2leEgrgt+9Vt|h71lO{#lr@_E@LOOY z)H;&0><8L6&X`|e(mK!E8+z1(CHornmddhjlS&MURw4Y3{+*&3U?nqP5~kR<8-$WP zMdt2a=B(JYzF-Wc36_eAQd=vixr+1tQtr0X2K)Xg1LzzfpAeJlboeJh6I)?M9m9=D zF(MLERb)?^2$hDXx1dRaC*E>K#$ykib?9DN1B=-7v|)acl!F*1%gcsME3ia^d>?(F zAIRB55(8xTF*H`xu9W`5;y(O6D+dAzdz50vJhvZ(pIx8WL&LWpgO0@Ur;xL{ z^7M*hSdu|l$A>H2)yPs{$+DWz3`yaum{L=zwBXae&C-4N_u?&U%4K>N?jM4oWqwFjE1C| z=VczRy~edSy=!vlSJY{rDTg46bmi%6ki6zeBW^+KJ0AY11FEp@^-33OqtTPW$5Qxa zS$P^zwo}f8+>qT;=6`jd7)+oS?= zogOz-`^O(b*Y2rj{feOV6&Flgq-2{^9zo4&imb2O=176($_7&*o*K&l|C+sKDKp#r zN{4P6mU3JVkw8_TrSfVd?ESn7!4 zJlD9fCRgb`s~<(98+wqCj^ceL=Bzw#sJA}{%WAolIWaNgNaSGDiLH;xbo|Suu2h(Y z$}hInS-Gwp@gqHgQIQ6j+a&=V?2?%r+WHs*R5L9f~t5LytSO zoA}(p{;Mreda^U}ByLc|5cgzPo*v7zyo#c=k3AIY3$P@aBSuj7RL+oB&1VD`zzNT9 zF^tG(dZ972Z%Pj`<>)=!r1J54RZlAa3PH1yeL4R1+YEwXcmANJG@$70^oYCf&m(7~7#Dn!v1SskcjCgE21mQ3n`_8BLF0b;z2_XHYX720`{% zXj*_2dtw8OotM|}4EDz!OcgkQk>`($8Dh7lGrcuG=RV9a*zAh4qx>ZjYzl0|RL~uN zxUjjUWs`hE!DN;wz(l2(W`*^R0ir-6cEe&5!;u)fq9asDQ9~OB^F48^if!Ab=t&%N zq^y$`H=w!qj`Ixx2%3C4!{Vtw+JT&Jp4`%Sw|HNSR%X0mu&{4-!=M|YtNnLv^&({W zc%!8J->tEV|4#3TuwCQpvh0Wpg+N?K+C=htpYReh|8fK>27TQ zoM3s_Of+(t?`TfUviwcWYNTq z*wSKtU+9C?0<#62^&uDX+aA{le~Tz`r6Use@uKtul0UjXOPeHeQ?N`Dj}2)2SUIfb zOms_JPcu_`eyp;$!84dT@oinhL5h1JE^4LRuPV8XA8A7q5wW{ecjY%^?3eK(XdG&Uyt^*$av6>}g?X6-rmCTX)2#Zrmsl>tcBzTgi>4&An}S0u^HIx#-yVwGV$xwviETUgIG^Jw9jaNX)DD(kxMhWf$P(Yt zOgPs0kLR}3kDw{16qZb@iArWdE?94Z%O!z`3)kQ`2;KP7jan?NVA3r(w&Uj9+nJox?a|KKD%a_M7PN@kD3Li+Is1(>-HF! zU4sxD&g^yvikuhPqm*Kb*))Wlud&nM+rYZT?)@@pf}jgEJngh6w*<$wUI1&Y8y}IQ zDSXR(zAksiosqoCU-jL?m8ojeA}l%6_;GgmUlC-+IM1lsjwJ`NeHjqkSXmvygejq` zNDl)7>QvdKcCE!T)6(Hcj;bt&*^mo-46+1Q2J-am%2cfe%@ZuGH-Lscw`3oJfz0Nh zq7xaZ`7DSv#(BhR4>Hv94)&20iz zd&h=NGy%`fUU?e+tfHvbo>Im&TH&R-Vs7Dm%?x?_CdVfafc0v}l-Fz>M znpJhNtzG3u<2|0+I01cx5Aqz2T(~A@$0nwSv$|p8WbU}$;z)TWFPsX|4d~OD56~n> zat<~!5Pi$gSwl4=jS+lBFowiX9nx@^0&~r@2;g~;KUdDc1rv`YRjZ;io3IA{wS2+S z@gmkUC7Ab;8mAftG|7+WN{|3sPiAL-q_nR4G3hit&yjMb;NJ3dp2;&ElFZbD+;y4y zCOh2Gh;rVj!;B{L#jom{nEmpOo_g!Fh?2%QviWug`#WZ~?BbYi24VfG2siv6!rla| zsXN~vt`ZVZyG%E0h1xr}%d{@sc5d6LsC4eQuqg44{NT!gbBq!(mKZnkA=Dzp+zwbN`K7F1M z31|8KzTeOHvyjyfK~d{@&<@t|?$MtZjV<^3{q~+SGkT-#NLI_Sy7pPw$OJjwvO-sM zkf9RUm#w3ca93?GsaJISrjSo1VcJ_WwU;|Ex*SKrx?3Wit*NonGvMB@@r9h zKb@7P>sUBOrI96bM>Fk9c*&t&a)S4}J2er06ZD5mVy zDno)Ti%Kyqj3(X5f!<8^^nS^B?M)g>z5V>f>fpHNfss&iX#YaZ&y&2R)^ok4S$h9S zPxTqbTyt7VlBJgiubNkQ<>xO8Zq>i+&dKYHcd8CBnYr5OTQ?7(e_!G4b)sbKH(}=1 zXdI*7u23qKl{Jl!exY2cZ8tYGt*@(U>@1gRJ`s*j6~!=9o4n->w-iBNXn*lkMZ8}B^1bHi z>rs&K5!XIEDlsw#u6vH(E}>F`xE8Tuf~Xv-`e90l9=zYhuxH88sFBthHXM;Q(AgV_ z*1#QR@_fPE)Onc~nN%xvx*jIm*i-F>D)4}zqTVfKW{*g6f^?RqrxhT<;9`9)vzk2rrNtSxIK0tkZXnOD!rD@jldn~FS1etLC@crN!?r)l>Q^&;FH{Vdq zpa0p!Jad5FpIB6LmOc_1PMvC9vA9i&7eISvI{Xu!{Z)b|KnSGOu1x{VoU;DOYp8M{LD8xg3{_n`y z|9vNpJRdH<1*y_MPUq}Xaul(NaH-%lND*(gSI`WTnKpaV4`9 zB>nlt``6U=TLS$1gU_sy>v!H>Yj~*R_lffD3cv~RPusr=kiTfNT@VdEEOICwcJze~ ztUXo!ku1S^+w<_~UVrOjv9h_GRq;(*D(F4_#}JDQ8S?&d;>(~gdbsk?u|Z@i(hQ)} zL(vx{)Xtkea_!#x_0IoJ_WnSca}OO1f`v9j{r1d6?ftF) z;wu7FWKu8()-@(Gd;I$0NIEf;UiNs;^Cons` zliITZ$gd-i?b8uACyPHYI!U~L*z?P#+NWFxS|dUb`=IKVP5bZfWj3C_;xBJ{!qpYZ zs%?Bij$r5e50E|dK1$vja#!uLJ1iODf-KoC{pJ|-EF?m4B5#kYohksizIrfu_Q=#h z&Ei04&m7u=;TuPYj$|B=>5BV%=>rA>2T?4#CgR7wABbW?S+}1MzqIGew&bp>wJ%7f zuT^7jjp9E_nt)KZykrF|6FBk}lPh~#^J2~rSCHHF>*VS6AsRQsY&`W2ngY{t{tD(2 z*y&SGd#A{4I&!LJPbidYUTKOgB2HeSlN|nOv!5v^P94QGKIVPU5!}FJ6>2~1HEjrG zO^1@{*RGviYG;m2R-Vu5JEGfE#H3>PDU0vbW05ky>9zGid$Tfztc))g$eH~&D?!!q zs6p-XJdn<}hqBxo{6cTA;%j$MOJ`*U&z*L=H%VI~`8o5cDq0C~Z-BFo)Z(7RA~ zYrmz*bW&?2&q#naQPjTUxqW)m!uit^{?W1Xz2!;97bJ_!zTU0WZiL#d7!Ax5vT0`j zts6(u(Gaw&blT899D!}5I#J3;)2hfpvQoAtNr|O!WK2#-&t!HOR*CMRJpyVa!n>SR zpj-g~))Nv(PYt``KqDj~PXS9~bZmnc0E*>*FchNEF++`(I%SA=mig`nu?aR8Pag$3 zrO}xU>raT$a$Id%<0C5La~$ObEJl|E!p^FJ@8BR4kd9o-(Sfl!Gg(NSBDB;#3tC>M zyQlf1#9||d_E&x_K(BxDtJT($22H49SDIx`Yt)ub4z93`(t|65st(hs%pPS#yIKCx zwlO;EL=2N6A<%-;6QO;%)7mq=t`N0vBuh38S^3ntVLz^Ky5WO2HHi(53G&G1#m2hM zt9J)N;@SC@700r@zn>2JiBo&BwKZz#WGH*Q_2oH|PCerF2R#qCVJwnWVi|wFl*+bc zR(|Ak8;Db1;nJ8pob%$`>N7gmmeBrb!}96aAkJe3ogUTmoL_^0`KyEXn+2A!vvR6u z!e8WlP~kLRYg+I0^T0rPb7VXeK$fnR%p^|DSFO9KSm_R!O{a3lEOV|Rx$y(_poAW6 zU6oVlc}5wNGM3EZ)P(+!p4utLLH2XTrk3ZzEUDft091)}{2mFh`r&7_b)NrZt&mb?B|P-jpCgtwR@jokqR zj|b$gO+XH9o9HZQ^BTWh_O(VoZ!3J0%96)29f^KmsgVlJiE%i~B-p34U2(RePG?R4 zEsem`R~YERw_4~*36cwOo`v=+7d$!Etey|)QYn=sqN}C@a4$4HzC zO;p5hwm`Ut;902%-A71oGBa6u*Y25%{4`fK?^@7mr z!-{ZG)9sYuj>AXs_v_Rko~HYc#JKMbh5T61yRU6ND$4iWuhg6%8&_l_>(f0?r`It% zX3CHGI5swB`g(q-sQYZUo|tV+9~1amBZAH$YI$+>A6;cVr@bNHNRrdl1>zBvU|JZ8 z^oMYw-VP-*fwHhz5^Du=(N-(~F2 zP0d;Ud{{Jy)b~BW`9T3^TV&Xiyr=)JiROaL*Nktv@Q99(o2Dh4*b_N!4vEcm!{jf5?4=EHq(d`~y!aSH%U=R+nv!hH>Iok5FqL zJ#hSSGrI)*7E8d#t1KHI7KJu&Z0(PieaQN=Y?FmF&rzz6A(Y;8_{EU(z>e6Egu@T) zX4=P^DN;V(dwTgqNA!X*!}FUuUzjPkm=^6tuCB_@%Vs&&3VzEfK~vwgnIuSzn?Qf2 z(P~sjebYT#G49I@ePZY%eyrqqA&IG7cZqt#bgUY#0HAHKMgqM4`sXZ6bL9@zM77K> zRVq0F-{sbi$YaOlj159+C6!A5Oe%o78RF(LrNXAcB1vN#zP$CDNo9C&^7LWrS;l+G zcR#jZd7fW7%RH3WG<8-t$z*=BhMFxa^sbsAN`>YKQ6V&0!~y02$gZ=E`5F9XWYQYy zZeaO+pi2g(S;KQ6Y!0swkT-7sL>YO^;s$JpZTqNjMwY+Pw}NSCrW_z2rMJ>M0-f3_ zi58wuFj)$fw({EOt-c>kUpuYPISkcLd+bW6qj%_O*MECw&Og3Sl0O zNXqGk?vv&xx~nULZm|1=C_sjaXlsxqI)IHwGOUl76tRe)io~w>tjJ-7(z8I|{jJwV z5ww2FA8ZL<)D&cc|61M5X3&;l<5W9KeYVdRsn)?eN(_dMT&G(Ir5(F8Ri&9E%0HGx zcgHg4E4(Bq;_^VCgFN`2aeJpxz0*&!D{<2wEJ1Arett_hBfYug+@j=m>!8ug?3q1C zEYw~XL${u3>fH4~7MjPWURRZ?h|gf>HO!lV(lG69ZKWmvUI`3y+H`Yc|HNh_0g(E)}K2sPvmVsd_tNgJMKFoM$oL%(ZKe@OqVwzhcq?{iO$;V;D|yC zi~DA;Y!T4A(H56_B24>H%nbAFHDq!vueaepiv5e~T$cPeDukFQT4UNlmDW^r>u~3; zJl=QEpIe<{*G$2!qKqM|$GeU7(n(^7s|CF|<*spiL+Kr6N2uKR+{_{HpBk@N+WPC8 zh*4G<)Q*`tJh$7z<@c!lQMZ|2P`5em`ga1>b6rZzCi4lTHKA>{7P%hqNJ zmvk#2PDEHji(oq$wjZ7Dk+lWKm$-kSKj&r>A9TppjWk%#81 zr$PJAm3U#C)8BD&6FIKP>}DU*>B?yLi>k&GK5?e?3~23;wOYhDASUVfP(GD2Zi*;? z^O?eL=$b@#RS|@rS1Whkl1G$Zu_njhp9!Z6O69e=716Z+TBS6{b{*qoJN~z#0af}k zs`SPCmUQ|k&YWe-mqmW(lLayJeUCiKeVp0NeIBIi{hV0dGrtCy&q>pXIPzTp^JlpI zBOLb(r?KYS2e#C&tXuVwBjdpx#G@za8Vr|-QO`?Bg%99oS90eYPE{=YqFKqUC|@gL^hU7;4LCi}7ZxvZqw;+?n}Q|Q#YSPo)L9!SOzL}#8IjC?9aDYzu=D#@|G-M!b?zynLKEn z8CXapW4RkC#w?jueV%`>x(f5miZUr4a+PWUhdA{HOr2yXvl+@QH}d>;Xw@78mzRIp zW0@xFZ%mLxwM=mYx;?T9UUN1ox#UK^Qv)6K_+Z40x?joNpK}#;b1duHX??KYyK-do zQuW7TP-3yadh5x%znz}&MW90?-v6dD+Fx|9f7+ese^4|BX$C%ec#2@OoRD-??MM=V z95r77MMx_aX9KbYcq1wtSF6Vnf}=7a%zFa#S2x{o_`fj=Fd2C_4ywwRoUyXub%2tP zc2}{f4z^$wWBgB`@r4zIXskNd(bLjOl8cJ)UROa3QQqu1Xjbo>61$1AE3)X~X=c|9 z6uOasi`?HSODy0-&%@UP+1RG%7leyo%=YalYC2bGQ=>}qCP^Gd#(DqB3{f#zU@-Ms zCPxz7rc!;M!;?3-a*-viWk?zbY16FbYZx5T87E>v5R~8ho&3dtno6m{y@srQ^$5;x zu29GH%WI3uR*%f(-CZWv40~3MSwP{Ht+SL+iLA7QHz^UIe00!!kFVog?*jEtX7Siy z#9{!ViXx>_PM7;VT+}MAu-&OOy)Rs>Otq8D4`ZNcs**d!Ffn5?26`&f$s+5S28LK* zZ83s)2&kQs5@=9^tZcek9_Os7JTThO@mnHPQ<3Q(BM)6Q?U)30*{{-XW%hPF;i{dh z<&mz5k&oi=!&uiHOk+kSQCZG(?i?8%lh;v=R}w=#H{Wq-2O~%?vpD^EFy!NTr9nD1 zkxB4o8=q@~$UL+0idfYzTRSO6$)0B1DDaaaR`|>$j~00&l}?DM79lWn&Yj)*&iOC= zkSu2M1>l%!s0`~kQ9M)b^sbPAY;qIo8_DANS1{NFt1FH(b(cRDQ#GOepdqGD8KlB| zt8gyX3+s&Mn3Q1C6|o!sEUupR=Rd46=D;ve!A%Q|jxp&+V(0{ZcXvf+Kk@!qLTZC^ z#IV#|`wOvY8yt{|L(mb;-2^iQNiS2bzgCroRwmUzV)8HbMJyITPUWkJqP0C$ z>-{)*(z>YhT)qLv*B<-GCA%}-^N_b#UVDql$R2CunbxZ=9DI}bOH+U~8xwu6ZCr_- zoL%77vfVgqPE_|l7r5P=r|(-?%YBafg9|9);lKZzHR5tWq~ z-~KO4ujC&=Yj@lEz?M~U!P}3Pk$d6HGV`cZKL+XIF38$7$>$Qc|H-S^ za*{|-VWe@ax?TQoxvfz+(@4F)B)|DsA0M^%X5)2dX0tGJ8NXr8#TNa@x{Dsef3QME z_oonUcn4Qmlo`vJScxLC=;Qx7pnL+V_Mj)KMh9Dq*IL4G|5HDT9vV!fz80%n!(A_* z5$&0*i@Kz?R_c>Lxg}rnD#Prp9CdItle)I#aCYm*=GK<mU4@5S)soJU&*?wNT)V7QG&SOTN?-{?ot~BAIXvA{8B~KY1G^S;^=K*6R9#_N zYCIC9UMK~jy`(UqW~y3Gc|jpx^0Y(0ii~g#PPB9rouD76{>AX5##97J(Rkm%%|ype z)+CpsLqD8qHx$GEwIzH^0R3hW__#Gyi-bY*86Vm-+LVnZ?};1fUDJx3a?PN~x@diE zF7}v*1Ha#0R9edP^pCosQBiO+a_T6)aTA96BEwBRv6YbK8p{i$bb>cjt_ar!r%ci# zdFoWHl&IV;;Ir!XsvYfR(md;Ft&@Q5@a+)J#{??bo9E%t>`g*-fY2HW3z$t33sa~2 zrIuG2K4IEUWkXb=%Vu_Utd_l$QX-4)Ef_Fd$KH+S4$#!c_cd1@7PghAE5aD`tfj;B zu2FY$nr)AtlZ65#yO<_i607X{riE+o`3)By%aV2tj;Zu9d5yzwc;1gCSHz)ltgQHo z7d0)J#o}&^M6?cPMZH06R1b7l4dBViGC)@5EjCC?rL8zHm|_^;y#jQ_lmW8xt3 z!K`fEXoKsZ-w~X%p277-6KmRpo6UzOT8eHZV7P(6{t|xm|X|ejl8fgLA=X zPj|&OA)Q*%%3IVU7+x@%^{1n+Sz6}D63u1V1`I1I#^zHT64dV6Ea`ND8)l(yG>+iM1Rc~a5u-o#L zHwbmk04yWZ3GLKF+oW15o!ErpD{h+D!7NaJP8Z@fn%W2Gw9J6@tl9dL0;Z{_YP8pX zUjA`qbc1TPxo5&=H?)-MM>>jGpBR-MPHt0fjwaaIQ?+1&fxxYbs9~6z-BJdQl*$Am zSf{%59W7i^5_+6T*I1Jmn(VhEbOBsxE27=fZ0K5v+8cb>C_AC#Z$d+2bP4_LZakg4 z8UC1i>{-VA>8Pz7zj&xG*XL$lk?5cV+0Tee>5N4B4F=8k-1njyJ__%9D7+1SU)}tF z(qy1$9Ob02%{1z48b=QMt1UI)$<#-X5B{6_r)=4LerjrLAl_frBRc=`3 z{MZZgtM$CwVd0N{Xi;v6VD@CJkR-i1ly7Ov2-ko3EkAruGR5$*b6Kw1!{{F#H!R;f zFN^lKY5l7ldmc^k^xq*8r$vu_v{X z4gLbc7cm{^A|#8I(Luilpk@Os3lGWv^!Cle#@U)ztPPO21l)zh`zC(@e88sw z*77EqeN@NlsI!zjl}nP-%Ya*$mMlpc3YY6pYcw zEb#(yHc@VnMIXnB=e7<)$)x0Ux+hyE&Dmq|oivohTz@H~E=R4SV*0u^=!Q3jd-^j1 zRy)4PJLq=O8z4vVe&XT5lOrv&o=I3TXJSybaK`+^S0t;mxXhl*!;@8Q-8wDm8W14& zp&*rG?e5qqb`X}7wjynX+X+_)R_-@fQ;`U{Ut;DH%?nx8?~7q6#)W2ohosFtapBEF z_sjyay26a-BV5T$uw4-=Si}DRefH0W^m!aWD)PpjrV4wg1O&xW831SN>1=-vfT@70 zpZ$nbf_lIkaYx$4?4~pB1mR2`*x2f1)s^jmjZAtLA#Nkm9A!SKJqUmDq#P2q zt@HG^xkb>?ILaAqr2y*nDeD(WAX43!V`b`bQqk1N*D93{u$NTu6d@J*3W```qK(~^ z%p4j|=&Rt%>V)ERGv5!5O(wt`yMce zQHS9{ET{`)+>}?RWRv+g<|o#5S}Uxal2?nfibx%YncuhK$ zPhR&WnJm?j=6y$mMVd3w(u!y%SW}^)gRtZsCLwJaAOKSy$e}yO64FP5M7et-m2Ar? zR`5)wNm`Jet4>|?_sB9rJv6_oW(*Sg;fMy4^ZQl$2pVWsEnOwq&r*QWwnlft?UudI>HwB*U&n$ zzPR6KM{s_)p7&!BXJ1Q*4UdpxEuY3|6kfw#o+A(3UbRJ>0dpwbsyN73%A)*Z9(A^Q~hof@#$1BVU~nUH#cdYdXHxupF5t z?}Gu&AbMtTG8{yD%{-=H4U;~5I;DZzQyq#OsM;x=8D2I87sF?nH@l-RS2j$O4WHcx z9?5etueF9r#qj!$y7ZGP*VYu$JKE*Yx7fF8tFZRYs$KW#jYD>_`gBO#41cn~mfOc$ zubpyDv~cEg8eru~C5Y?IJS?|U?$SF!6@$Y#id&n>SM$uVp4M)1Eg@P`I7Y#41tmm`-< z99Tq0@9VkLgp;DZN5h{e8s66pQh#^~%Srp<33aeA*b%UH8*a|wci4s39-#B&64-!+ zIgBXo-bbnniUxTCT+7nXjY93|B@~{KzzS>5*HJy$H0vETEi~p$S&Ha14GaE^;to54 zl8>2I?I|3^ib*DV9%6DU+Im$0yf{1UfY6i?(v3q`l)TK*af(0H%1z?uEXwN^Ogs9C zN_(iyX@CdEBj9`ZA{km^tfU*gE1DdxXzOll_R39CTYoEW!e8Y26)sN_-x~z@;eK~iGsFp?QT55M zD27{k`$zMr^f}Ccqy7@}CRkn{;d0=RbZL09 zgJPQ+N*B5c6+Ee!wFhDPaSt1xQl^tt{?e(_8Xa0$yo&AXNh&kg8s65vxgeC@&{GM2 zG?Zz~dYM&G=>+k7or!Gh(E+bE+?1=@0hAco^`O3$>*Lomnf{6f%I!V~&>My*m>X9EY9@vFL^42rfo%u2 zB!qLr#A4=+OF`|;{3H^PmCdBXFw!pIv{UknU;wnKuNpowPnxgKkyUn@dgXh~Aq#DP zfNK58ecx>{*Z%0A?&Gp5^fW9PxsUuS^N(9bBtYDk(h|0u^XobumV=vZoXdNW1OXrO z57}mX)rF=9w>Xr(2ev34Kl$ischZJ%7Si4jzNNynE%Xe<8q6*aJj192$<*j~`1bEs zuB}aLYOaLEarq%2)}zmrFimvZ4pNjtzb4cMV3k2bDYl_Ri7rPRWDrfx!rhyrkgis^JHa>@2sma7JM& zyDRF_7lTxai`r>!4Ig9H0EAxmDV-o4=!nNY9d6Is%gg`J{egQx5#|w3GpSt$v909p zk7)BShiX6zO6{JZ-yqrgdlSBy3y3t5OjS!kPkutR(Z7l>Q7cxURmlF;liFl|;heTL zB1h82yji(!zNqG0pde0mv9z*|_Tmgd*G_2KbHI=72|qF1Q#ksZF!wV3DPsV)%)fmap5lWn-d-?oC>tewvS2m4z;LQLFpn(tOuG4$NEXEunJ5 z&6#bbp|pncCsl#jP?{ujFv8hhpgLDEA{uz{d9y$&fiGOwNJok^dnDey6fR@2i|46b z)OUeCHA`okwW-K&2gR&hWjn){*qMwu-`(HtwB?aw5E`IeuW#WY^Y_NgIWW*si8 zX}iy@iEePg=_=b>dR~6+30amnSYQG0m4oGzlYobXJ(NzA`ma^M@L1viNj-B?R_7RK zoH_y1@}cU?6zhN zJBp{L|I*~rHuXrwbHm49ks{D0Z|aPKE>Bm6L3EIAFZ3L0QtzzOPmu>>v#O$l{np=? zv-UKj4!X-VJaFmWXAbQ!?t zZxZDI3l9V*3F#i6Nvv{pTmQ}Skr>RJ)Jse}Ge31YWyw)Qubv(jv9t$5yzChmmEWd5u%sXL|0OHq}Uk^>zRLFI#@x!2i?A1?TQnh&Ts>9TaDpl{) z)cj3)gtmJLxo-R$kEH2;@ks8A-pE-V7ITpMGVjUAuwBbu`FqpNK^Z@VGT!`;P4`gU zM=X53#7_Btsq0h%=b8Irc`tT;7f5l9^C3@+etVZ643e4QFuca_6A-x^Ng zXh2?QQ2#2mgSBW@1z0=(5%YBOyH0U6FcvleBzDYOQ!(*j)!FJ-w3?K%aF*v{53Yxo zT+man*_OG?3qTwLnZLO&k6mO^DDoVIV~Qxx_Z7@+rFYqgvkz>IBaCxI**6tyi`^`O zil{T2*Us#{W#DD!*Zz6Y{RNDrr_2#kULeQ7SeSqumlZ}0AvS-d3%;VRw%){B(w+DK`PBV-@Ll`3DaBS zvvK`7!MitmopYhI99Q*8;w_Ayb4Jr=7g~A3fVTP9nRzwUi$sU=;S8T5qFpy3uiw0A z&edqmS&5^!`l%aFlrkXQ%vU{q!TLUJhFt`Ds=>&hZrJo$j8IgrIeV4P98)nrD<3cn zSnMz>(NtIBdMbG$mkZHd7p7wTbZC0n?U1j!auMv0^lKHG;3j7a&nlD;9~V-l9SewP zb0awepf-G2R#V41XHD;mv18WtoU5D^3bHPoSm?HlG57qOd1kMHj-PXA&Hp|*Ue!k2 zWyvJQfU%;^3_%+&Nu%^TV(2YZ>0rxU?c?vch@fs1wkE_ z93_TgKn%7I4WHPYbu)<_&< zxn@~@D0L4(Zla$(XKtQyg7nO!X~{3(dL7yAFlq1ZO0rG0mP3hL2~b5)EWb$6X&%hG zaGv8B>AlL?n$cn}Tt=M68a=t#mxnVaZxbV?mE2A##*E{m@JDRe;yK);xs!wHhs`R9 z5IjHu6W(d8BVX-1BA8}o6s)(R&x^tc^Q7axk*`g6V46BdNN!d|Cxwb#hY)IkFb4rs z4AO|{Ge^i)Ol9Z{p~M`&9>0d$px{mkNvueLK%hr%3x=l76x*4!>7Ks-DzLFpaHfD+ zelc!**dTXlU5Cg}w!C&@TtyTEv_*r>g_1jh(4kbqn(S}#wvE!;N?7=Lk?g5b*`(c6 zM$;xu&sdHam{BR-5>l>{Y}I49ve4H5!UU9~or5o@DSCJ1V^Y5M>%GTlTh_#N!&usXo5_rP=IV14ASJ(DA9swaF z|I%3Fa53sD9#i;NgSI~^jr4!g8llO#(L=OE>fA54hWTN?v3vG?cB+5P&sk!z(L0%X zl*Af%G`#?{Sju;`a9k-&>V_wWFPesbMfOw_d(SZGYsXCP;l?~anyLsUHl>9ta8G=( z;QvV;)`#kT1t(4LJd>3K_+e~UuSvqBy8H5a0d89@nrpR%%J9){xtJ&|s_kQX)BWfml)d7W4Yxk zC7yEzB`q8&gj~A;3NHG1rnoF75hgtgg95OvtyQ!e<@LA?rNkY&_gTmWTV<`R{`id8ex;PS_Jqf- zeE`iy$G!ddy!ep9{Fd?t94V0k{%ETr%=IG9oNRUKw2<1#&a`eCHNw~u0nfey%nG3; z$aQ-RA1x0{e3<7q(;4%9`6>P!03yA_W()~bd|QkZjeY}SBPr__^JLc4X(fPaCHFRK zX`8u!+ImPHdk4&B-)wJi{WtJk@Kz0AtZhripWpe%Q9EXI$zF~SCf@x3U;K9UpWLwX!=#M_#P*^jCY?2H-r6mL zUO#n!ia%fhLK4)>Rqnat9#YN`cbC`C=*xMz)pIXQho94PQ$ZvSDEzp zWNTG1couOYhqXE5hMB#k%{GGm5W7U%k>E%3Z#K>|M;4iarrJ7REAJ?yKUXtV`|f7q z{24`{tNOlSQ+1USf_bk{%kl#WaiTxyTLIBtRteGjEXFLx*zurnW}v3(82?iB`|2L} zDO*CN@Z#&dt*6}HNUd{{*_}u2fNWza5f9ry)n>Q6_C1`v)~VCLyi%#E=+UB{Dv?Wt zduI-+cLm+pmSvj0R%owPiNxcch=6ADLRihUlJ=tYzDQ_(_bNxo@=$JbUhk0ty6FXMM{d+5 zGP}nxfx)VqEIt1(aHaFb6nOLxNi$_0c zd}-r|Lptq`tRvLtn0<7*kT%v-?6bA&@8{+{|V|JMfkH*5pF9$&xx z8*2SEGO0LVctmqoCxor!{($q70?w0vE#!Pu>V}9+IuiB6hA6^x2TYL9A>L2=(eg)63gyo*aEwky z*Njligwo}i;evJ153$axACE>IJXq97hVb-VSktJ#xUTVbPc_lH%HdYRKxxdgYN_z5 zlbFQh=%JRnR^AL)3A>}@3u9lqM|RRRK4s*3kunl?HkSid_SH~?Eoxq6QmUUMmTMOm z3-RhA{NahUeZ%4#ef1rMvBNB~y#?e9_qEeOO|CNB%aRv__rz{QIJq~#U+ogJ4|ah! z-c<&+-t7m15UF9x(b@|HT`W-S8LJ+p!B-e1w-Mzy$^8yL*Nq61;}-i6w+$oM?s3l{ zHj+>%zsy;E{^W;9$SuXQ&xd3Fw4(qwnT3eWN}p&_e(@VN-IgU|u@0hM@3_2n!LWi2 zr}XjW$x>$Tl;MNKd|%{TaMMzjFC%;4cxhFnrraxiAXF^d;Z&1^^b>#K#*p<~(_n;L zWnSWkYP1*?g_EXlW(2J;%_%-P^NseAiJ|3cr&HjmiVNiEAPh}xV(2C+?yf2Y^IUQU$L>BQ7c?KWg-RJwy~m_T)fLi@j6oG}zr;w9Sg6mWAS5OlU* zMa*2^J99eUwMrivZa7-Arml+($2AUA+Pku~ohBskeMj>?q4k`U>wR_C_gfHy%KFDm zBjzABc&_4(jcZZWLviv{T_99thZdxT$2t>p=@Ah)MM?g`DS6FIxvJG~k@hed{)(sO z3Gg3!HJc`>jNrt<>m4Uc0aHYnjvyu!kH8``t8PspZoEsdl!x3LF~SJD=y;oSs&RbU zhZX9i;VM47IYKNn&X@9Mhkj-SAP66CjW1F{mdY>uV$H}Zp{b{1mzk}Z(@z$+=uqcdNRt9Xi;RPYu909OzeySJ^JAYVV;O-PyB)EnY4Ojg7~ zch!0U2EhZfQ@i^K^S)4%sg85#u5Xh zqa|wDA30s3$3GBeK=7iAx+#^!aGGBHv^K8eImWdldD$xCt5yS#=P%PfPqo*u%9p$< zQQL;)v=Cu4;y@GSY#9Ij!rSrDlUh$$h)^tRN3p#fb!qI4rPX0|tbX#`*$k$A_~Ff7 z#ehp(5A#e31nU z{*@N3BCJgXdIH7YqTXlhxH}Cu)o=;O_w(8}-ZuQmwV@*()t24i0sMZ!-@>ugq1^^C zAG{5Okfhf(zoQJG5%yZ_gW)Q5R}05eN4!7eJt8QrzuwD$GdFH!PsJDTh5i3;*gt>g zLPUo^Z11vM>HJwv=$?n;x{A>i=rA}G;uvN(5{8hD{#>BEc|pn58b0$0Af9}Ly)D%dH6Zop@pizpcd=-dH33mjNP(3UZ8lIZtl zDZZ$kNhUo!N`U%V{sehCct~2cgU@oX7$>_rl9xX(V0IG5=Y)ZklvK7&6estT*m$0{4mcAvU+BSg^GshM2Y?G ziXwEQ9w2Fh)LzkCl^sN62&36DKBpfbB*+7RqN*KT6o<||Hn6Lw3Zyi4q&@Q0uAn-tQXO?9LQdn zjEk-K8&f0y)SoliN6xVbfJXw_+OtHX_%02oh_*PKmQ~T6<<8lI5OaAVH72b#Z4*&D3y}^Az{YuCAvx*-U%5-85a!)Yg;z-JjSz`ux7QK3j!9j^3>~QN z%drHy&Q+{ux*C8Io&;3xZT7QzsAm*aK`?T2RuDdP{FeKIpQ^__OBkz7& z-g!jy7(PLY(TuAL#_2iC<4mRosRh~Uol2x4*FvR5xS@EWz8kp#p&Ym2?#+kN2aHfz z=;D^dMmef}uw_In8H-P=Ar7mnz0weiyyH6|K-n6~m(>rbN#p)IB}9(Snx%xapggl> zgw2dm{IBLZ49#@}g6AK7p1bWAyqCYvjA8j8hwvS(|Ik~9-!Dcs;L{M$fC<9=F7k4D z3T1Nx9KUMYiNz7V`Za6g25?Z`HnYR{iXnPYZr9 z6ZPrN4MP4ZQtD`W2ML(iHAd<-*>+Rj5$qz{2UnRyBF3WfL^{)+r9_DRda@;>_tn}y ztVY7y3x3V)TKo2s^=Do`cCO0n%;f6D?N`-iZlD(dm@f~nsIfEZvVPNzJp z<{5Fll0&11PV@5=0Wp()DU?Ku{gDv(w{Trhh9(-Gz~pK%c>Iw@&x$&l1Vj#6Z@T|M zDS$Ob?VkFw{9|)O1Jupgi#7SqP|Kz}qLRkE-WSo3Pa?QQ?b&_J(~ru`h1gdDR=i7c~qirR~fdA-#L|K6p2ula+cwgPk}1LFhhz z(vc6so#raecOf(5zUoJwGe3(wv|!vZY@Gd)&$2#-(ZxlWnFDZxb{6Sp4~j_E-W#N4 zluOEy1DQ6&hl@n{voYAo*{xNYAj`bXVc+$wESaLD(%$Xd&!pzk8H+d=(?caA7kZ#R z5wXKXimymRR>7F+rUYsBx2mE^!4!EK7(S)~Aj9OrZ+r(m_h#RYP3e{~b9Cx@XXEnQ zqVIMe+(|+HU}ra))E7tnSe4$APtl=0-pu87)mYH&kH-65%$9kS$Z5U-{JZi=amz6Z*@UG!{cBQtxciU~M;E z5fvmG`m&{Q-;rcmxWPc&pBes|P{xtP4yz^xQXfC4xrkvB=AS>O1H~mS#2)Dlt{@xc z&dyl$Q5aPtv!J~UaEGj6(cDwz8kM5T+1d{wMZ3iGIU!BzIU|}z!VWTcq*}}Cf^x$0 z^#Xrxq*vZK^q<3{ZqrShtNkiy(l-YDt^t3zh(*rWz&Zbz_T|o_)iQwE_-v{Z==)6D zEp#C=V~pgx(b&z9i`Noi=S3w9V9BB627{!$?!&2Mu~VwjG+06EAU4I^eN3F$Q#Gw3 z*n*5mdx!R1MI6cYA=dHs919Xi)fzyTc6EKI9eh|-hMy&Fvx%~KR9a~gf|mb|K(m~V zw^4DG*!?XBXtDN3PovnfE%l>J826!X6IjI}Z@H8EYCO$(bqR&e2DKvrg_irL7kGC< z+{Se9IUF3haMc!)#iPNwi(xr;i*=8dL7!N_k~!F9#@p#DlNo_@DPQ1|!P`%Yucat7 z$eG{2=JF5q@2;&CG(9CZJnhN=K%W8*KYwUk(p$s$8yR71 z^iX~B;D%{)xVor~Nsq3#S$~YKCE(7-qOZT^^jnZSYx+Ga4%prV3;XkztyE@D%Rvlk zViNPOeO)7++%AOVun8!rzEpmB`L)X4AA43}XoorV5ftH2(u_2vy2@flfU4|Qy-*(F zgNW-D5vq0xIYG#_6D~by+^~?_wZT&WmW^GHpl4Raa1|$Nw0A%mf&QXh-*wjVPU~v` z5^w+`y*;65W?X$QsGpP9vgiD@d?A)7i#l`1A18a1;qAlM{?)ux+2MJg=jXMlX9?-P z%4jDj&d?>dgwe!X5GZyc|5aowLZdbEwO`yb80i!;>F_;dZ*Nh{TxkJ}`g8qlV3t8& z%{G@`JmQaRbDv|b=~CA+F7am$^h!KTMU@UAGfIW>QMdj}Neq9xIU3 zt9;n3XSbM@a2Vp*#tF$RbHlE!gwzo8XAW9ZrMd_|yOoMJ4SIM&{HTF*6)#%I;qOI= z-BoF}LgM626SUV;eJw$A?ae8&P+oWLiJfUHwh z^$`s>ECDvYUNYLm@ar>Rd&VKr~K_*|pu4VQ|lP z-wy3$rkdXA4dAq>Z%p$#bsg1}3vBBxbTL};5ZYVm<^c%%?6+TeEU4Uddu;gl63>`j zEdyTT2rWPygh0|7&zTlv_IY+{+~gz=*(;-_Uvz(Wc7&6-!!qG6&MRrIywkImarlSluE)QR&8-Q3*23p!~xtP+qadcqcS(*e(?MDhlT` z^F-Ocis&Gzt)e|kxsDim$b*^k_d2gOel*ooxg*qm0`E{oG~o)JtC+yD?(&yNbMr`P zh!u&BYFkN7MMFEBZ3JVD{fmgo7#7S;cf0YDO!D*k-c>C8>CmF~RZY1@X2&=-%u~=H zDuGchqWP`M#L%mEX-p|>q$uGcLbCtps&$P9W8;+J(i}kC*G)S%Gxa@{x=B`+6%{m_ zBLZbP`PD~zda6qO79cEB)qG662%0F{11>@)Lv)f6E7!Q+pG z=mf;g)WJW7e7D(}ENmXt^X5v$zdlr zd^E?Ge+MGo@dus^JP@Zu82oqXFejGcv>z#48+!Z$s1HAwSuJz6JUNa|ID2(jj%OYP zeA`)0gzlBBJ?lnt+PBpsaWSVo;}OT`x?V-b1F-x5mj3|IX~7PE>&nh#Mx8YN+4kjc zu8;O^Rd^nAB`TJAH^R6%x>5FtK7BZh)Brhke+1x}T^#0|0UG_y;JEtmsy4zr23)iOPM=$9B?*o@>pEPylfVg3={ zj)y%xB!{q3k5Mzrb7b@Iz3Ubrn_oOBU)Vza5;(!MYJF_=RW@XiplY9$NTyD{MAa6E z?hXFNtmNDl6cLgI@4;&w+oy>idpLZ&qu*3T-6^T3-roDrKl8oPse`+ATj%5P}6EmG%X;jO|yaUOEcB1~W{y zpjdLCl15+&s{;GtiPnD^zx$Vg9H6|taIQ#Y!`>lIw2-Vg5nc|N^Tn0zG~TPD{M;(L z?)WV2g&9pKt(!@J^C6m4(vMXP2HB#Pi*tLh@w?>V07Xe%#Aon)iVkxrtZNLtsJ^rD z9lx;78==)5!K_Cm>(!mrulPn$SK_c6*IvFQ=+HPC2H!H8t1Aj@WFEXOOwyuI2HYf6 z!og)yEwg*yV?9-!fg)%MsGMop{RHXd`MlsV>4)C5LcPMmnnyx&jG!b*Rxe?-eQu4l zl@sa)iNv^F>c?Dfx@tR}PR24>LM9Vg^CqcyEHK7KQbGw|Pl!9q3yjZu8;B3CgW6ko zGrx@_tAq?p2)TWx_VNqET{OiESs zgka2Y6Ml}6Dv7fz-ruHzOcFMXsRJwrhlz(t{HOaxi0wU0;RA~Tb5sKAI9o*E0+u#~ z@X9vOmrvipfqk_qI+N(jmtt4m62_2MzEN;D)wOIABHOW*m*Hi1$V`%mXnjOIfu67O zsixqZ{GB^w5%hB=WCdiTFI_JMH-?nc0%h6%VeIYWn!NA*f0cWr+HLm6Dz)3%xvG_O zww=0x)vc>HfI~+0LvveYDJmCPeO~>76N)o8^bJu_haZHksW-TT3KU&G}}9 z>256GxP*eTLeNrop>3EExC$q;s1%tmfy`h;WZr42mq)$dIcZ^YXO;0niOUz?N?_6| z^sxKL`ev3y(MG>HHyHu;5izy;U?4$sZPp}-?)(n9vYW>cL*N|-AZ9_k}T^mw(qo$g}xo54{( zFq*6V7f-KMA4j7#b>IY>89WpWtBoaGuBd5nDuufnn=LQo3W`YcMf)@({DG-_5Bj3| zxE41E@1MB#7w_a!3YozIjTvKw^qU2XkCU1#)z z(QPh9^hwi`dK>Lubymzv9jv42CBntgSEshzF7l6$rekZ9)5iq501agY0zy+rhLfC-; zO_4GOR_=sWBrhfCx+E0^SG(b2u)9>JTSK$u{bvh&zX%VRX54c5--oS8lf^B^L#zGo zOD=!Q_Izap9#vn1ss_QHtiQv}|B>2UjB2{HOcSx<)T+d`gqM>JBHV*u&wdO@Th^R4 z)!|Z6uyqBQ_{9+P<>QGB`>Xd|OJ)Ey&xv%O;dfj%V8`W+^C?VD9KTQ=7kZ;QH1aH0 z_srDg(Y@;~J5_^zk08LC3`rMLHV=^f{h1vw`}V~D@3wh1?8o?Fc4Vvpz>Gux|LT#^Obvj zj1I$_Lztyp%x5yWtG-8!z!bIQrveEPC%DSyjp(kolht8)U8TL4Iq>dN-5JLWY2+19 z%a8Q*|8dw5a{3a2oDK`F*xy-~sZBb@L-s!K>0__s1BZRO$+jNtE1nm%t0a!*i88Tv zC6mGAZ|V+3y}!M?TFTS;QveCBtDb4QJ8KX7RvT?*Zk%ootg`f1w5Jn;@s>@%uR9C_ z%6GvO9!v=BT;y^Y(ZP{ab#=`mb=Fx;qT)=aXVvBlNZsjII;NQX51#0V^r_BWo&9tRNo!;x$#gm@tL188>>B70T1!HK;2dx#)_#SFkhR3^`njjOCF-SA4Wno6SkEEaI z!NZ)ONw9+5RCn%&TV|4XS~u}D(H?f36J0W&Z*iZ*2fXHdI}6<7eHEKOpjk_bdx8n3 zlZ>eGmcnJ@E2-hs>Xw5u_<%^3*(amyxTYa;B;VhOWQU!4^Q1* zXm=$1$K?i{ckeXwsa-(g4J9xj@ zT-^@8!wDEnEa4mGzb@oWz5rsKKK`TxJ5)RAJhi4*?0qmRA1LiyKXVj-)s38lXxjdU z*47s`kL(|XsX^2dY+8#A@j1TH{iyF4n|FfEvQrZ^rVzHTM3C>bk}a{hQwnX?|jk)W?@82A*mPT+3zHx~i~?%Ns50`YF6x#&o;*x%XI$daa#v0o0Dy z83BvF&|JfvdvuZQxr@#zkV6PX15IO#amSe7zf#+7oSnZA)Z1oXjhq_&o7 zR3+Bbo&|jfERn7YJw>=tC^xhwEnw~Sv~TrHo1yQW5*w4*PFe@jnX9ZIA9TN~Ag&Hg zR1Ys%i`*e3F#@AwmVWy_35n;*b@FTJjW>@O2Lh{H4zSYA*3r2fVxmT6aq%EfZM>0q zq5g0&WKcy!U&q-pN7GDru6)mZ8C<9o+yW&sv?WZ?u9a3l+CXjB#s%%H^Vp51wIzj> zd*!{GP#cBsCQS7n3D(cBx;P82YJxHCza(?jvkU5$*ty;XPB^IfCXS4a z9PB>1)StjSp9@UTa1lzeOwYJ2VdED;*_iI`z_(V?u+-uPjd$qmCyLYbf(Aj zUF*BWx?OXi#@`DESBJKy*v>67lqoF9yxuUhsvQMwoD<{Y1EuXvpTIp38%8Q6zo6n7 z1(L=&8x_B5YWj1>joPu}eg;OX5NjZmQ-NQ2?%qU% z0$oHicL2(!E^7GRhtu50=FkgJ4s>H>7akCqvj*)JDyXno7Uc~LC67kQBfG@ zg6MSZ_X%Pc5nMbjQc4FCIAMYF*yn4M|Jkr@c$Aplstyei=Sn+x^jqh}bcQR{G~VcY zXGa&xc)XDp09%XiKma5UUz!^*ZIetHJmI05^Y@UO6wQ!BkCZ6#nEVuB-39VW^O*$@ z)8!4EXx5fGU)*@73q6(nOG>bgG@%nb@D%7XnX9TldV_&g_XXc#^X%L{s`KKM_lUVZ zV7@@O)7QTjwx0}>jdzkAW~Aa>uVq5_Dezbfz@Lr*a?UoMDSx#&q)# zlb>E_uI+Je=fra`>UffahF9}+D_gxoMCB*5d&Ju(qh;OQwJfQ5x9D_din+Il=(U7Gu|8X7{+6S8U$Hv!ac=qJ--~>dn(JI&qnm#Jc0cNr?{;pdiOI^3#+wH>R;FA z;xXk{!xp#hVx+3_^YvC+wk|&4x<**EcP!PIa%}xL;h7kVW09RPjH`kb_4^fr47ow zF4ET#M1LWseLHckF?eb_`>IT3eWP@o_+>MDFl*4H2ji#cQ|5qXEk#TO6P%^o!3WjX zrQL%ezHiN=fAMzZgqP_I+;lt7j}Y8}JG%G>ieuH4$J2*t+;>`wP$j7%UI27mypa(} zZYe0`bW7U+6k}hC@=EZl+`3bvVmlAak9?&>-HEF^WIX6T@sNf)o^3T5Zu%83C<%@)UtPz^2Qr!1NP;NNMmEBy&4vp?BAmPMHVc31) zo0i3tBK+3Wki4CA*820v8V@?LaY@2aF5l%}Me^^&o_?-i(pRA;Ag1#xps~5fW)VY= z%!O|-qR$P3)Q~p8=Mbq5Z%WcF+NtU)f@`yO{%o$cN^R_BMfGeZ6}qpy5q8y-O4xjn zE;XFc zl(?iLttT0sAteCI^Apu4#VD*=n1*lXV`p-2>+vE^)K@vJf}b@G#ThDKYwA|n=;%J< zj;q95%4bx7Vy`~SlrbeC>#d__3mMUzp?R%+iNjupCUFCZuM(@$4%apBu+A`1* zmo6bV;q@$Q!n8H%PToN^UbP^-YuFN#O4AqsGpLXfQ82y3BOO`g;n#0voUxD z%3SQ)za3yjGac1U@GHkGQU_3m&jrf!(TV=aH74&tU&9?qZ?7EhqUNXCNafz*pc!Ri zNA>w^r?7zYx6(CLqu3KUILN)GxDt4@cLATY+A+qsGdMUB7@3=)cy%80*RBw$O<3?Z zGWiSTd;6UY#>cvDJk-w@-+d%(gQ{d;%23fo&H7iLkbz)Z`SlE@gnl*Tnb0ocK%+qL zmWC$YNf{q}gq%X9M867++U#*&d>^L}GkJs(^?Rx4*3mhtn-+~u>EbE(jlqRL>FuM7 zXEND?W!1l(fw3)}D^$N*^LUfazF33{IePH=c)h2OJbqeJ+HjW5LI)|*2&rdo#W#%e zroDcqL>nJ^fQ#9*$xV2M>4SOd*$rwyS@+D7i#1hK?VEj6!sbai!7sIm} z)gmqO7%0^!gVLZkWq=VaR_?KIee6Mdp#C(nPUedPSRUX+!CR9-#LgnFR>ZeFSQN?6!e<@jUT5m8@X3^ zDmRdeI5W85-DfrlMmfy;aek#MM;EB~uUAcJ*!v ztWu0=-rYzL@2GA~k`QX8mtb`|-$aW9hy1HMjN`U zCOPi91e(WF(?e?a12}BEE@~{BE$l(3$mGDvaPylFq~p%x*G4ZV2}Lx8(MWBTOiGM& z7kj#8Sv1vu;8a+#W}9c%>aQ<;f>`UU`6S3W=f2qdd&`w11#R^ ze2olR24j2sIl*N4({l*hie;{5)t&0OHmNvJF>S;3D0A966NG$rb&*q8*7B_#5Og>k zH@<}Ft|&#p^A{8#rY~T+>m#0dcKM2BE0!lzEsdx#;y{5zgKQqT>3udb^F3^PMn)dS zF3+={u2`{h#jiRdYa(B(4MqJnD@so!Y$%9)U;W4{tRSWd{+6A|kFOT5n(SS*{c3Q{ z*|c?=vX(`y+AF`b_Wf^e*zo?n4a1?wE*xjJ#4H6?d%u@fvO;5U$9y^$dG8A86*DbOg)ReEeW-29cw)VAj^wQh3KydyRYL);PPPhbSK zx9a0ke$8Y*wK$!BAb04iP8eC-nf<+?!0O%+ZH&Lj(Bf=aA-*!CBStW^cpXh2`qUAl zKak)ApK5A&WiL8^Z5>CIMyI#>B9#LK`$i`B5cx*U*rG(YT8duHfU5sKgKvkxg+Ndo zT;(-7XIB~hD|=6HqA@~y4*(Zn^+KC*(Yk#hNpkb$!oig+wH?w(+zEz4Ge~%PxE-jKfLwO_s$GxI6?H!Amr&LtHDwdjaEE!1|1z)UT~yrA+XZ% zc;DOIYwII;6@qgd0;KAf)8SHTcYpGkKCblY)qkn^YgZXL>&vSf4=0sYrZS987mxl* zXSdPA6E2K{H!+AG?Wjgz~w}fYcO>;{xyfYO#E8JO2bF=lf=L zD{1Co{TXt2)hQKfqRrE9Ig3ZU`ZjZ)^7EVLl26hZ)4tU#aZ(@S2sX3g>kngTj4)@) zpd%-rCeGz?d7+8wlq3`n!Xfk)*OIaVp9xl8xGmvt?G8SQ=d<+><6YHx(nGpGPoDx< zXzs$A+p6dL6+Ijjf2k4-s3_b8RLbKq+6q!ZrZN08vfuUa-u_? zvyjv1^4J%2D@nCY*>$RZc)Ib9$wm&YoL{=va=h}DeGSRk#$T%a@%@A{9lf^DI5FS| zw(H`Kr?3aRT-9x)Ax+_Ia2`+O41-Ql^?AM9{ld^nXEFNx_vv)$6@R?#I(ht4_F#u_ z!ylMH=lCLMY;hyf0F#$IY_66bqVrmYP~~&ue)W#~`Z&j(URBqHQ9A`29;QlyN#b-X z*>&DwM`h)a-#j8#>Efob&MfMz9|T%x70orGdsV(CohkOMp5un^g+8xF-fzp@l}`ID z?!X1=g6|hC=&cV!BKQ7dKyCUDq1zG4TWU~x`zrV3NOGIsz0-B^pxAD!ODN^EZ_7cs zk54vtr_C8UyX-3a{yr~0Lj^1pxw`Nfq=p~q;jJXP_$k)h-}SEVD@Giqm$doQLzR0o z{Kptk$3k_LL@Kn@f87!Y6d-se>Kf-V6;28c=p9&$M*rSLY}xGS+jlfHj~}^#5piw< z`)am-thVF_@;x7hiFcN&J?Gq|A7++t=9pGE#;d& zx-ELg#5;UPY}#bLd-@`GP;ISzHxH?ZNA(~RJUvd-olM-cP(! z;8OjzB`xPxvGXNWhzvZEQ_2ZlP4~B|wXgtRemP~!iqLo2yfN(yrUjE*BAK51=@YIs z^_yI}nUuo%Z&U3cPa`GBcXc0-#TSTF@&uRZEbgxEIlft=!Y_N~1y>z@@YUWOMfk4F z7v@4sHZdE{Pve}`&~2YtL@9ns2Fvul2_@KSt0GQJDyDPnI5Zcuokj`3DPpF+QiP=psN2w5^ z=V4R~FD9z|%P>RLzAv~wvOispyNQ}0AB=taP;Lq_HB~}~CZA;Ix?~yR9&DP^B9O88 zM$WlabxysM<_=i3?Oooja?GM}lOQOYkGFjV8`PUvRupy!R@nPyH!y)<0m=fr?L(y( z38giaX@fP5RtFzxEk+cze1pd|vaerZRyQWHkJbNnb9@ z@RQu;P8%J@1KR6TQfys?G3@i_E;jwtJE)IPC@=)J?%5$LF#dvSyN)HV<{MoKOG{i9 z)6jeLF7mR%KCsm|q@AqQ-%f51tP=V~hTV%`@4e1sziA0FPFd(?8&C?gK#e`d*^mD0 z;2MF);mr*|>@Q3YJdlY0J}O&4hbVx0=RcOC)LG8&(5y$`k|6kXrmr{z(-bf8LMKq_P``wY$ zDP(8-$j+>6h>U8Fyp|+S$k4C3Nc=9e<|2UAjy;Q8VolG|;pC+?lDy)?*1Wg!_x$d2 zLHzx#k^UFfYEsv|yIxba+HT5;HWhW8vKUfp5luXJHZCX)y|BW!k5lM%%T1EWTu(FI zb`h}D&A~b)fhh61`p0FBtGv1>53~tSowRQ5(8sai{kjM_Rt>V!RVB9CcQ_Z6AnL;K zCT7cW*}esR?4mCAZ2iYUsW+I=yV;?S;ptE7dp_KL^FJ(rD`Su>mS%Ce8=AT&QiiH8 z&`Z00#N>rH{RWRh5{wgSy50_N8I0xlb%;!|3ZbU#`5G`m0JICa=NgNff)OFutfQqE z{VP6y(s-p?yH)1!c9t7Yz)7WCpPW=(!I3@MNSm=6@5$L;d1i0_kGot_1@?yabD8dm%HFem zA2G!sYa)gs7j;(7aVfc8oc0|VcY1#UhmYg@&*LvqCM>o$2#^T$1aqx2_@iR`9WQXN zrc^vF6#2r7DVCZFhEuzL%vsf+y832W8)7&G-R$1*@N9i)o{@k%Z$A%>!y|J+ruO|P z7*OV5z7**WyU4!>7n80Mgy<44rj~r|$8L zrfdgL0Kr;&nzNWoGqYHojP4iO`TW1}wIM_oh3z&un+=i1G;zJ|Phbj1JB>-)1>dxR zZU`a35@0xNmQ%LfbSVtnAzY9b4K^x;t0@nX(!hIXJ~9fD`?zli+`*fLDX>xGEYUH_ zbb$`rHZBu+BHIn}2M3pS2rUGHXT`TZkg=$Y1Wjw(`>_|OIM#V(@uk~`oyF#DLVVo7 z8}Rc{cSEoZFm2?)_SY)?uov_#Ss^xYh&E+sv+3y2o@WPeAV9RinXmUHl~ulYhqo8l z7l~4<^_7xoAei_!ZT?0s7r%Rs1x2K-t?#C!Ki5IGllA*eeqjNf-RoQPwk$CFrrwLy z$kXb-OuL3Zw_!O0%y9^yxh!X3bnkTgb)xKZ^|gl5Fr5lz2KHv#El9nw$z%8_Oad1yQdh`fD-I?nT#r*~TL= zo1~GMK%HhrUbO%J+qgy_9{4=Z{e3i$k?Q^Q)z>>CqcmTKGT``(Zl!Gre%=0A&N_qJ zqkGh%TH7@6?%t<{UmyM|*QI@oC4L8?Xf9Uto;#OwUpsUK;Sb`uu^Zu6jfwWw!J&8s za4Xp5lSjA}I+#R#ut#caBR^ntk-~?=zcE)=FtUf*2r7fyKe_%7fmwNXU6nwZ!M(ac zbJ`F}X3j=0v6y)*2qo4=3W6Z2V>fR4PTP(;;;gdn_Uzy&2z$16^Qc!Hhy_1JAL-+; zB>05kq3NvQQ;EhGGlR)(u5$g%K5RgM5PYb#X^*=87TP2ywGk2p-U^V`_h}}&LL#3w z#xtl)l_Dg0-Tbktvr6TqzJo*)ha^DYxFby53a9+^+}AsHx7f}SWd)Uq*L5*+#dBw# zFLj*=BU52MpB{{#A$a&_Pzv-ZLfxn+j03*dg&^1*1y5Ea z29&Ww;;?dR3d)kG1&$XWcvM^qw>#9=@X-h!EIjd&IfniHP}xa+gpfaHu+!Vj?(qzV zntp29)Ma4yc41(){pKM*iASf+kv(AJAt#UDFKfuy0C{Ak=P6IXKN1DYY7O_ zoqejAOY@p-8#9=(I?WO^C{VGBUtS8v+U?Vt%bMAm?6e>d%oYw?wv82uUF}DGzgHCc z;sBQCkctWNh&eX(gbXCM(}d>EF=)+7!3W~cU(>PftH=$SO`rp0iXC|f&~VK!!9iMA zZZ0(u3jUZNUaYP(M{ac0C>cH})NY#9T>k4?Ci|ePN!OC(>DWyJZnTG|zHxgYtO;Os zPk&u2+{D@Q!0WZ}xmN2gY1{RoxLh%?;D+)Ir>NS0bGYHhj3)aA+h&l{4@tRc^2o5J zo|+mhr%2=OQh|!Mt#zjN%Um_((}cHg@~;_bN<)0eZJ8>S2I@zA{v@7jAz9{J`q<$58I0vrPE!!h9zr-)E&9B200sX#1v zjXC%8>doqu`?Zx!9w%OM02NoC=tv4{qiH8k3UTc#@S_|s8E@2Snwzi57qQCdz~v)q znlkOHaS5=QvfMc(O1Sh4zRki7g>8m&!_Z%Fu&%oEw9 z2kfKe(eEX^9L{<|A^hsvE8o2Gl=#`7W2jAuDcowK~RRQwz*IfVdvXdk$FO|*h<^(B^XO4BVz1}}RgQRDW_O;jW3=+{MC zs;jW(k|1#yQ6zY8A~85qaAj8LpSU11PLy-oxU7B{7S~BbIOzcaiQGKi{EgG~&l!s( zbxH-HkC*`jB6t)d7TxDy>GzHku{(NrB zw8|9IBh%E6kZ7&g(|0Sj-?2B`i zuiPhx=OOSa^vAUr$Fa`cO`Hjd{VS!IE@o9Cl%%)9;zI*dk z@c8EDSy6*8UTvg;onv5^n4ulc=+6zrc|-Z!{sNdt%pJS)goRvgD*Z$Mls?vjaxvN^ z6sDk@#(^v%E}HsBW&-0-t0+FjHS+^vsJcojUdrVGm{uTXP@kFa9(}-#_H7Jd+b)ZH zZ6h*g67{7qi7115!GK)M^?_R=Z@q1(Wmd;=27UbA-9Jda()r+^P9VE+F<{NKB|0eBsK zM>>#8lNWYOt35z?;W_CJGN}&$+6=}mx>0Zipk^LoQtt^DZ^cqpgY7bp;wfLx{GA~* zBx_)^yYA7uWsUwA3J=vWHm74-ZKcAj85Weu*Y`}1>}^m=&c)-n>+z9d&+Ft2X zqz7W;ND}b;av2YKyn#5mTq0x%s zx$I(ZL7xH{#|67Az-G@NU~*6apb_;V|I4avN+H-LTFUE)0 zyH?&qK@SN9#J;wz9Dqj?XsEu_?u~`3_PZzz;RV&r6mJb}<$B7U5 zR`#}M!HtM)zzI4PLGf5`GByF}-1~IK6)c=&U?WV%!#`3;Z6p``gxmkvaBQpP5iV=p z)xpmiKAtIKe9`2XJ|^Uy@JEZq$LakGzDU_wKeFD+UDFf~SgDwz!tkV?)*L>8Of{s9 zkwyw~mhQkYmo|{*nCevaq&&~<-*6dk1$+!0i!b3(K$dt0g*HPUHP|s%+$ntth3o-024*TjD!j`0&m&E90`aJbBBSH~Cvj z(XcO`!x~Cdh=B62uVR;&1zrHx=p;h<_K1r37)#|X(4@*h*5$GefmzT?0|;TBOnNDk z6^^M&^t}PH3Yhf4n7($tx6sC=k_{h~ip^Z+9eTIeF-oVh6#P>H85%|ho+29-f=6k# z`$bFJ7I5;E>)iu&#yr zxVTixL_5}X!QST>dfpf75LNC{a{yZA!-B}|lM)rX{Fcq1a(TSK)Izz7fehUOd8#UQ-p+%8Xiz=eDf zcx*=B;~1!p&CQ<{aoyeJZTRkj&2$<7Z`I}VSU=Q7hl-0d4XEVKEQR>Gm2G7yn#%>m z2Lt+;g90Q+8rp{5iwvDMe8(J`AC7|l7hN`^w}W_V(&!*zJdWjK(5fY5CR@K}nki2V z5V$W>Z2)fn)V52_pLf~dCGSzLcgYHB$|VEL={o-N1=EeE=0pBK0!e2fAyWV{^I&oQS>au4dRzZj|GDJtc(w|8!sSo#9pdN}OOtm@+m{3^Yaw7^BRBCn@fjYhqz^?kX(N6g zBalSCFZ0m}JO3@*v1(_+c8d-tENC0S7F4aZyfb{e0{l9fO-V-OI`i-4TUM9~GCA?i zFQOZ5Ppp5l^{(OT0{wC+bhQK78#Gyi*?{@8Wk(PNmuln0hqMzeToZWM(22zHPCYd5 z0F13~nan^pAx^E2oe4=>qJ>@cYm0RNA5FAGO2w~pq9?Vw#iEnG7$JHG>pCNbjbkT6 zzBs;FcuF>ni+!lO1uq@;FES_pDzHu8_HM&}iwAAihRa?$6(ZwUvExungxkvttpY_Z z)xI>Qxl?H(VI_hi%NM~VewNa-=1|k7V^h&9D+E8-+`NTzX`bjMD|Z*5f{rFUy>cv| z-(tA{mowQ_iOaqMtn}a^P2+7}@qLlwnO1V*9OJCza@Pb_7eR$elECkJIS@%HFzbjQ zC$x6j(U~xpe}Tl{hLCv-7SC6Hp2>7$n*hWIY@3C~C(akxWs7%X;4p;Tliu6Ab#uc_ z20zO{Q;I~sNT-I0Ptim+p&N0Z5kr2e{VX$Ny4cs*Aum)PR(W}GHIMjlop%L!D1XR< zWTAEBH(g((<{rjp1eOExVFtIrfUf8Et1UGUgW9FwExUvNs zJMESLddvz5K)Hk5UPVtJ$~~{S^t!btu@q>VzKNF=?(W)(2|*+Ko9vzCjIA2Gxf4~J zrDP#`q`a4~>DwymRLgQ9O4S8k&cW^Npn`+?VJ>k2H_r($6hfw96mUASGTbo~ds6%@ zE|0T);5XJ@^qR@@2ayy+yV)~N{_HB0c!PAhY_xpWlm$Om2{O7i3q4Tu7Spl#y`2|l))`~J#Vf|xTnAq-Zy>YD$&b}Hgd^@`*2v6^xXfiF7E`|XOjRG#jO zu*Q*a{fEbN5uvSNO+6ePsx}{Hh>gH%qY1bO;Uu~!q~^Uh#W?Co?`gke|TQm;cUM(1|#A6p_@!TJd~qx+ZVq?N}1$6nG#``p08KF*DR5 z#3d3tHf45K$Ps1~E^@Uz*SH-|Uk6qPb2bWDye+Y8gvoodhqAl%PqtiqB4%kx027kSc!y^SODPmyc>%$y2jBMNkO?^sp;HYaTrU>z@Y+OXg zZXE^(BbMf2?Oa2F18h!k+)-}oZSGijr+1?Iv=(&;AJ2h+H*M9TO4eyUU`{vA= zx2eA@Zi$qxzv*z}<|oKJJhQ!w`ioz%{8WBy%bvR-ds1xBlM}^dfKFnTN7?eUD?s9h z+0Z>0ReXM|C!C)+{+~Je<^EJGC0#LAk7etlIVK;yf}YMw;*4LzNzUVft=zkLVe+>B ziZ6z5mcLkj=Vaq59a&Co)5cTZe6=Yjkz%ahy!$IsZg-Nh4?wyld?T4mzE8fGh0#_MD}ouEH<55{zY&(otzU;BgIddq#jMZnf}piHU1^+fnOnq^GN}t*MQE zfb=eo(owV`TEVI1a=VVQ=?;zc-qZ_B8oJC&u4`SiF-5&2OBbbSbK}H$gG+Zi2A3-e z0n{Dma#eJy#pw4%EVVPJt+z4SQM^oK8D5^~c^}F7u7MZ#5X5^zOX+oA3w#*)i8W6nk~3)4rpa6OQR(7l~7^xTFQ- z1u%lll>?xc#Qn;dZQ9tG*(`*%8Py)KL6GM*$Ga>{6rQ9Geo> z#?X09mrL7qz)CI+L9u@rD6s8XG&h!P74Y;UuBJ$IyRes>IKLJ5l3*MaE(MZvhD{}# z4|@e-H1LzS+x+OJOwDDB z^I}`O3r`!{F6R=tSjZ#c0ripLYG6~qH>Ih5XU03PjUJE)ag3KL>W#8S@E#u!Wd}!_ zuIV@JWU;X80^Fc|e)tt8pqIOY!?93Pn0AwGLC^(kzf`${WK}?#3;nCDXEbd6ie(`f zztkn|=uN>I`^Y&}N;-;63X=o#NVuf1t5ShMqAvOYiMI>icN*)iGM*vongO<$;z>(# z`P99PyWREzSt*y}nkd?;9^K3Ico@i_h^vkPcDDeo6N!b|nlc|{xzqLk;lWosD94ae&}%_Q?Gl|nW3b9VL3ix!LVhs&3Y*~$ zPE?J;e*^Y<=z-?)RbNrd$%!gOp<%b#JB(nza=j+SAB+x$C#uXG+YOd`30{s6gWiU# z!f{4|R5kzHWqbR(KPxKq$AnXOrYif525tb=L-RGUr^C7to4Z|=LL>F}08ZPN9b)U3 z9b#Vk-S>a1m?IJ~mWxh|{z}A}BhgP8{)T;C#-r)-@Za11xm3RU*#G>aJN!3v|8pxI zi(G$iiQNAz+QiFV;LBy~sK#uxNACMI+QgBW?o)85pmt7Oxp&o5`h=aKzd65K*MCDH zUr*>a(l!krZ{B`R^4J$O^1)=RRQyO78A5@)?p^JA&8fo~ifB1Z;DWeDZASHZM;8!C z;a0o|{>eWH-M+_c>H3vSA1C_RleYU#Yk!w^rNy(0%Uw6|^JG`SLN%ga+Es*P%h%e2 zkMSV{T5%gRj{5HIp}}}Lnw_uD*8Zvfm!a|c+b0#6X>8ZzFV=s|W`GdMUsBvQJm9O> z|9V^f^W*hjA5lSi=YbXW`3=9aVW=m=eCDp22@w{ zQYad7$Sb-C!ZyjJ`HDQV`T+c8QDH8D6Fjlgw1<&Bl^oh>$iiBY3s+p_Ua?C zo|Rp|K{|Igw$*zKqUxJ(wkMSi!=+TFjj|!OUsf3W`M`94nt&$*jNg1yddzxzX#{st z!naem5Wn<~mt(ku33My4l|KFmh7HcW6_;7VQ_~55nvM%I^2V@j8$LS0vz@J#i6hkLUYw>pqsLEiIj6&}G1|$G7$4^P0Man-AU^yd zPOy%);LX$hC6jk}#t4o8flG54KLO4>ecJ+2HqGOLP_Ot95{M3Sz{yEDh%N=aXE@E} zNuJ=rx>JGx{_4#YiAhrQ?kJDvn6{9Y0EaI>^&ZcF!*%4dwR5J7f?4CtKskhQa=!bX zcl&TKJQy?08s!sq@mx!!h2pOh#!Qawt6|1D@K{iGUDDz?bK?4Yxk{^Tq5ioP6yxA# zbEQ`aIh&<%RTm;sQd{0(yu^vh!4v9edR!6|m(-O{yURSa9S$+-ukWyXgHx5S1mR73 z?K09oGw$Jus${VglqG}hmEbV2{>RPQPo-g-b?`v2j}tsrip~8oHoct+R+p0wNBG>T z*^c7@qM5cqtBTf;!fP;2XUeAPG*7fQT@w<9X(!f8TB2oA0q;R&_T@99eIMISa4?ZS z-8OILlI743!E6|a+Z>eR;e~I>4ul+qLoqA2LQBCz;y&x_Lurq0fK$|6fi-$At75|! z9bP}YEcJJW?s|Oa6X5Qasol^B2Ga?s#_eu*_mELm*4W&dOeqr?>b6;qJqUB9!+s37 z#qQ1uIL*uzZI`%w5cj;y$X_yWb<;+9-Dv1fYJVX}=Ecc?V|&jMWuw-z*_zQ4{=c=2 z7f3n2@HJX+?{pw`k;O0>Z4|{qU z4vmqBR%*yrR&=ONX==MclpSuqCcrZHIoUYrq=LHWBSCTCJqc~h^B2I_WJ13$3+lJt z5-wYG^K=7PY{N{)%^jGBj5Bw??DocE>=3mJM=bFc4|WD5X?gUrFL<9{SrLbw2xbXJ zw`|41NH9Nt4d!R`v$fb%8;Q*7h}5K{VO0&|o`mh*pJBk}On8}CqhM>nibz}k4f)J^ zA_XA2!~jIF-+fp6FU@PJOqp9?Wy)E>Qh$MJ(6^6fc+KGZpSCwVz9rJJbvgGO2ec)<-`Gq z{d1PXc7uekkk`*teWr~&YKWgXs`vZHwNdP*=lKP+bkknk6@c9u*G13{*yFS4-Eu&C z2(VeE$jTY2&=-u|JWi*RINp1_>0ExZuXu>g0LUEsnEgcAhffB=Fv>Pqa{0m>^^TW2 z!R0P?rS$|2wgu=O8j!Hdo$tQ+rssj-AxPoqaKahO<{YBaJ-tU;qU2cRfaQ&u8`NWb zhCzS;>6~m@Q!`TRtnj$^al8Pr7X{1&H#JJ7qzvhW#M6g|ls_Kk)czR4yLGdse*06w zqq%hv;f~6_QKq20zGufpqExxg96EtRocs*)wfj5}zrAcT@s_CZ^Nt{!Ho^qz%EL=1 z_z~o88gB^|ypxqvjO#Hn=hYf|U8%D;5ZAs59wqGa*@){Q!F08~#WP={3}PQQTjlC} zFA3m>=qY3Hi)$b!Y5SV3_Jr%of=GY!B*lVZ(zuD=FzB3wI_}Ci?S~ zQxqm)(dpoZ;TAWd+(C-`G2Wd?bhdaD+ZCATl&=l_d%7M0s);JFnsw1GyBxWwz4(cH&GSD@dX16MH6zqeg$7Fh?6esdeh~!X>zP13>tN=KwMUy z+L1ZSD$vO)TRT@aUzvM^Fo?`4T=^>tLhP@VukYu|0&z38?3^}9^XT4Q5*|2zu#h*E z557#oz2|J(0l*sZh}uv)S|S+h{pAhoje~MrMixWIKLyn&yQ|Gw|J)EcR#)DDqjP`x zdX2ZEy8Ip^HlXn(%d3}pAE>-;{9vHf;@y%ha&4u^p%{gfK(~lXKSgv^l5S)7x$j-A zMU7>=KKatI**NtOof^dWNM^jjGucJK z0^7GdEDzDd$WM`{y1BIH6?VUX4I4BtY_e>o5lm|E^qZ~$Gt8zY`FTBQhovl&d#!mw zoKjlx3ZNU=U9MVX4r)Dg8dBO@qA}V0QtG19HMB$VdZ77QgTho>?)Udy`fNi=;P=jB zkj&tLIXx63^d=#zqP`~Y3F3k-*L1wrH(Wg;10d7eHbnlcHc-^!m?-5LS)p+l%)70! z6t1#Tlb^*;*{mufH_*W00*^7fQga>ilg_7pOQ;3V^U-4ck z(5)k7&~=8r8C)96x9lDxNs(cC8m#O3t86xR29Mr*jPS(=@uW6}-%8yt5JpN|Y|fcd zOuh>z&O_%z1>@xQ+kZPuhfwP-;xPTsFSwq3gP2FU|KWd^yV{7vm~TF}?1_k^7Bq*S z|HC8kKbxIp4&*JhE8{6@W-iqBY61~>!^?x|^uXIN{3P2EXqQ0{b|8Owg z_#N&*|AzN{D?tIo+2Kv&B)4X(==6L?XqJV}^i}I~6m?V=15v}x!?qo;8KcH+iC}SP z`;L3I&?lWkp$klEP@d=0C$HqPA15d8(!_>Y0w z=HBLyv1{-!f8pj4{~_!LQ)hi~LFcjg=xb*8Now*#HmJpsOtCr7^DU6BidK_>cnkfz znc@^{38IOWc;&G^h6D#c3&Zw+`llgC<1%&Ffd&2}+G7}w^O2_w4{bYy+8Ch%nza|7 za{R}*qm6>4)I;~WXIhq<8G)>)?qb{U&7WmTFzCkX{;&9J*|LbGzkg_ejd+IpX|F`SH1%SHeltvXr&Gvv&yDYu-72KvjvDy6r}9tl+IPM zIr=ZtJpDJ90hY4t1hV~& zw5)QyJ4lqk_>D<4G5r3{qS4#mMNzv+D!_L3)Jt5NlWIPsVfj`3^7?57GZ-Ob2V-VD zTZ@OHgZ?sG+4Dg<6%RzG?Ko=vQ4F#$^OR|hdtvv@6bSO*l#`Md2;(c$Hk{yZl&`1w zb44m7l%EwQ1@r>Q6HC^12?nb39IDBW@N@X$(xtfVFEKUg)Gmvud!j1CZx2|@PAmTU zXY1rUZL8L|ztdjml@JPM;w?2<)#b)_H=nDEv6X7>eO_&sdfUd(4VVjH3r{8)qjRXf zs>6FG!#Shs#%mRoCz_Sdq*wy+BLY_)p!I^r+eM}@;D6&{VR&*G)>&%HGo3q#@-Ua< z8|yyb2C!-UP7&7c6z2 zpR#z+(}mL`8CJ(pXI|ZJG83ETDxRJK;>X2N{V(6p$7Beok>}7nE{1@oxnACH?IhKW zQqE(UL(T#E!#3I~W7LM-91cZ&G#W@fs30bqonxajGp0V%h1Vtyb(MY*CLk`Xsstr`n{~F@G1ghm z4*BAb_%Y=jU~+a~BQUlV@!^1Mn%mt=c5ZV|aO2PcHOn?F*)AI4n$Hn<0mPRE3o4#U zfq_h5$DY}9#hq9180EY>(YG)-gI=pu<^r@&CtK2!vm?SnSTC)+iqwHNyN$n$G+lF8 z7P!=<1<0^vhXQ>!Hcf8{c2-W{KI&37-UB)n_y9Va9>v*DVPH^(B5KyLHyv-Aw4{o} zJ~~xJ+!%^YadKC?Jqshxq&p5ll?1a^Y&Kb}sqZ>!E*GG;b+^x4+~td(=2FmYDsdKT zxAxr7|A;9Ie%>q5aE!3bRQ2a4Mn)uQIm;y)RQ5?|R4Ws^hL*lLP+pDAU#`PFh6SFe zTzq{0_>cW!mTx^TgO=+58@tB)xg#}PC}Df8Z`Jn3STbhC=;P=m*Y@f{Yihqtd#p5} zN3kI>vI_pG@9anvKbMxD=HK(Cj+gc)m zQVaL$+4>UyAz!48f8~4;EcGY9J_b`#CTMPn^stX`nd?NIwvjaBk)SUs_%w00`^gax z5wL#5fL|Z&ZaemVtS$KdQC$=qP8VI+?w2>9TB&oTH+;iSBYG3jY!f(U$&&I(JB7kNtc_GhZHh4JZrPuWSx?#jqFY0UjqWEFCx z7!OK>sEbQ?Rv%VF6%DfnWOKQk0B^J7VikG5fejzS+eV)q=6!~4M3`pv0 z%4v0-#&4)xe->-|GoImdf7n#eblB5NSHFYpCSA0K0~&2?FxfM!{c%vOJ}fqtwQCxd zHs$9>o+**?_XlE_$tDjip)F%ZG^X>yrL;peTB~_2*o!vm2eHULA@+7Q?7#i*3^1q` z_c1~~+PCY?1$viS5b53NAr#i~%anpLG}7vcN)=8aebiZTF`KnH*j=s=vDs z?Qpl@(|J;Y4VZa$&j_On#*9NNeT7TsumS=0r8ZjAZhL}DTidRlnVNmC&xXAu#q9;M zs(308|8M>T-y!9mnN1=@YV) zay;F_q0&6||KjWIg73Q5jB8aZla_Z*cgC^{UlH15rEO5q_BrRFo1vVLXs6MXc(Ds89&u9R_zIV#k|+kbVsoXF|b zpKLx-tukxj&+Taai8EpcEeA19Hy6FB?jn}~xMPqp7`pe8gs~%>u3$?NpOWG71>R|?H z3Z4qUxIw8|AYSVlPr#Hh(An~caDv}+k|=P?a2}QP<8-6t@NAsJY?B8f$Qy8Q>88gX z(>TP;$NlM~ZK3EsWP*_ba2lPq4*^a}^>xYYU{gdt58BW$O}&%m^FhTF%0pG^GzKGK`cWLDPfw#Tqv98_M79A`<*DIjC0*T z!3ce)ylDS+lsx>soRgdXqqnB5eCDBNA6nZ_TS@rI-HlB(3asctTl1o&csEeY7q<@C**__pudU}p$oBH+mUy26TIG9NNUkX_P5{%gJI;{po|7%tzeh>lmS43TNbRj`|DrGD zmu4_Y)a0!H?dXqkH~&_VvZNIA>-T}Qc=|67Cim)V$5x`y%nhY}fHs;T3Mpy(;uu)$ zgGtnhzoMQ?@di}O7kfAo#iB+4^9>Ndjhs)9X)T2ywqga+z0o=BT=tjd277-#3i3(ztq&UwP4a~RuncQ$F z8M@&(({{|b&TZ*>3PrDBH01)xSS$-Zh**NkERrXXCq_xo5fjFJy(5DSOy_zM0@0s# ze%#VTPP+tmC;zc8-$p;8&abC_uA;0xgQ5v%YfP?Dm#3frm*BBKTWCPPb6UEAD^hCu__j*bf0x?;#gqWeO9PW+#wV45RcBx%}7o@}Y1CzG@4#a{h z7p)u@B=z*=ktMe7cQv}k{ipfU1j9Xw{8+!V(d*FbUx6i^Naoz-RquE3oBD4~znVR#_&!`tDlwtR&pN%LdzcVZmAFiJ81lK zslN+tC2@VxvP{m_IQpGgLmKBw$lL>Iu?X=csl-+Y81iLeGFO)R0SuK}VR1LrId-k< z2i`pKIA&PV|E_}x1H?>aK!(l6;Il*F|2!Q|VHw6(c|e`{zn&rj-c8p^o3HSPf+^py z^zW7);FLgWeW0M56jE||)9-7mHOE4$l%#gY z53s~Ow1>vyP!14B?cK9Pu1AmsOJcIX2W_E~TsVa~ejcX2+5P}!n2+N7Q5fsktF;Y% z(5bZFKHe{=gAEum(5Um6ZH*;!-El8GVTJJgA9(>kK-M+5XFZtogSX*fbVo6_47@s)@n#8jA}So62oVq`yhS!2*l8DtsrthcMB_~ zQYbWP%N!m*;NXnInlB$pZ$H@wLEknEEkK(f5Ixy|k%gyXa2xa<8m_8|NY{ayiMriG zi7j|x9u6D`*M!&tm}tY$UnP5V>3oXbkhb4mZ`!v#GVsydsPhuesKtxYM~(0Dm`mQ~ zmWJXQR^5gFyb=E6Jtu6bbb}u)R1Y>%c+o-#af5U`Snk=;jbs5h{TOf%5*DmCvxd@s zG^F19wvt{)tZR}tFv~jeQrA|m9H+~%Gt;h>)15>(USvDwP)IYY z?O!ehdk6eFgwH0|XE0oKNRzuJwmqMKv~_yS(BG*$1>al(BydN>@j|{IgoCv;)F7tX z8~{@ST`8DoE|~72`^X#8KP-v)3?IQlk5Q%h1O4vEnz=fc17wC%2 z0<8;DrLbw3we_{@PL~^b5V#D<)M0D6CT2o>4erqp4&m&vC`J_8W4E4^K+ID946$Dc zN|F(h2UDD0PsLgIPzvW%wlA@oO!5e95P7pI$lO+f2ED=#%MC?flw^!d+YU%c>SAIu z4$T<@>meTv=J$qlgXVGss&D*2Tmo^&28gjgLSlh71SSx{ z9`?i^CD0ZWUd8v#2|+tbZFdv~eKW~$Y!P<^2IG{tPW7r^z$< zDX(S&DZVe|?-~5H!fc8bpOR&;eVe7-w?i(h*+TN>^5S%=3I5^of`6oyB;rg(U-~k= zc>QlTUY4c)wunvz0Mb9Wxbn?5^B%lDg{PD!sSgLg^!CummzsBZgY>{3!Tn+Fj)!28 z)3Z^v`6Y!c1VO||33(`Qojj2P;!d;oL)a9)FusZ*j25j_p9V9WQ+X&(yh&LDc8Fg; z+rCAgeh*}x1?1alpOlC?J>bx!GH7US<+iWDQ1aJTBh4SZL>>qk;JgDWFN~*$%Xyq> zSV#qSL-r|mlQPKCo#z-ZdTh_ct;+kN54`%LpqX&>&@eV~es{cxXmTs}e2iQarHR!L z_Ey7{!fdDwfO4?jYQsnrd*cp&MeV3@O*Hk6{Qt$U7G!n9|cLKu#h~ma0{*N zaEJ)Yc!C9kHy)+|e2^E%0w-Y;fkqT7d;KoRgMNS?5N5bP$H5D`4)$wYutOt@Fqv6e z5)#{CsWWKeks(JDuP2h=tgeM6Upz`e~`FKQ`N2${W9 zoab59U0-H(CZb_K?2 zoM-WzIq!Ju-m~M109Z#pN$VW!NnpbJP4`VnTSr4s@yz~FvvAk3!Q&EPES$vPfjMs> z@ZZIl0X78z6_&Kfg2Fy}i<3c?*&ZmV<$2tSnjt-KYU$O~cu<@%atzNIioOy`gfE{h zN*m<~K=U0~a9ncL*r88?4z~aYsf0Vm0jPcFS_K3w@vPG`df!r(p^%VXHJbL;LUorT z*C7`8R=L|8ClA!~--U35e{BA^Wu1&^%B;Bc>1@|3miZsBNiak5V~|w>!HDsq5s5n6s@`*!WKu#k zG7m~*YXhR>;oK3lyv^gEtZvxf5r7-Qj6J7A>6-ohNQe;agVbw9Vwp7%XUa#ro}7R@ zy=Kq32nZyY71Q`(YoMjk1dj&u7+_PRH6u9V8dpYQ#<+9QJ4V-s&F96^r=;Yk*^v(l zXUA{?dP$9M24jfZKM(1NMS|6?fTY7LAXr9jRz^`r%R#;gFP2#5E9%R`YvzC-a&(g2 zIM*u>&WuC2j`PZp86}U-Xy7|AK{DR#N%oPtk2>GzSFrp()t@k)&GEh*u0!lf9sJ52 zcxm}9mPBaB)^N3|Hi-YMBc)`?SMrRLGkfgjj2hW8DapERe2=JcA?E;+uY2FBlYAI5 zw!JR4%}e#%Fx`5MV8rbQS&aL`uMdhgEu{U^AWvl7e=w?r-5gn_>3m5TXlYs$#-tzK zz>Dv>dR7r9M(1;pw%>YB0OOckRKyMi*II$G{HfY9h4id4>A@uwiUc2nuU=MV3a!F= z`v!^Mbi@mw7_a3+O)9<~Qf1m%O!zHb8x|$uM}2BQ*$oh{^+$c}pXZ0H@N`kCjkCt> zG58w_ZJ{*=WDzeZ{0?IY%sqT^#M7yIS)Pl&~n-n+Y`3lU6Qr@ZVAAo zuc1k^LDe#s5U|4~B>Md7-H9C`+9z&r%E>8 z40+R+`wR9P*F{WQ$i2@*@4j+@*ZYJpH;=4Ao{cNN1piIZn+>74=a&=ALnMz1zWwAM zA3VNMB`$6jz@fE_$Y0<2$!Pgg=CK;fPS03rktK-%HYxL~z`h*HMc+*CBBQdTz?b0= z{Y*GTigQ2Q*mhaX4Xtsa5LrT=`yJ?Crf*rrLp#S5=#V^7&n1tYNRwLuVLF);%e-e< zMIOZ2jy zQ&0Q)=X;V!P`SdeD4yS!!I7=8Zp4qiwBGo?C9XFIe(km>RuwP|1iSub1s7t+$V|H| z8DIa&vWcn0B-Rg(^wIH;F9q z(vB6ifp`I7nVGl?*xU2OVLGLi+=7{K4SG^}fG;W{*?Qwo?xy zEr*t5P+2|PaPuW1b1=SmU(AD}B<)m$Y7vAjYmL<8X!M zG}t`>8I_)T+h#%pB5Z;_ma*3v=2^|2Hv2OV2UnCfj_8+Um9Y;cQkY00L z()q@)a85OF1?P44tWw|2x)iEyIuMN=lGD)+h*SyU`A9!lXLgcDT}_+J3$i2!e%2&Z zb**=v#U5VDm-twgWJpJ6cA);A1bycQue?_ql>$d=*7v|tiUQp)h%=DT8lV0&SsKw@ zQBKWHOyLj|QZWk2NdR|#IY0aeYOR%RA}YgcSf;Lym@lL*g-n5o;Hi7m-I(8#1aj%J z`KBLC1||;$2vj2OCfJCpC)wgGpBV|yuL@Ta?B}^;J{o{v1vR+c8RDuJ+P9+YmP;`u zc&Gvm;s_eg$9Q3To-#vdK7U{GlvkK3OXf&hF3m#Vj%r=ktA&FD><;q3RP#FT6xsq& zVUSYnb(37|%xKe`9k1yRZ4=`Cc*n={LMM|yg*K*7$|LVik^RigQk_h2xE z3#k+UXFR~?Z^DZW>T$fp?$e`fmogaS{kG3y{S9BsJNn{{iT=YN`%B^yFmgKD z-IF0K=}Cm}tdi^SPZ6@EW7`nvafcMS$eGf;&*V(2auqJq#mw1;Y_Vb2;sjBL+yU`F zkUB$duye$fY81fn1jW4Y%fnCXqzUmGqg(R zBiIm;-gJObLw!A>10|rSO_szAyOD;a;2B#U&l;18zv>>yBH^geD~%0`Hoz_3Y5uj4 zBW}IKH3<8B3uaAcfvG*zqz)OP{g|ywmLVcfAW87Z$GBXCdCQWfH#*-@LJ_Gqr}rp5$U) z`SnL9+V2$esyie+W7qmK9M|lG5>TA!{qcgpeE5+#=~eW*WJy9H+~3*PgYTTNwO%sA zE();nfTJA44>|{1FA+DSAD-|z?;xa4bO4;p!8PtZkfU+7;egveBKB1wmPdM(xa04p z$v^vG_i}~vMe#2kgR1 zyjW)e4slH#e5OLfz!e(~qAO-hlgr@3BWQ=efiR8&Ye$is&znXrB7?dXXAM;Ywu|N% z97yRgBajDjR`ywjLH7A6l5n*6&qmL7AYh1B-LuIK_b0a7dPow0 zVoGqF0kX8tE80`Q?KF(A4LCW0+LBTvC+t*5O<>H0HBa1HL+c93Ly0oE~a zMGf+N16+mJfSd$;Je19yEXM6j5F{jj608|W1V*zTu8>MaOU9pY!lL8te8&6;q(@rMv zdue%8(rGXuAE-O6K>TK|l&)A>e7&~ZxG_cCPm{s0;FsB7uRSkc*JQrBAuDxQ$%XD` z`-UfQaX4%XAK9I_(qT4j5>=O6$hxqrt?H}<6k)4Mc1 zNr8O)2;}}XoIJftQuSf`SR|8*`FJO+NS5#Xm<#zCy3`?maS<5#)Zx|2z2uQpc3W{= z#N8A67m$-SHb$Sl8PU#xgxMRs+<1#%NGO1)7-8eQdf&7H{Rrj+V#2ie++S zDcom?qcxMA4`fMtSL^yy5DyX6i8G5}9pQls^iio^tU08!i<`gjWm5d-k4eUuyUx`9 zZXk1e^Cg%;6RaK01g~del^VlYUk}&s63W<=3S`xSt-m{(&rf9_1QB&w)>;)m-K`!} zb%lA*z4-Nzj^;MWeL^(!2&0-PeJ;!NJSGl5+OMp~4kFlN-hBLR*HY+2jtloelt|`4 zGnmd4$uU^16wjb-{oC!vo7SH2<9-OEi!?pmhjvwrfK|15DRjc8ZSEys2|mJgn?yHn zU$_c?u%;?9vP1mOK8Ue6%!gIYBBXhh$u0o#a@A>j$v_2s@J&B7MSbyQxTNiUiPD0X z)Rwhh+*KF~>b&=`A?g3wyIL!Cc>Awdj*w!kFY&xemSxfboszMG*LYj8-HDEYg%Qqx8BgE`#cpOT(9j6%!Z(RU8w zbzbRj6xALP12KI+4p|ze?NYA*@+ug@ z63DBVwFnpzi0qq|Wlu?FEgfc5o_qqWlzg~3vui`>n6|}0&c}-<&vu@jg=bH03Cu%F z*pr0varlgiTrIe3))Eo+q1DHT!vr+$MW{K>W{uG~Zn%Nu$B)?E{wl-^QHYYpY%)rv zZD0&j`#~K`{Aawj`I3G+B#aPow&8{?uNJgiaLfzI&fyb)fk-N1uuT_zMZm{pB!EMc zU~4sAv0oQl{S8Z8nF)8p>6uHGHQw9Sb=Az{nl;DVOu~ntbJ_5kVr*vxtVye{(|jI=Hx z(HqXHkK=5+fBse~a0$m!yi+8*7-C{P+YH^Go>sTt_AaP$Fc_33$@_$W;3j6rd(|A0 zDUcrbMRnvGO!lpcxw;C-mtorH^(eOHgtMH=bhRHUw4N0mkb4&upI3!qiU|ZAi%+3v z$5IIJ1r)+xxvRpGBGe-1Cqz%95YDkI>m%3>MKWJJ{DiY4_0Kq^IGVMvIB;17V!AB? z$wwaCcrom9Z1bONyTWiI7bVc8ama3%3xQ;z;Q-4M+tK4jeABNtrn>F>^hD13I}CF=EJli8Lz}k776wgGM>VX5(}+sLet{ki_K`q zovKg=$z623mWfFmEp|V&eP1_i&SB8)PNwN%ylXwbTyy}m2;gak(8vnz*s4(FV0UJw zc?{)H`91_Ro8YtU&FGUSGZ|;e;?ef!gcvEna<>IKo(q=8izP&*zHT$;#xQk`wIKc zm?LfcpEZQ~=(lU2WzeoAa-dzC07@6NwAuLMA3Q{-GU%_yC|>}5`A=!7;{H3{8z8!+-zNVZ2`!x5~0<#bZ2ED1zWbjk}tXNx*`~k=@_O(L0VoRKC zg~8R~ve$b{_aWB9Md^qUSa}o8-td!UV8EMG-ZSqk!pP$=nM@G+s?FHcZDMlw^&f4`&M zU90hiK9(ozOV)Q4jDE7YOKlu8I}|CxN^{oX>|w_1ICK55b&@U($X9tz6i%#0@MF!* ziU&P&htO8EI=GrZ{t<@pRnvh*K6q#I$iuiAvd*ZMh*=oV=RbFql`9r-JsyGo}3et-<>QU!GK>g_uPRkhadSHUdxM>LVXa)lY0fCi)byS4?;8 zTggM4Hcl$+Gk0`%e|(#`hA%>Li*d^>{UPyB@CUBn`LCNUK8IF1x3KT)T9g@L0y?r? zFsZ@#%7OOu5{SO5Y=rbPD#Ek%)pNq;pF^TiJ^^-uhlj}0c+=B-c{s^1`uiJ&9a>OW4a)dULNB?2{H2A04U8CA&F6<)e8a9)4?RR_{h`2jNG-dmjPD90C z#ZeB#510F2dpT2;i?KSfCP>DuusUP$qjL-WIj2JzZBx zse6A?2UANb(-#w{e@HAeH}ZAkJnqqTT~9sdROM*fpA7`QhG2`ppku`pZ@SZRu7oV} zTDE!@##Wyqt7krR_cyEVMT}#!Ll~ScA=(kq4;Ce3Nih(8 zCu(7T=+d>?od!rO8+#-q4brqGS1TVqCR?R}dMp|#={OhgV5afYTJmjUytn|7rzlAi zS&Wri9*y`bP46+|C5A=O+&!l|_;@cda$CW=Ht1?o z+w0;b9Hx1ED0ULq@V6@a1l7Su7@XSf54RSQuOXpF0ny;B{77Z7?jjowlse%J==J6T znXS7{qcfhvcmi3nH@X{IB-kY(SbW_*@vW0uy-xl?x~*%yJ{=MnA%K{D+|ZCyYLC3X z#n^J$&ez4+0bipJMI5`e`azOCBZ>%jK^w6cf@>c2Ad$+wx27Rv(23~Jj5q(9Q zmwL&mmO{&H`>t6_b5k94uo}DSa%XMcS#K?5!iqNeCm|64W|pMEP-~nHs5tJ!ibOsm zj9jdX4PL=9SDd9+0j3dmg&+14%m=qRn(GP67-dq_U6Gnc2qr7aOdvtScTARmLnsvx zkekuUKDp*ppiLA7aZ3Zd$}8rODe}-UU1P5qOxX8*`Z=N3l455`{f6G_tv}yIBJut@ zL&FR4z<8pYTv*6`x2b9tiO=q^aUo@JG7*F?M-lIQ{{ub>!ak$%0=GQ4O7103cBhrg zX}xC9X&rOi3q_}cJz?0#T^Xu?^>Ez)Kp3u1=52?CvMfP?oX7%Ik>YEHN9VY_-~<+v z7ZMPgvYj!rMdxLn^}pRG2soRWP7`_b`Re&0yLOC?nn7{6WF25hpKyb%n2g5pLYRGp zhsZSY&=Y+FuP-vqt1Yb;aMUIGImmeGxTfY)XEkG2oDul)W4`&yDH7P%js0LkJrZ%` zOU=_Q4e1<^AuQ-#J{N){NB777(wa2DREa{}{nx(|QU3ZrMoKHz(i5)&+&N>#hBGus z&RzSRn{izn6oN0q@4ox}iPFhGLvrrFS90G9P99wja+4>>mx>&d|4c zX!#Vl1NN*gKO(~MG$@vE8q(3A}eJ91pVpJOtInA>jnwIH9o27HM2VC@`Gat<6{j4O7>q75mP2)u@ znsqMqqZ;6adcojrJ4E69!SZ8=AJ|iITl(?J$8}4PH34gF3!JQgbt1;Auo{y5zUw8 z1;EK*i!jtNjU~bZ3^%7AgUBXl5CeUcv?GObik12v$fY|F<--Tiav@9{9SF zP^f@|Lf6L0$4Y`puz69eTnvF4{CQHku80qs?zrT&Fa@pv6AB^T}XJIs( zU;_j);v(`Hpp`(>VbE@MwQ2*wU=jr%_9@Nrw(hsgd!<5+djBK_Tz)VH@n>}n@aN0v zd<>+KcihN1Oc3t%`c2aI4@8?JN`R%t?Jq?O$aszuEmy4219>@{0z$kpD1!E>Pz$eK zeh8R*3O$3!6Sp>M%;F6*A`>4_MDS^G5%@y^o{z?i{nKsNPPnPh)A&ywB+0-qSw`Q+ zfe73}m2od`)>vzzz6LuYZO4s`Y4MnS_M7h;2^c_a+`QLb5QV_x`A)91Z9Z_KFURSeZ%j+6(`s1L2 zLq7%9epZL7+8Ob}MA8ZCkM|~ml{WTZQ_L>`9aSOMA6M@W^TpvLme~tB%7Zp?!<_*_ z2=M?9N9!iYqPn1aZeC=9nObuLANcmcr0pbwNiar0lwqZ&0Y$q^Jqcoi%kudyfNsEp z;zI-<=tK(7i)8*;MMAH7{#IGRvDU_jMuo=0(=z%jY4Cwy^T~l9c{+ywfXAXn$EA># z@-Y@3gm4i}ESb_JLZj8ZiKLdFuk`m72i;?mlNqR%U6q_$1U7{!wM zintU>71Z4piMGiaF^nqc<}4XB>4F&Yx_t_j#>bCa` zefjdIAhKjLxoqYOKb;Sp84TV^9{D$&1moQ2?qIw|18~4FpH8pQp(Gi zG*Y}Ju5SJM5P4XX$Lr6F`wf2#cgJhSQ|9WIn?cWL%^;5)PP_7u=9eMw*fOM0PJ4!A z_of1x**qDf^TmKj)MQccwWg#8O$jl6)32NpA;roL5JFc`f#A(MttNmZ5a~Ps8BsQ_ zThHC|#&f_4w^HZ>ZQR78Gb*=NUq8@5g1(yGSAAocqgdG=-8s3VL{3u%C}q{ahl7}=mzyuC6>5ol?4VT(CHgMa^R!r#pZelpN#)1 zGh^}rDZvulz$RMC$p8$p$b9}#S=0J_@Q<_0Q6 znLf(kTq7CtgCF73>izxx1*kx+R;gih;*ZlPIKg}SGNKjsh#XTu47aAsoih z$@>(JF<1YavHs@YvN}R{wZel0^SIsq zmY&1{iiXRGdI1l4U&_<&9u&b&Gl``QMk@@$75jVw^Gg|%_@@%hzN3l$Qk%F`0v0#$HOhqK{ zt*|Y3_;&3l4=`0@rG+24Sq@ZkzyY>e(U{(-+Mf@i zgY6T;6*ZOq8vq5 z(44f#f5FRxq1=$_peA2V1B=&Z8;#hMar6u)3UB&7SrP*?i&BMr8Lb?M&r8pCXW-mD z@&Nu|oCb`9L-G6_(y?Ch7l7SgfxZLP+pWrp7+wNsnjHqcpQrYkT0U9;yq6z{`s~eJ zc6dR-_f2Fjh4#qla?OqC@9#V89>A9_^2`bvA_QS?bFKu;`!xu2nUV`cpr+L5jhWAJ z(0wID^*dZspsabz8v{`2l1!T5l1Dm&6&9c=O5_Pc1O)RnZIVR6R9jO+sOG2`2L=g* zkNnWdI;7Ssu!omi@Y=L%lpPx=Hl))pzjLE!CEv<)v<+%Z1qf)()tN#>(2X4jCyW)Z zwIui}!Sj2&JeDzGyd|7%ANG;0OH8}qV6kNQGp+g{YZXrUAe4T1|A%y!{9r49KJ#b} zjOpN#(wBua|9-w{uUAk3&o>F=?e*55X^ri_vO_#tOe29_J55aXtFtGmJgL4|CU|XQ zJnf=&cQy9;n@LFe zK>WUmKL&B&E|~kK$wTg2S=!hO7!4vxk3d6{Z>_xbwm%H?9KIOpk3I@PLhqXQf;6as ziNZbDC`zlJZLiTGi_HxnuBISL!~$5}jPJTFu1hs6IBl=n;vq96*a2I=h^q~B)(Glu zKk2NVx$V=Vh~SE8Z^-YPFNYfnod2T)v%nTC?ln;MKE4&)QY>OW?h@^VTn?fjs81bU zY?>*d6zUAZ53U!sac{t4N!Vi-L-zGvN3?|mphLXGiP=C#YtGxJN z69S7Rz6hNr^$+})_xglJtYh>vH!4TLA5C(OA$4CF_Z}=)@7D#uZ8i%Q z)l8w|3t@TJTO7D$0XM$}y4fR``aw0UfeJ?(HKNn>vFgjMR4yH24ClfhLQSy73RM$dEMcv&K9V%~zH1_z0CkvRQqj zC5sb7!NU-=!-KT$W;tspoquRR&<4hJ3A~bp{9QsKCxjCd2|mM!_&sH5phXk_J8DZq z4T8MaVKnY5=(zcI2T;=su`)8p3z=>7a~u-jrz7UQ8Kg@r(Zqa?OSLd@JF;N^@&q5u zWPmZrga>(nWgrWS;`t!tY?y^4B*uMNI^2J(2h0qvR@z zbOm@T5<4N0Jb|kP z6~@m)6op4paMuLsp0IL@K_UtrueV?WAS|`r1te?a)~1ms$JIgB;N8xZ=vJJY>`+D%axxn+Lphvs_)B}N-_7-`2mMHfh+%*>^#no&9<8P_<|yk2wIem>V*BF1AD*)a=(Vtl)gb z94B&T$=tb^337&k1xfSz0b8st`lxlag_jVAZ_RzTm=JUHkdC|`!P6#`e2e#3)N`4?L{SSgGf35C|U9a zQ8b5JSQ8wemu%O7HxY;30o~&VO#+oi95#N=*Gk*1*A)p&>-rIlI*mZ(h!`6q#UVgC zOJZJ_P&xAWy)dm@=6nKdwU13Co}HF1Ru9)Z_34PF6T$-lLTU~yl7%Kmoj#q^HrhGV zxFT*2pmsM!%fJ0n-PGy0rt#Gp<|XX9v(kDEpO@?`l2UEMnsef z>v1i3L(uOi9vh)QhSBgtUwn_^asU6)BCq(-UHWshCy{D$_kf5{YAZh_irg5N#$ z{r*@b`!DE?!Fvt@Mf&#;c}pE~I~~ZSKZFLkpO%@BcVLMHT|>DS!&HvS0&Qgt{l14r z6s;(nu`76-5!*N3ojFxSXw9SZ0;>w#)QXAqO z4PVAk(W}nB;EDj)(LuU(DD9d-d?!k=>8S|8k7bvuPB%BQD&-03{9uaH05wz(5mc!| zf7&_U!Ee}4_Q{u#aQ({N+~s(WBMv5l1FMIA5ASZ=7bup~aNQ@G+q$1GbU2zKnFr-G zArzFG^9`SoIpo5is0ga@XyEn90MLpwQ`X46?yh&ynX?#N>iRYIP3`O+nmOIPXjvH! zCl{II34ML=Kv2bqe{viW`wV@2nBP%Bbi*fbNeD9M0~~n*@WBd4C1^2brn0_!=p2MB zxzlrWlu;(W1^8T;)3OjqDcRBW1Pbgs!*%4nvyEv(BXCEh7?u5gB{2UZc8?yleL2Fv zPlEUsxaK8oaI?l_N?%)pT&YvF`EEPqf(kjEWLyC}k&oUn-x%s58ajl+P$F3G3&422 zh&b*`kb64-`cEC_fp>$Ad;9xa8~-r#6LV=OvBnKuPxxfeKagorXLA|Q9Mn~){*!Wu z8~XY+n}hDQCJ@n00`8y(qHwC9BNdiaMBKPXW``cW-d z8yRLj2&&mV|1k?|mm(op+h3q+{Xio%&0U$#;@=?;nfLA?yTgg40Iyx&-T>;-l`?Yc|bwomovG}@P&)x z{V@Uus$U?s*nDlFy179SOGl8~yrm?3##L5cue`H?+Ux>vfvh z6FfUaY8i_Wt}mEUKe zvNndxht$5YL|CE1jahH5Ckl@}c&>)XxPqKF3!PrzLU4Gsw_aajHd5Q&QZf%8)HZ

X>y=wM2)@FWuBiMLstrlVI7JjI8iP+QeNvAZ=ogm9Tu zJ|bsB;S5`-Y6m3cvbsyiwQlXFlM6{9rt3|iAo5F*GQ#e&62n*p_E1%^l)mN+d)Vo1 z_Oa=NvD)8}g!Ex%N)$iDwcB%$A#T`F!p>e*LrfaG-@?p+FC3Mu%m02E$_`dX_V}&y zp#8NgLUv4nwG8nZR%rEO%1GU?y^PN6w-u(~=*HY@c>G>j_eVXtt#szZ&B9iZOSb9` z=`q~myPeRGq|p;w6%1BuX#v*5W%w{jh=4(Se&-Ai(UMr_K=(UgA&t3|=QJ)|gpwpC z;CYilLMVwNo9JXBD8lG4H-N@wBHWudMq+y2Y5FV=qcSNSLczi(wa9qc9N_Yr@ZVc+ zyb<Wq$ytw+^U3e6&TM(Rc*){u_?+KIk-PYz$VWeBBA-g8SmfqW z?%&AuoOkQFLeAdAlm(3!q5!uh9r8Z7b=j9GkxN&|iRItAhga8z5$#m#Tv=YUu=0w( z6E_uqXY)fIkn10^Y+fu(UDLR(K0UT^?*`@ONXw0O>S{VtrL3gfR0mjvH5-1<#EV8L$Hj4$d`AOz*Z+M%wwz{zjM-~Ii&LHLE^OOZcHHPf8W4{u>I%Yqs72ld~Lf0T16wt1Fu z_I6iOLRub)KD!{ySIo3-cR zHH9;@1LjXf7GsY@iPcDU_4;gbq<(N5kVz_KR$MR?rKL60<(Ih5t%3_HntadR={R24%0M$s{8CJ?|&7XnZwNcpawCrgCe~*oVFy ziaK88U8bFB95`up*w2UB$`Tmf6vay#xOhH*X_v8p}VK#W;v5fP%V)=Wrx z_S*hS;I^O9AUW z$82BF-1kO@;hU0NU<_55DP+|(+zjgza+FK0ImFx7055IfO3}C-3Vc*^*1ZMT;|c~C zz~?;8|1pQHs}}0PSFbQTaijsgDikRrJ#C%xQZ0U)4u4(dX=_$*mGgMP0`WB|etZCS zM8mBRTvCxL9xA}}G7Wg5^V4f)2w?nA_7Eb%(0DD4H$uKVwICW6K(wqpMeUt5bEPIQ z{rC+xkBURR)w34yHloZ`bEbv*c@hRTK5%H$*ri(2h2K{gjP7Cp#iwXPjpMGA`4C|@ zotv@DFU~Qhf;>`>(j9`qS0{~)LwB-!tLIIKVcbMoL$WKk?0Yp9qwRdX3OiX=ox-j)1bWAf zuBy0HU#NNqSe!Oi!sCs$&U;>D(np85t&r@etC9L0_C|-9ALS0aF*th!hx0%Fe(`D~ z_i>i|=A|FxVDIBsSpCCO|3{sCBm$AxQ;{n<|04NstL0;I9Q3UI;px9Gkwb4E{6DRh ze?u+H7t*D&ID2OYuw-0$naxKtrY7=o}$FNAu7`#$ZuPC zFZKPt?(E9P^LC6#HaR6pMfS|ayhqkt{phoGQ>Ps3-}~BE9`W>Bk&#R6v48GSE)Qy6 zxcs0~I3H>av@Aie6PdvL=6;-^PxU++l8tK`J{hQqYsm6O1ajH)zQw#uof?P^Oa0L* zg1?Uf0ySlQ?EB@Zja`E4@}-^}Hpt{En)nKBAR@>nYe!&Y$O~YLu-S2eL2vejhqf}wqV2|Ke5g@VsbBh_H^YIkfXy$G)C*(~F6jcY%eU563pR~h zDiDRL>MdcUIg5b$k;JtoV4!OkUWLMr#;RM|{I$^`ZHl*hu-(HJ}5CmPK?SF zP(JuT3t+cY9t{o)2gVDAn+1M*4q{oWV>5`J>RflQtM1esD_;S28uhXVOHh9W(g)vU zc=N-DCz6<^d|M!kc)PP|{mJ^_WQ&gI)a*`UCWg35brNF|)sG*5oT8_y++Jc5$76`n zG=8zzbh73Q*lkhLkZHDkm)VjH8mS5HCN)~RPntLg)AfZIe(m!On@4r;VA z*5zQ54=wE7O@x`sD72%f>@fN1%(`1gIzm9e17^^D4kxD~(rZDM$a5#@R6z@-kEj*C zR}OoESrEz16WcB^nF|ZJ-YTCFqXFFE->Y3&Aw$IsWF9l^2TBSM2%;+^v3X?odWuK~ zlYe35>O$i;47>4jZ+VSLm(>aGU>xt~Dxh>_7g4SKu)FYznxnOI-9Hoag!9U!^BUZZ zQhJw4R75<@KqHXgsrn=^grIFY)6rW!q4Lx~I+1C2Q^QkXtlZ8`!N2ik)rLuuO3e%8 zviCZ@odj;9uEMzACK68$Mk`EM^NkYX=gK4-gpTfN1)D}2v z`5C60$DCR!6?bvnO)D7ma?TyTpXQw&=&cIFANhqcDu*}OeTVPtRYc8Nd3Xj`D^Qkt zcgSrd#G{xsT~hgI}{CL zEs=Wl48;pOZXIpgruS?WdIlp|g8I_CD3W>gvnYRyS&0v8v&nJTW++Tfc(~T2hQLqL zESPC(!kNR4+fl8WL=_`D>G99=oi2l{?vJZ$^0rNMtFO;#|1<2s7L*m3=NYa~8Jbtw zendQKaH#$Qhw3x`KWWHth+;CV_B}R{%C{|b-m$tbPUWiQT#W{f?%2PcyE^XTQ3nP0 z$NSMjU+@84x#7L5-eql`F9EafZ~U#_R|@w5Eo8DWr)(PSFDrQSu zCWVbvS1c%4oBz#~TXV)bf$%p^ziniMZ_-wxm_;Y!Ll?U>@aA=Dmm2M99yZYXBysn6H07Qz-kVb3M&4HcV=0q$*%-SS) zqyx<&ao|&&gHi5OCItc2&Vd&0NLX7{!n6%vLQ3C7iOIy41By5G?7-P)Uv+OxY`3zb z-;0+9V0N$u)nkE*aLL8WspF`{N2zqzU~jcOA29Li*dcya-Az1gAc6<%C9=9?3=cvR zf5LhLt1;F{Iop1X#3MSRnH$;I7>MqnMIxxq5?!c|_>-FR8(2A8Z0H)(f%_y=u$q ze~#JB;?uFHbBnj%d~FiKX7zym-1cxT(n6)|g3NzAiUwLI9hNudp7jYc$wuMgm_A_< z*Fx~>dl&gH8M9;4f*G?-AjaS}vK~g&^6x5R=P@{57=!vu1OyU+Ja=9wpx(u%yJeK> ztLpvse92BhP+c;3uwqK`a*_&t0=}Mu$wSUt*hp=H60AJeKm1kR%5onXZK;J1N!Y>>3MZ6ntGpT0s4FKXM|NpKIM-Q;>880FiLlojwIJWx zBF4>e*tipR^9xh-3?V+)c&)x|no2=^Cg-}qTO=yx+pCR9c=41F=`Xm2>&i;2)`tQJ z|7FEPY7Z7zd4?VOyS6eCX|m3H;f#U&i`8n8r!gPa3}5tQTi3|V6Ipd`jq6V4QvA7` ziBSA@Dm@pu@}Ya0^TY%jl@fr|9nxsTE56E@6|dL_18g!15zHF~2% z?6SHzS6bI}Y^>v#7SyDeK|kjdYw(39}q=69`c$RE94R@2fB$ z@*zo_y;R;(&zO6wox=hnA@VsIZVZS$FfGv;d8mT1V7>6`kCc)2wr%9}qAWIpS5$^q z()^f_!H>NZVi<=vI)J_*5QR#Xd?N)bHz&!YH^6$$-18q(^VnN2bew^E!nU`bcnupk z>n4>qXl2$hIna>ZDWy_yhhQywxA;ez$f_TPz#D%U0<(F1Klz`>eoLaa+jhSUiv1!;Mu>cZKK!y?pObN{Ck;VAtDh=x>-RQFe01cBA*IRanrba zmERNYwab5D`UGAH%knR!f1}$k-=6DQS?>813SUnA5=?fV{3^aLF20YqxlQpLlJ(ZS zm!7&m?mgG$Xpc7&;ixc5D9bxt!{y8E3PrSYOGS50MQ{+m*vN=?!zR(Ok7-*4(ppzT zZNpn3VcpZ@R3^vu{Q06?pX}f8UaK;a5gmoE&Dz4T;A4?WC8HeV(nr@YSyX!3r7D&a z864_Yjn-I#V?)ut00iF-m~Q#`O3pluqGw(AlKF-TbKn0vSzXisqsI$ih8}~D8J3W#n1Y( z+Wxw^`T}-=t!>+{!Iu{}R(k8}`Ht~L72uOALYLW|R2u9=&Kj%HR0e|>-V{kVQZwzm z=R>v0Cb8rBRLwbi?%(FBJQb2x*~?yqRbROfMyq&z&3DBjdQaQYv>u5Jxi##ou$R>B zyIu$b%F@J8!WoZQ?_>oDm=RYpLnhjAudFmN{kYi7>R=y?_fxT<(Xk8zil>rb%>cE%D)X~94hO3!_?)A_VNkuPT;7xD6YIwsX|K?N}i8N$GIJv*ZQpkRr;f%t7X!1|&^N*pf4+&)P`o5MsrT!>)k{DBkn@3wk z15`3xDbae<7N-4Qru|VE@4`N3FQGF}lf6|C+aR6&TA>h9Uyajg7;5K_HuX-1{P?Dj z5N|3gKxuW&=QdEuej#nVKL6fO0R4HUgKltHscG_4rrR%O`qK< z!y5`Mwe8&93ZaQU&>7%n@;jkQsoG?$t)AB#N&8$#xfHKkj+`ufa7E8yE5Q?BHg%N{FGUE*6f@XE$OJcpGxG2{07mvli^J9*w|JgKi=vSEv3L`tKA2TNDgnpv0xokU6A}4P z80n{r9*tb}>HKI?gSnFDQxsM_MRf6+3!b~Rv|jpD&?o2kT(Py5Ky<(*V^k19 z#I=t72MS;tG9qC+yBd2%5#=niV`^|r(x0PT%&V_a=VS3Dz6C2=-Ni~}updr~b&a14 ztJ}de$b3M+ir3)L^oUT33$<8oOrOtoRMW>(m654{ntuw#uwH#kvWsc5o%gUwU3Yv& zMMNtdU>*4Ir4B0=v(5sXc8zbeCinsofi9ntYpi=lN}T2^IXw8s2Z&Qy1lHccAtm<% zCXGGlD(<)cSNKxpVKF9KZF;EJhwUCF|P@B(doNdWfs` z9P>wsg|3lD4N{k-sQs5A&v#hXO-+CGMfUxERnfbIBye0YH~ovbCa`)v*oA|WK!gN$ zUJ?zq(q`{+Yk<7p-SkRe8Lz4Ds6H{rAERaUAC;|h#6*8RZ6;t-=RF-yeB;ZZ_R>l5 z{$)(@m^r3RUx8I!{f^Ir{Z%`U3-SPj2xnTd>Mn%L_0K?<$8Ksc2t~egl)GHpST9>u zp(E>VZo^hc$#pYMk5*}3xcyJE7C64UW2LA$)%RLBvq&4v zm{lg{EC{vy+&dFghGw9)y4-G_ZInJ-=F@wlgSVcT{Nz~?wMOx5Mk#W#U4M9DvMYDp zLkW%x$7+JTCP7+JLkR(n`Z&pq)l>nxS0l-U064Q_n0zN(g1>MJBzvWm>q8{9cR*Ml z&i-z-{8^=+nw}+F6_4m&5C5*FW0*=K{nfirEy=NFnqab?r}m?7S;m?+CXk%XbZVaP zYimXVYD9#*E?qRxcYPBMa4ri+&FXx{{^pHVS@*BPx-BRD5A%q+cw<0=1SC{uKwWKK zqxfgw=!3Hte?|)OiMNNSEWxDjf$MDz;S4gpQ@O0X7##W=Zx1&QEhl;_&-zED8xdm$dXKg3^cZt6hf34wx3c?bpuUG5Aw9n3TMEKieebw7Q)!rQQ>hqt z_?P7{1*Ugoo%jENB*OceX!Eei;ZpzWWysdE2rso46un7xZ{0V-+-=5p=tmpZ^fx{} zWS#6eKfg^1Xw}aNs%n?NID2TBiovvF!vB~|EO1<~Kh0#gY>E$$!+ z+#~uxN3NhDoIPhvP329Fzg}k9dPZtZ4KL85Dk@6JH*cBt#52u~S{=TH?<5;A)_Ne- zXAI{~P7mHaksET1DRq14gT2J*V23>Vj->*j7J=~y+vs#G)|j`Km$2ay-Y3&|4S^M! zA>B_4Y~4MfE|a8ze799?^sP7r*ybuG=ar#bZx;b7Y}z(9=xVNe7+f)dg#=kIa5BGU zdd6%h8z4uk_jojK(m4~o56=g}`_gh{_M*oM0rKndujp}g0=n2IRv=#@JrJrr=V=oq zI4;^*gHXHWL_tfGUR@4(wR?g#w!~zHM<$f&&Pt+8P{bTkRs;_FLiJxv=x3ROcgJoS z5d5pzQ&LeRDVlL+DwYWHdsod2%b376;vJPC*`D2dUcIS^IFnVifesHY^J5+s(<4UI z#k?lPqt@aD${$tCjkoxl0uN6GhX&ndomYc*eSkMZWh(|6-cs9rxRettF9#Z!G!xnT zZXure?1T)>t3>^u!t40mhB#X}d=~nkvX@ ziBXjmgfkk~bhtVvhcbMA)7ehtU_<4eVzqwe%&xCmqQuUimOgYhTCDMMJZ z`Kg16g(K5&?eM;(4vsbX9yFS^7c$vK?Yj!SmdjeEYaOrjDvAj9_{J19oS~izW7P?W7hq=wgjSc z>8LWMRWn3q^LxABMH3x!7`L&Y>L2dr7|VL-(@DlNG?krrmw7ep$Af{v;NfGH2%3+d zlbCY)*2!Srj}|jRSeXpfUke}z$T<;C4|^Jq_;hUmYR)vSnMe}&SBQ&@XQf@HKW|}5 zD)*=~o#X16pUN2puEh}nI5f?aWo77N!2}IZ`cUWa=%I@2>7q$j z0R4gOb;10FAI$5IZTz9Ri~pgyW3};{|7UaeRAk(usN_XYL@bSnI>cGaUA=7a!~d;~ zPXmzq0KVwCzi;r8e)R`vxx6uYrT;`;Ilp=$M+P%CUlnX#X5f8yiGfBgEfgeC4zpz*Q(^Uhq?wlkZM zWwPWKpRd_DnX=GDU1KJ+W2pDmUz6<)R3OoNCJd6&spH+bu(?kB=QFd+vY zpzJr#pBOLixgD+7UkPWC1)Wt>cByxTs|{Rk9hLloJUVO%DwoI0KCB&VYfTucIVYY( z3W)AG&F6s@Z;bkR%tv~DJB{0Wdqke73YVEIm*HXOg^M4rv z#vk!0-PND8w2LcRR)|*_CF@t+S{Al`++2Iko#I=;w_@(d6kP)-Me$GeQvZX&cori5 zG2gIR&}?`X$grBj6;)y0YVTLKt9Fycqw*N_mK-MMqwz>{&3Q`L>w6GIbKP&+yDMFX zPR#=;#8sp)0`qj$_);Ov=^6rkR_l(Nrc)Sy0Poa!X_b6$PEw9C#xfJmdh@2pD38A0 zrfu8vnz>D%kcX2kG5+_>k|!)uO$svR z11mB;;X^McHLpc{=L&d9O=qvm&zJ)bd)dz0;}SB5=l#Ds&3>*MdTLM@9W+*7Rfxve zPm}jyok(Vs41e=VlEn>^!2=zk*B5TkL3y-K>wF?^=;D}BvYTL81JRaZ%sBnOyYms0 zHd}oUBKzsULQaHjs|Rr37_U|y4cTHir?h!Zt0-Oq$v$(asYD(F6riMXOc`Cy>+O4o zlyh?f9>`ziKP{#WgU(Gvt;nZZU5>y(UiAhzKnEYNlONFsr*FRG8w`IeauI&cxF z?hrx}R;o2cg+1?D0y&+;4Y2l#ONaNmFvmPtFJBe{i}ENbqY+be>lmZpFW2RTvqqZM z1j*aWGlXnDQ-&rjTq4<{Z_|EW_)*JG1?~YwbOMH?2xw^3rRL^`(^|{M1|?8)CzMrg zfS)Ywy1H}lKZcqZzB1er4Ljc;P2O(5o5kdu=3CQ<%w;B`IO`w~n^0w-@PvJBjYUt+ zN?uJ7WYvEW3K_^_3pivZ?GECsqpug?9h|S;t!HxStdhD{R0-nh$qIaGr7k^19XwS! zc-B3wPT}(albj~bG_=I@5ckM!Bhta;?jbtobxn#)1JHFUpvF?w_U&X1$)kYzJ~4LJ zeWTHTTsYJFo=#lGXb9{MDh=& zxxhm<=6LskTyLZlX+_h6mMlS@?G>=N6*0bSlVre9k!Q^(Yqf;w73NS8{i;edri>DP z!Tg5GPVj{$%!(jss>~^AT&phBx5O0p7|#mZ+i#yNxc#8B&2VAE)c(Wdv?&2&(ip9V zFp|gTL9}OJ=P1Q{Wb_3&JCIH@q@Ijjjct|`v-SAF1d zmWQ(^Z=l5RWiG+&I$ELIuDSFvkft-{+L!c)D=-_Uv+of|aZ+z83+EKL39TkIupAqv zgOqh&mgS>~ceZH^o6GT~6ZCeC?1PDsQLTeMki*MwiA^GI?%>Tb*!Cs}s7+KC_+CBFZApXYyRhzhjL4(2+9BM8x;NmLsR-rt#9 zqDsh7`e1)c%sr-{_TSA_8%Uz|d~4ZjVV-PFhbX7_0?%W5C&5$yN^y~4(5~}z3>Swz z=4I|pO`@l6M_&(iw7?k-$?t6Alh)Ffwn-Wo>nU6$6Xt{pi=U7j8PcA&Od{aOH9k~c zaOs?ka1IWCL-&rhv5lP}xyRgneimxJ7-?H)_)w0N*vxl}?P+g?WPkOD$#8bIPe5zU z4-SV%=09EqZAE>?P|L9i(s6N{ z7+j?6>B-wXEJ4q9T3@BGq$_lNa0wM^hV?34xwM2 za@~a=^s%ZvO-^mi`9y*5+7CR9CCR9(9!6cY<-hlrFt)J1mWP)tUlj2uu$=I=q%WvI zRGhv4_#Jm|^8d%!yTCPl-~0bnAY7!{vtw5;pk3Fln>V($t7l@R+q@tkSRf!EUun0x3$6q9d0pZDeUdcGpgK!^Q-n)h328Wr{1$W}4@g?sezJIgnr zh!U?pEB0Yf)Aa&XwPa#hzUlgG;&#{gE4xrSEn}Wv`^%i-KPLQ=(`rwSaeHC0< zv7r|F%{tXXz;o}f>SnUZui1~GIGH`HiuPj_Tt?;9|EobnWjMbFgQ9BczG0yL0@|uK zhvaEjn!bZ6ZvDk#MSeVzZ1PH(OwUkz+&F!KKKOO{*GJV7>T%r#A}4P-tJTg`(RJ@D zR530XhPV4miF@uazm-LV&@U2HFL8;UcDS%}HeTg?-No%ZOV}hi^h^W8dZ_o?JrkCexMhq zB1K!-gGsVy{nLR}Vb9sI?-il&NvCr#AuzVCv!B-0btMUyg37)PJ5IL87K0BFqGkKI z{a2h?<5YgAqz`N7Z@zVEz$o_a?5`K2p6aQ6j`G@m8B87pbJ@$}Ewru8ruEo!yhazA zZ=OT=S+TU}@{hi-v{ia*Q+p9W9hd1uC%GkX%Jg^}c${orLG82Pxy7CoY^8Igt!qV< z@_YJC;G0{ozSJHoDmFL0sJ(tY=g-xCm zNG!1VeYy*-S!6U-8hwZaYMc9+b~Nx$zWlQGpE>#;Eh13b?4S#VN{O@T@chV=r!YDn zt$j9~)?;p{1E0OAL$Kqd>Ph=V^(!-r!%vO_!2N=h%Cd_P)G-LXaQ-4=D>X0rpA=ib z^4%^UViArBP>%Wi>Go^=nYJ2?i&uGB_xzNrzk~8qNy|TbOt-bX_>7-4f|}#+s6Gw*G^nXu!2m_ zYyZPaGrCLQ*D{5Js+EL8zc4ZS+Iz7 zFor4+R2YZ!+t`j+FjkB1GT944t}$juhF2^I(V=^{)|FtHylTck5g)uQd$xDeDEXwd z?4GWt>FIm)Ad{0DpCZt!V!LU&AioT#UkO2Ffgg>4G@=}NA*UPuMa~W}g*l;dFHSaA zQIjg3=|OZ5F8Hvam?>a1ub&wj4vRG8s_qKs$fF6_ONJZ6@tKgCAHFV=RhA80aMqD4RzO$3Thrq#c%@_vjN42^K-J)ap^p27;}n?%`v7blMyt_uOQyL!4xE2Xijv};abXSt7wTzPOOqf-XTqAP z4lQ{8<5We*N`qmivBuk%JU`OD!Xf3uFxIV%X{kwdGAYO@D$b+d=pv3by(p5j$%jli zQnqO1Nu9=Nuh{T3asT#}$IIu9kAF*b^H+EuwXH899d#GN`g=9;Md&L{+6AV#$>{fE z62HRFRw}Pj<`2^FFPtF;=)#Ambr&?p@mB~Pa~nNJ`!2GG8DBB$CE5Hbty?iWr2KH# z)v=$AG}KapfGe+aj(lniu^{v#_KQsx`P8;foxNh0i^=c|Jsy!tNspt-*nIZ^i4)DP zkyI(=dA4l$;fJ3@)K8J?fohdS!SxVUFOt8=RV>-|VPR;Xne0hJ1dy{~dUl(WJscjJ z=rs@fo}^KVTqmB|Re+biyI1C1tiWdvz?T%MaPMbP%&zs9Q;!(2MBkHmM;8ExyCI?k-6~MDrz1-`VE8$hz#0? zbGIsLXJ)sL9gw=54b6rf2r@C{F4J!t^{sS*vJc6ik48)E8KnxObhu`pi}?z-xc|$E zRnoGkaO|KRAtY4IWmjsWRyj($EHwzSA>U8K_UZMcXPynB(}9rpO0 ze*^-(EBOXb&ftKRjWXGC#AJ1M8qF?isc`aqz~5J6AJ| z|7k7l|K)5JKp0OzZ;byU{}n$h``+;*iB~OK*2r_84iV4O0^1QfuixGAboie!UN7?= z7|0!=-vDrZ4dcydj~BV>Kby%R*GP#r7H0dyUnHo$XCL%wy}A5l5HtLwbfZ$Xe^5W% z*T7bEtQgFs55HQ!A-yG%7^ri3E1APVbJdx};nnEjqH%^j${T*!@bK-k&Z{6RC;tGY zca6YVFFZ!nTuEr9GG>SB&eNg}-wMwagm@nMq@J-|8(qaz0zv{$0&hAB%H;nPGrE{8!nt-AQ!c1thfM(WMJpM^_Gp4>NfK z#xq>OW1Vam49Y z9WWTK(}$L3MU;kTHgtOnLgu2N~ae+P9C{NZ8X3;Y`hX*pG(puVLD*2;$eHNbDGJ!POkDnoDw?&c~HTEnaOfb);%0xZZ{7QA6%~4sO+BWNfQ?rp+EGE zKM0a5XIP`@+!w3Okpr322#U%S7dY$P1Q#o6tzruAFn zCty&(GA$(3G*o-a7TV)_Zy481(vvo)y8?T)Dr=^}u$4aSs!lZ-b_}&P%{M+DG&vjm zWVk(68_r?{JJ+gS3bubQbiF!t2xhuBE2_W2PErSh$w`eD>@ea6D%Jf*urDeRX=n z+;Dsj?c8v#&Mp7q?DYK@14Ml1N<7SdGo_Awh>)y9Ma&!aioQ$GnZ0)Xr9AenPN%c3 zqSyjE_nbL3P*Jl_7aApyOufOCJW9sV6;o>``zA(&^X;+C(#1Q+F`M*zG(O5(F}z~l zP?G&XGC%E>Cbvfm$q7(lh1Ymm^9tEUC^}ytT<5h zyIyiui-|zIygO`ay*}6Y5M<)HqsZS4#4Cw=bbboiHc-nzc_wG_rA#lCSy}82#Pw=+ zC?Bl2S!UuEvS&rGgt@7F8yEBoy&LEsQUi4W*$lCS*Dz;0f65QgOIQI$kcK7X-8f{_ zv-n;v_dY9_k+QxOr)Y>Pw7MUV7C;pDM#nX5v*}Ms2_ls`Vclg3K=8c70 zTQ}qNKdpmfod?(mB$-~~00do)HM39;l@5Pf$Wi+R&9_S|T`(|HVg;84@w%X7{KS37 zWH-g)rZfI7z06qY@=_zQ0)eOnWZm%G<0*w@ucE68lj62th}|Ylh124NVv-n*%@fLp zFXS`5il3f9#M?!&#`_u}h32OFUaj%C-P_}mdM26dk|31|C>AI-v;~-t>$c3z{^|B8 z=y?fAf%5BMk2r^;SdkG5z^rNN6cRdmOrK_xrOK8&w#;y`RifglzPfV3oR8X#i{hof zIT3+8dY&6ZEQ>$Cq#^-jgr&oF)Fe?bPbC#W|d<`h8EshgsX`;ppqcHhRt2DbE=nkbT}njlEryoQ!@hL$U&GGr8vMFNyko;h2+pvG;I4XgomWif zXPSNaNJ@_`HW6p)*Zbbe+R)-6kz3!vdzjzcC^Kn~s-pag1i9npPb_j9gzJCyHGH6^ z8)U^|Jok>d##14T`TQ{t1>8?tfBLAnAEx(k_YLTX=^ryX2wfpvGqEBny;6CFs!bG^ zYWHcESVagrAjC@|XMeONc{3qivL=r08^UlQN~!O<;e6*U-*NDLkmwiMdrMhgSmZ3b zQJV-|Ks+~ni}GR9l5Ns4sTSz$q90M&C32`nyYJP>PAx2dUKN!iEnZ@Alr-N8hpEQ4 z$K=tw#4ifT$$|5l$;P&1PF@8mlSp~{EhUJX0oGokyt1Eq9hr- zK|-t8uQe}d&#zePHpNcC8AgtUL@pCVH20vS1)Sb|qpo_C6>&^pb{kCC##CV7C_CO=;&*wf#y9NA8qbQhcK^_1hxmBG|LXQ8P2}(n3 zb--=jO+)qF+YJKh+gd{B*-oyY$!jW}*$m=QrFjqXDT3*C02uDb5=KQOb=6SycJPY) z98=l73Fyx1MyUY-6`5oiA$^gp?P+lwu`|83&3~g;hP$TNgQng6Rf`aGmuU9}mz3!c zOnXhY-{js2mf-$o+g2jkZGO?mmH^0;!jOW%c%Yd7R+ZKd!BHnv@= zS+A2iAkrJ`g>DWc{n40i_GR|a>A=x5Y+I>_yviOjs^s@f?Rj=LKJdJuVs3W@xUv`0 z9)L88^5G-;PPeT61js~kkN0{zZ=2M5n#pzdw%iW*tB7-RJr^!&2w|6#O4|X0A1KvK zlGpgwT*uo0!_`%nutkJ~lb?aE1p8gyo49yJ8mf2E78iM^d0V>iTiA)b;b;*uG|4EN z=LkuWi`_Sa6i?o$U7>k`3=SFZm~)E><(2IM0RNe^(2j7=nnlUL`>q85<0krK@=VC? z$t8gt{MRLU%Acx3F9ADjl$cypR~#OPGZ=Wu^`e9M|K}2#N)=_xv7Tg z2U0Tcqs%)sO{~vE<;b@gntn2l-DQ+#h|OJ;OnrOW)7|TfeMmMpwu$C!8HLg+TW!!Y z$K>QJnH<6$yd3H2;X0RD^A~ABs3%r%QZ^cF=aZbVR_nzqL>e}=Kw(U_r!&iU*AGhsQ$-hJA zf(8B(1L+@8$-!k$eFxIM<-L*5MZcW-6@ebKt(r9O!N{|J9e z`IeR9)tAJfZ85KN;=SYtxrwj+d3NVT{TM&aa(QiQ(doq2!owMURqiUb0cnhxe4s18 z{%@Ii%h+ahynfdav?v(%<*Sqw3)gO4!qsBq7kS!UbcZV1%mFWy+0>84S9?;4KVxy8 z))C9{*LDTuUSOHJc9;@AYGpO$4^Lb~^2$W* zhTuIaJ%H&=EGx;!pP*y2_tV*I(XDp|yLt)nRDHEeJER7hsMMP6(sh6y(qgmBUT=>) z>~!Ho^1b^oICU_b$&a)uA2A^HO4?r%JfGXDw)V(P~6-PhH0cfmgcKEs9? zNd>&d$U$4EDG^jO)Xz$g6JoU#I1Qat-R~FRhfsJnZD#nTO83{7v`MV7QS zgp-rxx8PsSsnR~)NC6fKM?MbLVrdl;g`m7M4b%Wi0e*-qLA?pZ8DEL_4!FN8QuM{5 zFE$O_Rg-s9I$$e6nL2uUbd47AJ(#ZRX79EBCQzi? zuAW^Sdu_h|RUHfHb+$zawR?&&Mlm3BF^lXQQz)8I{%|=eCOB$fvChXPMN8$La%lF3 zJ*cE*8x+aufW>;DmS(Dk)bDu50L3#l%1-(54PR$--X5q9YkP3?!}DWcXzj*RgN-t@ zby1_N5NR^^oab{a93$~o*#p+mSA!q`fV=&oR|zpYocESf*0l@%6j-(Fotg^i@b-$H z5aI3V*-PjD39y>65A95Ok}pOKi{^b;%cnZe02c zl~fv%9&%K%ekF6Lmm2FC6&{l{7i*lj?1IexZm+JtHpPyP<6s$8;Q67IsX*=Uwysvo zN|J!ST8dQQ{?xE+Pq?aE+4RX8vg^25iFBMWTMoa0caZEfgN#yfvkdRXXmk$$E4%j` z&fRM`cVGSQWA^`Z8;29NGvZ7nKl4i({mONjD^pmIlv9wq^dNqxC>IrOXmvH?-?H5K zM<|-yuk6wIcb*e1O^Zg6wyszZtAu^NVsr!%l6qinSM;j*e|cW+Wq^DS08kA zfPH2Djjw|@u3jY+ymaqh6l+P1{7%@;ap*gE8qZCH*!{;JI@t_~RTU#lhu!ewE{dP@ zP7?ZZ3^GDC8TTr13dAC2_YE@-}-YaNJrop6c0lnmtgP7`%re7H2eZg*l@I zof>rZ%T9tXyQHQTczPZHusQb3BFES4`;71cF<_;OxdsqInF4U?AoCZ&8?z)p9*u1d zSAer9;KN388s=h=q`$_Kf=)49-NKL{uhUM1C5AbpqgC9(G4y zqSJNNFcjb_G1Xlv4gh@W1VdqZoXS#Y_S(A;P?UYT&f-l*dJxO?j3LkU);|Iz9j%AM zV3UQ*uX9it5O~GRZo{K}vrMWtpvpg+uWVHEIdHm{+8<6Xuib+~wdxaDVnESa3hSAQ z_mEaL{g|B%jO~Nx*fGr0gy)n^m;LV-dyWlM+tDM;_qH7-VT1E}7cUbywR`mgt?fqx zu~>WHZ_Wn+AAXYXNedv*B7T2Xgv31(V-xTAX`7pRPFP%G{*)QeUc5xZ=UhB+iL6jI zjZ(SC`2}c0a79OFi^?E;pg~?~3B>75gtU}-14Ud~Cj8#;msGj9>R6tfOo536i?}|M zJ@_T92)*;9{)@$t{6Y~XB@W-Qalju8VbtSvj&|NLkxSIc{2n(ZOUXcdK*g zO(BISIEUABoyl&#SvJijJct~&^I)5F@FYS3mQshQj56S|wRmPq8^-$$kH*%Iz}497 zrRu6lJjm+E&=4W{s8vL}(Uc%;=u?HqfnT6<1RjdnpD!iU5i?qj=!Xdh03ioU+ddJW z!PR3WJ}xAC%wCG~ksG$9FxjQd{y{@eQ{O^~(;8s2PZr&e>GgO~NuLzJ5;oK)TSN?D zv>yM!skw$7iw(8XPSH~fuvBw)c)z>bsl1Y6T+?yoM*FV>9(*o#h?L5vV#+8-D|F`=#XZyMY2P4I1&G zDp>*zBA(dXJ^JWT+vC3oJwuj#4(SlP&zZr9r`t-KwQv4ChUqTqYK~R6{Yxb)$Kd#v zC$gVhhE%6JrNVVJpGAvk;~H~w(yd6L?-?u`kP;6kYwP1ufbRR;2#U?Yg?b< zWUODF^qh%|`HsHC$xm{vyNH_%lc`#UA}_5oK}o%C9wQ^1qo6N0t53aa+5M>; z&gbO(_Q-tu*Cu+y2iBE&o0JzMpGp zdXW{l=4u8$nR#tM2JlbzU828*ctIt}!W4^c>scu7d*3=e92G_yC>gEV;)^Toi0u-v z1#N+&jzHWAC-{zObsrYX{VRI)`V5GA01p~cq>Lb1{FVkM5x=cesU1?qMLq$^1( z+s&=%k&)H{QhvGi29+*$_16wEz(U;LCc=b7lkntVbV^Di(2cs(VW+Q zVP3ju)B^Cu8dM>?hgztwtljteI^$|y}|Rg9&o!`n`B)G6eQWw3k5 z8=&uxpRSo!Yr(#lp);h(zBr-f*k#Whx#EmJ+#cyMb4-u5io@j-O@`hiw)qxih10h> zae-+2DIYL2xOl__c)@c_uCKCZrvX?D3d7qhL$6%u)gmJzjE@e?3k?7nbyE@c0%|{#++ANyb7^Syh6{3AErFQ=+Geq{1h_mLcQnwJT_@R` zV9O^#WLY2u1;$9iaDDV7PDHE67>GSdW1?WJ*+5VB)pm!rAr-Zl&$VLGvDFHCe?L3f zSL4uu-~$@B$kB8YM@02`P7$r6g0iia?Cq3wmDzm>q+Q^!_2> zu3@^=pQ&QAD{vT_w=%^p<9t#TOyLe#Tf>rc+h>B%NVLKKA`h7kT4!V15j<2oseMa9 zr`?lUYF%)@6Yssg)j@OQkLH>fK1x!&-+@vcqL_-lI)d$&EG z2P$9;s`xM4>Jmg8BQfv&33Y6@-ZWL~D3T?(Gj!*X*%Gbm(k>EbKQr(3IvZ-b#)NOO zIco;qIwrk2BA!VwCwm8KPtevj_6^Jqi|K*!xW)N*D=Mf%+VqWg{MWF#Gf>7mB~EFP z^W87#+))!pz+(Ln32h+G5ssP%hKBRnp}K08Z+-f!F$Wi3(umV|$Fs{4;8zix^?nwWqtU5LoNRW_P~Sn z30F6?Ty3lk9cYi?%T>IP_G1qJ@#i5AK=jE_Fg1LnA{%NrGf&=-{1^Ex_2;`EjjlNC zRmF!CmtU1{>J}s|7Zd7eY}xQg(-+u-3BkM@P3PwOK3WQ5yXKDr$@{>@s|(}%#lcGn2Kz7}G)>{~DFAR*X$n1!hipo!|=BuNvW@Vq7Zhaa9 z|=drw$5NV;*im?L?_DM}*WwSL^$4H70yC)Cj-0GA01y#25 z1_SEhQYw|RJTIcm%I2(+g38P3b2ZJ2B2+}3?vxdTWUaU9pTzT)y zoE>2f=7*>squzBu5&f%qQJu_Q3>fDOp+w8cKkhZX$d^idg|Cjy`KL`v9g)HELrivl z$5WguEZf-#n^?7K*6gF}2gjzohex8V*P$`pGfAL2pFNJ*jx4d?i!h38J3WrxnME^g zr1yJgb(Yl8Vjv^+K*if92$9N5s(1@_C#Kh?-9xy;@2D| zLz|2Gii03)o{?4|`kTr<>B7<Q>LU`P0JHrNrf47O`NXU5V@6?q#jnU8y$Qn?mn-mC9XHa$j|w!7~diJ-NZ*#I~p zOpd!(w;dfrU#&3A9sxgrj8SVa?cx%XjK&+I&Iz2aEZ6aggr^Bf{hEgVEu5e(jYw|m zyx6H{pPWGxfM?@TF=>EMfB1Tl^qMak6+Ds!*nK!Td5@$boM-njsOL}E&wE4otoLz;f9WMr+!plst@))(eE)eR_6-OJip!BCpv}KS7q424d`w*3iR8= zzPNs*znlVljA)Ni&Vsj)X3LvdPmRkUNgrBaDMT{aC8MGTY@j9K0av;wDV^4 z1z(oj%Syj2xs(5)okoXs_u=NL|U?$BRS;Mnz%%HKeNbBcFw7N&C8)v;G} z$Nz}&ua=5HIF-&OJeQt8IAKZmehy}n>buugpVMYN&$5aPit&C9m%8r&D_W}4mtTCo zeVu4s<12!rHz65`G_PuhVz@QLP1Bt%E0iCZO;~z^`X`RUP4!K8MN5q{(`_ z-=M4Zh`ZNK@`hufBGhp=?y%JYb(I++&gn}e+hpFG>@ zFDq*OqOA4la3*l0D74?$*xEs*av=J%#q%AJj2SiG+y@VVEo$qRbpvHL#qOO&!RWJ= zI;_E=njdGu*b+I-ARnvE_wER@FNd70m${K;7Ua%uHg%i~_f<20ivno6{YdIZf^}Dy z2&nVE)S-V8*#{@*;UjK1X;hn4pEZ-$;u=6Ruq=Gm_>& z^==1Vq60|)8y8_GCn*oa(!!;++9W=pl`WrybuG6t`O}0XDWy8WK8aix;-rtqIzK_W zXaKYZw|k@`nnV4+#ua}Z9YT);t!TlWGQG2|x}4>>8bLjra)V4pctQ7Sdj1fLOyNdZ zg2_AAQ2kKMGB+zHK{d9(d%hIiaq}5IsP>4SN7S-WwgJf;_xPx9eC*!`Z&*{gX#}sIFfS@Emzj2(s?r0|Qds(@Uu~$hfV*y5D*hix z!EqpR9T(|JGB%i$#pVY5oipJDRn$qp1tsSkm2=X?%qY9F*qi{DGtcaQv=md#q;UBJ zOv5W)+~o%+6h&S~-IIFcaUoMaeB71&b$8f)ly_C>hH~?qQx~s#@i!)2qKWGTf(1j{H|Yu=aQu{OdB%q*}>+G z|EyOp!qu=eZo@=TOhT-F!G;F>ztvS)~Cl`ISzZ+w?Gpw`>Q>NJ-;{DUM44rwhzg92QK_9#2 z<`I7>rrHEcTHnZ;4#doNVY55s%&^bu`fEZKbo=!RBIZDX^9T z2|oK(0E!1ED10KzcD=rA9d}^zmj90!sxQ5^|IJZ?&9?oC-$tF`an@(*i^z*EUbCF<9 z-K|Cd;KNhL?E2g&Lt*=Ji}bmP!R3D{?Mif2`i~kgYzXb@#39)#q&(`z_dIIs1;>5y zQoaWXA<0Le-%x)Vtzb1NKWB3iCjQ+s|6eZ;$VAArY1-I=v(5_T1~Q!@JPd-(sjMx1 zzgJLu!y`Sr7o9)%siUTKwVlOmUI&%wUeKNQW15XY6Ura6uYAP%c$^b4;PKr@)!x<3 zEL>~2sOKsVydTkSe1y*YxuHs>qf&*UAX@z{P}F`wzfp+t7GcU}4515Z5(kkV^vGGz zbQ|%3P(N7x(6w<3ky<7x$R4Ok7);{^g-babBluA0YB~|?rtY>Ckx}mXoVLgZQgY2Py4mTuCmi@Kbv!k@7fHU|G1_oyxmsIB23$9MsHrwuAcTB0eGbtvX87!%zH+N z)~5;L2KHol13>&2BO+6d(3QT=sX%?T0UtA5TuJWMBHL-rUac0Ow~71jsms%EmgO(4 zxfWHt(f;8tL;)_KnIGnMLqFK&Z==(~j;6j{-qw5lSD*{#Z*@C_u(qyZM~rtWmeOZB zJtKhd+uo&5Q9mw&z&(ZPKws7jz;IEKol4S|CPb!le4O+ohHYe9pXGB(w8g!5HODW@~om3#ZKz z;(FcrO&FzP^?Q{kW{Xj*otoRH^2{1=BwWw_7Lc2qX6p6XAz$R#Vrbj0gZAVvA}fO! zbx|ZJ{p<;9ueE5?we=xE1Twnp`(DX zlQ(d|+?%(giuK)CQ<8ocn|r$3xYvHVBTr>`i7lEykol%?`(?2jR;XmwettaPf152L z|0}DD-RrcZdMx>7rhto`78lf99O=8}p%r7P`7`V;?ZU4LjNelOHdQ4m#?0p>YEQAe zzs8I3LOvHo>tKOQ)+p?v!<0*^Dt8zn{kYVf4X6f3IejwSIXP|L`BH*~wL@|ESFP zva0>3<)~@D4g()b+6NGo^PcC3OHc4m@wjO+SI6R@?u=AQ#qm4$frQ{*{r$An=Zc>R zHLpJR{F|Zd7m;j&BiQ}QpH?PDp6BKmuO#l2&7Ki&U1nO_(q6O~80LNdPW#9$yxF%? z^7y!j8-E}XHeMjk@|a{l2JP+)O5f;fqaMkgEAH%wau?!cOZcO{b$_Me9oDUi>$=Py z-l%*4je!)YdMq=IRK|lxk@a{hppI#-cDcG?V$zUcZPlNr%apmn1LO+3<#BsNXxArz z+}+Qo@JJ1IZzUvK){X5iHjTup3Vjh)@lnu{D#qO55Q1R&mLXO^=U5**YQ0?KYIV!B zl=j6h(c7?li4r!qeowI#`a0BcY2>?CdYW9xi}xcTZaP?#Fv`zsCz%o1ZU;o7Q7)Dn zaYoHh#=qF*qbu~#3``q8RTH}Y^A;08($yPA*zp0J$Rc&L(0Pg7xAqpl-`IN4U+Rwy zV?%@k6Quj8ySN@=f`+t8s z(ENhCgYJ}eE@`d`y|TZ$fQ`z_hYV$|dc&y-Hr>q)g2gm}^^KZ$oUdfxcrk^8uOml8 zvnvb3M2+r!udY4vEI*0eSL8cVcJtmmL{G=<3XD0EWgDC`b&Y=u>XzJ(4w`FqD-ca2wNw%G5 zodsAFvnl8`x#oXBcGw9OBHj);wP*0pSojY6ZG46cbj`UA?r;&Cre-Bl%+T!<%BDqP zcOfX4a7K$CV+KTWF9OcV{_qiYoU+X~R8KPlw%13)2xjeiG#Zoc^b*po>AD)>Jd@L3 z@^@7l%VBH3o-{V&ZF^0?Bqb}aNH9tm#zZ;Tv$vTELpGM1k3!aMUZnUBONjy~ikgHe zB)33;8n^)=sjS1bbu;qKMEXfk^O%tzgmvo6o1ybYMl@7D7?r#bRyLq%!#m_n0^78c z+G{rU>|GEIqPB0WMm|Xg&!XSOQqLY$^8{VxRpZ~V7j^L5o+0ABMuuO^Ww@plLpi~$ z(R?sz+@rDg57ZV9GP|TuSTg$!q27k1dqFyNvz$H5ZHI0DYOwK(0PEK7!qco2>8Pol z>0E&+H(v*y`Q{O=*@%CZxW8!t`QeI_g=73@W(!?eyT`R1$U&Mv z?Vz5Ug+XIcnFgGlCD0oy5$~70BXEG4$yvQ6 zN?XETF4&&<6gL-s^RonD!^mvo`x1UfWb@kA_U>}%gtdDK*pxZ?eXL*26DIAr|FGqA zcgHgG!vwioN$E@2w7SIq_~osi1i@gA+WebO{~3C>G&b)Srs^yH%^{Jockh$K^um*P2>{E`!s@Y9t7{eYLV$;WIre=?3lF7{p z(OJ&AivYOzR%pZ5M`BK4E8|8WGJisMzAFh`6q^xFO6jXbsio!xLuknUu(mfWc(rME z<6jG>HXF|E3KcFk=LClhh8y>PyO{F z_fH28S%RNDxE1uMf@EJUEznr6nNvs$?n!La!ZJ|7$x^Y%Nt#0s-i479rgx`dn`aGfNa zH3wYOL7lAA3|c*o)$qb_%sng6#O4Xpoho(_<>VydOcY*l;`zqCx@6+<>0*8*&EKY- zBJ2eXHCnB=_d(V#JU>($(9o0w&dzWnZc)idOc-5Z0NPXY4tn?93i}0}m*tSsws(mU z+4nS*qGzvQwgNMdAd)h?uQj>FVDE>!%Q3%`P}w`eHG3BA7;oNf7(ZgEAY(#aM0YwZ z0<7tb#yt;%EiVvS==(4rX-@X`?8Q*Mu3CX-17ac*KCNa96%Q%D?Hp>U)YDM(I0rhd-vZcGUtK{9k2x@N8HvueW4+X4{XAbh zi2pbK&dSNiytcZlVU9GrnN?o-%bJ?l$x2y_p`4w2$IizLU6x?|8^2W@H7Z2G>@_)# zEO?#Ubsn8B`mhqWIq}`uDdUTNz4v-wZ8>Sjv5!egPV>!DS8|D0#nYnPOd|WC5St@@ zktQX$1(qAs@GDfdLF1oe0Kmzc>xiM)aW=Qph~b+P+n8GvKg(rZoeXHrIds z=32kw=9(i?f53JDy0#A94m*1dtWPT=$Qz^8?(2%5Ruvy}9=%0K4MI;tHM9Mq(9sdy z)pd_}|0CxCOYoj5&tS=C%+l{9gQcdZIqyQ444)4~WRlkP1t1rMbWZt6x>A;CHRBnP z2#O2*|7(76?(O3u2^Qku&#?S)DtGWk!?-|LL8VOe?oA=s!$w)+m>kRMZo>|*<_VFm z>9$>CCb8oTe4g(%S$~!egLYgHA7}(h_G^2{!XnJ7qLf<0b!BrSlPey)*H@Dz{Ho&B zqTuB!-XH(H;@$l}B@?{m`+3jsp56b%Gtuid^H%@k{I&=O^l$K`d;YQF4L@=4|6eNh z^N`3zoGFgtFDcw2)Sb%>QR+r2O8sEv#?DCcVf=1RF0ngOY4*kMObjCOFJXDw%0IE8 z_#b5=rd6G^tY}+1fA*QPk~8G<6uo76G1g)bPaI$~Wj_-2ideG%X+uk?e_b|v&f`s& zFr3IPO-=msJGSkLk!VlKeyr45D33_*kM%4K8y>47!sS0l*x#I^f9h6v#8wUKp+6F{ z*YB=lpM9+B6*ZhAl{Y1mjqi<(E(Uo0HLg3Vc>3z_YX{VEd~MQ7cQF|cc4J2rr&=x5 z-Mq{07ZM%IaG2()HntwEZf395UBqG`CYx>UhzPm`EC}7@JINU2faR#RV4XJ26_m+s zxIf71J>x7kFAL0myR@xmH)ixIq|n&8sRN?1%-*d;RnOW!=IlI#TwY4evxR%IuYfhY zLC4ZP`%WzZ&^=s0HX7pjaaS%uMt1H(?S>tihgR_pOH1x)Prc%DHGa??I_l}SLlVIo zpTN+D=zry8F4l=>Q43;{mWSh{B=DaMnrc|)bL3oJgWxo)3)0k8F=nnV!z?tD6Ai`F z<{syTQ~fA;U=9%5Q8cSHS778V*De}=VLuJ3IVZYYO6`V`5ly#p|rIRBse%9*1R57t!^ zi?-SY_HU8fH&gwaMjdYvtv@9^+Yoc50Hrs82 zu-mw29^CauFrL1*Sd&P4$5NFZ1B3!1nj9x23xT6tyb*b2vIBpI;GJ;XcCGjGrFc=VhFb6yU|;G%=7XrLlL?B~TO0qN^CoAG-dL&3eLB zvzrKo=ZB*o*f|%7ftj^Q;ZP|D=L(9KDz+xUhFPN=Gfyl9NusgMxy4tmK%OgcgX~O~ zZXnLOsa)IX#wSfsW}mO(hCPvP%{;_UZu@F)6|w-((|q=DarcSUQt(_4;h^JF_p~)U7V%M#@?7W_3WMG-bdj~ z80dx4!0{QA@tdGieCT91SgN1QYSF*Q0Wda!%p<~^xlpt|c(YxE}X zuzH?*`O-xKPLj-df7o=rz{d^i4}P|$X2XPerQ>QtEn9T67?p6C-8IU-G0CRRZbuw^ zKb1plvuwOsLCtu*R9}q~{SQ(dG@Qm%*`yn@)e8$0rS9WdOm2a%S!G56JW?#g8u|ou zZ<9zH_MogUt=+>nhXZ4>`SA+ntZujiTq$*=8I#F_whR{7SbrpUA491#CO6;lo2!y+ zwR|gHVY7y7Vj+BY_`VksyZn%89R^K-+CQV0vQV3nE<*Z+St2!!s1=4GCZ~`S!FWw( zNo7gv8{ogIqjKo&U+F0nII59YwQTSIod8WO5uhWM@8E61JYo~(5m2;6XGkswqceCP z^N8%|{|xa|*7zTZpkV${{GUP(zFe^B<5SA%)n^mGFg;Igi5cIvTKN8wWuzLqit1N> zqu91~R$|Zn`hJ*3);~yS&HcS1cfH>5`Cs|#eQ&q*dj3`we~Xqa%+v<;PJn_g+Ecg{~w##VtFvr2IRl(0DS$TUf>2Q%BkbNo>xpgx~*i zvZu6dK*pvo1ybEAT_ieqYPNha5W|*rLxb(0WeNty_7ZPlFkLkoh_M9mo>nK)74qA?gp-WT&o`L|iAB?=`9cmVdowq` zIe^%6R82+}Y4N7M8rnGlKfL#>-ReJm^QU2NhAM(20T2%y_l-~Gc&PROrLgV;B?|T5 z^iVUH3aY4lZj-f8^Uos$L`-@}Pzxng>fdC(R{WcdRL-dmCN)IYPCX=WH$a5*l=>$XxOmo3+5c(T>D8?6yc3KGZ`E=lCg$ zP_8Kuz0&1@Fuah2zMjHkite(zxc@xoGxH8?rK)^!P|xBv5z;VnpPW15>Kg}_WS}Og z7#(?%_x5vC5eU-y#gQoFkMo!+?`R6e-I~DYr_se52q}xCyVGNzGmwuL3Xf?oY)`65 zWI6d2bLP^=UzqP$JYg}c46jUbgA#5wDd3I~>((P4C=bSH$7pnSHUAw_!!t@pl(y0&=|TO|=)=6tttO~)se30YRMxM1wVAVyFcyLAMS0>4XA zsPpIKZ-ueHQy; zWxXjhZ@+*HY&P$>d+cW?^5;=mnDukccjdM!{kE__7K8OX=tUF#sFC!<5ER;naWot> zI5YBy-n~}0xkuUjCt0G(OgU=7uS6rjVH^$xY#G|nfc^ZWbrK38LYPfBYq=Cw6*Pdw zaPK%Wj^|IzzuOBjRl!mOv35g=v!cJ&?jf+3o^G^|U8tJ)YM!!d@@9!dIB8U(tU!F5 z@0qWTS2=3vAU;U@mVv9kY>7&;1wT#we+`c#R!774&G1^4 z5Wi(dF6dUJ%&H-Pv&1{)tIwK$1HZ}T^}>X2hpY*=u-dCmM#+p#-j?P1SN4?qU!-Wx zVBPgR%Pf&`pIe^x&Gi{6ip=lUy>EWV$05A7^;g~H>&k3p|A|TRdntZw5scM(OW$ST zj4D37X>fLP^G(+8i^Uo~Qlq)W9!~s^s~_HJv<+0FOe=se!U7uyz^deoiq{QdZscZC z``oqmh*`rkNX2&j*U#G%Ce=}S#o%U?Zd9rM8g#-n?bKa->+G#k)&LzqatEmXs7d*J zXvGe*`w@K?qrE}Ae@OPLosaL)at_MAYsmhHhOva*l!M0%^VE{H^*>)A%juRmTk?>K z$0o4{ldCtdMRl*j{7_e8wO;eD&>q3Z(k?0>-akqnQ#5_noLr^qV0B2F;QYf&gBYYp zu~Nj?FN_!h6$3RYJ)-=A)roGLIwysSa~XH)#zsZhKzU}7{^l=Ny$Tz(S0|g1pWV!Ox*?;5dE z9PNnr=|DwnHf|#a2F`o#VLJ%H;O%z`&Zp-| z)3+>~JQqEKqwifeqBuzfXQjOTj>S85mou0|jS8#<5DL?Ke1tD@Mvu}`Pwll%@| zZ09+*jhZ)3_CuWu$t`DPGa#p-3_{)HaP6KGQd516(A>4PBT{I^(#|pNLb|f^ojb(u z%5g36Lk7p=t(#r`sPK{Q#x`U@FZ9VM5q#^slU0p!lau=9$uiRuE+?BiGQTAD=x*oP z#Qn-E(gj?>SdNMY8M?9Ur*sZt*M${-v+1y#wc7Jvtge}s%#*y(jdQub&f`hoom)vHx^KwURKm&TfUOFO=dQ zJ;gb&U-PTQSLsx5piVYw-_Pc3ymFe|hn>IuJVg@DccfTo`Ab_%6$BS$iG&fZ0m&4H zM}NF@dgddF1VO6{6$7>Tvpd!k;x+D$d7bm2B&)WG_Mk#a#`9*8&Vw6aamtW+h2`Z) zG-u58BGMdF1fBt}lbUzd&w2Q${SSnv{V^d2#?u`AFxh#YrYjq(UEW>Vfr*oq%r`nE z{M$jf^71ooYVB#AYzdA)ItYB1sn&kSd7<7tN{HKR86vlS*dK`kY@aM4O*}F8jtg!9 zygHqf?6|ETHT10c1VGIVjVc|YW}Lx>v=KyX_9MlDv`vf)3yhy4q7&kI5&E|20YU^O zU3HU-z&1(3-d-R?IY|8S0u3K`mM^z`eZsmgjYgWxtoAIMH(`u%`>6f6!t90+N`zpY zMeSawuO0%xt8Y(W%$z5vlnY(ReNQQIX1ItsEH8}wVf5C{=-@Bit>&1k1g?*z#WRKJ{!ABX4+s2_yTu0(o05?DQ+B zh?pT&bRge!`=$9UNTX4Xu0;-RwY~U0OnqdF@8!@fwqULO#uZQ2&c}eTT(L>n`b4udYvBK3>`kEBy3f4-*cLms)9g;bPTDkXY{#@oo5eVB zr;S&zu>m0q0h%Nxc8m=j+o0H3Qeq46!ZM8kWkG1_Y6%FW1_O%GaywHmwE;0T2*n1W zPQwx;kQ$J5gQR=!`@OX3WX`<*_xy8Ca+(v{1tIAR$}%pVP#My11}xz+pHaw&7)1D zBoII4PM7U>*O+;U+ z)E^h%mZ+Dxdyr1W6l*y0a|J|ENOMFFD$e}-OVW0Nog7ul=V3tXa;LO`bh_mas~VQ2 zHW(TVl7arXd_cA?{X+QeBl1n^*NFNbp1@{vR7;rcrgzh6ZW8RB2543M^fn*0)1c3w z_Rc(dt>vWt?Nt72L47=SDLK}U8!Va#lss5|TPNdlm^NhrM zmOeU7JPrKSOEi~%vo(!L4+t=a)F)9nkC_@z%xX6h%pH815vVB*5ic-;G4r;o^WEq3 zxmcX6TyvYKsU&;cYU)kb(HZ0U(ZFiU8Eqs7OX(Ex$2(HOpJ3Es(hBI4!PtG#89=y* zym6FE1Xj%IyZc(k93%Ap4m#s>zL#8ikJ>+Z?Y-$p)1!mVLR-8~SBx{1&OuQ8(FjQ5 zYAaq!=62VKzTY0y#J3Yy8V}k|YU374pdw*K5_87tY*;3GjTwddon1OpWc0#ZfYouE z6C4X;HCA^2hc!^xF6XT)P{rz)8S9w?&5vQ*I=Hg*Ahkc$KrF!>EmqVTx<|Y>8R231 z!86ZJd}XWQj7SWGyfxJRrd4wHh^sn-XQHzrj$JuaxO3)cQNSLZ<(@3}!cLQg#53D;RJ7 zv&bzevG(OZL2*s`eoDuS0)ZtRotRC``*KT7_Sx}$&ju~I9OH$(I1ead${lA_KF!dc?X zXKgK;LK~t}P1WZHT^J0%<1$_hOi;X61oWOj*uTOM(zjEByr3pzVqRrr2cV64{y%l&w-;Ktk=`w0?L7p`rwb{xCePf~J4g&YN!+ zJ6#i4OSh&o1(GS7E|kacHIaSRnbF!j9v^I1RsQILHlek6fXY4D##PVj(+Uu%taV!T zL56?OwO8a8^(Q#Hi1!ER++wCc6W>}M?!mCYnEE-Zji; z_F~43EpGt&@$SBHqy!*}{j=hmM+1V<8k1)ZxZEzoF0uPm5ygi$4O<4|0e&X(iI3-6X^MgcPgpFp`<#1=?&q zGf{JnXl4EpdHfVtEp}d!_U*hP{r`5!`oW!LD>x~4qMziXzV&TFCkic(xM%QNcYpVa zwdv3Au?e03ugCr0pjLLycb#b83FmbRaXO1P(5hyFnO0U43STbiGe`GG^Fovwc0_h~>A)31ti?mYZU&2rw_W3z#r zOt(5V+<5szZd3@a3&fH6nXVergux}i@9b8kSd}P+;G*8OU!4&4+O=^;D(CaZy1Dk# zZ+>KO!&v?Ryc{dMZilQO4Ef=_I);m$_reGx`0J|cTPOUHP=`8}5?3<&pBR6?B_C#? zDfRmc{-mpT7 z1lN^#L6u1H9wB6c;BlYoC#Eai)!Cvs=FN(yhAok=CwxQNXqUhuYh%R^{ma{Z^SJI- zzf9{1_WquUd(9Yv#BQl1oR)Ds-E$|ERe&TBr0ZPPo173@4w23saoZfZNWw5 z9kcp{p*iy{%=gvt>sT#HBvaozK5*O7RkOQcpg(>X2*R9RN6SRz8jIVgjTIA2{*f1L{4A>4k45liA#PuHN1M8ar2_W)IdT2T&N^i zW?Vu$eq_YXJ=&aj6K>JB1%TXoXD_e+iz;p!icS7P(__;;@LSD`gE-_#a2C{YglDAf zgDcuNdB|PE?5Foz@c%9$6?K;#Glb=X`eg5DB;5tbc&n*RA>XfDrE}>$o46L@to@$+ zW+`aQ2#0Cn(n=K=Y^grqqR_PFd$;J6A0E)gx4XML*SX3o*Z8bvYj4gh!~2KTVIZ;_ zSJr{Vx#9lh)2(CGpBOc9gees#xm*+HGjtnj>ts(UQa!|mQHE}R=QTk)E?LgvuWhfS z`I=Ut@Qq|J3INSh4P(XQofnbwB~)=I9N;RH_jXoe%74zov=Oqo;5FCuOvP7&WFgIO zFC%U_ecA-mVPf{Jzdr2Py(fR_o)|z%I}h8822>Fc%eV*Vyv%~3A&*%TKgw-x`q6B| z!=jSOjkBKin#*tYz0$BJlHpnazgkB{_znVh8QqbNpEUPJfE21dj(6PtTT>DVSLrGy zJH~JAI(Y3!1CyG8n0Emlk*?Y@Y`F40&t3acM8j3XnldBOV@#I4tza_nS$)?QSJzNF z*)!lfP&{Tfdm@1qd4Y0@XQTJzkh&bsCR6R@OElj>Q!EuW3?z9t*ced&S;@@FzS<mhVBl##;RYQ&~-4mHufE$v$S&gV=io2tKyv#&2mLLvxn4W$G9(1e3~DiL0-30 z!`&CX;SJX=5ku*?)Te;&iSbkgyb|1r8%5gIV3oG0tm>(b$liIt4Q_d?kWAp+v|wvI z30WJ;?H3zwU~TUlqc;RcUEMQ1Ew^i`aw*isbM?<}2~3RlD}4iXm%Rtn@nWwJPuM%n z#%kRFwZCk5e3duSwhQSgya!F};ECqXx(qvpshrM>;VmY);>)?;T$eq0PZYNHPPymL ze5~}ZnC*!$`~AVl1@93}yvqp4Ie??g-Magy+!jq-M2N?Bxv%aAc3*!26h^2#Roenr z^+&reP{i0#;q!DFS=g7T^bSxtC)@f!$By$m7Czp1v)YrvW#Kg6cp+#jyH+N+zO~Ee z!tQTD-39Bq!GW&AnhUe79mM&&mbBTi#@nZy1aqiO_IJxm7kBb+K#JsmGnQ-vniF%w~RhQ_H10=T_aKg^X`pwzcs*2 zFu4t5k$q!~qWdB>N@WrPtv)*Uh;SFpj7TK8eFxPJ%xRB##ECb z&CW&nwW*x^ot=QQ)~%eB}ZFpLm}S)08u3Nq&K$cD`zLu%WZM)Jq=#ra0G_ zno_krzk#K8UppKGwD3IOem+gS(T-)}F@?;o6I~g4*-C;u2+Jjw^G5XsbP+K_Z}3^`ww0XzrPCUx{RvIV3|F z-Weu$c!XRL+K3a>^)@vfw)C|F)jA5gwwnS~gE&i=JAHuh#EZkN7UhSx1r<+*T|?=3 zLeTr48>G@0T#_JQ`F6MO463D9Q7!EO@d%9&6i6Jl6T&Qe^1_m+`>7@Ot5|33LosU+ zzgrufzGKlDTk7UCZF_Pmfm8orl=8mILmagt{@+d{{=)dctB25wRy?x&q31VoAC<0+ zf96{}wjXaQ;UKp2JaEWnpz$F(fxWz*I_ck*R6cnksreyyolKH1;V4#pq`IPC^O3)+ z5;JA13FXt5d5=zazA^mp36!@NDdDP$=bz;UeVSPCT@Asy`uo8%`qYWEALW7wv`g{`KNW`V>pt-$m_?JRtvLq!ifY z9A+DJIzXDlZqA)>6WF zVK#J}&O2GZv~{lXD;_E6yr`2?K!KzM%?CrXZoD|X`A03X z|9a%+*+0?$d3W?z)0^c#a{7jL!||($w;S7jT>^IsPtLqKLr$vF-$*SPp2hJc_?qV5 z@f>+_4Z*c4Hxx?_56_s1h@*6nb*{U6xb~qJmOgbfx>_K~16L(B1 zXL7!LT$OUQyZd||wvMtYp9~sQiD;e29k+)mernOsY`(nq+!RgG`-g&!CqZX!+XY%4 zq)i4SHu%93E!llxGyr~1YQ0Ju7j-i_?{wB0n7q7l?i ztn2M792wfx+H=~UV4tOV&t|An4(fNwO}&Kl%Zk;r&2!`m3)yv1$ZMbqXPO>Ak#DWL zfTB8gxNKZwy#o2I14@H~Y2^I@b<)S;zzQjxnRDY&rH!4{%pUC$C$6c_tG~w;7Ra~j z+AMv?eN65G=7@{-mw8LhTNoPvoM zt;0WL7-0A$#2yO_F6YBE|5OTvD?wu&=$pa9jcg zM3k!w_S3P^8W$YLs(9-dDxe(ing!xCou}?=vqkpW*oU)~wXV_zx2eYx;Sb%d*wGH? zZ~PuAA6?NjUks0E?=Pzf(HK!E}4|`K(eYs@$G?#F@9w zyh_c`7vHXqmU?@e-YF4Tl=6qAEY*Flf_<}srAYB@14AjSg-pS`MDOP}zB=WS?I>mx zeTj@aGRFne=OOv zo;5zu2M&ANRwz?Fb$3s6q17^atH{OIdr($b-akn5l~NPju%!$3bJK$vVABQT3_%Tm z)m?P1Han6blr+5)?m0s5pMc-V)HbtW#_{yj7`6XxD9^&&Y!3Jg`|p_#z9t&3KHt(> zeDO@M>n>r)Y+|r`dn}Uf=&C*^S}1|YkgxneVRa3(Nl6r}MbsWZB@?_Q0~C+na9it6 z)2tL9tp0fyMXnGD%WEX<15^EJ+y(?Vtve-5LE|4=3^R4VIoOcFu){RtXtk2wG=g$$ zteRCq=>y_t=mKHi3Tmn*opE33Uw%h=)UOCzYF-|3HZ-+~gYqAxi4Ot}FM$jt%yyGH z+SBYx<%i6q%Le@|5rPyb_ zHEpsmxz5SjDqmUKPSI@bIbn!o__;*)6;as4zE7@_iFB9>tPnW_#MxU@wp2SS`sk27 zl_j)7_B1knoh+06s-2){zUZK(kFrX2*M2iw-Q;kyu3>?>&q$ma(f|Bp4Oki-ogD$S zYN_XxB4@^RUTZKj{BI)s37ajk*FH7y?!!{qlYzo(?>T5&_eH%Jg)QG!d%^(2%U%0b ztC{8x^=MZ1ymaQ2RcQ>h*FTGQb$^1KZP@Owd{#MDIW-nQ8@@x)B_Smf{hrn!%{w5! zCA6+vp!S;27;SyOCn5^SfjtCOUl^FM_7YYGm#PW%S}FZfUp%8g73-O&`3={Osy)xM zA8X}R#xtOh*EOS?`;vbJX@n&0Ud4`04(TI3EnCd%ocTfH#R<&d_ct28Pc5X_H5>&a z*okbFCzxm=|3Z9WS=WmHI-Dc>r3bV`*WJ#Ga>JbE%orac1^00M_i^iiVlbj?z$4SM z*?iJYHgO8?k{iOiwQ=Op%kcwb zONLh!1Dg}NeT}#1Vh9sPEI%_cvz-_3v9*)so;JRWn@Z)3Jrv2%TJ_E*<4DsxS2q^9 zjuudSL%G8i`;WA3C4;~4iOcvF{=4^orEQlz%1KVU?|aDIF8f*Z58|U@{~P{Wit&^X zzkAf~IE5oP|*4KqL!bj$y;W)ao?;PIsI?P+~T zrau%~{qN4CYjTL;YOw&k>!(wWmY252KRLDUmrpi@S z>)8TDL7rO_%G|g7nqpCV2&J7Q7J(^X!I?lOs3hde6bE;JjS%=48 zG)TXvZ@hc`(jz;P=YPKCeWxL3Nf-~|q^8jdFB9@V;ro=-JXVS&)immm^Kg4$bx-aO zu|rC|seep!F3Yiv(rfSc4r&u!MMsvhOdGwey?nlJrR!gU+U05$*4n!FX;H&Hz+|nO z_jh)oSrtxV@}3x}N^^apN$3&cnZJrED0B&GtFIOh_9c)eI`4F&iqRM^gwg79XtjyH z7u}UsRiZlwY)r$byfmH3*J+oU248>8qh#oD$Z7eTRnK$psuLDh(dE(<@#$qZ1KNao z^N(juWu0{prm}6)U;zG&&DYLCk=-IxKS0_ihr3Qs{+OIl#V+WeEa8C=lM_7QZ&szG z_Ew*lYE?^gO$;6n&k4r=B$8KGP5EGF>wBnigIm?oJCrWgW^?f1jL!iSxJVFOLGl{= z6MBkKJLtDxO-Un{yTD7ywyh+~`xD%U<#b;C62m%y@dEitFrjsh;x*BGW<4GCerpw6~|*?cQrg^^`s>k2?pV=D(Cmsy*Hf*n2^v{cG8ibFlbK z&@cHv9~rIH_fomXyGO@D9f6g3u3@X}`N*DpdFREhR+AYXTsyAak(;LujBPQ5UM2cO zCGe;ZjJ-;z<6t+245s?7NzcvUkY&!|FCMx1{^^@iqT!a@17K?$7sTE(8_0jTdaWx} zSX=2jO7owU(*H)P*-~8{*DDHBg6Yl1YDYsv(6FgIvd@`McU|=AW~)#5C#rTdSY4VJ zvB=8sXX?%eOUtft#;9jpjHKeLFh0t1I)m=7z92=BJZ+$0-)^|i#B)`W;mQ81#jHiP za=V)8@UI-^&CZR@J8Lp|Mm&g{QI{3C4_SooLK|#|h zIFN?-ILg~=ZnpKWSI9n`0?BVV${{aszlmg*IXS?j@9IGGc3Wuns6Gv)? z+C!Ge?#xnowM_2YfXF#e5=AM5xI-nJ2TR~end-7~6gdG$)?-MWLlzTxfd z1aj!IWVx;B;Q{b7DQ|pjnU>wlT z_^jI?6MstHV^h{Qe|(7gT9!QL%$X?y9C{#bmq=Q0@5Pz6zluPr@(}2Ao^;qJ*6U`A zhU^mJy$;#xL6O)Fbl9r49_A`+ zQCMM2Q*rFDEdJ2r*ufR%(A-l+ni!G1zR-@uQW`gZL0SKGBzr(%i?^Fv+v=2mkv#$2 zk1EE-V}h4^=k)>-+hLX-nhmJh_^xRpG7xi&uWU&1R394_l^ZKVVIX+@t_IiPpAn;b zvcw}O2i@(43vVkrDDQ07xn8G5wb2Q*CmT#sVBN4_^nDk9;?@L`Q&It<^!nbKiWG(_ z>}&0=t`LeB{4qKkm341oa^7w&X45fM$t^-QsQ%j+N+tsS8t8ujd9%D(lx~ zkngae-7#k0d|A7Emg!SIW*M!LqePB2Ws6N_1*p?wLhf+r_?6DwA_ZVsIvvZ3a_wfk zw}Y|ycyS_?$@vqDNs_vXQ{vJqe~;oVJ&P#bAv|U?7hxLgXo$09>5@3a@PPhY41&N0 z_VmsL1VPs3a+Y$oua9}5l(Sz&#q5tsSAwgL=c|wnN`H%b28rm~p!7EgN~gS#`!r*B zE$+RfoxhWuc7F5wq7Zip{littCq8URQXcrvtb=FC!9@{k94)AC(eXH;JC z*d~sq!p(UvF`DIT9JdQ`Rg&J+P9qo5T{TD{ zd^>jI@G)T-dJXs2DLFgttg30n)2kf zV7!QA)k-&xiOCVp_KFtz1`krk5~wC!)Y?cju)%f>BwLNf%qxCYhs_EurGJ| zh^&#Zvix^{+#Tn0KSSyYu5a^2k#z>lOG(@(V$eHh-R>Ma#q&!4Xj^XLTG! z{&7*T?q_JmkDe33XPF5usGk~ho%0Y%MA{t1$A@MeJ=)li5u3+1`WmF5++_yXFBu37 z#LYuYuRNGhIQ|Fpy`tt}JYjE~lm7Vd6++TzYbgzFG7bG~=qO+uObD?2Ve8g~mm)h{ z3!^XleBG{tHy){P)|;CIuFzb)BGt*F!o6t9WY2d;()7KSn;EtUyPFJ=^i>iR)8S7H8S)cS86I8bV1^I;HT7dHBx&3vLPE;O28N}LP$RWh(FXZ zdj1$`AFFzO$^bCpMB^Wvrx;tzaR-(T&GJ9*u~uCQSzJ|qQUDN=M{l-Da)#}hvr?81 zWCw3$Jy0x;iMooe#cVSc%n$}2O&4%|8cAtuFSG9wz7q#xw2J!cJgk_NA&{r-2(}J0 zDDa%=Ti|Cquit`rch#DP&}?7q9ODdr{1gxoz@~HOTRa?l? zDdhbkrccF)}N_Y~IKS4yuxZ9%qvOE78AsaHtul3)o#Q-%ssn7mjv;F%Xiiz@Y9?Iry|8MffQk>Vn!>{Ik z_gZwy^aT#?Z==)bS}$3;H15|}7GH9I^n;l(PpcNi**S<#V-N9z`!bd-<@`E_bD4|$ z-epcx=rX$1rHPvzEc^(Y<5u>9`6rgSv03UFd^&K@RQX#$pc;yS~;Rge*%+=Ikwa|efGg{R?=GwT&>!~!$u6&0_)c_ zF*~LuBkCBJbTW{jFUfFK=7%+$9yGOQ&R&^3NqrL8LtHUdVj*vLZ)7V5#?c|)K`gGH z9Eqd}E!w56p>Y@hd|5M`^MgH^$IWyuRL`*5Xit3H{pK8q&%6kUAqwodg=>UCQ* zQRui3(hY&4_Q=ju{2?wr8G{AGoWkxZHIq81T^3N#`?P(Lt%}BL4OUZr8mJz=a%2_}0tV`au6)-tc9U}sAI>j8Xq0>U`j;|te><thP&t*KZjsGq?wp^;_`09~gHkY>{0fu9IM}g*}mb z_x9J%8rJF9=Pzgx5yvD~90b<`O*)Dz2*l|;woqnWzed5XysV?h*OJR#G#6E6c7>&lN^iQxQn29aEq}(PTCPtqr=_AdvaFh0&c(_t`DMOCTF~}3dG_K z>KHpWOuYA5@K*s^^`+NbTan9sj@b>^U4bTsUSDq5hgY6pOmj4MP=}N+)(_|LaL?&5 zYzM<5XY4%q6;$?!n)zkO>5r0P*c^OpZ1>1`_a5O`qeATk9W|SG^!DbT2}bWq1=W>s z+97TMaZg4E`ClKfNJN~@JOS~3TJ>2{A={ddk-IkfHBr7m*>IgK{veVz-E-P<-FdW5 z>6(!x1&lQnT4zl5=O+nzE5Rg>Agk3OL)2;QedeT8tHb#95*X zq*x|bnyZpePmEO=tS)s_MgT$iclv`z22D)5mvmOmiLmuvl|(jtyO-i3rDZ1(`h0404lOG!^bDBfA#_rR2^u0lwDqFvVG z-pynTGmqbDs=X%)vc3!KgHZUTTyY>g;#$&gWd!!??wU zqV=P}C_DSS^FQV}kz@X=^@=U_6h8aGxM|w#FPZHg?h2!XcBzvT{mqXMjt`aImsHD~ z_Gg$(!uxYzD7DeEw?X``OzsMqXWns$QCo8L`d*wbu5^X;BIB1idb@lcgiYWNe}GIZavLV7(3dVWwsN%$=rjL_?1DvujIiDv5|AfE; zR8Y}l>L{)>6EeX|lYVt7>5rD80~FPOU2gATNTNjSD&pC@=G09hm?Sh&Iulkon~7(< zWZPB>$9yc4iq*S-+Nzo#t2`~@YdHEMK6^HA%A$8rpx0Q9MEUPl1>PU8zlAnSY{1pv zkM>-g({d&(jW_u9WecIa(F^$)?9VAnKZs;{XBuyukg|IkmGX$-+F{xjNXQ|1k93Tt zbYHau<0tgPNwwiXENw&C9NM^qIDn*na{D?2#`A@e&a09EO^oBYj*rEi=MUn5F`)~b z!Lp0V?#e79Fh=x_QMv0J=w>M>-GsAPrG5P7ryFkm8__A7@l4R|)zE&7A<~ zI@0toSYOj!J=iD7K^-!B7XbRS1(q_A<`gb8T`C_5#JF(cvY27izEE^zj;l27@TOzW zM3~C#2FU0{+0YbrYO2yiKy_eWTm+V=4({5h45=80UI$q;4%w$K9~92XGmBb|F2|FK zjf=Z_K6>x^0eZW0A~HX9l-UMcMw|6>$4DSn@97=?Lx})np`zI&ITlXJ%bCmt^D}Td z;$hb?;xhO8noiByYTXhCdIB@BE_rJ&GILcovsg$+xOhGOsK>0oX`iwfI%htwE+seV z#&3;^hpDWM0%H}h6(ILnuP7S|hTEJix1BG8$O)RLnaLX0L{FKX52ezV#!A6$TS_e_C)X_4#9jELecq4#zh1 z9GHx;imfiGskVYA0-{jzXj2WIl~^?qomAGgu&a+!Y!>udwic6R+QT5p*HmOsSMgo3 z2Wnr8l%~gwp9IT;u^t9bSf0fEI__CGw;9gT< z)Z3H|7nPrhr;pd3112F#+1i6?=On9lApzs-s$@JKXdq;(wFuIl%NB#|#^~@9&YB1o zd5+RMoDD;XS_IN95=W7+>JnAfRJcHV371uu?b_#|*{&*k$UR_v@9;#u*uKfteO`Q! z&UsdF?Faz6=dpQ@XY(LZv-~t5c1IL%gJp^eJ|dXW#%A+^z%R|n8|!fy&iW>%e&mIvfSEeN)En zs;odNvzKtDJ1n3-E$C;M>``_o)Lm6=^I2|UBGYtby)O{oK!}=N_hc_2@c!v#d!N!Y zm&}RX9@08erz{$CR9=ly#c>?6h=n0eWRc4=LG~Jhq9R}FiAk(3;-H{SH zO>K2iyWDxwe;BMvP-U}v6yD!i&ClU~@BhEm*H0F49^JX@2g_2I?K%@3we;Kg?87YJ z?lJr({&&mlkK2FD;+n(k`OR7S1MDe2{Q6={WJ%J;D7HDzb+WkT?^7|Eis-eR^i8{f zYmUhvI8EL|$j-LJrg1K(h!eMHA7ULS51nTB%G+573N(ZTulIU3pG#eAlPvv3e`|93 z;f&2SQY{a3x>c9n!m)fQl$X9IdnN0J<*p26NAIa05KnWL0QFWdjR=VnywTir2HPXb zh~XNuJxR-@KGDRuZRdaW%9Za=tog{Wv&YqWE+Wc>I;q~? z#5fBz%k=1}@2;h0;XcR&iEeKs<11{~QKzGU8^=;&GlC%GmCc`PV?uf{QGVo!wsqD< z^_9LBC*KzDF29Mhals?wSS$knagahtNy4~ z5J(&Gc$XH{RZQ$Hdt5sZ72{jDFEY%(Dga7C)R=3g`I- zx(DcX0nP)CU23*Fn$IL-i>$KLxBxTSk&Bt zE(I?QwRKu*LV2GL_eoftlTTsc+1=6hWDb@BCqlvI<*tRvKZf-)A8(tgTi)e04#eCM zS%`90Rx%ZgUGQS1N0y9eYjgoq68XbHU*q>E7J+73=fZC9*co;Sw~)>>7^^F80|mW^ zlI+`WBwkDHtP&Dd33Ho_&n(5Dz)WZPCu?hb!GRrPC4HZEu~(-!(vDB^;%XmUQKk`e zyUc%Wura#??1cenjiBq$>W4>a&hdx8@?jTym-%z?CMgYVQGq(T2cts40ou)~zd>O_ zIZt3E^;ACABeJ!r;mV1u(gk|&ZD#u*B4zMPrh2qs5VJ*4^)ye&T8@P@unidh(gQ5)A!$_#5;8KjR-u__8E-ZxE}wXf5~_c_V2S zLYl0LaGiHy_g%N1;>vFxR!cnHRb>v6#mjGiV9ipe6hI#DXcXdL|T_ z!6E~B!?;C6;41?dKO!AA|J8fXd}9liY_-wq5I#|RBbiEDCp{)&;pXha-7LKs*>NJD z1_3sVdIX$Tqiy)B+YVG0=Bg*`XsKB6<2o~6l2bY1)4`!d(!-N(iAfusGr{mXtWs3m zyNo6s8cfHysHk&DS7Tkiy~j0n&HxR?_BOWJ>pLU|k`+oiC*G}@rlx)3gEbXWx)@(T zK}9lc?5x@Z%~~Lq@M2aa4Z6~SX3#f|IKXzSNE%>3SAdGfc`kzy@v%9k$+1S9Fx{D4 z?=(iXYfZhd)t}C9`+HmCJ}J)25Ao{e{?7m%wd_e1TkCQj*~zc~&`XN|&{_cPhd7z- z9A)a_5$RhzA~BhDCjNqWNJ>K%CEbk%~A~GKoI&hNZMVkdCzhEN*KL0Bm2% zh|VsyBkp{f)Z97P^oHUzher4Ydk2lrn%-Q~DDJFe8*{Z^gWWLvY0uDSnT`U=J@7=B zt9ndq{CMhx=5dSWLGMOO(yuiu+!B#0o{P)*jpW{s`YKLL+Lr4YF`WGj=zu40^?6v7An8 z520FQux0?N?a3R5K!b^M`TAJ5t18+hLfB?^N>i<oCG@-)Ufgla{wUPZwiTC?fmpc-N1*v}+d{Uovr-L2{@!8qc`e3DNC-867#&6m z;>K45*bHn^L$aCLcl5?PItjI5i3ofjJprP7mIy-A_DJPKP>PebBH6`W<*m4}Wl@UT zoBKN}vrGBt(Dy*S+7kKsmBt(Q9JuP1m`}sK*t$OJT9J{s*#J+eDvIkwt3J(NG^K%H z7|^FF*%PyD01X&?RrJ77Rdl<_jk!|^8K-OA%?O4q_l4ID`V**p9Q!?Cw)`r)b|{>R z^t7F28R7IqX^*yjhE%j*c1_K8*>QQ<&7w%LAF;O=*bGL!ZD1WbA^|(9K{tAZnSXt3 zY-C3EO@pa>^xSbCyT_ZHJH@VVr=|qsO1UHQ?NDu23hnl?NOsR=*&0!f>*Z+);cv;e zFnfI#dFde1fUE^X6TOb%vI`8sqXsblXJuP$4xjRa>r7TI`;8yg8MqXfHdn)kF(PmR zcl{h;dnGc$JY@*CH+9e1b6_C_h8Ud5EFD(Rxx8|-*XMz1%Ow`S#$*xJ*CT?;2}REy zfW99f<1GC#q}$Ychr0<~nX60?YvzK;&Yq3FhU;t}x{Jm6`06UOi^g<{L7ShP@JL z{dV6lyHyd4yF&q9@;A1zP1)n>dP$!TBX*l?&5(TTyv1jl>8>h}+CjhY%o&Q;IZG^S zT~_o|Ujv^Lh7@gdMnJB=K7}UAZIVP!KXkYp6GNEQBI$FA>yKg%*X}xevTAksHh30r z>uIA+cs}mCBvS>Zf#S<1-|idqHPw`2c+y+-gn)v+oMq zg@w@1^+^7rI4KOdh-XsmxKc?O%wo2s609krgW1LUegI_*u`BAOJamtbvE7Q=b6ylJ z`PfYJVcU2`9ej~0;Clg;QX^VUwqBITsEn08qS<6(Qof+y^ZMx z+sxaNI^K;bL}lxMfA)5BO$DHpwiqe7WXZB8JxgbvTpF6auq?{_&1?c^A!fzLL`78C z%bWKb4s-d64+Ko1>$7jid}0*DEVM)L-_VYy@UMCQGm#Usv}@Vx4@E^~<>2>m@z;H< zwuFygnE%~6xThJWz<;!x|1J#t4yl7BEG=6L-%0ekQcea&g}^H*7eO8U>gdY=J#X2L zj+dm~+T;ft+z-FsyztQbyB>SaowPRXAsN+<;KQC*CFK7~ONY`KLxD0P{o!I0 z;}=Q6jdswMKdSh7*giuWS)l$${$)L;Pf?l$)N!QpGAD0fRY=1j{7LohCdT``W*HAn z=1XC;DP9P28NEMx-EnFwh;h^G-Cy=gA6*uRc8Y!ia?*sB;|@On$g{HTM?t>L{KI2Y zP}}*gGkaHct!%V5qfq`CE}r4k0ZhMnlVmL8Qgh}glzAOYqB@eEN{v=2nC;ru4Hd69 zU@xYrkkQY512@~vn|M56k^d@;2BC41?p$*Lb8a_15~!0SP?ZlE;(r0dXp@Ew0+Fa~0c zy*#{x2sG3k^QpwMi!ci@*a@CjyJf;ILToXcy`xvF&oH$Z%W-di;yG1-H)zj#S7&Nj zZAHr_UtRON;0Cwpx6L~Rg!nVr>f;BiFVHrB0&qF#8nk+@Fqsy5r`!uj<1u7RI_Rw7 zk?IQe1EGz+!|vUuVedGyld<_@fHcZfj?|u`oRf71xIPLfkw6nYf!k`vIFNv;BdREL zJ)5_LEuRnJgX2xL9Qhg*8p9j|i}PXoSI4ZKzf0{kUMuPUrolI&ytHZ9{5fj?J8d5} z8}6dlXa`&07}|ZU*x6iN0gGFI%&4;vwQuj}7tXuRm+{EP*q8V`C2ZLCw+E$X%SqPG z+P==@`>zv(KgQ<;cAdGg7EU3SMnA>qBatl7`|MKdeEsz~-UyS)p6;cBxmk_l1u8Q0yK3Wd)dl)bZFP>Uu49+6}- zyBceQvP+_=I$ADf(r!^W5~7 zgN)F6)i_pLb2=XfZfh$Dc8l2g{@7iPSvtG@rRu7DIXk`c4w%0*_VI+6Kt`W(VQ9wY zC%Oxyrq;jrC;&h7vlE1Tt1if@vzi_;`r2mS86;V?nc}m17PH9i@#2AUOp5LCy>yn) zM~Jjx%JK|}KMv)}e8bo|MJU{kmxRL4_SVe18`<8M4=?<&Kp1fBMFZqDRBYX&_ks%Z zO$r#KK-?|{9qH?s`A!XQXRO6&9J2nOnhFnPmjin+05oYfm+ileodGx@Mz{bH6Z;BT zM*lfiBv%6s>NYAb;bzjyY%3*(cW}MXd%aniJiAV4tYGg+0jml3-U)!NeGxo)T_9u2 z$Lgw1`~#$vCc-x$bfp(NOM-l%wE#8(RMRB*TT*h0Wh=lY8>DEn#L(OH;VDsbcU3FU zcoSg1_mBiUT6mw$5}W>U!1{5(mf(Ob_%8T)@kl*?-_oTpus*m0_#;%#izB>TPI_{r zB_^Gdv4_(ns$Ym|x=*QEwsb{uR^l(je?khQCvkHT=+9E|L#M@l?3uVXsD(fMg==~( z<9Uy*mY1&k^ia~C&D5SZJe%M5W%HJZK3LWG*5I1PI|nL7=qmWW3UH(Sr&p3{!~jj| z+rRU@?$^W!JxRajYL=2XL6&|}U1=?b#3WhEb+&4jn4V^Gl0G8arWI{F7BH=f6HepM zP_oFQfGV!kH2z+Ha6h45Hm!qFqv?riU+p7P8>)vz-L(}xcsKvcD#cZPH5GbZ70WB_ z0W7Jv6kZLlNT!4xLK& zLHiCS7_P9H?~+HJ2aX8d_=PQBG6Gq$VO@ZXBS-pT*ccQ?>;T-Km0tv7e9*`3zFS*i zG6hl5GSrKNn0{RQL-Wm_Q8_mb>DPV2aM9D3Zh??D07kEyj%cEWxU*U-^9A`7pmC|=gNn&pP%h)+sHf9I7O*w~6MbhK*E0|P8d}lV+l{eLfH2={e5r)Jof3oxH&4){v zElSzZbL;J*qg2*x2T?lYYdSy>0$g|RSH&DFm#sDxr2r5t;*Xwh_l3=bbnfrbtBuYH za2crDJtIv^6`|+J1Pn4^DP>AhT!#xRubaqvX|d}hfP2hoRx>ka*B1$a)nfa_Zas+> ztXTvEaz8JFF)@1=T}9xr`PxR$2N_Y0Eyg2B#ZLwBVi;9P@kr;thG(grc{i9$I(t1c zru{%2ZXY{0=v+jrc7HrrZYZ{RUkY$sQy?}e1U5_U86EZ{0Gkp_^h{lmZFTVqH8-aK z)w4+ze`(%=%)_wI=sL7$fwkTgUG*KIGbgI;7JY9w6iZUjbi2lgx4b8a%6ehT#tdd3 zt-Pf}Y-J8#9eXpt+rVF>p6{+2qBMb+G=^VLmAvT7VnidC|E0fj{1oXzN`)NYfzV%D z;ncgn4L&H}E?~Wa_60qX5PKJf_7T1f7(q0k)nOie=LFvU-gPG1zaA@NrH@pP_y#&w z>x{P8zygz*qeJ~sdKHik{)7vwtS*g2@08P7#F*{VBCfox-w0=MCfoWiT|fq8|2L!Q z7WVWf$-mtxnP$f>j1Z%H9RT}rr#mJKCH4~K+g+{rfmrCYh91|@4qYU_tMhl<5yuWM zm!XY)zA<&qz0zS{!Pfv6a?AAFbl%f+)&$8f?Qy{m$aVfB_7*}t%x2StY&QJ|_#glL zZFl&qMU!7A=h^6l50<4yKY4@g2`^IXp2ksq0l)N(@7BWi>JI(C66ybwpv1}i0h+^9 zOge%WN;Y_bwy=HW(bmK*DHOn>-!wdQI_W>WLN-ypV0oH({rjOiuM;Bo+DLes)%P7Z zlkYjf5LtVe(#1ycC!MXyip~>FZ)kHk?u=EJJ}~`L(xoGRunyt|EgJG`q9V9YdYFiIAxtB zOve7C|0*~=zTFe~c`b4n6T8ulm?GZKTBGY3t4T)>$n4rul_Xw-(W#<`#Is`#j}eX(sYIi0Eh77tuo@Jt;Spx{kn4J^=XK26cup}--e|UYGHX3_t zS?f(*jGtKa6iNeelyhP9;9W9qkNx22y^?U{H<6LHtzi~T3Pvyjpf?X@2KdVQ&&<-= zZ2qS8#wv=0ZXx7LX7)a+8~QNfaL>@MLB+w!l{}6Q|7JfmVViG!{NEIGnLi5WdgiUF zWP@;14{xR_*`wLIm830I3%Mksc716)3m*8a z(vZE}8_C`TZuU7JmW2YST4SbkC8(TRm|seKv+tCaqCF}_z}sS$h%o}&17`}NF*Hjd z+A8(7O?~a4B(Q=NT_hw(Afu7C{V^zYl?29#-PObMgP6gwpz%tByw^^hZt329@ILfQw4Pu21Bu_YF`eXR#RYa?0eU|)i5uAW0SL_ za%+AMNN=@ONfvC6Mq}OloWz7jvnqS(=Uz5|Xa9PqDd?w=5DR9vSn_a`##k$$~)P z%*RJ{>gXYE7u!3Z{Lwwv6r5m@K5bCpj}v)XrQz9k=6T_Pn3mRg_#RE8_EdxYS9ks! zVfO(}|!Y>j(bo*CsU4%M6$la0rU|!-bK8j`i|lD_Nc0VN-9(w54|x>%Mt$tmH2OdKHvGo49mPUR?d}fW4LQR z`pCLo3iUiF8F4J3V_7zElHo$CT_6;;25>IbfLVSsZ=yK(J zf+~K3E)tB55Sj$I`iS>#KCV2NHn;G`Cnb}i{6C$D8de+%x$1AG+1D}!DV1xO$?94# zt`k5_M_`z_!tl)Iy+&L2xiK8K+>_;+SeM1We4;fR(Zpm^x3B{AtHBXl%T?29;=N$S zYOgr3oadP6Tz9wLI|k{Q(SWY`-pKcrwn!T3I!VS~z0L3%HL<8uZ~xQVk(u^&Fsevd zF69;wGb39UQrA~kU_)f@m8#?p*WkJ>Sp25i;84Lr54{kIfJ5q}Fv4JzM+*ny)vYYK zSYdRAfUL%qUL9@p=ulY|>bEPf_m~CPyAGnlN*+VOP!T3}Gu&olm7C36SpKbxHjbUk zk`lF*;#Lo-i5j#&f~m@5H&i2iN$W(j{wVJ$n260+xtq$NsWWaJ2$}mnMf)9TF1;7? z8gp|V*d5m&4Z47w8v~18fwV zHg9XWedF`jxLwK*wybAv@HMekLUd-(+gas{1mhg}Ml?esX?c#E&Z@Aah>+avtm^SS zuUbYr&@uXq&K@Afe`AM)93-nk*P*IMWqj$gzN&^no)6Q}Rw9VnYDUO-H&eS91-%@k z%0@QP%*K2%&bR6Y?%m^0em!B`py41Hmt!U*jjk%Uu|~!d^6Qk>(K*K`{}~UKflz_u zXriZ4JY=FlgOjYLB2S^L&+m{W=LjdOtFZkYm1PH7N@thhymLmj zdPt5h#}lTsQKP)0k*s#4aE9|wHeK|z;XLVPfceH#&EY81OxR@K02v*n?D2HtUQcIX z)o7z`2*{91H%4Vu$rI}_D=c*tc2+q;1Z1%VB}5sL*}>Q$BWqU?6;K7^fAn}Isovk+ zM8`pC6_r@`r<*U zw8i3XxF|ekkL24F=C604zJU7+4_VjwRy#(Vr{+h~i(Gf@J8lR1_C;__1MMU59Os&jAq+>@xg#7d_`seHc-LA(G zQ?Xj%MOb4%>J-RdUzl~iJRi7Ah0O%(J}rLr!{n-gDmTy{^V9Z4m{Y=lA<|{7Sb(`}AaQ2Ovir3NmN4wdCTCXO`FWco4)nKB?#oF=Io1HUiwGbvQjCCUB z=ps+dl(AnE_Ml*#dH2;F?QcUoKLd0~IByuqa;0&n5H6|-=I84k3vpkBf~~zDpYWsD z>fjV%kja8`H}LA#9goCZZdF9`gyV36bV$hZ?H8GTLVA$F*kT)b39UC5G4vXg-nInx zP&}0?N2u72Z({pgaJG3xYV~55XGsMYWR9KxX?q9ykImSl=((dvifhx)B`Oi!IHMc% zvL$rskY8>;yI%)u^3=+<3$kz*e>P+PJFP2}i{+TxYusu#J4 z3O38MQ`FQ({tGBP%1BFh|LHSW-`%GY5Bi0Lm@Qyb74d*XxrXTWy^>*1bO0jC)CP3~ z!E72E5x1VE;0X;&f&4bT-?FQiEo7z|on7pqmwdZ7Ix8+}Te;~hZZzE9T*gPmXU1D) z;~VV?DosBh@W z!(h-4%oFr}F3z{5+pWOma)8S};-b;;7K#xt!O00}R1V~Rsoib46FP&2v^35w4FTRS z&AnUgrg|d>1i78%1q=)*hYOjsGlcAWCv%4Onwua(_3_CO18LingcgRXnDN9ey>*p4Hr#fO@KNq&HBa+k4rOacNHxR1eF~#xV&( z)$+|CQ?C3BWJ*hduDt=){sq!Ke)VdkB(S=^%0rXt1yCohk~AtP_XN!KiJ+Qc)&HZo zbGK)a+xaH+(PRAuO_c*oAIe7Y zCXCJ9%0G`=2BcB`kZx}y1R{K>NbS@<^0qQ62xVA$=_;eZAB%?}9OkWBXu%}ULxurU zZou*un-&;KG*0~xjvP+;b~~8C@*6xStd*bo3wj`rh;zt2i_L5TR^B!-zLFhu$_&J9 zoThlFa@C~77fp(X)!~+WXT^$KRam1XgFVpcKjs-b2l;no(;RX3ORm{fN7!v87I(0< zs&qg)Y}!~Pee`E}%TJ)@=nZE%jD7fNs5~rgoP3@73wR|`RjOxBI{6asI zw~S4DA*4hm!fLs(Q@f|zFT`@zKy*TqgQ$dn4zDie`@vC@_!ukaRKi?b`F8ydZ^3`XdGlmRT6_Ml>-3CR0PA0}T zn43qSqGWe9lDfx4nl1D3M+sy_qrB=oCiz?>3Ht`iJB|v#^sF>s?U0?K1DH>huTCY( zcd@~ec*s#;7fqSP9L@s!$eTWHgecvtv;3>KuxrzJ0#eOLB>C28U31E3N{EI|Nz<0& zOlo$26y3BnnR&P9UN+MMeduX#PTy|{x3BI_K3pu^C;Y@lH(2h5aL?JW1UC|`oj*KS zQ&~Dg%rYD0@(%;#z@Aw$9V#jd8wXJ5Sq>(i*j|{rYcS;$+`R65c_g)f_`L@6K zo18F+r+LlLmeN*1za&uivUxu5NLDkGP>e|%TF7t<0oVO7m8#*QhlI%HIFA3?S#B|6 z&nS|>gOW1YYI#4y8=AOh7-0`&TYp<)868+on5cgfXpcj)lgi7c)Y+o`C~PK_P!{4g z_=HKF!DL%NLaBwe>FfkMMOes|-7Hq@*fY8iL7E!s71S8t`%ghfcm^tVP6(3oUqTR0 z9R1OM7lMQ@+#Ip?=u=T)i}yt&EP8R#_s&OrchTR((O?UQ=i)aV{kz(I@rsZC`gfub z2>&?U-^GQ?!d`&#j|;BlVz-d~y$4qEs}U)Sq9R^97ki*>9*61XgOr{&>u=g7v`;rKKDO$)s7k@|I_-~$fIA_xnmevc|R z@U1W~nWE_d_{9y%g;UQC-&*+Rw%^6M9!+^--MqdqVG4356t1!wvUJaB-B_8$1K5~c z6Bg1TyyWim`RSao$+2_pF)V|xW9?S9lGFS}-=_BK`sXtRG>aNT&!W^0kXvV0g_VQ_{6xjuaj^V)dYmOU`l zIlaO@TwM^zTf?Tl=&le}*S|O8M5XH(4CpT2ldZ{`U=K`&-oo;tAfOy8I&O`U(!+|{ zo2FfvbfM|}fVE}!Mv<8-rS;_rG|i|Ynt--EQe@ht+E836<8}0(A}RLXxH@v2Xd`<2 z(P!(J61+HLn78xVI_u}$WBV0uYG5yj;pEZHY-Q=7l<-EIEml_We=WPrQX59?g;*6k z+*x_lOV$&enD-jrKwgc%*m1$lZihUGC}G>`yTudK>(DBU-y0ZYh>~KF?3yIK{=;!M zg}J4`UEga)B2}``j-&Awon|$2=2emTj<}dfOQiOBvoVR9&kVzdKrb=Y3oq#&jX_`W zo`YYytMyHmhX>9%f?cPbyn}DhcD*MAV9X%>;+?Rhh@c`tfZ29=ZGB{(o``7iEZjgpEN*})OF*UV})2jSa!y< zzRFUK<%b~3%T{3^AL+9dwOs6gb~X*nXmj zr60s58Hb*`Ku&H7hb?7OxZrz~$|X} zL2T4$ud<>ztw(z}U%6NtDo`yGbUgaSuy^qhT8(mV$36DY3g|;}=Qm+dJXAs; zA|N2ho@Shs2(fl4z3PcRGc$+pS1jGg8&*a+nG(pnISA*i z_KUWHA>tfBy|lY*&jc`8GOGiT;iKkr@3oiCRn=q#sr^>jM87o08#}Y(vRQUl3WJI* ziC6ci2Su+9i{BdJ=g<3Iy8C}|#Eu9<%E%c8#NY{vuS)eS6V#|rN)LKsZEl*MfM^bX zvof~n7L)!+^|jgEBSU_dm|s!e?C$Swoly6ir`}XW84)mQ9Ci9v~=JVLrD*s#tD!KkCzHXD#Pz%^iN1SsC?EjELIw zGerA8kKJaIUC5<43)*H+<(FH<{T$6m=ht7k56|H0sZ;yx2-`B*S9;MZ=CA%;8CVSD}`Yx|Q{g-o>-x z%V{A`gLh)t6%jS znl|12)r1=K{ppq?rmmxn@%WM?b?U`6`PbMipIE0ioja@H#aOyo;aqL`_265|Xz^et zlR0e)8yl|cZgt)2EBc%QtRL%sf9BoC%@5X5WKqXu9ouuMGf?_*fULXsz&{FBt#%u6 zp25#t#H85WW0#uSz~%2cfpnFYL=w@Ci^oger!yN?>VoE$8z-g3tZ>A)bVyLAEUDS- zUouFjo+$b!?hoYGZkR!A&cS@d?vj<|SwOmc_Jq3j`R0h=h_>sXpXt2J6oYPx*R6Ll zNOM^w2S9XC$8_6s9|cNwO!V6oGbe+dvr%M`saFMU>)}u8&*-hzrQKpT@hOa$Ni=A| zy1WSv*Iwt)Uw%LbnDm$J>R8)o=hi7eP#@iO$OCiVlH2GeGx=p}w6g;TNLw8~ zQdjY6!IUOeXDZdiywE&wkm~R*8#iv$DSOPNYXywHWgm#S;Nj*ohYAQyjMy;EX4)I> z<+%icWe_i9>gK90o)nBy!p2*&Nu*YCv}uzvIt_Y{!w|e<5C@XyR-)>Q!}5-fk;>ux z0$?d8n%js^GmPyOm|4bb6wJ^^65J)I7F zP`T9kLuEjxS*-IBva6;Hle&ZHOlmjx`-bjInS(!IA0 z`i{{dWM9|~#O#CY_aAKxB(VLll!7^;YkAy13y(`WVcAf0FAwifY_c?;PVcK1s zE;>Ue*u%M0^6h5OVZ{PD_fvV?=b(|)*=+jNgtb*}Kstoft7o%XbM;qj9du^RTm^{nGgRP>k@Jzv^m8EK$fZd$$FDyHv3ARpbfx{&8zHD_SuK`gf z8{L*qA0aQn(CNzO`&XN#p_bmUfalGb1RN^dzBanic?$>Q*ju;fsK5Hj;Z~>IEoVjb z9p2_{zb=V%_r@36t?iU5L1OOd>IDY zRhz>WKNY_@Z2ME+i(d3}*sK2q`a~FL6ocQC`}f`C)_?ic-*uD!MYI8m{i$cGBVLGH z|BtYewT2}`?%#`*eprg#5T^JI7W$_nx~Ib2r(?J6@h{z$w76!;UfzYZl#qQ41S)%cs|)nUO&A8*0wmP0I92}#RU zSB>p)s?Mtn59r~lwd2m?1NN+@i3g&wGO0!#YkY=2v|{31yi7ZUIWC6=5JLdKxQ_vyA@td%&X$Q zWu%ee_p%42lY+&>wUl39`Q3!0;=&vN(apLfWt7dCOyvudk;3n>S^cUeL3;K5DXz4T zs9l4Q*yS*XPg2RkI&I>N^A3Grx$@kA1*UyZ+CpflqMNntrdCzdgm%=i%`<9CG?2ZE zPZnGT7EK+mnR8qo6Td*58^^pexrrRBz%Cy6<|I1x>`NY-&EnCyxA~Uv%piZVf4b(^ zwuTV%g|IDOtagIa5foQmEWfexQ+?l(A<+b~+Z4-3 zqyhcqV7I(>>5ED7$G)*wg0rd^A30i$fYz9U60e0xZ}WdAO;F1mY4iTeLw3lsY|05Z zX`y@tG1;Gv1|^yp4Z&UClhDSAQ*pW^Mq1 z=D6OwQSdeBFS`8xC6w8ow@S*So4X>31W@Vy#bZ|jkhC74o(3G>QCk!sHW4x;KWsg& zjuCZ?Yl!W<{#b%OJafX6MNX=pfiNarFnZVAT#`Rl$sGdGF4tA|);vCJ_(Rx=Z z(K2b~^b#?`yyMgnVIi@}=zjE`zw$!e)U4&xOvX0jD$(_Qa=4{$!rERj%GzhYx((tn z4`DE`7L1(}PN^0YCjrpfl{?ilaA1UIrZetQ$Rg;td>c}OXaUXrlm|u&I@_D{^`d^&T%vq zeN{Ou_|LX zeKchL$rt^E@K8_@b<)$FxV?&|v;y5q&n;g0oeaBE0)^$*X~zUpir zIxxt@KWxvwzi?gEg@*;hk1kDsTYi>q7$~Hx%I$zV|CqKe7TCeb?ymZg_B2s>L15gG z$z*b2U;SfO#5Ht!$3ac3xI=qIbYJ_n$lXshihxe$xnz(09hXQ+N9FF0Th_YrqM!o;(8HCJa@G~_J8_DW!0L@h~ zde%5iWt<-Nym@Ve6y#(?SHT1h%92q%-U;vo>jvE+i|PS&}_cSEdn$ z{Ew|E;$brXHHydAC+I?NX`;mhl~EAXx91)w1Kwp$Vg_GVe*0xn5c!V0uYpCv%CN_;#+J#x=dmGWk95xp)+7hQK<|M)X^!~usgWrT}c-|S!um4JKKy+b` z!n)WUnlqpO+IFob$m1=f$6M%{1Ik88QS~bUt`Hs&jH7xE-F?I(>h6n~M9>FhYno=g z%LKL@HbY)wv+bixa0P=xkv>y8P=Jlip&{k>)%2>*oFc zNgKUk>r$RtAHMK-SgJST1DGv8fZ37?zxkhB)`PLzT%QM@yPWv^S^KS@Mt<<}Js_V$tOY2lxtuUxpy9*MiTxnK2~q@nYY5p@dIfA!Z+YLw5QgIRo1e32Ht)Z40R_V>r8 znVHOe`A;S(An`pfiJLUB2A-yI5>g3U*LJ6BAyO@4jx?{{*xi0*a1a&1CNAZ>V%&L> zO;1NL1tvS}foyuGZ0JF{{<5J2=?&M}cU{PO^NME9KiSlh$P=o2BSNt-mM1BHkjo_B zZaOirAC2R-sfk)Dy;~jABg&TjGQZ#PHlfVuAYSt=MH8Mrr~LiHVf_{CDjB}%>;x!X z%dq8$bT$J4WKu`Xu-Dj+%GVNz*y!P9S6pm$o?;MTxO+Ys7MXg%x6J3KU%YcJTldcR zn|)6Yl7-b&iB}p<*Y+-U1tlObeNciuMwC!+m(40$lbqk0)Jiz$)FQ?5K)gf~-GOd} z?o6PI^_M5z6CP!>?o_=&GOTQNy4uDn=P-^xd{VP`kRZwsACZyUdHHr;eAS&A{bd4D zxWhwPrbS3uS?2LGZ2Fn}vcC+vz02J0e!ND4oT~CblUWs=R!4l=*M2yo(^Ht|F8|p; zeoK)khOn?MyOHE_ zwE^QzAbkO{B3RO_i4oQyMa=W16=;QJ!D)Nm;jZ)Cr#vFatbUO1R9qc!S6m?6@Jvbk zMpdK>GI6whSvlvBVz`)FjeL#dH}6shj->QEikGtdnHA+zXLcYhv{#+rAFCkKRg0T? z+7w?Yj_TZgRn!s5D0R5lSzUBmTr`k$`v9W%DyO-6D8-I-bxX_V7%?t2Gso~87HgVj zf?ViBpmfi;tDEBIR@-ZgtyT4c^s&o~`|DYRm397UY2}-dC7CTQTXxl@0I-)LPr>B2 z+HOC=X1vKff9FJkkQc!Yn?H4rsk4Zo14O95p)NnY{aIHFqFDwd%op1Ip3;f&KDSfC zrsr388MY*=V#r}O%OShL7g@%tnD}HSBewxLoNT2NYfdnCtlnkA|Rn&ReJBswaDL<9L&tczH z5kQGaS$vNooAA>t>R;TnJ)V$Z9TJ2&!^XqA;%h&6N&|}fD4Tv9CB3o9KO20{+GLDR zP{oME0Y%+SQ=&uu=qhcw)p7B(ZHSP~$=0Nbe43`oki;K5SS*J(L#F2{cK4*mt(k`J?u8^J3UnW+IT{! z`aa4{?~)*@THAQ_t949#kE4o2_Ux_4{X+sr**_!@GGb6LRQbirMAd~M8zFDm9{;$H z2kxKStk|67M=H(jW3c9pmVU;Fd!t1*#&Z6C1^e*2D#qAzKJ`-hzD6sZ`MVct-?C;^ zZ!;d>F;?N_fd$#;f0S6FT<=b3Mo;C>WR7&-%bOFGl>epCiTJA_Dr0h5b_7ss@3Ka& zRv8#pK0ttdnUGn3sc^7_JV9L%py0G=Z?z`&kn7oWY3W)vv-(v9AQ^P}aNWBd*per1 z*E1RVkuK8)@gRekWw)M69~!UT=C;<}-@*e@xTiB=sz6Xuabd>oXVNoDh#siO+4Ki) zQz>hib6kOY4a1Y5o38rxho*gyi~xykFG5QZua05Oq?%V!&>xKu*jx3>ePUl<>}2RH z%b%eROd3p87x+Rwg%D%kVnmgtw~CqlgZcfDSp4(ru$8{0irHSkE1@!{5cweNK)=Vu zMcH!w>1NV#C7$QSOve)_aD3zg@rK8( z8m+_p=_OSBR^k%@(Q#QAGEmgvfnojox)4oXc_1aw?;E?xT#m~v2HKy zdy)HhK$Z&oo`s{jO-AjF-J$jVT_!SUZseaaM`~Wjx*|Ex^`BF}UXj+&!C93H_zNID z`}3(URu;amkS+?AoN=99)L7da9#wEfDcQSreB(gk>5SL{%{u?2Tlx?bat!^hPUN!2_ zYG1WMFL7J>oFR+-_}HrJLP-P-f3<5JojR74+OH>gVa%D2xk=FF4o6*M=(QKd?-D-lSD&GiDX3Lmh`adnTNUqD=d`AJVud>1f+>ib*3_Rgy($i# zU6hcDX+PEh`S}f5i?acI8f|!s7UU>1 zwkCC6w&x?E&Qx-i!Ss`li_Gd+b8~-p zVot>c6*I>O9#ya?b(8$JJenA@&Q&+%r!#){V#mg7_nO@Py8HgY@!r^gu7^3yZ}2aj z>SmFyvet>R(j!2gp?vuWJEe|pev3uflQ3i1)P8w>YNG{TA~RrfH*<%a`ccW;*cI$5 zkk*UzmIt-^%T6wMretUxv)Q+-KoC$KFCjfk;JuDk;m5#N#pe{y{Y$O#2NtUClLDgR zLY?ateWb96O~IYlzr>3;z$fzMHnVj}D~pp1@wPLrOwAKQ6{R5(sac}JR_DfAYitlf z&Br?ro3;BCP84FkA$1J1<#;>XJs2Ugt?g-MYMrx16YUj#*cG!w6XmrbrS_yU-%)RGO$JCu1nVVX*$3~!GEFGAH<~kVPS+>YL5>mf`(ixo<2(Ox ztBeqNGi7@?=ldeR2>!`pXPtP^t%9EdSC!8qs}0UxDN7kNx8x~|zP>1N!A$3_iI(d1 zh2jonL4Hc8|*I zUTzH)@D|wGFua8O#o~>2>Dnrj97X;p^r&Hj1zem$SI@zmUVi|X6|V&JR|f$11x01M zYeKB4ZeTX^iY%mseT5&Sca!7yKn{XNe~^9K?OUv$+St=^I>#Cnu=H3XyCDic)I;y3 z@~4kOhXF4sC-8^HhZYSfV;%E^Jb+#2>Whxa?{(I>04|i;v%yO$k4vV9fUOEiAiw;E zJqZ4m*{PL4)%NID?t~t1j9@eS9;;}3kgkq2PMI&g9x%BZU)G?iKy7jXG+MlV4aJ!*wJ~Z^1WU3^7;!iMKCL^MS?VwV}Ir+mNJcH96D-~2K zs|D;fUutI4?v<{#vO}s!ZNl+KUPv<nTN&{HWH3mOrZ@q+7Sy~M@Dt`y16@#p1~@jFq1B&|=IHY~k6#0xaHoi|kCh@9 zDYCBV2u5fFdqW1YJg=MNm*?u-deiz5&Nf#tW#}X%MUI1Yp*G#_OcqkyC$hLXxxS2p zYek%q+TrId*0mfOyABTrBzNN+cS6Vc_Q$8rneJ?-^G){vU~KV5KNOi2)z1@-14DwL zx;qwRdL4|)aU{Q9vUNII1fqxQc^Ns=)O zc^|jn$)~=#L|4AD78f8#GJTF+6!9Gn-}Kb8ix$FR^MDE45V7u;^8}}o2i&4XQ4oyX zRtXLEQ0zOj^EoMJj+73p6VHpfyw0*R{O#hJa)_INgR`-=Y0{1d&+oR*5q zgn+ZsO>BT(6s%un2UNe6vwBCxB~FnK^>d~sQY^RI+_wM`qVu{0V7hsQd`0yMe{P^s z79dU#63)GyuP^(?ufkf1k_`A&I3e@Af_~%=N8Yo&8Gn3i8D_!Z4AW13wDhI?#|w&; z5`Zi`QQX=CCh-E;KHRQerM1{m9&lm==F2Lp#`2PojJqpmsXf%HCm?dl=VDelWxK}; ziZuKHT~X@y3#J;V1kMLd@jw*5C=v3>qd>DiLRJ8aW+B20uOPpNI(Wq9fWs*P2n%d= z7>Lkb?-#)vA_<24g!B_n{#IxE{}~IkPq`D=mfe(}U#0jzFSgs{>_~fg0!fDY!?gr( z)&m$oXQ8_uwO?#@wJK_`7pnm_X8@j|4uY7i{PYw%e`1m6-16+2U?O{fkDR7Kc6UBA zHN(!1UGedi^;r#03{WPG0fVyCc_a7u{qDhGXn&8Jo_Mos?<#_vH!w}O znN4I(JMF_BGuj+)V}T9S4VVxmftK1Cw`uHxAFEAOc+dsS7e|&WPdA(<>=&dK2ULXc zY2#Rw*bCeK>8!q#rnSm2mtlrYu@6wifK+_a;fYt9r#0$i zD1S8(hJJ>}uVwZdMCwSq^?WAn;f>02VpKCxLbQA$4$X`2{Cc&;3IN6+4XNoiHqq|3 zhPyzS&8GJ=QWgRr=X@rE)5YFTV~zarz+-fsc`2qscp44ec(9ToARp&DVtUR z8QYjJSGh>bkW6Zl+bR$=VSr~jQp2XLpZ^Z_zejS~RaP=l)$pd1Nu@J~_Uh5qT)Tk~ zGj~g8xmDmVLI@T_*!IlMayhqefe$lBdYrR@-2!8(qy}?X%ckmkc43odv1N`)t8RHt z>M{-d%puiym*~J(&jzTPv?xNfsE|!;HmM@c0^rHJZ;IY19>RI$?AeRV?J+9@;hK zZ`nzS3z0yf%lTGr?L)i5#l4(b5XUK^@gEL2vH}0g>A&w-up@tJ?V=T%!(LtV+~%_{9gYQg_;LJ>g1>KC&TQk(?Ee}0^1m&}zey{P39Fw^y>3di^s7bUJF^I;t*-J<;t-N?T|bH!9fXgKVNQF0iD1+aNXG z=!wv#SQqT@cc{axkyF=L{+cfy^ftnH#1L;Ztdu*R!_pE$>+OPdl0*qf|HY{K(x_+sB ztLr1F1|En6V4sjIqgrHuayNzjcs=0IWG+~YbZWco`}%_(&MX5w6dd(V!^ZylxMF`) zQ=U0a?v0#jma}}2kiHJFG%xR%{=qPb(aF3uM zpXC)Fr#_O?A1e&4E?=tM`zS;!&pcUxL|qbM3!sbo)#Ukv`fD!6qRojK3BpGvZ2R~L2=AR4~pZulZs z7B{YK1qWY54t@Ugrd}0F5FQ3=h>C*lm(*T64q|X!8&)G-$HoyYFd-7VKz;s4;sQX`L^>fv0QO*=L!su|Nx z#wMBa!YrSE|NTcgRPX#Rt}90xbcpB68 zTbwC{O)Lny{oZJYNaRCSVZ&!qTVY-Kgn#faBt5~Aw<=2|cK)S&s_yAj0wHEPEyn=k zwBNeQ(n5P1pp0w8aG8hE3ekUFwCufLK9 zYF1;@)|+hl^48M{MeWy}JsoA;qL*Ry2DJg+%nric=E+8lt1-g&Z*m-yGOecdbBLXc zarQv4onJXbGX=Swk+XEfBuB#@5=mYFg%p0nmnqn^r;{p_szd_dQL7eyV4} zLgrU|YA}NDGE1VFcs=CUFQ6ORWkByGe_a+AKrlg`h((&gdfm)zn_zfoZ;g&7O0sFO z*JZ9KP4)}(U)z3JWK;=Fm<^){3Xsv0@JnPQyRFbDZ8Lm1EnMwZ$Zw#X5^IH)-PAQddjp$VLx$yk{8X2Qo<5- z|G*2Qbr4@pc@9u^&Y9k%3=4Wrd~Q&%+85bFt5REcPO8J4=(*w)r>WgJ73GW-Q4a+{ zRdgQWk9d=s2v^2P+g&PwS^;IkA~rz{{KygXq8HipmDPnhpKQ06HT1@u`2pnE`VU7= zGml^O20gLBdw`LoRfk!iz9>QV*5IBrf6&dK;TUgy9N5r5um^&oh=3DZC!RPT;XL`7 zOv-R)DI+TB=b!m8b=FN|x(N|pSQAdv0Hm|77ANvw&nFz*cgJS&EH>V;|?J7*V3y(JZ;Am*dSp}`h5>)YQvXv@aIsx4&GhhZdc zuD|qN&0R?o^QL+cD+LIs``*Sg-eP&S$})=*oK549N@S*XZ31G+cs#)R^eqExZ3XFl zU#nQWY+TF<+`n@oQC?SCk2*|ZCM0XPvbgBcQxgfHu`eQiQv~3sW9;@|D(kqA<|XhMMPOm!s6rZG zp%=$U_8OIJl?}LQ$AtotAdiwiWcRY!W#fV-n3uDwUKCIHr#~V&4~X4Gs;`|v%3#fu zu8mGD9A=U*LZO)fyH>`%sxu131Ac3DA#$ z*7^;&zvM3rGN?%p_W+ek7>O1%{dU~YaFs(*ozUM=4v9Y>c{~C%5YAA`&{ou2S@;KU z^xODlL17`+ZLy9jMR1(m2P~b{f-B)rPidTkg|o!%wn(b2`PRs~U^n~jAD64_x@;=d zY?ut-1P(9e6lI_B#NO7}6Z(d#yVNMYW0n ze9wnqXlU=8Ch3RJBDwUYKXpSd@3`1JH3DPNxTy%*j=ia5F-}F&9zMomkI`bT&kWr?#>@c7P18WTO=`Q*LNN7}+!_7wTS8V*_0x64+`!%qFpsLj z0wHwKMV3z*ONz;hEFe3fv7-~PRw z0?@)Ff1ry-)*%Ixaw~x+m`ZtfO?^X4zm?0p-G-zVcl)m)ErO!q1+-uj zl{!WJ^EcLFWS%vR5GD6sY?(|cX@A4AJyRJj!lYWZN;JVH&cDpGjdQc)wqKXK+f_jQ zNBBYC-Hh4`ldO%9!O)%lC?xNlpw!M{$2S`Xc~1QKXI;dYknsJ=4~J-Ut9 zXGy@kQ#aDpbA}uq*v%w3Ywo1Mh}TQ)Etnz8p^2E~a(Gdhk^T^7pQc@fIkw*`Tz+IO z(OmT@Tb(KbCk4o3WkjK{1BwD*KFrkqkhP;sN_VhndOaLwgMlv=J<*pVl4T6;M2?z` zoP~58=-KsaHMe|C-S%S^y9bb5x*4!q2XgFAhsu}FpBrgevJqKy2+Glr`r24|7Lsg2o@;I)*?a+==U5+dm ztebF^WC=wWX!x0ZHhQ??x*J~S+1k=tcC)8Z3M{f$8yczj*=!~(nTBilFhrS`o$yO> zk8CW96QuV=wKlmWV_ym;bmJPgp7@?f4Erqwdm-)fM~CpF-o|y%Hg*9-L4I#!69b6A zUzh8CxWS%N`!dUn5NF>nT{R>1`WN{_%J6_?2c~wTmYowwgyGF6@ZwYw4uAe#6X8Nj z5Uyb$^on>OAQUyP$1|zsu3S{ZuL}x_kilEJYRKGCPFkk?rVkt(ljj)LT4{npLfYoo z(ob}jYg{N^ueRjbCS7f+2%k{mAU{GL&-~D1YGXQ=9}%)r`)0`iAjGhL&ILNk2-fMx zH>6?u7YUi2rGDI3ZS_a8czjcGD8Y%69pW6o%A2UFhR6QnJ=HgRi7ci)VwUwuF0d6`&;Xn;z%z_YfMlXd(s%a+y;j_hZfwrqt3+%DVGQ6XbM zY=R5i-9SC~&BDm=Apb=is@W?|hOK$Or9ZeX_phE3sFw3Cv+u1S(>ztXoM%^~vR#eJ zR1;ptn_wB}wr$HnaR+Hz&(lCrtfJrBCzB#<||-L^aAqjfMnR z7UL|xxmA1L&9)KeURiTJvrDSMFx!0?&<}?)tbm*T-S@}xZNm^g(fjFqveM}mu&NqrHvW&-1c_RHkMu#VhlUH3pNJ>6%q+G2TnVG|8wIO)a;u%QDw|rsa zn?KxM+_KNFC(dI_*Y89VPHpDSrB5*YS-`ndPjcu%ZksGO0Jx%Uak&0(L*g8a8lksjOOKIpK}6 zOR_b`nvYD}p$ly|5y5FtZCRkZJO}ej-uu)6-Q_jM8NRvla>zKNeCMQX{t7yxjFftB zuqgv$#Ra#$QBEYYX28-u)k9u@zzEHuWeOM%gy?l7^Z-wr)fY9%pj+oCowH-*0?gx8 z5sf&C(&m`4pE+CTeKbBs(>O~Mr;jOdZ6tM;*90X94;1^xF5<7>Y>l)lpl zat7W~y!SoSo>PKc4hhQ8gyaKu7t`aouyqiO+cvdkwx9@6=YC)G2%G&y;XV78J7_M{ z!|6m#L#3(^w;&LQ3@4xTYWfuz!j!KX%x|ehnbjNFZzo3|tb8~Rmw9&r5q~y4BOISL zxjw-6-=Y`gV>*+-a-eT91KyU_Pn4vyE7lj+Um??{k4_MXx#>Y@w~pKG6}T!cV4m$C ztt_=*etDBN>UO#G)pxfG>==qAX%^T~kLrmZvOKP~VzWnzpKB2)}iX&jYL?F}VB3&x5~n74|?>$^LQg;kd%ga(nY z%@UTM-*BWuN~;!-xaIsE7li)eic+(Y(0}o$sIzR+h$NR`(@xC>opyJcxI|G+m98qt zCrjrUA0+SsJe9xqZjZe4qDqUA!!;y2SXGfD;&K1$jnv(bC_6f}CY_Z|?HM04=o46u zcu`D#gllq6PVEjQ=&qEW6btgYcC!PafjUoEBh2TiW-eL<0Xm1R8^B+F3Y3?cH3{Kr*emlE7VdmoTJ=r~ii^NQNbjG<#95RAnPltRp2KJBY0)ifb@(OxEM z?7LDvm~UE-w6*53i?d?E5ET2So&7kgMYj>&nA&ht#JmNlpSeLM32rXmDIsd^NSoOm zB%7K!_we>h{6kV2N1RULmz{6N^-JtkCRANw6UYz^DncBs{@!U3)aQqAcJ}Q!Wssu<2{Q z=&avyP#O(|O~izIdsW*X+qD|3{Ym&&4^4l1o!SevYq-4tvV_LCFDfq14d5g}6fRp* z3)YgJo3|pzs^NKmlwtH@@t1%60Fa*3oyg&yYcGRP$`jqBb@WG^662_yOsHdzRL^uU z7^fCWdzSy=)3_U@tLi$v(SU>m4^a9oVrS@goy}+i-dEgByMHSs_`Jv`vjr;%91tr#SD3~g5ml?LOvYiwj#IIi}iyM~ZZV>0rgCys%AI6FyT5?+z1GsRSiRDeb>0MG# z2SI#gsg#!HlV0hr31o;SlUCprl6j(D`44^*wrZlmj^7xCYvT5_0vZ@3>dX?hCc{Mbmng_oRH4 zzs7M9J3@1RRGQzdxTY}qqf_C)Is}}aZQLI-V~`e8Ji-@K6C%}NVm3#2ag_-8D`u~; zY2bvVh<&$(OH}bi6NWQV+zIjTeCaHIf38N!7XZ&4o-7fL5+xIx5v4;^mWqSW>^jQo zG~&t&BK@TsPs*#ILIIKj2l*ktBffwvA$*ayH^vhszOp!NoBQbe-6gRYIm!>U%fe;o znnx?|Qrb-OIOZA> zXT^>yr4#Pv|A(oi811m*3`z0Hf@?jjNZ1cfTF-KBFnT!Vg!Lk zg^9v2QHMokNfnrw0Mnb!61JJDz!YXVZQ>GHhF)cu3Jm8q0SPlO)4dqXRw`2vCGrrAvW`y=E|**Je!+V z-CP@se&-P$NE3~utSSQ>qfTn_MAzJq-l-#7)eoeG^=;lkl%N=QO2s?ci}C$G*v;-e zL!h}x&HATzoHg%mYMN7W`Y(3OsIxcZqIPLUveHur6e+W1qw5dcjNQn9-Q;wgAqu3fWH zn5N#{VMB@ZV`i@r>%apnAG9O=NX!BBc@+|kX=qUgM^9T(6bW&xs?6G6QIu`1=8#r> z?UGc$j`Ia~kGu1mYqV?y-d_ABziH2D<}M7#Eql$pSNX?A)Ak@4i=L;&idmgCI`Nn$(gJudh3*7wC0k?s#tm~ujQLyl!-?@Gffb*`t^BW5 zpV<4tm^fvKmE1ZKcUrL^m0%D4F?=A=s{a(0!i7!f+=yBu^Z#dES;_3&0syHTgZPR}~ zrbZ$A&!#@DTm8NZ6xB_x5G%yo#WSuTT3Fx)(5}opWslz!tYcFqYYw{x%FLZxFe`Jk zbxQgoD)=gk?M-otFe@Itap%U5K$OmE(P|9wTu(QI@IBz9aqDJjkBqGhE+kLTzn&4*|~YB zNEfdm@|TJ6`=oQ+i)@9NC{BTLo#-YlN`Ewmo_~w5Gjh=}-A34NPQGBMC`z>*U{msHk9^HU4fFGwgNG{3nOF@e_-l-G zVQsn(o5PS({I*Y%ZZtm@P^mT8lwq#ZKQAS})ZUYlt)Gg?+3GuXHDpkrtq;{-F4ERUBasm5~9TIv#k zo5=B~Y8WW3))E1piaTf!prMF&I-!A_=83RGZ7ShiE#67`aK%3i&y4!!TV ze|Nz`SUe_%%%C%Js%5+W9Jq6(BEFGHym{{=EtOQ$pcV~Z>IOK`9irI~%kq&Q^nsSu zH^O{6NW7Q9C0hV{%HJ6T9;)Oo}Crq?d zJ%v1gYN5)$1v{Fv`aIo?C-?z5#PGWA9zO-jRx#F{iiHnJ+~T^2e>GHR`X<+|No5au znP1|xjiM>^Db_JVgt)?=z;`s)Xvd@0fATMsR&V zrQVxI|Q7`xJgpoUFVmsu~mA4D~)s;oITfJEY^^iZj>2c4Kp6;H76i3(_b5Lxefmt_3 z`lCG@i?Zny8~;*e*^oQf&HD&F;2D{+YR;rp_xr)D$6vf2S%1u$<&5>URNYP?hyu=^ z>Vec)0XU_>B>(XU?duC|+zyN%md^^b4-xN;`eSU}t;Kzuywpqm;=ckqCfP3s-pZni|`)IJ0EttX%# z=;u2qa`A2n=!A@y2Lha(x92{=awbh{sR_H%YP-p)m`?5qo-4TPvc3pM*Yhpq9sY{U zTH;+ebnD(4OwFegbi);;mUK_(xN{5cjk(Z8cz$EC1&cHvk(>Sgi1F_B95%tp!S*#} zMWC;NapY}6EZ=i-qJ@c0FxSxgM*ImgX{O(FYcB2Zl!P0np+c~^kE&wwodbKUGzMh< z@aBP}+KRErOvx@5A2N##Zqs&uv@W9wHeZSWl2q*>3ck_r)ZCI)q=Ac9GLdl{8SQXQ z;SRKJ(~~o<5X-D<^_WqwQn_h0s^W9tc(h|T2c)Paoj8vtMKE4@rIVxV4bqoM7FqKrE!tLqcF>kChitp`{)ghc5>i)$D># z7c#7w$|K)?cSZRDseZK8l@9L-fr7TPMXP2(8tqF>pS+%)33rRz2~noIHhCkr66M?h zqwHO7(Lfq5{F#SN+Ck+Nf)w!vc>+bd=DTm@kB!HvQZ^;qK;83Ff&fg|RR zh=oZ?JbPMbvV|j?eU$NDv=u(|?h13hP}T?k&CuDXta24L%Fa=+y z2%C}?*oPPP3HXn2o1lj`=N)e^R-ou35nY$2ic%io-z!5Gkvk;SGUO6EH!A|nR3w{d zr{k^3HFs1#3NGin$H&OZq75*@eT_;4Y{}_HHMYhz{zDzN)O(^?CvpCkL7d;l5gG^Y zWTvFImEbW)%m|JZB61@gEjA3Eo-Dh53f;B0>Tg7_g_5zBMpAxZdw$87)D|87p|9$Z z);`$3H-fFBLin8oC9D2|IyvDt{y=B}kous_MYKoT|M-*X7>XUgtgp8 zHRrr*Mg_>g2OES^7=`r8AitQaoU?5!laStkSc9Azp6FS?0naU|EM@_XerGN`br9`% z$Nc*mY|~_E)Ew_rV;Ae_gw#CO`PoQ&Ysmn2HLty)wmyPH-+1eCQd0ek+L3|183GDV zhM(98l%=q73)&R&@4W5Bm&iBFyYr8ey8$QnF`V2N{x6o^oP%yzAljt@*Ml*01dN$= zzn!zt+0F#i?g5FqAwY%_+iiQ`m$QfMFwV{0kgB0;sQ(%M*(UbQ>D=*uYYk8QEO8za zv2Vro(`VLPZ#a|sFd>j#_!q@mVt zy!xoa7ytRHp+A+Cw*7Tv?FtKZgGWqrEFTbynmCsLA=QMsyvKp*?EmG zUqo?b1&bWR<(fWESiiNQj_q8|#yz(=yKD6ErP)|t*22E+H?l*iUCy-H!%J3x?Q*nn*QDv`&6TJ(h>E$?R`T{$CHg_Ru#FtuAa}1*_h- zj`ot*ku7#Ysm(?HYmeuhf&6Ghuz-Y)&SUG0W@Kr19B!2yyZ9=?PT+ZAjxPRpC7>sx>7z3I1M>Hll| zjc@s{@Q-;HxL!%@5+7MFcWi%z(Kc}(m+L6n-2yaYGQf-8AgX05uG z-Bt%zr=5q+5^c@hG){Msc6#v#d_;vRY`37XdUm_jH~aTRQT!2GyI#FJo^-lHP#XCL zlaQ`|cM45QUx}=RKY&EYCTYiLg$jMnw0o$(&8fJ|War<0TlA={ zq|Rx_AbgC8ZX~JT8Me?L-_X^AVwRENQf>*+6MTwHmsPz&aL(hJJ38++LOfEunxWf^ zNsC~XjUQ>gY&*l4BBs3Un5V1S`5iMAMH_gjQ(vgRmYv=1PVV2+VVg7-Vqw1s=RSjGH`3gSc|9w!icj&fN4MeX2sFioZ7b#h`@a>MF4xCVw+aNtAqWWdvf8V zDnu#Abn30|&ffWQ7JuP$c#$(gbJ0!7|2uLk7Odr>n=c;;Ky>pDbI@t7eQ`eLg61al zqJN*Zy-)lP*S`1EBi6@>8nBNkxCg?|dnSdXGJPnJUHz?*M81%ly zP4571TFosPIfXDpl68jMo+8M=1cD975K+a?Us1^z&6sagC~ZYD88( z`>G+l_I67=Ubl2Vo~JD}T{Be=ggWJuUi-R7pZn6)&-VR?`f2vSAo7^2#r-%LJt&d~= zT5@R`#ZWwc1$>8=U-ep&W_(fykYa5`mT@|M42wx2J3G4iXJEW@P<5LcK9uNs&xsNF zmlv5X*77W^vq5loX@i-Gp9Tey}}Y2!4R@deipWud}= zV8MT3{LU8v5tILj^8^RZz!RK+dafh9T-aipQGYwj>_gYWU?q`v0<`Lqa8+RhOix0D z&b;>SZ;jKPC?t$UXN~iXA}QlZo@qOu|f#dQV&D5Sw^ZvU)sH$3~%kQ5n+c z0O0g2x-fw$7eiJ_!oZK%I3d(PZwQj`n@lv1(3XtraFSr3+t{oO;)xRPzKPe{ zLu-0ZFq&oHIS~q>E@EV~CV4`=V*GZB z1B+NV;122VLUu1q)9;$_*W`9trcfYJdt(H^X@QLk6LuC@pp#bWp(;SBy~xrqe&KB2&J9k&d4}iNd^OY&jacNh9=b4zRa||NKSPE8x#FOJDRm z>OBYn76!3~#Q9!zLOm;vc=U(=_8olA6_~5YWg4GlqgIZWtuF4app+qllCP|7WhEVI zGi<-Iqo4E(XQ`jSp`6|q=yi^=M<*}EuvZ=zd2`=?14gv(n8p_zIwLjDKNpuD@vK1A%7g_ zlOQ0}dBALh7QhoTvTDC1JVmO%9gW=bI|4-la|y=Ie#z zS^bMXC&o_y2%WGczOux9*6yeX@$ELmNr@sC{U zr#S1U*SVRHFosV_Uo?8;8k=Nb_r8M0&%gu+uVsqI$r6nh2d`7_7>l&a-g0w_=(tap&PI7M?DX&n zA-Z4d00fLnxD-HSnpEI(zFolHz@@h@i~P%!C1H_8oL5oQFocAhM&1}{Hw7n% z2QXau|4h~SqxdKrue#fXHlCiK7VoPd1TpbG)NCIRMc{scA$FF04X!z;AXYah{3Fb+ z1VU3I)a~GWUdCnveB&rQ8+gG08^j5;Q1%5G)c6z~H0*54Z)!&q9aO0;Ji2D@sZMMBL-DZ z{S5%xihK#Yw$TMcCRG|-{qbjgJ?~m(^|^3rloch4&$0<4bTqnpvA4844AfS--SDFK zrtBJ5Pp?()Hqlv3+(z@}5NTDZ<*cRZMWhcw8gJ?gJ|$As<{16ddk^Wv-XOJl1_M4F zV;9Hj@A9W)*LsIfsFTVzI!#nCq$M1|He(+0iTXm#EoEXRX&^*veE#_1S!8m2Xl%i_ zHw_;kf3|A9aeHL#Tl_ILVXCFJg5vBz-uz6o!&^_N3@gpw%+Y}tOV*1H{ z)13dek(mp0FIfM?!fz`RkyMEVA`Z0xaRI%Gz>gti?AU{5fTl1qf>SlVSyrG}cEK6F zj%8PIE#yD_h2zLJF}WBohn-)}kNa$S!aVjBE}ruCk6aN!#0tgE(S(QQqYv8k7!8#F!hW6h!trCZF0KXb*U{NLZQ zuBu6-0=c_~J;I8&@%8>OT^dY`JYUdVhd+1=--1p5R!=RBb^qREDs`6+ehEk{fw)& zx~^E@Albw(Tqh^=lG)*;k}*3@_5iAwjeTz5qbzILr8ZB9f+?&)LU0-o07wD>Hk)2n z{a1I^5q7sbzfEGxfK?DFRi?eRZ5qQ>2#flRfHSa5zXy}a7$?O>_6FgNv>zM^8ux?= zN4Na7!5lOd*qT3XJY2PIwp0Hx^;hkC_sNoOz7&oQA~%lce=Oy4gbSx=Mc_Jgi+~6c zC?nED&!lp7%SOi?HtG3sN#(tsac%LgLGy7OfRa^lg1OiVq6Q+jj7#GnJeOAW;;gAR zD4B&BEgzD&kjlP&c%#PXPOi!wt?12xxK3C+Cj zfS(6X?^g$ok-JAtT(uZAcoG)y*wj=YIc`!R(e4~3P6O`+DFY^5?fikdwzqG?Fo7Wqk%yw2Gnp`;Jh;N3F$9;|?T4{qgR`o1UNq>>^|B z<;yJwthFP3lW+S;@bCkNrhXszhSLO(&)CSc$>NWIq#NXLW-*7y)Ca4@5$z>tLKHN! z<4#<^MT$R@Fz%U4DWAMr^oWW zQ-(^j#aoDqQA*2T5H719se=uvQ;aRzBS+de=?bK|JXV|9hl6mo>H}@06Ln?6dQY(W z-xe z7sw;sxB{J$ch$8QP1#{fNpJlf?eIIFL;w14$t+DBF zR6KzKj`*{#lUuvni-lvdt9_DHzzPS-nvzw&rw05{9li``4zwGWI4HtuKUD+AxuhmH zZ}h&#m+idp1e7(M9m-nu$ORwKOdg*#7PfI-rhdVcrm;cq3gHX*FaQGfalq|FB{|hv zG*=;Pbuc3kk%nVvU!<=mXxM_>Di=~6Q7Ff4RR0&+nsr+C!|$f=XDR_UKZvvX^1r6< ze-kKRoKtXhhkNIy@0Ng=3TVs;0kNup+Q_JHV4RaZ2%I1GQ(M@s9Q3yA3fm^!kD!TS zS%Ib!L6fv~ezE%u(tqBBU}v;q;ZmH=AAi}jV^#CPCW}0j-n`=dhR+69z3*-<6ME%K zxd!s3Kv#=-k8v_bDq(U+LECinBfW3`Xn06gf3&x&C$sV6!kJz=cLwTP<_ThM8* z;TmbbmV3uS> z+dlr}hNQ+qCYJ2>`Zo6hGK6hpj`V=wx;xaC#vVm^sJa_HOT6`T;_UG83I?#mdj-@N zbmDWW3nwer5XTU9bS?7W<=(9dN>=Z)`=)_6*1j$S3;Rj&IQ1VUCONVGcD8-cv@}(8 zls>wmW`XaPX)$g60h1;+8V#PM8eR5|DyJx289Lk8wysbfGPMOKz2*DWUiYSX!g^ru zD5CTm$y)n4Qobm+nn}A~J^yr$BGi^2tKCN5rGI_*!h__J2_#{f_t6~3sRKsk`1@Cb zuIziPdU@912}`x$iI=S93yk>H1{I4E#uO=D*Y4XZY2Fx_>=Ni64qxODYdTEpyJj4R!JGl@gb%6?F83=`zp~&|2(B z0OtA;oqY0kVI5$J4yv|cMhR%#lp?f1m;?6-P4CNbFPo&2mSfzzXZN6i`bGfJ8*CPEwY zhv?*_s@Ga(N)P}%%kW>8hfoxl?zc+zy}ZU z?5w!LWYc{qj@q~3vo6g=A0K)TwEr%Y~BOkOr?wCargkzVVPf zK-YK{YtWWp`KaP@Cs}(ULV$`IO|K$U=wuJ89;9E+O#`@8Ts$t2T4LNbM>}!;vAF>N zyfgWe;W|*ULZw6U)YBGE@mIcc_R5dX7QNsj(a|B0)=A%pWRE=3L{Bx{VvfZ7s?I`B zt1K1pD9;z8Da1WGukEtq6e~C@iK%OJArI}b9n}wC9q2Ge+x_(cr_mfSW$m97daCZv z`euyhuTo2;PLfXjQr$y{Q+dJG+SbbLKHS~l%)G~)#VkA-G z{QBFk3yj_H+6J<>tXXf>K0of<g*HTJ!5_ODuyZzTAjXz~ zF+~+BwRM%W@BvoSY5hmykKYa_)ZNyKt!x&Z^g7AJTGCxhxOU@^ZnKnlua`p@j(ppA zk=WMLD|ulkw{XnV;P3#HCYh!iBG{?yR2oK~7)X=pWVwvs2#U_zd_!a(y`Rl8{IChd zKEMomfrO0~wSjqVnIf%dD!Jo7k}XUt+B>0ZmncU(Ra;fJ^gS~WdO{kB7URW4#uC%e z`37?&{ccuAM3bS%O}6X*q}6Z8tv0;ZTl-wBXks19{TXur5*9?Ne{PUWR6Djrj#N;* ze3m~w`ty-G`K%ab&|sbwW=o>JmC{g4HGQ|Y4mv5ifvhMlfQO*ne;_xtu>G>5i%vRa zi@DicwD+O-cui^>e&#ryq1|7GsTlR^x?=IviIFXiclsS zRY7fRjJ=SpEHzuR9&DcJ|7g0d^|H9BFrT=1RvB?FnK>j(Z;r)|>G0^Cy{V{s2+2Ke zys$n(pPwoPvD!XGAI@b)MaG9NR2|mbH#ap6ky#%a_fqG&J&Q%-OyWjg*_OQViXwGR zpRzisEQW|20E%2S-Sg`RI-h#7bK08ymTVH7 zBy+^@*d#P!98RfzDOIPV{7L+A*$Ra(m3qp0mOf&td1+%VQGUrh>li8aXA3{-3Dk8} zeteGcCv63gT!@Pl>rcWicM%pB!zXXaL$#gVhKrHo@`Ek6wB>H|7A8J|$hSJ1qvnik zq4CrhSo1?QpOaH;(#?U_EWWlY3c^(X!G;MsPHavLuqGNV`tNji%>| zZuht;!wihMbVRwxBpTOWknwvKxTlBW&AI; zUnb(wL{|jx__n<(&is7)YpRp$BI$&MAZzj#Hq_=sSYui8LFennyF`qiaem@f&gaR4 zWeKGN{Dqc%k3PQ^o)TXCeHDU^`%9vfk`E(9{#aE)sP5W|YgeY?*Ie^1lYrR$ln`x5 zI}XwD%Uj&Go$I1E&1dTp102_AL7D2u+V+mt$VfMTKJAc)=446!q6%^3xZO|jb*doE z0knnsdG$KFi3;&~zGk2Uklf0S6FbvlG@)wNW?F7iRs4Nj_P#-7r$tNcJo> z3y;$A&$mYpgcHD*O&Fzv$ABIuPMdx^?n0MRj3UrVuu0#nRQ{NWX)j4#&nC7%SKld; z>=sVpKXJa~W9XFLe(WR@a_X*l$)`9(LC&%YLGcF+1g< zUX74VnN|GQk;*|Ym5WVm`q=1&#+w*71o_O7X~{%fbycq}Gq)#@|E%G>R9>XI;CsXM zB=j9+sJHOp@D8bK$+%tHR+b^mi;{y^HPnsAj+flryC7MJ?!mG;YtY zlcv?LV6u7^Ln>RuIYN(2N!0dj>_Kh-AQ~I-!fs%9qt`byRVw|(HQ+g4(5i(hoJ#V6 zv!Xq{$!yYKdwC+wpu#@8k3NzF^0pAfPp9|D4U^jc5f1Oc%153d4&_YuF3RP1vx3Xc zdcE0@-Q9i(L5TgClC^H|%yA9Ff!pbSd`lH-#wP?`5B#<8J^W){xi!&vF|J>BTpprs zD!6-NTot4icQ^kQJRu#-PHBaVP<^U57_Ym;?vk~Ge6{wH6QuWtb?CQY4kQo>0geqj ztS8%V7n*v)X!2{&%`HiiKX+uh#Y^aclD)I`b~Y(bhvqn{?TUv4pNl;_b}zeul!xX~ zXzrS+T_HTKSnS>Y@bP)4^u7r*6P5( zmji%@embKUtshQ9ej962zkDiD8ES`#Ac^SFWDE@trJ~HPF(MytsFFQ|@c_NQ;6JFP z>7FHa`-p0lAlfvxcsmnmQEi7_=r zAAWe&zn_W3IerGCwq|qLtL}8|Wjhy@4v`M^k8Y&%tM4nkgQ^Foob6XsUhq;U{D&i* zh8}+|u%$G8IH#vYsv(JtJTIT379Ru7Foh$5_CJYbMSM)xdnAGgfgU?(eFka9u@ zkfl<3@AVCTkYPDnG~sJP2V7p!3ycL#CepeOc>2EAYb^U&<5s-f+Efp;-l^6y2SRefewA$^|Q>8G4gi!R%>dW zGE|L1@Fe{{x^WthE1gGX>)E7ZT-8?}^$kidMbzR399Q^L@?NSB{BzQwe6SsQ@P<^70T19zc1Ap%1aZ) z_3RN(?Fzo2290V%bfSE)>?MF-d%4(OA_z&0K#*^;xsJ zunCjmarW2K6=%e3mgT@WL(LUuV3R#l{;{mN*Xr>1$D9!=dJ3mpuNEAyx-YOX?K0_6 z!#-(#vMG$5Vv;tZ>qxBibUB+Pe@f#;&<8ixK4*c0HP>PCA4#sOxQt3#eK$Hg>@+Vs>Z72gcJVlUF@8;e`B#K&`{>ftxW~FCad~R0=q^S@E zyX82(8Bx`dy1U^iJlw{Zq(Q>H#GpQh?tr8oGf3nk<%6O}#)}a&9pj|JI+$SF}Wn=*3Sa0c^hhkY|*_oIc<#w{q=$vsXV(Pl;iaGle8c1QH>3t(zP{As@; z7Ubg4?Rsn~gj99DuV`(z!NrQ0$Zo|VtFumeuXaT=?ASOcwZuh%uA-!qB+JDjQ4(=+ zAJCWTs8r*Y2;zKF@lOpl?J$l>?$PMhUU74UI(p0ghjgB6sjrhF7YT4%a@x*eK1A`N ziTV2$ftK_0CXsdSr53LPU|JQ;V|j@|^mT@(AN>VN#JKyUDnuZ_%}uJUI+OwI>EZ@% zoHIqcC+Ny2kB~X0W*HnmhvW0xFM;CP8=#(Y{$jR&d zdytn49ZUtrd81ge7D;B-A!f^z5BQAcL;EfI$irFo?^x>hEf!4qlN6@f)5LHCZ$2?{ zlV2fS?Rk~?fuELxw6;4x25?DOogzQ9KYO>_-27ccH9@5lz3clb2rv%`n9#Oa=3Au39Hxkjhz`-Y~ROtdRizD7E>N1$5C zAKmdV0WW^kQK_AN;-csA-A+>aU-mPEJx`SSNwKI%-_#Ie0W6geg_4k^Vb4*p>#Sxv1{^g4i z3;D0CdLK6O`Eg5*{-8DCxt+Id(Fs8UV#PIizH-eqS3(#!FNIA=V%6?42tfs5tJjVl z+StClF2`1TGJ2Uw2xW+4#|rwOKdrmjQKwou&RqNAQ{w3thm=c5Rd|KA^?h3S7(B^7 z_Sd79vTn;0e8TnYY@|RDMt#I)^p{;CYvfCq2#6s}jL)JBq1MwW+n>|+4r%W@TPy6w zD{j*gP1f(j+Iya48c!0%HI#6&#AeP>1UEU$*Hb=3V4la`_sb8{B4jhII%-AlaZP8*M^U5o5D6+!(&Y+Bs1a!Y#k{Rwd_`>T3I zh+TY*Ib8k3)CjdWK9_4Kk-T(-n?r2rAIpk~DH0WdVuz}Lk_WrQCngV*+&lcj@0-gk zqA}SAeV3wVPS)u*e8Vwt{VZ2@lg z<7(XM)6Gt&O*{IR2cm(x4+?IJ>$F$ejHD_gIv32*g8&hDNAH`=(Xs3lDvt!WGc04C zC#N(X!-d23E1C+MB>M_@9^*x1>#pI`$zbRMtaF+b(8=-1H5;7F44ZP5jkRfAON3&S zTW0oYFX13N>@4ss7157IE_*kUZKsacXH;Xu0NF@!i;3;0+ZDl)=my9udBLWw>KdX6 znm^v}kK?LyxK>Z7Kq{}=aCz?|SBkA$vS&LII=o40bWHm+VyiWucky7IoGf0Gn%*3R zmILF318RTFEy-71;(L%J1mmq`2K#b4{n@M~d~FVfKDOW4S~k^~&&SZOavddIPZn?` zgD?9JVWVB<)M=UI>egpkX725Zq98mq*bQ{j8K!?fXU8(98j<4CzwA!$cZ@rm=iXO% z0K7wNN_rn-fd2>m75iB5eCRATsm0K@_!K$B9+@zh9h7CPXA!pz2_fA;WcA*W$=6fr znU*LMd7O|ulY`=~e9Qg`-y1zkDBSJGCrkDYv-M2sus=4pr67PcnZhzff_l%B4(&jD zt!L>JL6Nm|jiI|!h}t3jzLpKi%3vP)MW&0^J^=mB=iJ6oh3Y@xO-t{0TaMRVzo+iq z%GL~5YPx$iWajjLG|RmkXe`F#^?PFx=qa;-n#QkZ_d6)<<%eW)OL=eY@*%{pFxCp# zM4x1j#(op^iGp+fCa2__HK}KD2W#21F1hTptwZ=q6AAl9`eTw=oUPr;A9*y1^K2-) ztx<)x*`i7&s-YJ8ZV{5GWbGC8cAep3ZPDIbN2lT5t8^O7L!BPt{3GK&C)vDjntWuf zXc%MTXj4QQY>RvMC&0|u|%3oI4r^&Jz3({@C@Smeb zT5tLy(e^{5#XLx0ks7kA zKgU`z?t7I{8klVTRc19m_kfZ zMQeplWvIr<-`OW%DoUI3ebsf3Wh*p=yu`)sMB-v5uE|JiUQ#jHu{}SwHrBG-3|q3- z+<5L9%af>5b+@WtIwvv@_>*kgn1ifTvdFTPt&^Uv_aAglwq7|Rq|OrJX4EL7U{jsr zll<3YSuN?P!EJIa5M*?$Ik&KqXz*8>x;Yg#b6_f$7%rDOQ<%gN)EPt&HQR=>VTMQ>z6>>}qJ{kloA$_PP*B81d&@BZ=oXW(&|2PM#7cThd#T9_e@0!aH3PyDuE(M7 z4ij-v*QPBS_o7W%Y>@xW(s8S`9J>W&NCP{YP(;LiNqgnI> zP+>Ma$Qk3Bs5W0WM1ISDX=7LG7uvGFqQgcRG7UCOl9QIaktVv{6Qn_1MLJ<}tOfCmk+BHq(#hTT$}Ijv33;2n@x7mz))^Q5GK| z(G!Mi%1|jgQuTBfigj%N#A=@V&i+vOFZ%;0UcLXn#H%Nk?_01Cp}R#77DNQB4GCEG zuex9%DqK|fLBIWX@hYkN5C7(X@N*hg;QUMTp9)yP&Rc77~DCz>w1qUe$G{V?a5WTxW=Duj}V<<#8+3m|8O|`{ZC*P zQv5EA7iKSPpwq1x?!pF*DMW|HUHS79H=0weRqN}}O=fEyU5O_;C(Ew_&eM3COGO`Q6|RG?F!2k zpQ886FLbEjxD27`dPT6W&@#Lgfmf&F=HT#GZdICmz8fdr-SF9Df6|ov<&ImXuv~UE zQIx*sy8nO;?ejvO(@^;3iVAiXat#lKx=Eg*J(Tv;UtA||*Wmznh?Dz5t-Y?I-F=U0 zet5&ZSOgJzy_t>6QG`qY43IP+nJ{{XJxf7UPiog*o+}cb=^2Op;XVWuWr!;^OD65J z1suPaQ$W2#um>gzceLyiLXK*dtTjtVd&anx!~=6agzDNAWF57n#e0fLx=tTrEbCYR zr_r*qV@w(x|Jb73h>Xy-p;h7w_b)fFjEy`Np8OJXk3Jb(%YG=p=6JX^7V#J z=&r*4@{U6Lc=IXYERz_;c}84|n~YlpRG2kO89WAiMclD|x8hdO_z?d@$~PMg?NpvC z1>4Ke=_%s9^*I=`rSjiaYZbw#Qja(8?t5H80x~_pZbt~sm8rQ!ygNYqzeevQV^6TtJ0sb(#ac&*>n6wV$BST-<2>lp zth{9mEdU~x3qh(QHi1-dgi}{D{8g_H=4N?ZitQ zgW5nsh)>mQd10nDqmawK8~acyGf;JT+*zRioF=$fNy5V+zYXtieDB@-Az4*hvu1ZZ zWRk*WI-akqxWIPz-H0ATe#^<$vipbkTVduYGYc)qhu7XPp2;(=Z(#~OAb}{Ro6oq? zRd7+m40AYV^c*1PlHIA*tf1DPD7%r$v4W}b3*J{Xs=x$6&G6r*)MrgZWeMC&u8`zh zP^0#HvMu)8$4u=d4xSl9A@Rq|vlT@xO`3l$YmPv7K7`lR)&DDMI0sMqm-9dx9$Y!0cN+gErDTkI zZ8-0+dzP17>9X?C_DHfQ;$p6HU9~dRO?SqoXr!2VE zNq&2MGM(WZdt5KpLWA5pWh~(Gs`-TYui*`<*3qxom?l!+^`OHQjAU-|57)@}`b`3x zc!4iV9z+B33@`zHgplin5cutuF>7hZR&akLtL*1c_f96c(3;fOi?kJt+J~Q)s%Bb8 zr)m@}+S-xnx^juk0h$iHcuf&~wQr*6Jg{j4dAo)4HNgrNKb@%myfZ^!xC1BE!O4tYfPIN1+1zWtt1*!~m!cu;Z&K3%T&?<=5^0V(oAg%fe)JWbi33t))T z`C5p=vn8v6+6aC?5vtD{nyc?p=p<1wn`%ts+Qlt}5AhYo!g0(XYo8W)MFHF4yM?)l z?e1TDfd5h1dz^1?fOHSw&}%P6ow8D?yUE7q`R*O(oYMzr;Nt_;MmS@S*vRvRM0@ct z!5&(_1J+}$KW+;CK-z5p?ak|@`%d3op{PnuYkqU6>JPEJk(S*)pI>(E&7#%gfZpvR zxktItVd#A0sO+rP+v^T8d&m>rt=E%zBjrE!5pzM3FeGv)VZ)xj2!zrFh^oZDWOw|G z_90!JV>cF7`}Zky-94&&@GIqk9qR0}*!0G?^z}a`Nz`9WL<(>NGJRqz)R3jH>>>@X zL5y-gM;_9tcbmlsb zhBYYrs=$X_Kzh^N46{>PYIjnAqwocZgy>~@FRhW!Q^j5hTPFIB z+d>p@Z!wx2}W87z}i!&6pPmk$D9TwEm)T$VB(Wh6wX(8*-88nV)_2vf<#y7tP>!S z0ie&Af4b`!_S-G^wSU`!SN$IsiI#xx2mZ&p!0ik6gy1gm^1m(;Tnm;OCv)|`UnI`z z-$tNt?VL|<y86wy@+C05v|Sn4}l!Yj%^#^2_;T(6{_)@0Zy#&5`1j>D z@aAdcY}A_C82yVPMCdzxSEpCHz@o3`SW8w_0EJ-EO+pAEG^lgy>p0mClC|l%QwT3} z{2?9%Gjt<+hu#1DIQeDSD+*(~;ZBN-jlCfW=L_nUfks>TgJjY&wsA-CFWvNG zhZ&n9rR+Y1uh$cRh0mcbOc7!XX4P!>hyV3OeR>@ZGF6}%vS0lD+VVbOds~r{MAU-a z$H3Oefqu~s*x4+r`ooG|XmuFJUb*`qH$JAxmtS^WqBqe@4YDl9WkE zpc?MJRbW+Cz87JKb)4mfy2q<_uuOY#lk+4y_x5AUy>S(%6t7lXgp^Whohx<(u}*u; zpNF@$a|j_J<1U%lCka6~jtbQPg(+Eu1bIb~Sxoc<32hNWEH9akQ5UAV1K`)0maI+$ znyyJ#_vt6iUIF)8@mPgvc%hZm8?8wduV{@ZO9P;ytr0B*2`s+hxBOTdf(GQ%Wq_l1 zw(0|d11Tenm~fx-q$6#e&*43C$H=#PVNwrZ%?PDg2(!RFoh$hOCi+|7uijrz~$rb~+TA<9uNRJ)nx$5YNpSI8MRmx!;s zF=&A8V=h8sX#>u{l`$}ZE<5VGW;YTK%h;W_#?bx_Uo_8H^=^ySEuirNY_M%dV`i-o zM2ztv%M@35ReR&~am{Xm@zI`OKK5^i#@}d{uxJUSr;UF+;$-)#xOc$}D{MwPK=fE& zkid4XlDNPoSf_jbvPC>zb$6=qmge#mqu4xQPzDW)29ztk`zy;xr##TfGH`h(X-k@r zZRLT3x-~)>B&gPlQFIfS&l>Iu4Ku~7x{7j;I#1qn?LC}`VLy2{40uQMP9WnhyO{Hch5(w3Ix<#{bfUN~4z^X9)dSJ%QF+wZdr zkN}5w>3=z`JwY+@1+u_}{Q>IbxTLAS%{4~Pc z&s*n*93YT!hNG_#4e#I2n&)9A|8oAJn}Mqgad-rXt6ZI7|EgN=fYYM?OxUOlBxHb* z4$4zf#sO+mgDMh!{J(GYYkt-zcYmY^@b3i_p!ls;xi099_G;61_XHk z>P5*)lQPhsnteRAfcBCxu%vE5i0`-nrydxGLb$^Rc^=N{MeeeeIO z+(qeHTWbaF*4wE2_|WA0{e0e+ z*X#8}lS%v$4|5NDw1-u0 zT4dl6%sK>~{EFRXwX@wFI!;oYMY?L&HtwJ^j*~}f;N?`}R^(@8+sRLF4}mRH%qDmC zzy|G3(_9*meqywI#Kq?V>q?d6moMlEiz{%^vV$kqB0`Dh?AU#3+P@DC{ZQ~u0a?^a zj5Y_H6ku+$lM4eH0dc2zyrWDH3yeScR4yCa_Vs~?Cn3wX{q}!mH4eu*wrXl`7Qnv; zn-kpM+<)gG>((7MZ6g{!yi@;BHqjI`M(T~Cg1eeMCwO2O4&Z8V_J?&cZT^>!Ro#*e z_NNkGKOIWv98vPVW>0}~M?4yvIzNP^*5$%Mm3+gWaQ`Na+4(D$vcJ)TL&v29BLZ(Yf{=t6bqimkXc_~?fw9)@N z{qBSRrGDP9?Aahh;H?gNC1}H^!Qc5u`du{+-VXdm*S=joUu*l*x90HwGbQ>tpa33u zQ5(E5AaUD0C?5hY+kzT4{Il?(ApGiLI_cy4Hby;>W(}X53I9pVMyN)$>yy^K$P_#& zu6wd2VYSGu+PviXHL^`}+9(+U@bb>Ud`@o;AZ@$ur2KGKmW?08Lp`qKEm1)-;r40*(?e+*A3br&Btr>+qo3BHrB?4({AFt55*(5{0YaufvQKEs3R$jRy8Vs^ zp_0oTN~&SKK^~y-ZUyISp}cOEg`f8=hNq##f9VsJa={gtnk19lim)O5quNVZ#`daL zA;YFZrU39-q!GM&`&|(imu4#lQDIH1s#xG_sCGIZPE`6a5NXFe60=!em;LUIw=a7X z6344-(gKq-QxHCZ{eAFOG>ujxS89&y|Df=pcITT?CmM@<2ut2d`ed~O7}j-*KZ?=x z{K`~d>^Mse9J{M!_qL)t?rkERtplM+S-g7kuLN&!Nx@A2soCKlLTO)4D^I}MfE;J0 zXD}pH2rkLP;cNG-oE5X%=m*EnpWOB`v(J?8JgPP7iQ-?0qd@WI+|&l0ewG}iB^A4+ zOeshB!NJQ@plPH`}oE<|8+OX987Iw_I8gA z|5smz0YWa&znLFX%|QvKHYTylUtWh?CoZ?O+uXX< zJSI_JF5-Tj(dn5m6=^ETitTq)mj%p0W2LGSxoL^xWD~#Nr`iB!S8T7SEyS<)U#K#|vvea*Wm9+$5ACH@4vq8M#yl5`E=C*(P2Q zi-#<8E1PY7-RC?DKRyTkn)ClhAA$ahHO>J>>;D*cXnm-Y5IGAb@Ev zbJk*F8Beg6%VcHf%(tyY#Y|s`Ez_%|Xam&W-6Wxd&&~H5qV4APYqZjZ@O?~ zy*x#{U!dfLIwe=1^stqYNqH1wY^RYs#!2e zB4tYd&!Xo$D#=d7tHh+jK7LFw(6p>1^)kEnX^DAAQZ_$cd(!wfw<7TFmGUHE40~|L z+6JUd|Guiy-nCs0uwJJp6y08c$&3WV8-q`~>qqw5$BE);|G~4GDM68?f^lwF1PRD@ zIxb}>0^L)+sXCZiNv_fN9C3O5dj_>-BO+7^>l<3CF52e|H)$?|j&=SOgYXHY5DIWq z*t$yKzjftpGb5OZuim3XkBH5KrfW{zNudSusdXQ&ey8TX3W1P`2;(?#%ym?1D4dRg zf84xy60b|3J;>GGmLU($;x#Kio3og)n+=*FW(gU6e5e_1qP5~ABDoIEv4`G9lD+Zx zu)TPs{s=CCjI#F`JgsJ7jBF}-WD zB+V<0-ER;g!y5U|ukJtWof}y0H)A(awr-rQ_wUCw&^*pctIAlT4fh$DRV=G-cYi)o z@3<=J(_Um}k-3yJ6m!EgXe=TGMd%ET*l5*EfsdDn{yY2IDS|^TGF}UeG^Il!_yb z^ZrO%sK2t7rdp-Rhl+tu8Da`~~!) zL6lO*@M~`!nKk+?7f*{MSX@-%fiCp2G$Hcm6#!`u503%%9qQRX_%4x{d4&GWVf^;eAPTf1* zmJH0k8Q&l)>Zby%OIblajG;>!^}s;x-{ls{U4;hG}Dx-6QHf z3(71uIlJlyQ&?FhveVODGVs571q*F^>U`X)FiF_M9x&hlEmc35?#8!|f|iR8p$Wy) zJ;izQAW>>QYEK_yqpkQ0QI?}|(-^1YYxE`_mi}oh>zo7t3Q^;nOoB7JPseaKc#Kn9~TEtzTq~(YW%XRpFA=2 z`)vhyD4)<6{#f8)Vp}-$u8=3GXcGEnWz`9!6M$344Q_y=cLO$Ad-J3SE8>Ujttovu zs$)Aa6j@p}bF5X>E7Qc+-#)^D5ImAGSAq?2cQQ18jDovD4nu-5gX-~F$3`u|1`hfpRU$=Vel`xvMH>7cu|0O#qi z!%yQw>H@Bp%!KcZp;w(JYrhmh9wmL$-5C)ccV{jXU68^3t~}g>9Qd*o2fo zUe@A`(EVIeRQD@-F>S+$`S~^1@Bd{c^7^1g0MC@BDV&Wt3N|7Q%M@^RD2@X_T=ggd z>dbrX5V|}KbC&s+q&e<1p+$`6djKZ(UU=|e`~I2=+6Df>&mdUqFD zb0HP!#@n^ol%pC3s2k&7iGORm%%wbW+s6`L|JypO?pWRMe%`S@LH+PPNaO`YCN`7T*a48mL4+{d^i|~^lpldIuM%%~&&XaWw`(fQ zMRM&B666|j8G4URAeRZiMD^Lb%Ix}C^$qc^5ff%Jcwn=^EZ?TUpG@YWe@s01tVeY; zU(#!yN40&Q8|Qc--bt=nVKQQFFb|`L=nifmNq_hvM<7**U{GzB_a@66FmnXsoJi3f&R{K|1NGvUJwX?|5b06IzUd zEBlVxTHK?noK@@LWT}z~TWbrm3-myM6S$Q!IE)@+ixCpo??Jdiix>!F*fz_AxoFOY z1fDz-D3$y>96lI(M~natOsS28P45mH$968!Hh25odoY*=V|Wbty(?ca&!I<922=AE%8cd^PhUl7@G(j2jVySVSGUG5iHS@zy;u+f`_kn2N}2!Qt=IJ%2n{TVtkW#GOb3$thV-o7arF}kR26jKhkp!_EBNk*5ho_4MS(f zbhAff^R?C8iZhcKhJE$aP_)bz&+g?Gku3KyanHFu1|r7si99DszAm!nTP|u<+>@;< z*E_q>OL!ti_gm3dqrD%;bP0fXrsSxR6Mj%D6`uBIM+xidB zo3^saF_uwLuC9VoYmla}zyT2qg)py#__|lHNsrsD7f&9?rj>Dcn%W z>0~0f@;+H;sl;N{6I6Ajw#%;0xk@x` zZ8HwP=;ux)mGU(It-q9hJy^f{fa+ znJ8_fj&1kHku&lo-8X>QY7WMtTpp5|T30nQt*;uHmtwO*ybSma2_e=EPHNYvs{r?e zm(%lKQ=%9ujHZf_2F8u-K3Q|6Nx-lA)ZXU~o1@auie=W6nWoD=)B?|R7Zv7ml~UiF zE2Vxs99nR7IId$2i@2c9kX2suVZnNZM3+*0GjoT@U(oCZuU zkm3?-_s!n^W0JYKd71JIq=Uv?CCqXEJ}%#1+BFGMM$}YHj6cpQM6<&Uq5>1G0{j+w z@?sn{ZYj`7-W1TDD`oZxs6xe2Z5z>)o@VtN3IOMOo&U#{m}wuaPm$<7Enz$*jo|+ z&SU10EI-Q0dluzy1^EnrgI(Nof3$qJ)7Z`=*K%5#i4OmW#9Aq0kSTI7hu-HU4L9%c zIE5jq0Ltj+>l;5QW$UZUksETmaH5xVpgyL#t=LXggYX_UJ=h=|H6MWdZsG}XH_Y=O(m-y zp^Bm1R;lG(-#30&B=Q7XP1%4R`Xvujf&P(b?m}|!0d<^^0TRGF!43zXlvb_y8ep4f zO7*b36DGKPTTcj#&`*kpQWny;J~r1}Ur;K-E`f&Q_=aJlNm6xj$~TXLp>CMF+1B44-*90NT@Hl<~kA|gk=r|^hdSnFC3zlDS;?NT7Ka6>`D5JUHX&IN9z$Hol z?w@Purl6>R7oLA))w0cP0jmOb1cLhV-(r#dIO~z;wB%pET}6-9{QI}lr~jYPs;Yqf z&muR$6p%O*&`=FoUs8aK^@eY+hOBQSd?$3~XPv+Q_NEc`KFRB%WlK*dSe3k`&R;Js z8Q;9T@9CZYv3iim6?X}E!NM(T8h(3W&)Ud_SKm#j*n_!BI98Or@MK;P8U0viK}UI( zw<#2(mIMC#{o#PLgfh;Q1`bqsP#x9gv9x{8s^x)jR~?LMNbg^kwc4$P>O9m=@ThR} zv?9RK{TR!S9IqeJ)!$_CmTXvGZ(?J)P#7o1CPD%bavwt0n*OeqlLxlNv1vQRzcqsl zm_}ao`Y?xKg_}`FI~9Qos`nwbIHs6qV=--5(S<0VqKc>%vZg#VmK%(}c zjC*Mdc$h3_Z(f38BFI>Zg?ah9Zc@D$IYNuYstzwP5*$lUL0>V5$lu5zUSWR-)UJ3O zD9+#G-;e1*nUBF(Ec@XReB0bV_yYfge=Ye}ujUO`7?*Gm)Xj-f{=BA46*uBK=Dkt2 zdrT0`#sTla7W?-yF$lU8^3ux9)0UNsVKYSpINq zw|AoM)(#V!t*vyt)*NbbW1MQRAP+`YZU>7o*NYq8zv4~e&$7g~w&vah1q40kBv zg$NNaFjIrN@*y5rxQhsEWUxB)hEiQ~kVNS~;#`sE7(!;kvOq;iTHoNQ{%)K1IIKYe9baorWhJnY`mj5bstC*@J}*!nS!s0VOPK-D79o=6Kw*Xg8SQ ztl5VKhOVeBAclhUMrS67o#04Np*#~e&A@GgI$2@s#$zNzVa)r4eL7*0Mq@koH^n0_ zib%w*ClgGvs}xDCVEkc|N&=o{(CkSn!8L8SLN`8Db#0cvl#X;(oyRR3#H5&JuV#OFJ9!72Lxt*9-TT>$QZqf)@yV%=NbB7z zuWV(dC=7_I_i<%8Cx)LH=bnGo;lW6mNoJXzrmJJZM#C*lA5r?Dtk!*}KV&Nl8(FCS z>(Mf3NUmT%e2B^0*|-vDOht@lf;jVzWk1&4+H#g>!au7G7Sje~$O>mIm2I}}%@~A= zK)Prhrc-p_EoLw)rrDnYcrFn~)-i=H$kRB^0{-~(E^H$S8~ZADBjo3WZE`nLtL?pC7kIFL5}lr!$B1|38> z9Tj5*1K*V7VH&*U8F0UnS}qLE7G4q|`*mR9b(yTf6-{_~I-LIrRb7 zcEE27Uaa7|ACKyOTsL^8K!1+7$r!WO)F<8lII3P{{&DG1T<3IuxO)bZfCS_%m>wwl z%*_Gr{Z7TQ z1@W|}+}24w*4?#Tag1<1+NsWAa~@WoZGBJ59-1jlZhn-!%H~AM^fB_%_~zv#rIZIb zj+;)(6fJV!8_4t*#IR|NYajk>%y0(4&QPb?bFQ{%e$!V^PycLx?Pn#k?r@c3=c%S; z*4u7H$UN|ZTuUq|uiK3HE3q|GS`nyx`PREas27d0#X#<%&|%(j+4~i1W>Y2GjZ#+UshoF*_)B0+ zEsL5XxHdK#$c@y-hG$;G_)p-I2RMAQglMvAwO$Do9ooC(?ewAJs8N0M*O$F@rCi!= z)9FlR6UP^+|JxAJQ8tU{f*_%E^Gjfoa@pL@kv;taE>e`iqAT6m`bbYqUc(cjT*)oM z@fw?y)~skjq{K3$Yz9tiyvK+$rT(OCY=;Yx^ub+6KO#y{lREvz7x`p<>t4JJUtusa z4loJsV&cWlVoo7<>nKAHgz&8*-GrGixI;=r9E)!loT-tK*qLrj#x3=? zj@tAS7n4N9Aevb(KxU&oyA)%(@_V8p>=coYU64aRJGGImF#!RLGX|>Y(#wWjW{1E2 zW>(2944T&s;)8PHi{mcArXZE_=uS9F-2XB5u;#R5;ylL`@svWRuv0Xa>nX2uc7qr) z234XWXvW*lrkpDFggEjSka<=by=h|1v zlMUrjdQk?ukIc7J>RI(1oqTfC6S{!2IgUG7pOduT{r;E3|46fE3+Me6Mtp0<)m6b1IV4;x0zaJ@q?y;#!}SM?6P zE9@*%@!GlcRx|P+A?Wc_+>UYhNe7%}ciartD#_JlC2A$i2Ypts9wZYGV}A{Iv<(+< zP}GTFvqv0t(#+RYOndEZglHc3kcw<%2S=}z$>xC3o7~{`+2-Yervz?1xi=hZqFLNb zn(e*sx^s-TWxny&4@CKf+gg>fO#!5?o;;E@M?HSVogsZksz%L}EI}2`MOc6Q&mPXEe=eq< zTNcHE6QekA;#K_b*8^Ty_utht$8ssh?;-zoHN9}|;J0Sd|1-s!ZdvkZz>7%%`}Zyc z?@wBm6mVD{Aa{J`;{z43YCECgG^M)>14%};IzD~%OIO7i6a zEk^9gJ@w3f9J;|tv&hed0nuA4I3s$p(8VoAL zm4EP8Ys$QRtqTbPzCp+gjy&kY?mmvwDucBHaiVT(_n7uuc)kA?G+WgL4kboGp>kx(HLHBN-D%A)MBer&YT z96qZ17|!3u>%gLx$61~1AxMEISf_g3>ZEBF-Po>`Z^cmt;2~Zn|*9{6AJ1(*@1iW*YngBGWX#-Uf1tu3(pWzMz z`LJ}X*!Y0{2>+u|GeI7`+_T&2pw)Zso1vF428W7c?oH^f7jgzk z_Acxs{ymQEIx!CZ#5>F$tE4}~WOR^K?@W{q1-C$!Ja&9AnCQx2lSd4@JLj3b!`8W% zu0E6}pn9G!U+c-|DD9{lcUa-+g9glU^fYpuePd8+2p&{lQr>8K)O=^@bK<%&Ddq`; z5(WBUPu>}pj&+mS!;=$LuO>+_z*zM4Rn)=LXsIk_3ox|c@GIOpli7%goZsPS^~WW< zvmA&!&yxXqalCC_Cq8S1Ai$GVVIrn=8uc3D~uM%0nqU;(ebns z)dhDI^WD@4oBXS%8o!VZO#CTLeQ=s=+p~?tpcXgF2)HlPgdjyxl2&)CPKi*k!)g8$ z#YTdB{WSC}vlyi$XR}xOI?8}O;H0U>lPo{muuB!^{~3v0qs%!DRZVtpE~k8feBX^$ zfWO^P;#^etYYE?yRXf1@$0l? z0<=`iev_SOy;3$}f(2)%IFdeY`FSd2c!;{^IkB|9{e$o+NR9(QPg zAe-iIbv8AhrIGY4M*w7QJ;ZeHsA0$!McAMQ4L zx1W#6dchyHh`)WSGV+~sUd30ufaEO!<`>t11bQc`W&`*za zT&3jA;dYUgAJ`@jR>d9rokp>=>!?r>@I0IOQq=gLTR)XT#K1OOEb=8cMb-=Uc-^jW zk%Ajn{1JOYB>H8^T1`bJw0j?Uf{8-x#MZiO*?$&sjEY_Gc;Ny^SX$x@MROAOHl4ir z7Tb*$i)-A61uzzTwdUqeZ&W>VPcje;<({mzu`G&U+xpY|kfrGzpeAxU6sT4_c`l~+ zjKe?q87(C%r8b!Vt}a4K&72jzDR6<=HVQW5(KDMS-x3<;sStxZRLYJ)Hq7$2V8hSW z4UH1yt#`An`LGxpZ7>V)>)-V0b>%6G(gn`d=qdk8Cy*~=!mPFIVNNGlyS6Sy06ZLe ze-38~VJ=b`EftGLXI(b|Em}53&M|Q?2v_R4X+b?m3Cf4sin%QC32CnyFLz;T4#w6! zyG~*ZqBnByV*RaL-;L_B>;kv1m6U7hP zH+cjLdgnAg%H%BTt7tK~VTeB#!-0uP*A$3hFV$S)$$Hgp&x7BQM8ASsbVZ+}q0CN7 z?fp(JG&atMIAsJMAq}7`%Icf^3}=Dg6+$81IClqohQoexH#iH zz-EjXJ7?(T;4E|DrI;ba?(^!ZQqA~Y@(N5j3~!Tw3ghJx=RVB*#N zm^!=#BE&LCz4=grYWA8qaWmgDJipCZ4aYTIKb%Mn1}9jtG_P6x@K{@G!`zTL*A-UB zp!*ZAmq8PELMS+nA+GZ%Dp(Z5$~o~`fSlnLL~LdfgTa%&%+0Mt+H79z6)hvJ_YtJi zkaLpewu%pbJ5G-3D(dX2_L|>S_mr}#S^Yo)J<=-i!K0G205>GVRm&s7aarAMCS?;l zqN`|gJc)8R+B57EM|J1fjh|(jne(j=EtQ#OOpvs!50mo3p52`AZKrinB|o^0a@L&h zLN38pGdr#Sc$V@63whuv7%ck}$m8ySE;I&zYxu;fJc$qZ>wO1qB9maEp{dx3)jrLg zx=gGP!mr`+p4(2Bjy=o;D;=NQU;?07U*Ra>bheiL-33{m*(1|N06`9Ow&P9YMVjU# zSi@F~jZm@psARC=F*MjgR`oV~;uY)k8iS>hS0ZtTQnqdiGaS^9dTqst60UOhTmCv^ zedQlVvJyr1f8j`0EP47HK>2@T$~WQv|NTE#+fB=&0-k;Tk?%aR;Yd(m@H77wv=^i6 z#nW9G#?{)~NTlUkwf2fNCx88|TKj+Mw3`$qFGd9%BO94+5JV9w2*#y=bwit8LZjNgI!mGU` zkS|Fk)-*hKzcjMJWKPr!Ei(#mMw9;n#7oY{;VxH%pbi|Zy!U_;AHMWJ{cFpxUFfw` z#3Tb1_c@N}=~N4m;GFC?zHF()qH_7d35q_ApcRJ@zF3@f0bS_$lIu0!aaqJtwEsIH z=~OSs;dvBtpqOLwVA6aI)|u`otSd>!E1XAq{J||#5plM5{WW*!l!#**P?k&n)G2H= zG3`B=qbpM($T|?igJ@Bmg9Wgam^a+=3ymnmxiM@ihUy1K)@b8zw1(c7fF$PJ>dL!dR(`uV7^3U*BbSX1 zy~+S1iJ6eqy#k22)5myWS$xf z*7{(@PRO2(=+rZ@e1ty+DpcxLV5&WNc9`jqaFp~VduUQyW=Gy!NI{mw^3z;6s!Z_l z2c6N2qYCWZ2iU`$gaI%Y0ZzB)xjRwfkJX$Qa)r6A#h0oM>5AONXij##h5IVG4IA#W zsoxaDXcX*s8`j(%X$B|{TXTwEzIzrHse?t>07Y)Gn4rpV`GMy6TlfMG=c z^GJ~^3@BhK^aM>~I6CCtE1K>oSJ=6l)$m6<6mpAxlv#(yPv(C4lzRJ_jMkTkZXJ*` zsV~Ac4PD#>8wVSrJTRp|!e-nt?B2v1wZ4vq51Q@XT&EM3s)TEtJBgTGqzeT@@euGd$?!!PO4B>p#d;NknK-txm#Ubkg5~ccVn3- z6wrlqN;ieb7r}x|xLzfzgD-zdqi?9?Y_%FCgQzTWe*r>`0}BLv&82zRO9k0Y!OT&g zxD0{diCKGlzF!RN-vZU6}vOYe{2@9RSeyZBUZWSJ%k^9Nzfx- z2dQ6Nwsa|C)Suk9n4@3FyyDF1Fv%| z7m&7=z8SULZ3~}th3}HCI>%JQ0TcIxSd_l%^Hn0#i)*gMZmNvDwk4>f4d$2o;j5IZ z&&uF{$=(tm(5yahck_cZ&#|^R{t}bs1wV0#gD?Inz*`c0#*~8msRq`&;@8@pk`6c>GZR*$P!<*Nb_h(I&t@mYW_EFvP;MbU6j7}t- z5ey+kZ=%YVgvKMMfB6FOG8Su8HyT<+fXUIXQumbaDOq6o_vk&W(G~8@zua@q&rc?k zjQ;%`ek*LYM84b{Q@I-$#H`{e3ip^Ok9@~8C~6X%l2(_=d#&c&~U*l z&5t3<_gYf1Yg4P+$m64~Uv6_&9kBwUt#X#2%hdKgocwKOZtWuk(N10|6kvBd(#we+u$j!k$Amex?~gv%dP!vyjwC;pl1lA~fDa zHZrL<=!7gNRw{i6#_K!Ik+*3@XdMmG>Kc=z^+RMXXLwd3XkRlsrJyyg2uHWS_A|;o zHTA0<#Si+q8=#MgPa&GZOv>VTHj_{Ew~Up=Qf6K2dgDX)N;l?L8Dj*Q=N=zeVLXAX z`J%FYQ(nP4u3UMjaqD>XsK8iN;k9iiM0`VR_sh*;W-W+0(dEyu#-`sB^A*$MqFgXO zR71nV`2*oH_AZlA&n7HH`)VqOt;dy1{llN0&Fx>_*0mYgd>yHZ_KB)25``#bIWdlk zvdgiZNlhb`sYv&V`P5PRu-!k|bXI|BTTC`WBDdKGR=CVX)sG{F^lpK^s#0&eA^YF~ zlZGg=L9%3;%)d?aWo#FaTKmZ=&J`O)vkjqi#u(F=6uV9&BXNS#)6 zpT$d{cnK!1jw+LRs%c}c$dheCLu2zA5LD?nAtA;Q<}4XlAz`*5_*JX13B23Bqz|m7 z)8b33O6+|#l|+hY_S5Ep!5}RC^Y9PK;QzqCmMBk~C&&|iC<}+eCAK&=bEN#4Gn%q5 z+^I!$+Kj-ZFRPHaa756UXPT+qtfyAGQC@~>eECR%1DV-r5brxh1B8tX zxq>cv1Qe#}alWBpxo-;tne~>;PIgqQsjV-u{p$rc7OW) zBKn}pslT+e#71$6fHN9M0o=J90LH_!%` zja&Fhyord(^PI{?_y)4~XYGJG)Uk;$-z!4ziEhz{5rHSH_e69fq<@Ey!3v@@x;d0B zfG)s4{MTebw&eY-S`fbJO$b~xpN~0(-Ik_cUe;EYZz3!5-4S**<|w6Ar@>}`o+B%tO*?`#Qj?}BRE0#&OC#@> z%(StIc&ZRa??7hhdCMVkrfYNE9WyjKo5btgQ-)1$wXY2@B!8^qq5g6*m< zxeXuW5~lqYYq276f!4o}PK_hNodXv2l>kmK(e~#U_9L84Lu|&|9WiDHTv1_G{4fH3 zw^33$O*<&ww}};&4u-eb=Wm@kIieq*0c#CO`FQYJYhI@%@+Az6>}eIx`0mQX(o3dW zpPf?EM4!1%`hnZuu*SN87k#6OxS(y0aM=7~!+-5?N^B_YGAT%6ZVa}i3)@a#&>Q+( zxNutu7iM~58PSeNQI6N7nr9MEQuf}MHiR)Z(y8;cxBWuKwX&P|M{ZgxI$#cRqxvS^ zhs$_q{hwWLU~*9O)u0WJ>-@oRfxIN#mW&YiSKZ?DF>B-IR3lE-2B>;rG}zY(~82J?G@I&iU5aIpp4~a6BZ+Ih7ngoYwV+vlDdM zzdSK~NIvm)dp|48@?ELG>&Q1ZG6yt$u9byCNylSp9U?f(YGzm=n>_lU`|lW!B)be za`wwZ%^Y6Bb!wy&<^esiaRiNjns_~h%0cNYp);o|W_qA&<>wG*G^Np=#{_2G;E8s5 zXqGVM(vPBtb?~mADWKN#t>A63Qxelq&4(Ue{h29y;pd?q(qf~AmYaDqdt&^x8|JYn54EWz@QfecQv9$* z=uHWWi3Zwc|GDMuS7~Ge$nuhOx@QUR+v@lKWxjKsIh8XkFhc1 z{b53GsbUx3u>ch|-G%t;w{?&C^vz*>cg9%E_KM3b{XuFh$h9>;=h^gS`^JRGl#gM{ z_GW?V7va#mSC*6%d3~pK=LI8!%Z)oR#y7-CVmNxxluUBFeEnSlH8=r8-*VZwwuqlW zXU5?86!sv^*+ugR>M^@_s41qet0DOmLRGc?G|AR(b)3aL$G%IWbn5?j9w#~tOpYps zHHxQ3X7K?HtvGeudg6BPukSC6Buv?LHkPihZZ&ZK0t%#P*On4&VMNT^XM}!(RraG?MXG#e) zjaP3N90-p#3C1?Z3@pD#0hJ=LQEd9JIu76Ydw#YL3G+qbXJ%09XO)c8>9ehpJJym$ zCVg1`7&UdJl3-Ny!%%u$dZcBigca1jB$&z1vs@9>p&+B#1Q$I*McDJ-XEHQ#X5*RS zo(qh+-$#}06{Jf1FOOF2jYb4hnHF;_OG$mj-r`e=Aks%%_{0z!&*Nh02Q5`YW~Z!v zfH=QtVX_}gq=_rTBF~lU1G3c_lqw|vz0%?PUH#4T{sVqY6>&f3$iwtw#>0Gh|2oH3 z5Pbk$W;5p=3=Xo#*^HC^B-BgG?V4Aa>;=mm%|pOwXP;)f$krkleXH- z4D8}zE;@tG=-gg^7qXSKn^mVZTJbZ4PmgF5+UpLzEB!##(71;1%^5a9FwbP1KK9*d z&!aP4eYMX~?rQ@?5wtNQcnER|AuSO=jAH>VcZ5Sd53dP^`jt?IgVH6G@}&jv+Oy33)8_X7bo03pC`N#BkQu}Pi{eQez*2A&N{R% z{v?=PW4$Ds{=PQG-N}G!dR3Z2I%X^OrJFbk1H~jJk@*UchVq9xELlF!$|>6raUnmO zOj4BI9|+5Wbk517)4qWfDq_Cp!lqnQ++3Y3m}L{S2>8)n?lU`wieB?sl9Gf)+Di*N z@(=~DopMgpAMy^8ot{8SG)7C&Js+E_q_y-OQoMs1-?^jj5?qkXyfj!1jsvHus~R zju(>k_5W(_LKSCbSZ9lRw&As8?lctjhjXu*gzfI{<$IcyQ^p~zM68EQ40WY)D~6>R zU$W_2-5)hAcmBhIipD9#fr?7~f9oo*E~W(pE&X9YBzque3&H}n1wD6<4n|r)B8FQt z!HH(94i>ho+`fFDJ3ua9@l(;RXQm$C|8!_;dH}sDTDs~yQ|(RZ@73%agvZS>iM9EbB+WV2cLT~>QOvl5yrpMIL zv2>>8m;NLv3bzwixtGD5Htq}CdhBZ^L2lPLaS+jA^9H{sSC=ItBexJ=R5S*7bS z8gVVb%6cVLb+OI26@zCfPHHycG<1BO(HJ<;@mxJak}oj4n`9EQUMQX6E8{SK1sy|Y z3T~Zu4z27KjS~X`fC3#h^RXTF$=(>DBG~jKaW2=SnGEb4)Lx{hi)`lFNs;P6%_Y;c z{M#^ugko^{j4B5z zr}t(~*M3*gAtsVjmzaDke?lyom--J(!@eKtr@=UjuGlM((aGC;f6e`7=9EArL_x9f zH}LQ@^9lfLnic0>x_JcA;c1@t+z)!Y2Ah}jggWN%4G=X+pZ;N~x#p7fNjhz3!&9Q% zftB7Jc(%L!iO4#OFuSD|RenZmtWZ*2A;&YWZ1GKGZM zG}z)BwjOl~L3V{c5FjVy@r4$qLWeRTu0IJZ#!er+3P7)x(mQqqC9oe%WjTcIWOYhfQ#NduE zdpen@zr!lei0}!>)({ST)kQ4Nz|v51TN*W;$oACUxz8&m&c|CW3Yoe)c9qAUmZTu7 z9F**cz+K#P9vl6aJREh5QMUL0Mz56o~~mLv>IZ;RlJBh73i1aWd6-hCr(Tl zdS-O)KV(oiWv2{10kkINQFgF3{sf6q7!CYL!a#1c78qten41_rV739zn|^wv-?T93c{D+A9K)T#@-GtHzTsJJ4;b3#hSoIgp=3h>qCNQB zyTcZ@jul5Yg%-##!2FuBoiTfM0+F4QgDS_6;@NFPX`qsPcp@5~+LcO;5_rAOK?iZY zXPzC|ui*syxJ$ROvY)_JJOnxjoqCGV#B~#fi4#|=FG4rgaFEOw@8$ZO&^aM$T~a%w-DBHEk7^0H*WEwhJC6a9~=yaqbGz*w~{CI*;3!eB6YaFvUsWd0l7 z{&e1yI#z`qRj~dy*rbzb9aRe7M9;4uG(0sOLpCqZ$|o)my1^AZ!X1&SbQ*>xVRlw^ zZN1+VL=j9HF(Em))15KA9|skiRxe-f+bUqwd>k5x_q-WWSbX!Zdy=ZauoWCg_Jok{UCQw%-4ACpmF9vav55uONV_sdL1CzE8OELW0B zxDQ&$?st!CFH5|G?3+2-7_*x2GifG9ZBv!nCx=dpM(8wuYyU|F70$pJ7g}H{)?B&G zroKNCKh4hJsu!=H$tNZUvP|RFW9`kS&aj1wu!V8uFaKtA)!Bqp1Uc?cZ)tD#ZRFMI zrksXb$4syf<6>nbX5&P3l%H=uwne!P7LNGPpM~Xlf}HiNByx zmuV;biG9%Sh83ucsKHN?_zc?(v18Jac$o@~!UE2g=sZ`1nPlj+LDRz_lhe>AXL>N3 z;~mgFQjiiL?4b|$3|3W&Ozzx~fge$gtMd`Z=sfKSm?F*(Fo(09%?mQlDmBXX z-65^;_~I5i%C=Quy4c;WzkHfk+9>HGPpBu$_7e6@3NODY!uG1)>YQ4mWobo=nQKg@ zjDsw1a(bW#>5Tjw_OrH9jpjo)ZLBG04a9jf|KZy+290fm=cD=6sDf#g#qfHTJ!KVh z*Sy(ZKd{oNGSF$6e1+Fu3S{VM32|Yd;=2hn2we`gr{}^cOs(w(P27l(V>}kPLdmIt z@KmKQ?Hj4{62Uh!D9ty)8yipo{o(I3sCSocUJ|_Y$Rke&ta?6ZLr~Q7K`#XDJ-n#9 z;Nd?@khu}G3qSD5w}((^@&Et23xx4B1jvBr*v>(fw}fGM1R-JqPsb1@o6~qs(^IvQCMh9fCxtZ&^ZT0-$`2Xd&zG zj!2ozc=8u%b$v{}l^-@s3l2Zsv|LG?E(s%myJV4Na~kA@WRs@Ia7f)=d&e*Jge~m& z7wAVOOSRxn`P{G;!~%T7yEe4kM}l1AhcU`>*)QA=dG@HaMxCFbzfvFrUm2d>%+aPF zEYexsShwiK*Usir5i`y!_mt>097pwjK3Fi`dyyX+5dgAjNd0M=BG_>v?dTrMxC7E? zj`o7y%6g~jmkb{fxBrK-caLlGzWe`cg#^&ruCujP&~9t%s#fl`UAu}cy*p2UiUmSM z4!L`vcxF>Wijbt$0HO%1a;PmKySp69F?SJ2C5P+Yids2@`&L7WBwXLMVoCzZ3OSS{ z*LD3~7j|9uxcAR*kB8kJs|O6p=X!r$@7L@3!ryg(*yyQ|VYoWQ>hy~zJd$+PLhB*$ z9@J0!VGNidphgMt#hEp4w@DO- z6R2dV@$~9&Tj(gLobzQR$|x2}$+48QKh``v7?mx>cg*40z(@H5+<={Q`Utoh?&I(x z!xU2z>OOVXLDA9?X3}hkF}v3nGs(>50d%8K#_PV>j?G6ch?>;7*J6(D`Y#n)R01Rt zsFOH7Sgs(`dGoP!m;)3vWA`n!34N-gyg*Gs+f*Xr89~RXIzFF zpuhmh;yFE#^on&dwjDXmI2>TurU9;nA#A@kQuR~*!m6@5rWyu7-eJ%AqOUca?v51Z zjkZVX>u%AShvtlp9~3!c%~I3Fwyk7tJR@Mj8OP8qV3H)<_K&H70$EEkS=k-0O_R*e z*VsKuj-vG$W_K}j_Gu~X`Q0ae$Fns)B(JH|29I3+P*HOV#%!=Q_{`jC(~!TpL@Wns zCXyQr>3f6@C+KayH49vz60idrU(d4YejUmDibZ;|?``%CA!a zxoa-35V4IOPBS4+t=E;qZ3EX6$DYhtq{wJ>?yRB(tleVnr+K>BPab(AhCo)3jeQzTLBisl6OvDfPsL zY#Iby&YYeycx>H>Uxq@@z|HBA=r9jgrr75QF&nz#Il{lzvNm?9?`bBPuy3D%*~Yg?p=6q#KTEH_Ll^?+mA)?R1qJu{*sTmGxNMY ztS@{K3f1C`p1t2K*(hFmf$HZ))n?-|eSXU-g|jyPW=$29NS1yuXqMIz=e1Sw8CrN?n@Cv)(UhY7+a_Ui~qzH{%b*;lV zzspz3rJ!m0uoBR8tkG8e&7g^FZ@Jj8CNKZam5_eAEof~3vAw0`B{Z0L4@`P(6(Y4a z0@8YVAg|5#BaF0b9DM@LaMDJ96!gy=z}4^zjl@`2m5;4p(we3Su?kGmuJVA$Z>#JD zhn5GUqIT`9W+40KH?+B0wkMbDWVRYMrNYx&N8m#_DqDGJ_Rcj(alYtw&w-=WNAmnp z^x=SFW69K#X|taz$Tfl|^g_>kN)S1W+8cBJ=m~v)?Y80U!Q0abdfO>V&;Sr!VBdKV zdhR)d9~j5je=O)+(1KObYjc+if+TAr*Evu8zU#fXSr^8HdZLCb+iWWBR&hhxTf}<~ zTl@=paEYu~Isj`>%;p5xsN)QzZr;}qS5blS9$8ob_U3vx1_cc^`yo94cw%_+XTs?z zy!wf&j2U=W+8-TaW^3LrtUD{y2k{&Dkef;m2gnKYi``Ovbs67>_h-Dmw!mpV0N6O>!5i$`SYICrO|Bfx= zs00eXpQ)1svU@7zXg+3kQvj(PLgGwbUKTKSTivx`o^gGA;~EhgFl^PA5|TXQCcOxq z5^{DBU_*pTHG$D8GLx@Va;#rS__?GNe;rxN?ZdcTP7f$z>dt^s> zz^;EQTahVX;g`glXe-EF6Z#|PPA#xjlx3SxZ~{t+${zG*^0#0?-%-#Lt z8$$8MQ~$Ss<{V&BEK8~@VmWus&*_LYah%5~Xsg`qn(rbT5&Gf$B_raKy2YDKe+n(x zY*{?fu=IopMAj467boVrKo?=pdEZj0Z<6gXV2L2x8L1DkPWL=~>a~FdaYGAuLG1hi z)c*Rz=2*q;qIB}zKssKGR!wv;A-(&gLbY%*m^ym#jZpgX+pA5_+htPdDPOFJy>KV5 z5mA|51+t4z@s$x|?h)+xGwa**E#LxK*p30+pU!oaqd$%ujATUhuvMdDY*(!NFBjc9 z4;kJTmTMxM*-Uc(stX2@U+#-)C+O0lNTGc_vtw<2A2VNTP&+oUVHU$EmceB!vn_e` zSHK~|6lrC_Gv342tS&8F&gFd33MTCU#=5Moia8eRS!A&yQEUxwk&kQzY?*lPD;b{1 z{OU4M$X?+JVv4*a_Q4q)IFwIsYaz)~W17OMrh0MV*Ji~H*OPM6_P1xMtML?Bo2kJ1y0QB2cu4+BU>?n)t zF0p#yzGj=BI@k_8#IWar7^w}PEo0eAMcoyX!Qq>W67fFm3rbp5oE!>;hL^+XdkXNU z^kU1;hEgcpaihPYHu_^o5qK-w)&Wo6;kHNoH>RudTm!N*L2*Gj{yW0PFJlVn({M!V}!VNRlOpg0alfzF$40L zi8IDu$b#ic1h|nQ+#zKQ663~SvXFB|bco7ubXFCRaw=&e)N_5;M>V{C35xUKhw;uE zVe!h^`UEkd-6Cq$57$ zg~iNPDt&sqYpHS6K_JXWfIQ?L?nM=B+C|+()-@Xns zw8aCf#FldPBJyYQ9~d9zGslRtWfnNFcfVD0QjBQtQ6~G`+F!R0MP2tP&b{lK#Z%o{ zRQq9m{LPhY$kFI>f{;R|w+C*%1~U}~T-Pm{mxtlMV_^JLqCLQd5iy}&0Qzj0NpB#( zYzP|9mh}rP#TDPR&v^L(k>m~p!Q0FZ`*tB9!wzwYUcXIg}5D!;R?h)flTg$gjfQ40iBG9PR;h#Hbs9Yoz`A@wSdXDpVGOR zAHwHO9GNm4nLYmsTs;pjUCKel3THK()_WonxR1Y&{7OWs^H@aVR>T#XBWAMiPxRfu zFudX67~#_RmispRlzExG335@Lf0!(J^n-u4*Jdf}L>DOu*>- z$tBlV*XZ?zT2m+?RUH+0lF1vRzW|J{l~r8tgkQURYCv@frE8+G6LWY>l)*za|A8%YbOlAQ^L8qKr?1CeE+BQakt?Ud$6-Djs(~%!rhOPolaLs)2l1&AzY*9!T7~p z<nkul>*ET$ z5$a!WS=CoKDAlojGyNnl%k;I`7a1T;^sY49d)A2|wN`JeY5H2WqMaz7@kS%qUa{XQ zm>{H2r+fAjQ~Pv`x$bfzj->(F%AMjYjGcG^I4M#-zQFkylJ>CJCBd}>F2^jSUM&rM z1&oVckITc6+y?dwGDne850g=x9Zs=MAnV@i8E4YTLswq$x!0%AnFuw6JXGU?aVzFk zm4`MGlDDXBbfCMOMD9EFNO((bLzmTp0a?i@Ws1rxUqt4LCq-Z?Ol}{Sjsp7`4hrM#tW2mJNrxdn^w`lQtm0EN-Qr98b*ic)_ zD^65Yh43LWNm4upkAlUlU-yx{H#bcyQnT}KZw$DHk^V7%!b36VFxL(^4TMQ%!WZ4) zF8DhLBp(wn3j)#IO^vfuJ$9P??EehG3zu@Dk`_L*FfN%B8L{K@e~u=iieSN|9}mD6 zp8kLTGMf00T7rkTPlKq6Rss-J;WJ3Y-%i0cc%hPDa?!TMuXbS46@j5D4K6JWegkZi zZ{5A3c0>_Z&PNfC9$3!PG-v#0JXN|N?mIaz;_Zr{V7cPs^AD}48rf4-Nlqesj^z^* zHwz-(&E2MKTb)$HUqnkN^?ltf+MHUCMibR~_*aY|uG^j8W2JXs>Gkw-h350#IK@a# zlBmPHm`ybX>>u@YnUFr`9x*QhfHYb!^=(gA$r(()nX%s<-kYHvZb~G~5zW0yl+K)A zr;Z{8*S?C;y(dJ=Hyf$ZL^@E#2!K-hRM|Il60p#jB51ogOj01!wwX?tFT_F~(3!}J zrx07Ry@woCzXy{|mM(aa*%gmDc+$I&m{oC(F^SVuFxY?SI+yJUf+O&eV z+V*(N^_K;K0w(Qx^-1Y`0)02;R#zzwRptW93#8dd?9jkA>dNM?h*RaUfZ}l7y*;&o zKsH!GhljhKN46ot6GZs3_h8?wpPMVhrJ15r*`F8eF+E(=8{Ts9_O%&lL6xlCRB}LZ zZt79ykuR^_2mwXPBEPh~y1~sqN8ea^9CJ6<27Dcbx@hB6y==s#Uf^b9ZBWWW`xCv+ z5S${vv=?os z*A>J(@HtTHYFNEIRX8O#UJrvdM_CTFED)2ygM)j_=z{{OPS#Fl`vv$m| zrI2`A#+W^c#olPFg~0$33r7{_|N031yis^KR3wYjnA*HCHt`Gq9*D-XJp*hc-Xh8i zXZE&D#oLSRznLbE;$d0yoDr#cF+cBIvcVri?nkO4OWLSIMY@?hS#D5k#$rg zi)m~pIDBhyr{>#0G&azUFN}Jl5BuGdM4O9EBt}8QtR`?amKWX zf;gr{dOLYpsPSVy^f9)#ge2!t7D%@Sg}ERVj^i})x#(B}`6UM3lGe6WnF6;L==TG# zB{PT6DY#Z~7Iui}_EtY};+@7%t1s^6-4&KOJ)ZeNaRbe34>yYP99MKwcLF{ZS$+Ef zmMI5=S!X}Rr(ICa+3q}IdT&lHLY*aO`ZPLv`D;W~GX9~aw?%G!r<1I%-(hW)gu2Qt zf)XnIQh5B!0TD)-p^CT^%3W3FnW`$Az1wsbJc8?vW5n2|R?mt`2+8zIm-Cqt@CO)= z!OR^N)zRtQGa$uKMdPR3hfq&(b?;ofM_YfFb>8Ye!tA)fY<3r*U`Mbf)KSPH`q)}? z##6hMj~dwlMv7$(!trAwdI+KyfP<)gbRE39&t6C++vy)p`)Ewwi*Z)>{<8!PJ3S=m zd+v?+DI{nZ>Cevx$M-~Rj|(+p@;-i@qnJORmn^%nWMks|{_@-g`(kqP`_ce^-O|!u zyp-|yZ<}MkFx`xg4BVJo7umY$m+`{HG9u$%I$;Cix_W&2MIHe11NuFC(m2S`Y>ov6sI^G4q#c;-^r7o-FZ^oDB>)nkd?jt{8kuK-(4isKLY{>k z52~I>b&PEB1fd4co&DYPIOGKK+xeOR^pf|MAj9oHpw*Rcz9n`Ll8a?4k9q>lcdMBB z3?!88P!LPJQN8Q4nKbsM99DC@0Z=KOJym7Hc0PvNi4Yhir!j`%aYBkbit|IVm1A;S zIc>hXVL=Mo$qqb(q;7CrMotsr)gF`1J3hp14M~`WX-jwQ6F$0kirl*!1E+S&2A+=G z`$8fXXkBI6(E3*F2`IclyVp$>NDBs|JRK;vy#ZZCL9a$v%JOAx|JWH`g(IERiv74rez#tm`!^YF9ooT|X|1^K^)D(!r6%bupdf z{=7U@wgY4_F7P=ijxD0oA;FhJ6tyici&F{3tyKPhST#pE;)WmjdZ@}zfl zQN67)$5KdT$V-T>h*_{z0;uq0vOw){4vbgkK~>_)o$f$|@&Il+(8$n}RHvwqE0M+e z^2tgSBhjijVvz&T3Vq*8H|FqqNm|KD)@UD({?pdq4HOV1XT49HT`MmL0Y@hu zwf5^uTs;*dQiZ0*_h~pIy8zu>ro*G?uZwME*&Ps+TAq&+H!<+nR1$50@!Ry)=FroA zE`=?7psXQW7*3kEQ7&ZHCidnaAXsT57?x1F9Fxlm(dBVR>TrHv(vM&1*?@X@3w^kC z>a80MpftMoGq_kyS$!cqi4HS$SXm4*DN=T0Oprs|WRWHBYVk0+-6JGSPa3ri%+|74 z1;a8PF{QLKt{*Z=)b|>&{(FDO(>Nau|6=@&_(ck4;79jGJQaA;eW^-CreZ*!+SRAyhu9+mgL z|0omp3(l&U>npD&2J4odetds0EuPDswOrTpPQmgEX)DgfU1(h<3;94@{@?F-(UTix zuJp_WJZ(*-=Q>M2#Sqf2i8iI@*aS}gy&irOg96tb*JCej%1OHehF6A{18z(6ezrcb zK2Up2Ub>J@fDbgcSH)@F6HHbQD%aewY&H&lJDH+cAk@UZ&uPx$sOYqKy7UmzWnc3oUr#yv8cNey&!F3e&8nz4TZh`Z_rbw1*$8In1l%m&UGB zET1AG4K~EIcD8X-dZZ0*X^62coeft;z`Tfbcp$CqG8u#k1AZ=#MZ>Tc|3g*Zc>rA~ z6)u|@&_smBE5B75ZZtGLT(@=+@!q3nG}|<{bfogTG4hgk5n>zsH_KN#NOhD*L>Sg7 z0vc|HJvZrPq{@``A|K+3^bW?CvU*tq}RE%ONkVJUo1XY3;*xdM*R6u}(S-a}Ip-4(6fH2F^Q?~OX4KgEzA}JLo*@8Nl{ZClJ zyavOQbsor_=Tuux~e2Aycl=jxr1e+#RDC?L}J=B2V{~UcQuooxAe}neV%ZJ zZ=zOC_UgmLl^d*8wmXCFFt3oR z$Pr!wlf$0;cS+1`L)@F-L+f~}-N4)oCW9_e@?no5|F*y!B$RZzp)uFq( zcO($Tp0F7edLVR-m^YeHJUfrmF3QiUViCQL{NRjib2r|dA|cmRgi&Y~W7@I~u}){0+^ zP#ylr-tA1P9{{uxY4~UCH{C(E8pr~`!L_J5%Cug)l|96LIrn)&oLCX-8`IX~s?gYd zm=R53N0>S>vdz~)A06Zivu|#5Aw``Ypc|ghfZcG_ECilmyzIgZ(Nkg9Yhn9=$gFcu zPh6YDop=XGw!9)b8BcfXwlRTqdWthIR)eQ$-GaIwK-g6VaHEj59n! zLAe%hOxOby$l}C+YH2CKQmC&(&uB-|v>M%td5<%8=_~W6`jMT=*q^;8+FgQKRs^B? z%*?6_X*KT#ZlDha8iqDCGr}Uj^-V1~l*;r%kD%PTMz$S^cR1ttQVpr-(><|T^1MV~E`n?TWd zBbL^?8v|;VE7+15p*gv8rA{{Tpt^xl6tIHRvg!F!k#Ylxpg{D1z%Cj5q=-%mpxVgDY{;&< zVK;drgKQ}vY!rZh2GGAUT(%;++Z%;D+`P=vHs$k9s3Y`xT+i#h2XM_eOe&ZhgDlPl zemc%th|nTFq=J%JfOh~_?f^qL3x$E>zUU)9L6h#o{+nCI1Px5;r^KdI6AnT4*v1~s zgEE~>jj}j!Ga#5^bWUT3S00{@8SFXDjE_9l;@v0_VVLcJI`5V+)H7o3(40AudZV*)3(Rjt)kqW)N^DLnV67`H#1m5);PfxiQB{r#T zrp>e3@D`7u@u3;&5-Lur%21Dn>c8HLC!T`ML$9AbZE041dl4m^)&gwvW*V#Q7np7C zz!3J1D;QqSBj&tm*-BgY_rAV}>yV0fd3ekgqJ0bBxn4oM*H|aUe!;6VT@|?3tW`G@ z*efT158~tr#l_hDhIbyzl?*|D5zv{Vefyj!5O#@0b!PRXj(x>(WuJz0!MB$H5f@Hc z4}|$OSzL;E&a)RMTUFU{sn}8eUBeopY*UeFv_cuj7&h7Gn515^td_BL6Qx%TpT)~7 z&Z{Kx;}wYiYa*LF25J;76LdN;uFeeF;5|(Pw2`fZR|gA!X|Wm;r;dq!5LU z!A>CO0+5-~XT#|NcJm;y`lBpGm@pJgCy?k4oxsu+ z5(v5;f~k1-_@&vk_vd5Hd{by7q;Xnu`%(UgX?2IWrYT`$yj&9);ul&ey1QIUcn)F& zqqjRHiMnzjCNs8<3Eypo-Q}j$kiex%5=OR?0AWs*#Zs8;fnW#O(9SdszDkyF-hh{A zkUI&PiD&G&ppz!}qj^TCg5k0_A)29lC|bDw=tn(e3KP~T5((&BYnbZiGIE>f*E$=| z&ftM9>e&B->0$sgJKF+7uzEE+u>beJ7}yv7VoyZmJ?oM=zv2Ai#P={g*3|tFhy;-$ z{GvbqcwirW;FTZOzDs%MP3~DFzLB&;Jl9WA@NpKW|I1p_e$^TAG%NC zF6*xMy)GY&z(P^UUA??9>Fd1MMzYEdt(le})wX!H_KNS4CW2_`W0F_&t^cO}C7bQK z+$NjD`LABUAC98lfO;`Rr?q`8=s*jteo(p4>Vp$5Rr^0Ma3o}_BLz=0nQw;Ey4suj zd&>Caup6g;-~K~meTM`E%KO>Ay~CxkqgQ*Bi92XM7-=yu?dSb+)dkXhn+PmH5$7=S zl*RqxwkmM0I& zs-tEC*@W!NM+4J_8ZTAfQ-x^5?zhVynFy zG@|F+GpypI^NoEm1a-UHHb;En^1Ps4$U~p@YcpLR97E%v9`(WFWh>lvFFGySP=bDp zww>;ElbDs+liD9e%8B>7*>h5mH`8D+e9N2nv$-gWms51p2~8tPD9t1H;~+s8ooi}& zRx?ni-K;>nOGE%QG_N_PHCzx@i1*rAn~rmunFJb=U?V^vx*`b|15R2uN8PYg5j~gg86#b>mp%2)=+FK zBeMnb!~!_e(q@J~LHU$yvyMsdn7KTWW2$jf9dSg!l2>P{-tLzhj!h>JUFBivN}`lr zR#m17xv=R>hzIg-tI_uCA$4Y*vlC4c#5>J+PVc1f0LL8vN`n`dP!_yw zXYUn;O;S;wO<=m%T_&_*&SdMg(FNz~Jp18Df#aQaOpTElaKytA*3}^II4sbc+L?5? zSd5Nv12} zoB{V<0hAj~LobGh^qD*36`tprv@FwSsJG%4`HK4|hOsNOj<;=LFH)T2QvRGtqB=-# zgJ^Np2$$e4(`TwcSD8@s{Zy~!r0}trOtPOI`=aRV?XUmM`g*M6SHAtOrsHW;=J;v6 z^D}Rkt(=gyR+kI;4aWavf`hlOin7UlsP!V`bK9p}Yd@U1{gA%KYY(+=@pw?AShiBZ z7{@Dj@~49X4@s}=6%uU@3ey-_IVn0CQe5U&4x#|%|u&3I(-%&Ht9o!L!Vkn6>dXj$g?<>b99KV?77W@Sw z^uiUtWMz`@LXc58_x|MG2k%+9oY}WvdBg*#EMGHUS)R&Sy%S0_^uERjmEO1b)wodm zl2`Aq6D`#ITWN+*R z=hM;g_N~`k>mpDPz+qe{2>1p?<{A75-k#Dbuzp0%ngt@YyPRtNK3YGA3*JY~$f>|AS7%QXb?PKfcE{UI~596+$7_M8zLd!n;MLiY?3$ zR8LJ;N^_{po|=kQvwuWe_|wz%=G z95u~NzJ#8}x~Z{t6eSyF=O?PMKUA36!e-u;{-^4uZy9gHB{XY0Rb1LG!r1j{X5HB`rkA({`>zNd>=|Npo^TrfjkDj9)$v#>7B7K-u$swy zSm~FJdw)NMiWM#Tl;ClmGz@;?7l8~u$0URhV8z=(rW3OR2GVOm`AN)HcKB^g7R5+K|y3Erve;mY#63&dol-#S9#bu4%)2M+(9p zc6$cB5#$83>lsy)#je&oo3<4U#;_BOuPROkRaf&zVHhKKVNbcL<02vX+avDC;dvt* zS((SMmGhUF0lW|$%yvnly;6va+=S6P=SRFe(qRf#!H2z>54z5_z(+o=X@+=jP8R1X zWK37>Y-cL^eTKPdJht$FN#YaTGEM766lhlcp6WQzIv8cQ5Z+^=9@%%P4nNm}Qn=LJ z@C};jt?1$kE*n;==~C#)2H6Tr18NKz;3+sMf$1QfD8S2IP%CZ-)CLlK6UxX-K}-g> zJ3jFpZ*6N0$fx!JxKC<0g*2}r9#1=={Djhav7=$Xk8&%yc)kRp!*-B;>2!gIC~6P! z)DgUu=wFB2T^x2!)8udo59N5wjC%LjoNaWn_hu>sitECT5cX-sga5W6WKhh)J1@TE z!a}FHtI~xq$esXhOj+K;*Nb~(ro&mx){3}R5;q6R(x6O`j;c9(L>{~`m~=tWVC_W0 zSa%sH@~;)M9nX>mIqq2AsJra+))1b@roe%=jxAx>$1}_0Zt+aMXp_Fkm!&|LKnVNa zppZm@e1HVzjskp|PRF$>QV5)R`{9pw23o%yWq1y_CEHTO>m3T5-{?ibMJ?s;Dunx5K+ygBwjLE?uz<@on{ z`R70KO!xht@f!_{k>}7GvuNSEu87AXo_siB-9J3jDm(!)@C3O0;{kkb!M1Nvp%Lbd-?f~ z&x|ZDo4Q%r+>n7@EMO3rxPWnxgWg5gv&w}?YqQCifur3w;hgVHYzDzgF=Tp&&_qp! zno)7!Q!Qo)vvQK^_QjCslT`RQ~qvN>Uu`!dtZi;?6 z0W+-QDILw4vNLjZH41@&*nHj5ai`k}Z`e6DP(fNB%Vw_^jLCrJbk-Hel*gu9D^WBO zcKT>7Y}*ueg^`+&6fAkRznVR9OYEm`X3lr=68P+f=fiO={U!<43MuT_lOoPHN#&h> z(J)a{=8|OlqAbFY?0C1ZOPX6!ce%S2VVed+k*;Gv{+1M!w;gHWSH$09eQ7@Q(Zl4F zFUD{8oPIXWRqys&u06W1@e#jVxwyGCF^drxwoIE1#do)j@$pn|K z0+)fIs2oIpzw}A8YECX2{g|RNyb?mxOG1BO@|nDuik1F4jUUeKz+jYlzDP^&9!KV; zNn}5chctyMSJlD3gSidmo2gKXxxd9><{fu+SL8y3=5&{^n~3@=*oSXq_;VU=t20Bf zDofTd**m4;dc|n@`Lzp~Npu+YlE$m4-AwAY6|w1rm93~zan^%thhWG)~VYod;E%(ZqX{A4mHv@w=gD-lmi08*n zU#FGuAbF-xNlbQixdvEN?{m}j$5hU?8byH(Xx-T%F3I4!mQc2mK`=)ba`m9TW}<$I za0UWVY0%_e(^Xrt?Vj83@aJ7O0|JNPLw^O;Aloc-daxzPvu)R`$@WL*PS6=o;i1OV zUHNzO<-Ie6TFq~TIK~3H(i6md`Xu%*xvuY1hW|*PpxZV*a^1bO(}xg>rYWok?|Kvb99?mj zyHrRJ3)Kq(=ax6Fs6#L9onJ6PCCBp#wvQHXClO9Hfo>ScRcjWy65aMb9I)$}*dODp#p{RvHf^)8?oj#=Iir{eI z1dk4VA~sn!n@CLrUvP*JzxgPkI_P*1c_UcC{9GSA`=%BC_Ywzu(LC`-s)fB9AY6Sk zRkhPr0yVr^mO7@4Y}tT;ExU1z{^Ad-L*{9CaJxR3Z0jkvku#{oiaSyWSCl?r_=&Zl z>fL!8>oFm^0Is3;i||HFwqRdAKh&N%N7w{J3sl=2au|K){*l`=CbO;bhPc5SU8f%= z-o4{JI9b|LP8ykIxe|*PdR37T7;P>2>Z`}ezo1(UU{-PSrnDxsx&$YG>W*@59+}$!f zI5h0k??%?);>&ehp1&bJ`dMqUrR?SlRjeFJSSqdfELIlpvr!W za2)Xd4f>w8dQ$2LCv`KPusSjGKM>R_zzcjoqLNWFU z3hcA!mp>v-%4#o%QCo}ot;KcTDd;NhIFL|x*@|fZ`YR-+BDovlMtlJEaY!xsRDp%G z1ok%pA4M!PblQzMy`i#QkJ!RF$S$ze)>k|!Ek*Q#5j^iMAvFD{roq1GL48xp9 zdpHyCTgWl4yBZ2dIG32s@Wu_BT~k#TW)ZG(I0H3`4-K<%H^M2xtntcbf`s3i5}>pV z3W=OfYUmyN_|4PE6zX+UdTK-UiPjJzA9;MynC10}*|OV(j1Cpx?QJLga(TYgortfj zJg%XYEgP6G0GR6?CrZCoh=enyqEz0Yub#cE@aMJoBW8&bSoQV&L);P`zrC%5t!AMP zK|^BwYqWK%7N4qm)@t$6Su}N)XYkB}UrWeQ!&TPtxG=|OWOmgox2^Zh-RhFf&My!5 zC zu9uG^grd>*0GC+$oN3bp3A?QbCG|&a<@Q_}ZE&su*md&NneeoDYMOS-R?XoPae(yw z&{K;+c-}jG^&j48HbD5rzZix&7>1WDd~)HhYdHxK@eeQf%|C`=@JJ#*!7sb^qhWZ- zBQt;ek$vPJ)h_ena4qLy?rH=GSGOY^vwa(y2qMz#y%7pc}08$$6Q{imi;^S9&v0 zeM&lS$D5?LV^xVl7#xHupj=xr6pR%yw@%p>8>znYXLz866HMka^v*zj)v4yz?eu0M zfLI06hY_A!WrW>=c*dhw_BMlU%gx0B^W}=che~y2QVVvD#2UxP&5mm>_l};E?9d!q z3k`Bi7VCnOIKbNX#a9X}g}$g(Pr^K zBhns0NgG^@=xRokJj#6lWGQTSo~=5~$ZMdHuvxlfiwJ73XzIMYt%`JqjS3N&3DQFX zKBFZ)NbY6r6uNK{xc6KI@E$v+mNmYdJ}*SrD+YYj z-DXz}$K#aZ`1R1qmx5GN=F$GZeL!3@mkC(?EDcp<0t{tpP7$vVXCX-^`3i2%c&2O0 zjx?bZ03-qNg|ijohF|R$7gtv}54&0}@5Um!w|7cWdv1OVR&mw_*lLw3!yAUbY1w{~ zW73AMaG-+q;A215qF z!IwSxtfSA4DjNsE0w)^JtJ33R0@+P(%jb{pHgy0yGQMz3d0#Q^PYjb!lzbabzdqVi zW-7^Il3DEmHgY!6r%xnrK04ACYG$)a0v@|Z9>6OzU7v0-x0%*MvoL-{bQwP5Z4jfQ zgWb!y%!+eoh}Q)J5Ml!OR@NozP0~7$4t4a01Iz@WZ4P7kz_9(os@~%V2+0K|#U1FW zm=R+BL``*}XCH1mU}*U5{BkC3`AwO4?iOpcBhUd^wsMTgbMI^R;Mkslr*I)6Hb8^q z(dnT;o&4^zWx9QfXugV$Vb+0DT(0!d(`+YP0lur4e39?T8RqW#DTPtpji@A%@= zNI<684$vYhxz&B;v5DCV=XWO}hr<_A1X;!}^ubT@fBkhsc*U%`>E<>;@A`NRM;ZVD zrI@Jw;AlZl1;2MKvi5M_x8PfNSQt15!sLF>CB9oyOr9o^MdUn2}%6z5HY4nJ-p->@AS*53gJq9RjLEjG7?Y8|n5v%Ug#>%a#D50*62<0jYsFu_)T&cI?&M)XW)j}#`GVJGY`hQHP z;-1G5#8ZN9d-mXx$=+k?X#Q8!jz@daM$pXS3`BbhK$Ghar-{Wa{Ciz6fS%*#^xe{_ zqx2n@fq7EKrI)CpJ9?GWE;4VUCJW@!n3l1&CokesxQEKx8BV8CapgMW4CQ(ki*xI{ zM_(RjbCAEnE%J%kgA<%c%>&LCn1U?zbH~Y^8s8!2^-lR=TefORuRDi+qv!T>9Rt-> zeqSe*-zO6``G4vTiHDX5?K7CwkNHgM#UV5E`j=%t*9Xw2K-H_4xOK+zZy%|xIXYci z;z&sCsljRW#xuH|bBYy{5?I+qZto*Z!kB6?c}TS_6z=#%&HxyV$N1SD+vi$#Fg#P& z!wEu|!vK1?rK>)YJ4R&#l3}4Fpol!Je7~gWtK&DSD(w@hsL9@0V~qC_*7XSx1HH#K zfMd;Sj8o^{9F_gt)ngFPpjBsa$3gQr)j-vUyOYjQ)>OI!-k-A7 zrHi!gTTB)ntOFzNVRD+`7vH#Dl6CgXq;}RMJI8+X@5J*(XQ*Avd^_p}J%1=vuJV|b z(KmBPt%l~o+9ySMXDfQ$26>dXxVp^EK)`GY6qerZXX3nTm5b%dp5V;o!(kNHTrB_D zjch8dwYA~aeN!^s;Y2E1-cyBwk?ylc8)(5m$14I)UyNrbT>rdaM(RuE#Rx-1vuDKa z`mWRHY8+gW%M=kOo(aDu_dTEk&4Jki2%<}}Ht-XsfXaEXwJgal9IIYouGp4nCC)9o ztS2f%{a!PHID7w9KJljKqojn=dv5pYg^>@$9`;^+F+1Y6p}t z@=s>$22G6DK^C+g8-6G-9^T_Ts5_h6z!ELXwjB`_YL(L>^AcXe)Uo0l!;4Xq6w@np zK(0RKYEuV`7|=A^8aJM^j0#%3<-cvPoh9hQc;n4AJpzy8;?mqabVrzur&Bckf*r!@ z`|4FOuC-ZfboIp=_Y1xUNc>iw>8lgzgmMnvN|8FMiOJP@|6`1=s6MUPaGvHlr051| zJ^S(Qwn2}%Eg5UTIZgCL>oA=K-}Z2;a~+*Cp^ljd9l5g4F}S2TxX~!hYg$g{sutNj zT5D+9{--ou(+5_z{eo9G{!}}Ofzc-%J#C$;=&Zk@7qj*4WVvaNzDSOr2+Ws5W0F`Z)s3@qoPV&KwW+0w5*z zJz7_$xMb*^%YD-8lX!EP(PSh=?{U`QMDrpMQS-5NO5QzYykizSCE3at!67Q^({R?f zTz5v*Ix~JaxyZVsIoMXQG0bwLJw;6B1!^br^w~;2q4+=y=4@_5&zWup#L@%8CJ^BV zsNF?0e&&9P*_{{A1|s~t?4HCEtGdLiDcZI^C**9?5JKHs{T!SAmqPqa)vl* z4wbE%BL}0!xnuP1R?BL}I%kyZzE2tB=uyXHiwkTsns|?8N3L)by-@U+>EwQFt0pBP z^^!n6or7kpfFSG6-^^DJ`9+{vZXgu-JzU~thbVI6U@E?oD_u<_>Of;mr{?|#3I5e#%E1aq)|+|y~D&dd!_ zsj2~L&sw2mWQQK%z2n!LiNSc(35m#2dUwBRSw{v@v5gl(x!0ai_gfB@o)a$ zfBoos{Jndi^474at^m-mDc;cePD_4#*(jy z#T!|^jx_Bd>KBhqtk`vZ`O=F^3MONp*ttw`Tf%Q1#y!D{InGyE7<*LV5G{veY^`EdtFeOu2`|a8G?fWOI$}wxP6Vt9on_k@jh%yxfi|uQG z#QN2XkJSYpp^2f!#l7C29@WI~Q<(g75AXasI=~S2_w_GddUWydqK=<3St|l}(3Opf zjT_4QR#W*Z@^?&L(8uHEenjuyu9P{dPwRs>rCiEc@z-a(KOuS9%IcBEbR2Ed!J&Yq z@NA|29E8Z~PkZk1n%cMTDdk!f<|X=JCP%fZ@z|i+QGKdo4NnHpZY*?o6j#d8(A>Gs1yI{?I z;dYV!DCGAz-+*S3Ua^(*E(zOzjzYL)w`Y|d@>TmJDR|K3qirem&i??Wc|T1YRdNLk z@=OBn?;SmrA^6pK9rX6#OZbYUh!pyDl{tcXD(fg~7gsL2)N9kmqCH0M+HvPS&?+>o zDciSwG^`HtQo~kU61=~^KAE`ygDBcmbqT4eXwpdWkqJv9P~ejoZ+b7QDi#Brb#!)}t&qUT zF@jM#^MGPGldn57-73Zbct<@=>dst8o(0)qtO?VH7b%>q&y7k6lD4W;J~E-*Jzb{} zH;ML`W@nxqRmIRoW{)qke6oeSZ8kG<+gWVi4MU4Ex*0*Zi~rU~>mMQq_vC&w7^ClJ zc)MkfxsE}D#Z&1;sbaa^13K~my*u^L?TAY^GVX=+5bfy=SYJ#-t4OnRn0ftn+d5s# zO`VMD^>UBHca-fI3`$ZpKoen}3Tcx|eJDN&cMJwFgH3zZym?}}Vf8fq70Bl(1c@XW|_7hGMx(BB(l@i`h_PY_r-%0o`wL9;_Ly zJ6p-?Ff%#%reve^29tr$O2mHDq!eiuwXEfB9dy@JxDWk*e7y}^llQ&%?*jx-TYJu0 zTNP@z)7JUOy>@FmaIyzc5flrA2ne~?R;ePgRYQuHq}4!B5mp5OO+P^z|JAWbHv$wZFaHUymu=mr@7iZkgdz_KBhJ` zFV7MQZycB!ll}I>LXrpKWY}ZGS7_4Mzk@DkUI`&dw*yfo!dHo z+0}_~G<=Q@XsFz9p0(C#oT2l2s#iKplO_>cOXpfoolvY`YrJpR2aatrmsFqA(yF^7 z->3YKyN(tjxNna<(Npuuk*yqHg@&ZP*u1pe2368YR8%Iy(HvwGv@Zz=j(WceNb6+7~7tlFHM8&Ry z_Pk(DyEc(Wj9&y45{E0v8KrVg73qg~cyOBCy8 zJf9%5J)0HFdFBM+OZJu+dq>?Z=SvEf#R5Tky0>JK+S?lHXjztOu$ScPCEqoBCQL*~ zq{D}d@;vKu;}tjDeaxz+yFUKWoBoxuPzheFj!t(Y+Gr~WPvmSJ5zj9dzv<~1*-MgT z#Z)IC5c`&@H;U`_5w0Y$82=awBRa1yP{UkGAD1Ny^m`5FWpg(2oy1T-U ziFod0ueH`I$h%v17x{^WVzGcSb?niY^IxP22+LkJ`%%rYX~~~*nP3Qwju9;aN>1Ic zb``kKJVb0tMZ1O4c3&8VzV(2-H?XqQ9-?zxhFf~Tcpi5;XD|KaDv>|f_|u1cp)#g# zD{iH-CFnXumIobs7{y>t#do!IhxGMyyGOrC;Kn1^-zY81*U@-FXA8CG+qQRw>v|+1 zJ2DHooWVDK=~wULVzf`3Rr9EZcUy(=+VgCj0`TO3GvAExy|!t*b;JvwBwR=q>%S7FtpJx`*i95kjJrTuEe47Jlahr+i!iLRat>_9njR>^y-IzO-C zGsN1FxhE*)56~sAz?NPaBW)9vVfpYnA=1=TW#hh=qZdENkfi(QpUkX~PnzcK#97J* zs(h$le|JSz8I?N-dQg7D@N&)Ya7}!E@7-k~BQ#!~(KO&a1CI{}%ELKRog?Rlk>A)x z&ouou?@@SPzu*7Z$)1bX1k;wwxnvuA38j^NGM6*796*Bci>C#Xh!7%kP6hlmlHjHi z;!Htfw{j!lxNByTCiLN)biqfUrpMQh%sO0M^+RE1;9!Uxrbpc+n9emXJ7NFlzT!Q! zQa?c}W%@>V`G1qSFPQ&3BGQ~gE0=!ppRreV4p39`n^%~3#7lP!88bOC z_H;N?mCw_UKj(P;#KpC|y4I3mp;fSgu6oXKxn#{be|K73U0H%)2cg8N!${m$2)gSn z!J&WkWC7FEVcM9fTw+O~_g{LfrJBymUd%y;%WG>JU-oVNJ>qV3!mjGi711g#nYjmU zgfyRv9Tk$22dfo-B{S$p${5dm%om1IyYEV37 zzoJ;y4+6jA;)A|dnSpv>r7jC$Fvwj=3-3c(`3hq?nVGg)@qV#ld8-rYGM2$5^&Kbp z9(AgU83|Yoxj#MD{Rv+U-|MUNfo-uZ@v<5~(r~f5v#xRAXae+Y!lY(D2cZH#Cbt{*{ zi1)^&H|E68S&Ee^%Q-;iAya{kIR^9=;!hP%-Bq;h<4bY*^*Db*b38ZJPNG1@ zkaD`<_CRR?TE-3!dV~f#9pRhG4ps6F3hs=g~%6{hK4{bXQHvOGpF5>Kx z(rGNLm$eBuRgd*jd9yc*eZt^Qb|jDNqq(OQ zKVUy-WIGa7bsGe*0*GId{9E&qqW(zT`$hWOMSSxuz{1MFqU?>5jAf zsc;cB-F+AmP5ZBBYk%%g?1E%xPV9a4Isc@p=5MQ2HLrR!pIGhhJ~!Fbb656ZlmD>B zj>H8K(er(4|Is(;U)Ct3wx+0!{GOWM2kszB!CZ20*HB#6--1}+R%9O#!bd3K2lh^KKw-9 z!Iy6@rv`aM_joaKdaULGnX)y6uFLdOA62a0&6ClH;&|y&^+Q7_FnQK0D40n5T>LR# zYj{7|{>v*ZxuQYSa?2r#-TCMjslGfLGA52Dp1C&KsGMq>mH#%-M3e;$vR{}%6ga58 zSoIFCggP)tMBTA^$jmQR=|A>7RK$4sgPoZQ4%<-;Hls=V{o`DViO#wE)1ttr^xb~@ z#TPdUJf>4Uvp0^9NQWd+)u}=26 z@VK(=UTjTi?_X3~A;XdX#t<}Atm5)Z2=SIpLkBHA#zCnLrt$lum2=I(nMP$PZ!KAn zKJZ@2jW(HQ<9z>nGgF~cnesP@s41aNrZig*(Ff*+lExOYKki)o{U#|fhcVbl+QC3M z$uU*WxDnR+{f^+AcK-q6+1unkFJZqZMeu#i3-9FP_M@#|VyFGqL01UV1AqPB9kx0t zoo^ZI4Rvq}4Z^#&2`e9uIaLX~P>x@+ z&Nv%*EX&-hY_Qw6rE^{(4~QC9^Lw@D)pzIv>7j~Uj^LgCj!#?mbDfL@TU6NneQhu) zi8wn&vPJy6kNfiIoX+Y8xt2q=nn}7VoReK>-voskLZ#h`$K2_&Z}*>kqf4^;zTM+} zyn`5P%l-rrz=On@s|czw^`4*O;Twb{>qc;soA1Z8)l#ml4j%P1Nhhj5krJ8BE9su# ze|@A>kx~V#j|oc|3^m+#3O)YmlMm!qK2rWcD7(lJOu4$Koa}OqvqRHG<_tWpS?$Ua zGz_VAhy?|4dN<`ch_GM25K|2KGu%MPws8jhojiwOGZj$8oaWpnvl~})C)-ozJtK(L z#6#9QzIcz|f%ZJ~35pmibC;dfFPUZXTX74{Zjv9NJGB=^*$;>_9Uc1e0Dp9*y_KFu zQPQQ-OUc}=bJZ7RBYO#As|wN6%Hk7?`WOn!>pdBpm-*Bt$s8iC>OyzVAe?Pah{bwDzK?N+X z7~RZzj9k0Mw_=dYD=567$Ixha#ke_p@_|q^eAdUr6^D*vD5Y%)=C|30qs=lz+ASm# zP03gBYbE)GGHJzk>JH{_AfJ8-zxd!7p5~w_raz2OAnuW4uf*$XWr|kB-zZ|n=|i>G z)_Eq=ID#?yz)SQ#s|SsvZaSQEAEj>I)N_7Rgs@~sxMJ5kmKoz6CH)YYNEVDW+2P5w zeP(1&u7hXZ{s7}CjKy-e9GpNQC^wpcEh+Xr4un^uJ=i(4ET7Ydtk3$F#tew=Cf=NF zUrin-rmb^Y`mNiFKd7=CfhXpJ?c#|!-Ne2AQtDuiVjE~!z9+`b6q(yPH&nif&lyuJ zYYmN2IUN7Mgi5HB><-r(&)V;(W8C3#%mrO@WbP<}Hv6}_T9zHeeNC2-R52y^XA`yP zt7+EoSJSK)&`|y7*VC-)h?9v}(y(YV>&5P<<*Yby#EV}KvYuivA$I)BfBp6#>%ic< z-x_57PfQ5ey7-BR^%=~rFe=R%kue$}k7G!AUy_*SnIxH?hb&Ku?5|^v)f)S~ctI?o zWbn{%9ZLV#Zvl|r&Md3?)50niBY6Jak~jV(?(F}zp1z9An8mHx5jyGW=Bu}cYoCAMs z?YXItwHfAmn)}Qe&CP)3Pgi@-tM<^D)ob;aOsy_U7K<5`_VxRG2d@C7q3e`=$G3n< zHJv^2=oGCs-qP)*^NjL8rQOg@)=2tX4t(^pH@93DAf;*^{YJE%t!j=LZdrQT43xsV zu`QbuhDUbW=c~^;6F1Pi)?FF9aYQzf;y`Sjl2tZ1Ud`bnV)$ z(p3G;dEyZE_?aDB03@`A#Ge*#8j6B#OUZJm{1m4yoZ=i*u#R&HNFwN46Cm=@bJ8E0 zN*F640X6PIa)^Fl3@3bgSjo!bbO!e-S$Vu-)Boymy#%0Um=oYC0;rnMGNw0YUMu)g)_(J$=<L)}NS<>ZtD(#m=0C+(6P zCyZ{7EL0*QUD!TABH2cDIGl0vLCufqF%R4CsNYVy^T91e76r5(*>DW?&D3@BY2n1} ze$T8pc~8C;73y^NhqA^RpKE$&eC6Z)IF!;{JdAaN`Z$5OQ zZCUBX3OBI@;x+I;w)ILFV|c(QAl}De?F>vGDG21d6%lP{)3Zv{lRm2aGRT$DJ@*wri9#N`2rBA<5uEf0oWmej+i4>Gwhnwj?0K>4jg zRdBi^HSnOi(%1z?0yi*7?=|e$9)NDSnV3a#2LmKD4g7NOf$4fPJW|8I4oqsamV?7e@)Qy5Mvi@jorgCZiI&V)?`1cX_TOuv- zG`A(r^Ykm@=fP=P<~+riU9RxLX@&mfPS2~TuI3-pnFF!sO2YeRx_7xYZ) z1kDNp_j|s9&b$eKVNTu6kY`&Unh(AqNWIn^@b_W#V)5(6JUQIUm`cMGy1iUp*Xujl z+glm1L)vE3AJ{i3y~zOOFbF~L{I0-}@647Xt<@AQCmzb{YAe^(+o~^yWr4@1pt+9$ z5eIc$TL~}>yxUNi(V=VacG1@xT3Av>ADR<>di-G>2t&s0_q`DUCMkqVr(nFsau6_| zWM?=1!bM6y$|SnQld4Ye>PK6msH2IW?ql{7WOW5%KOoO`wh5>lhZ;L^O^ZLvY^!bh zNV4uyc=!C51Xu-2>QucQQ5IWQXN-5m_)m`4!r)q_Oh)p|iY z-3r+nQpx1t#wV8tvLD@^qL2y+!#GNnjaP_tD#mQhD@~-Zv*XP;T|e-Xe4j^e%C%A&8WdLD8)_Z%n)_4D_VtjyTyl!Y&VI zPIXpimfLeWb}BtxFt`)%HVaFvRhB@WlBM(5H0R2j{Ksq!Je@UvfM!c#Z5-(FJa7y8 zV@gHHKp>_Yi@8cD;isWc=7DqfQaCkVT9GVOo5I37lz4|R7_PgSM+eG=PuxQAfQ;UW zz(9Y@NqjQzN}k@D}MP0arO6fqM0+3BG1A(Th zmpdyeBSc6$E3Mb;B!kfAN6z69&)AYmrC2@0KnLSreMF}c_>oSKl`K<>1o5QkR3EApFaBTMYFABhvPO^#Kxt|mR2rsz_MndvrIE1zw)`g3_h6We;omlYyIp=)fBjqS@;9<*AUasz$%uMhu`s*LM!O6mQ(Hv(eZ*FO zD|zt?Bv?l$9a*~M$zNNaJj2kX&-AZ4y>3BIx9RSh_1a*R+qXG_??uvLfBa(6xz&xS zC)e)CI{ECC{v89E@vJ~b#&9;vx4>pPm~QlLlkkI^mw2+WB77+y9&LIPaa>`K7jlr4 zJHw^4`5Q)4Bh5PpMw9eI6)!u=l8S$9EC!)o1DPDJSh2LNEsx&2Iksk<%yventESV} zHN50)X2_?}eUMM9-T^8r%fp%BkFwo~YZL1P+84_bnMS#9dbK#qv ztiC{*sw)9V1CP3`pFD5*!uSiL!vn5Ra5YBx;w3zbh3%Uv0JDgc&_|a$*z0J$Bs-=zP&e z6y^s1aT}dF;;FkShjuw5d$eu<-2oWUcJn+T9BhA7Wa;t7$mm`|2xDNYdQS6tCTvEo z;r9P9U4_`%b(!AFpib%J5e-fg8zFf1>5}O+hw7dW1*i1`VW#G`suS>G(ZF55xl1)}HDLHibkn z7}^=gg8=jD7kJ~p$@g2&sli}MoS*h-jCw?6F|;{p8wK|c;+PyJGR4ky^i-<&ARmjL zbq?Op4%#0KMLX0XZ~G2-$of>hglMc>{F>Ax`Ky~pR3x)Qr!<5R9*G{!Smy)z@OAS- z(iMjv=%n`=llMV!-5Q!fYT}RS>=Mbd+_Ld1UFfI|W>r4SokR3)(F1=>D`IRj{jG#% zaQxgIY>lg|Mx%{5^$0KA6yC)+raA7CS~?3jxu8L@b&l_^Om?_7##eU`JrXtrmqwPU zys>89)bS`jP*3llmVcC62#%HWeq*fX#$kw~nOMY z84DJaTTkB$;D$9xGY1hzgrfKoz1v#iJ|e^9aDQW+;3I=)jsUAX#2f~B7S5H4C$zXM zSc~PCC94g|*3QP~a=E33^`X#PD8SE7iZm08NSUq58iYeC!(Te^n8!Zz$8tDe_D&nF z=H*qJClf;o)|_41`{zV@ylMmz!)$#xGrJy9Eh?jf=2paM%3ae(7{4iNrXO16%tutJ zv0aj!%lM(%+le`Z>hO>ufSb>0Sk3km#vNgldn~dUYC*_UJ*5jX=z=!m9q*3^ONKZG zPdk!zaC;eaTxuH+HQbcCv4o$PxXNwo6xSp58U439h>XO;h8>3T^j?s5>CA;Z4Y`dM z6oy(@_brEp)E?3s&7pm$4Ix_^hs@SWCSk0~2)6uQ3lK!Us02S2rR!oWKuw3ueL+yP zM=Rr~4s`AGH_$sVifuHC?dbn4k!7K{UZYvhr+mRQse1rG+OsL@6<$Q1f{elP|t3Q zJG)Kz`Kf$il>O4`hPAbE4Y`eP@`Di2K{m4@7jcaF!Qq+wS}`o65&g~^^sZQAcd`CT z!ki+CahOCo@IGHgcy04LLpl5w(21Bt5Dpg(GhR5Qx9%p9saT9|c8@Z=Km60gbSS=) zJ|9-H%Dbyey({xAGaqN-Q1tZ=EmwiOxOr_O-82yDYJuCZl4h?_P7ceC%yD~%m($yt zV=OeRhW!EO7i9`%LLTbeso3A8Hb?RJ2nD<>2_Xl^<0nM#O5&!xUW^&M%U$Y(`^`)F zc)CI^bXkGoEnMw6_Ijjdtdrk7<`RwR!9Z$ec|45mLpU7WhG7GK$^_E8ln<|qOKliE z+StBR>ej()7iPNK1FI(Qw7T$Mi{m=FrjO3?g<$6qZ+ZjECeciEhf@uN(_o4|$tkC^ zY~og-&jE8t2|m)wJlSa+CQRteWH6oH&-7b$O-J(q+R&9Swpj3wG+iG)dBMce5(GTe zE#YJ%kf8w)zEh`CnJz+67qqz-Y*CE)l}qmlKht}nxBGh{_rr0C9X^0axts1pOGA_@ zg_*tx4sNsU4NEO~H~u)Ie%QXVy}CLyjZIAgpu zE0X(TIPNw99D(}xm1y9EJVLTVv=wrh^6(ya8`wowt`_h#>Z9FW5&NdLOaSNGeV>e?%>lZNXz#P4sTe^VzTmTyN z`eMdF>B#;&YM{J5uqZ67{(awE$MJYkJ?_I5aSxgMu!nNzLlB_fp}M2z3mHREJ~V`R zZ&j>oF4y%+_#$p69u0oHfl6)_CfcifOfT#jj?VPSfj4jyV5(z&;<2lDx9lQ{M(3o~r_shmleEj;5#A)2g^qa0@j zvTF=8cFV6+3p{RP2(H!)Bk}`;zMvfE;gxm9>;KL?;w>t zF7>i--Unh5Xg=~aY-wsX0d{MvvzRy~q%!AW@GQYirut})!4l#Sjfc%aW-j7*SA?s6 zoA6OM+BI#f@-wuk8N?dg{MtkBtd+S2DDcl`3}k#A%a%IZ0M#e;A6u)kFUdGy3{iHo81@5I#I=n~3T#B9;I9D9^{?1g1P9+~^ONSO*zfIwSW&}p zMPU&s*mlM)O{asQsW0}@-fBbz%EI)Q{q6ntV}Di?G+*%Ep!g$mEsHa37l)oOuV1a& zF!$;@l_2o1Jh(qp2^=ErN_QorwG#=>;llrS{|_c^VSI~}y4CWzk0)Z~)2rQS{^f!s z24&PT^tf@8z!#(Su5h*O+Z(o{mkpx3J-xPixVL8TK8gae@A@}=PVZeK?fF9b5j4tG z%ri$Z;jXOm^zL&4j$0XF1aM?N@Ioh$tN|$eQvD83O$AWmA4!R=$U~JdT;!uUiXSOijOqUX>lyijUt%{TBpG3z@u8? z?UGG@Fk=c_=LW`xqWX$Ngs@B!cbiGHt@k$f%(N$y_IX9*R0t%NI~`b9%pkeix*D$n zZvUDJ7ac!nt>EW1M{LKFhm=gU&l_RJhc_PfErvkf??HHAA%KT-MCnM0H-b98l74-a zN0F^>d`m{0D)oSH+@XldCUxz93uq?o9BIpAE@Z=T=6b{X)PsiGd8o47FfutG)%=Fi z2H9_T|79V9Hk(~f?^&3x4#jFcdh7WS4$}qp=awMrOFdh8QRZK6@Tj|w-3q7H(}^X` zQC8nq1jGyb;Xu}PO-By%3-s%$L^L?y3Kofx(=F6ZsUiJ=S zArDL91cyM&ck1-R(f2tIhF&Wx@-O4lHhWQ+%*qVace z8+Z|~+myoQP)GYN$iN{Gn=&4oR(k4mhQ!dVx4nT-kHpb$hm}E-$Lr^oQh`HEHXqIw zc56%P9knzl;OD?~XQXc|j7^2}`ebGNT({T`di{K7t^9mNoRscpJbX_ets5}w`jc>Q zpyc~OuR)UcjwioRD^*ED| z0h5QNiHF2PFOHix^-A0Vo`Lb$>fhztkYlBTy5#|tHD$P~JjleCK)Zrg0->0U_z z)3Z8CYtESQ5R*Zw%llt`qiv-qej=SY(7^Y@GiE#AW|!1$0!<{nvobaSa;O{ze*hA# za+v?e(-Qa#ZdhAY0y#zq@OvZ2Fci^g4ufB0-$*OA1~?wm0X8%6KHx9z(6*N(hziHg zY201Jds3vIxQJ8#hr9jWET(oo*&~vL6&~xj7p2G-X5&I-- zqcb9%NgkY!Y@CYl?OFMACVBAZ&J~}gezkUkzgq2k`gBs<6AYz)BRlrUhPO9!d7JlT z`Ja40V=KA&4|Fj0?!L{?x~*W!v7@JVwG%}ZNVchHnT zh1x5g<6fcJ+tiN?)#7>2HeB#5W!*P=m62>;gT8f3b3{J58Q39w_mCQH`G!EzHm!t> z&S$#vS+Bdg%EM$#`LH@_ql+;q)K;`@$1fI?IwzBVLi;)|`MNEm^ZqEfT01Y+jHnV& z;+{@Y_z&IK+#D|=c-9gmq-t=9hE+HL|7_)>qV{>VqSoe&Zk#F}`7S>QCZ0EX&d2N! zpg`h>&MX`1t`bcmy9m{~InvCAsM}vWszMuGu78m$rCwJu+)s|NwuI}&3ep$TqjKT7 z@>=eKK9mmAX$Qq7O*FJ+( ztB~UPj(ASZ8LKY*7U_=>SSFj+{W!fj(udlau2MP`^v)nDJCw;O4`;dF!B+zIfuB&N zWR06A!7MlIup11eqTp7<_;E&SuPw7kE?Qz%U8sm#~P>+G}Q z*i5c%2(dmzSn&lcLiq=J{f^Q?IvLTAtmC3Xn)5$$>HAJTsyXkPMT(r6gLL!RN5(vl zp65wS_eG0}36TukIbJ^SNjNMcGX)}$f{*!&4P=S{vm&h;6^wN}6geuauaAr}yU;0r;s0_=r$==KUqJrv=aiZ$~V&#_w zU?eNiY0k|Dj%U~#0(mAdF7y&bEi)1TGFe(;cX zds%K32sxSg(%}>2yQ|5p7gD>IbA{ut%_q_zu=U`kU-4|XM1EL~A8h=bz;3X=9axC> z7Mh}3jcD=x73=x~?jr>P1X|9hN=m%x$fcSmys>r0o*&RNccTIZPXTY6|Cqt}Ca+cK zk`!lJD~F6vBlJf|`n>zIyqkr@^SQBcfdH0!l7s%!dFR%;vH#qr!ze%!S5w zv|dz>JF)zZ=9a-dMx%o;>g*VOHK}*dsq`+tIJRx}Vg-V9W*XkGnuvc*^o8nfxcc>& zfa!sp(j#1)&CK>sP`kP60E=M`sRUA=MzmeyjTx~J;AH9a$=3OB3T5h+l$)jW0U(D4 ziNL=u%#vmU0cK`cedf5V=`c?h(qoq2YpHiKMsUc0Bpe*d4B4*7!!>X7ml)JLIqt1_ z6#Kz6cg95SIc_08`l`!b!v1PFJoYVP(D>KQH6x{9EqQ*?zb%T}5*4}h$&{sWtmRSr z4}9HRFFuJ{ejc^l`t9cWkMaZG42XXhVrqNDk{6ad6%l)nUK|_o#Pevg7j0pJw_78g zk6LezNc%stWmA^#eteB`MN;tIlc(*k2cA5g^6cP~6JsMKT~T%O(k7G9|XC>qTj&W-h=N1V)z_mOGI$vN8rxc1i0MH6AF6o zx+~in56fDjW?b8AKU~`*c8O90F%~JtUnQpv~OrsFT z%H!4dzcWUs+I=zc2*}lNi1^SN@qr-zk@6}U& z{lK`r6Z(S;EY?BZJ#+i-=3O2p{0e@WSv1#}B1(1r)zC2gszd8O`VM)U&PH%r@Aw5e zF%cJe63=+64lVVBxL)RZsK?#wUHrlR|2_P**m`T24=E60R!A;FRcn5AAOAjc^@OqK zc=OA2vJZrYc@1VoPd6UPET#Tpsy>r%8C66DZ58(trk@zD3R}P z0g<8=hX?y6#oR%_@ga-r1`Ke_C{V}hiT9quOm|*esp4=Vy5r{x%=|KI zRkLyH1BgD7JMM?m`TqJF!HpR8jJ2>r&hUpHybFqo5mQsZrJJZM27QguM=J<9686H| zX6KX=F9wA;1q0kkdjCB*?wfkVDiA;4k|g_#o-X8}Tc4Uk2&e(UCr;-ynRT#1|E_1+ z7jsaAJ&)wsY>al~Y7?1hFRVFd+zNBr2pcO{hX84$+Dd|(7^*hE1wtB?IqHfvCTcEL zAX?FXX{O8Zk39NnAfmrZe);bK+j>EGXW_*Pk#Q3osLV#OMiRCP<))CJ<}6^-?C%qhu7r-~(QJTuD9k&yTJ49xVb;+IAo<5?GLwr<p1VWxL7NRB*b!7barZvqX(sxGmQ zZopjJp_+4=E#yxJW7k^<1-U)wqtEkW) z%L- z>KelqT&PgZm})8$&;md&q%Mc`BuC$^>@pn?24vKJO}NHo`Ei}PY>x4ga?sk7Zi?~2!DPu5UiKG*Dd@-%ndykYb5=fgmhF-W54 zY0j4mw?IpzHI3$;Wdv{c%XY8r&MCdC%#QFBtZw|?+;eM&{iL)w=v|peLJ4*YgrYj= zU{x9Lx*O>1KgK!!@s8~bo|(*%xGQRJ>&uXz2?ib>7T4eJ3$UorJP<{;TCv3k{MbP3 zR7K;#F5uCKmlaXG7ho7zcg?V2O2h@K2xubgRsfChMo$8T9Ld+BFBgB%GBKD5DiE5C z4$rC7<_I4_lvg}eD>n4!(R&cFnNZYt80o4N4!Q_M!&Wi7?#F#aSS%uvVt=P>_d`SDcC&HLD&g=MTwIgr z-qjlTfiBTWSdu##Jm)>G0Q1(2ak1r)FGk4#VjSYQW+MjFRy$C@lWmFV^y_W(PNv1p z2L+Ca?6Mc?6$BurWAN zvq!U2OF^F)!N+UFV5!JMrKm!Hd5jUF+mg6JP7Cg#7!sTi!KR+sIdb+sleGD&FM=+% zAGt~Vu_&j;Jn7Uvx@mgQz7u_XHpuaPI5Idq1Z7EW`gb4ljabpyfemC(e8)rKQ%L8M zwmEy{^@qb*S`*Sy*=1)Ef1;~>qZ_+MN$g0;P&9m)y=Nx2W{>U;wILr|8YqevQ7Jss zL5^`ReIUDXoq8756>=02u!MamDxGZyTIUYElSfoKth!B@u;N2^N>wuootC&B(cB8w zARi|p18BAPHAfO-0IXmQNDnqC-C0m0HfxZ~g<~p*QFSG*Bt)xeL$X4Fz*#dS+uP~P zq>5NNR0ri$qNcinA21)jkx7e>1^7nX`@1*w_l1-@Ra^s+W zcRcK)2nT0VQeMYGR0@f5x_5B;dqRG;>H1_FzWYRFoCBrVWe((_L#BmS34txmf|>Yu z6^_R2o0V~cgk`sNVOo-#&xlC0?fXlZs5UhpjRK+*Y;+3Fm}-QZFmtN@5oR#OyiwQ^ zxdA1uuWsV>%~hLiqDfSDjmPgD$hG!*qxyRZfmFYPo@%d5_VXS9tyg0%@ReVzF!TrC z_^P%>cB6M@5>V`4Po@9szctRRe_I-zvgmP0k)OG{Al;vK0>EFKHIDhh+ zs{cp)NqC`7V+_4|Q`E+9sQgiBLMH2KQ8N^3ssBx(#-yM;DQf!?RJ7^6wVU_#JSnF3 zZC(rme8Y~^@2*LDCAjNPtzT?At4KYzmgZNZX}u2PR=)gg7`xtxYjzEe6Pe{b8>%x@}+VhZwWA8q;a6F>u^e zcflsBHA~%E@3MoCM5JCk$Mfj_67n3weA5nLVkneO?``sz6wo_;V|4@b!9(<3$+PR@ zl~L>-?+OPM?5Ue-j%ap+4*u4oRSvu9`W_w%Ft%9X{Nm}=NPxNqo9RCWMkP~OX=09g zy-t3yK8Snph^T?ep1o0+ZxPm3;PFSGk=2J~UAdm0FO?mVQha)UYj~$|y7BOwXtKJ3 zphI)j@m>BX!mTBQkMzm%M7sM!L19njlp37Yg*KR2Um_s9I1)qcEp3hdCeLPx~Zqq zB1!}Vj_8|cUeTtWwq%4KwJcM=bfd_8=x#LOax?39b20R z%WAx!vTo_$9&pGH$=fhMdcz=;_hN8F=5*FiD_PmTGX1-~Km+D8bk;pBP4p-RyzXg2 zfNur8VF7!F`mQ6M9#(n-d9?rl9(UC(_8a|?PNw(xj@HhM1_2#s@e=WVM$d<7F0 zMkxauDl5KTA7|GUl~3HpKu7w5ylp$Grf~<&fZx(NTNW~L?Ndrts6>9rW*VLWM%wMO zB9XIYZ;Tg15mGhW*teg5B+e3eleK9e3GA>d7ewnA1(LS7D0}iBb z{3w^*)O#T)91g7D^0Moe`D64lyPt{XmiV0^DEk#0Zkx6k)V`Z3_3vOue&_RI;S5Fr_{j69l4 zJ*w>pfC9`m(pg&XBR*(xT%&3q^9e1oH4F?3CPA7kX^Fyqm)&E(D9$Ido1^*}QPf-1 zZro+WdLBgx?v1|(-xcjLZg(}DX&j0Yg>(fNf&&ZPHFO|>mRWWS`mhjsBb-IMr^OD7 zKzcVCP`ZDPuo-s#2~_V-(Nr&4NKc7av~&sUf8gd8)`(1m_qTBQsMio^psD6}12*?$ z?#BElMHZ;wL9AB zIi!f@N@vwwn!iqXS5Qn^iqC!lcYFQ!H3!8%7v;65`o|?!->3B6wKtyV>iFy=F>u`K`7&i|^ak21o_`F~qd6(fjq+o@$hC8j>(@iXk zbvq$U_a7^1Z_v2zouqsrYX2R`y6HkM=Q5O1+8ynCvDGvu~_PiH^#2rT9}z9k!|H`pJRdcoUzz06GSEb&D+w_^Uvbt;%d0CLI5oX7_wDf5l!<;8&#sUS)8`b95FF3)zhPg%z3!)XITWS%E-gcar{n;n&A!%^7rXmA+baA1m zS`3q2uLMf_TKPr@O>|uir9uwQ<#sAFfFJ>ggte0NfM_(F+220;**g{tY!H~RZ}ib( zSY?s%PV*^$v?@5KIoAYZ!~DiPMWnR^wN-_&^n+fB!P8#~5z55Q9@BWG-<~rZEmeX2 z`hd(-KBQAe1LbrI&Ptd_ECNVbu%8e%_QIw(E;%XcWHjphLd{Ns5(;r%DQ9oIvWH96 zy`a-Q9E$EIN$e}jIWV$Aw=``O6?l}xKI1=oZtAO^`|n@(+!NpKxg()uevY+DE0{PYq{K@-j6 zFO>58Z`_N$ZM`uWG(`~4uf8(JYF>B6$8w4gG#HZ)h0`JZt2XUfFZoEZl(A(j-Ed-v zCAxs7`|p20F%IoU)-orT&dG?ChY|M^WAwb!5@AOz-t!D>UJF4RXk`CwZEWLk@9n_2Xh zrpbc>{Y`B0GCIBzt|4BP;ple1(xal80~{E#9Nrj>>H3=)9?Y$S~=*b%Jf>Q!^# zvXhy_!nv?!dJ zUtoMuhx>neHt{x!$Z(+W-yfW%v-DKzOt5$0&yC?fOQ9K{sLWI47*)vE zXqkr6T(s@yI{PO+hF)!SAEPoHjTa{q%j<7&QSGrhO7+y7p^hg?28eA!V^=dP&-}ug zLzq?b^6_cIsPaDf$P`6wK@(UJH@`3zwIXAN?k~SZ?_XV4q!tu6M}|TZ^xlftNzq`t z!=3|+A50P0Av$`mZZ9fO==zHC#?RYvD|e8+S4r2F#WYly4f1o=+uavtW!+T-rug2N z4BCnV=^ph{qNakQf*ccvg?3wbuQk5_@2cBWQxOVV9F;$xQtQ$F6^tM4o5NNP3%pE1 zkGyvt#Aq8u%`BP`r?*pCbIcjlhV6Q`c%5->NC`VpG;~SnMx~Mt zknmB0hFoBV`OpE6lr_J1I10x9A(jAM;@p+oTqUC-aBne<9Z%_U*Im8r?UY@*VetjwHqeJ<;H0# z?_OX`f2&6qe23n9g-oVkorxF7K}m0Kaaw_gl_R{d@(a7Qpl+{2jg1IubAqlid5&C7 zXXqL~DxK_p+(67t+=hZ2X)ja#MFgt6@s^J|9+KBNnAw?DvWF%M{4w*Me^yu_%H#!< z$w&WZbBn3*`Nfe;5NjP1#QHt-wmnhnBhnHsK_j<_zjVn+#I>hki@vrZ>7PlgU%(sv zz-dNPhHi#PM)@E-?noiWfltpy3LCV-m11s zIT39M8_xek`neVNbR?I!y+8b=Gd=84B5nH>@vnJqF$DKJPhv_CI{Ir=#>;O%s+M@N zFuH7OjsS{#e{5rObe3RB8RHyNF7=9#3ZY)*W%Z}sjX=k^_qDa*(czl1oazdy#;c6p zFy~z^0)jZg&GdjRYcv5#-3VsyIs)y)oprxqfagT_2V)br1N;UB%Qbi-f2KJ?MJ-%B zj@fP;9_7t@ql|Yb$R_C&BNw|Aez~Ny_4M@N{HJ|LL%AwGx_87Waw(St9};KY^c6#D zyqkRFjq<>%_Ev2r24J{znk`r*d4Dzf0I5`(V~y)-=>5+ae^-CE-H{J}02R91yp*(H zOdRicGB7%^2rR0oOb(98V|6#|WEkFtld!nC-47{tc1t+b^^$Ly;1H(JN#jM>J0hc~ z@?0rCWo>PCq-)sUcY>nMW0FX-!aKRy?UM*%U50ZX-=g1h8anqi@}k1P@p-bwoN3Jq z|GanT`s@Qj1oD11cR`*PufUXh5&_?6(icn(SZrlX67Q|wBw5GJ(MXxgFzX!=4}Lym zom#G9rmOWwWz}O9(n;+Wk6*W}g*<)l-9d9^dARQI}u`_<)IuL-?ha`4qKw zxf}S->NEY*!`H#y3q%|BWahz9({+`53zZ@Zro|P>FTzUqbh#v!DV!ljUvZzyWrQ8$ zN3POY>o-xUR(bUmzPnvsFRgr5rrJ!WEHwC<{yF*OS~~LuSP26hSo`TBGGIOo<)%ZX(Lg@Z(_QO2M!E@BfaQ1sDLKNnx(r|_x$b6fi z@J5R~poq-m{%Fnx*Gxfos;($$7y|WjLU?iY#q-=jee!WZPERGZ5!Y@EsP~1_z+H%L zcDgoKpTGdR$CbbU!?I+yx_$gYMT>{%8b5arsqAQwQv(Iz6nLM%rnrx+ z)6I!v%SDAsme$<`hmh$y4aHh}G8ey-9uJMJahY+)47X)54<#Tzo!-OH^XCbi1le5d zuQPSX@ikjbXk}w<`~r*|Xdx`M8E|%E|41Tm&=;+Hi0&A+_qI+t1=GJq=7JOteAq!xl7AhmdMHW|?XG_@*z0>l2MuAL|XoKnq%Jf8dWE!=7jFpm<*r z7GzNrf*Dc~=;Kj!-r4qs!2=PrRuT@Bjl4c@h3N`E)_x{&wsdq-y%AQ9rax#!DBAc$ zf?W>Jo}IMf7UDAQ@Y#j}fc&U;-huBR+eKzt5h_0ER^|Kyi|#ukpM^#O%u+^IC$L=U zzKE`88Ak+MGg7pzOt0)|W3D5Oe5uL5%oYGNtdrWuCN5TRbwol*-EK@3l*UfP#8}fr zL3`M_)ruU7yKp)3M~4nz`acw{_VFD(EuJpoJE7X~` zfBEcxbia$%q%4iZ;Q2?FSZi22B47NN>3AEYk_Vdt@Vlj!&NkkUkgB+n=aoCP=;8=LK{lRz@;#IxTY?0^M z#=Dwdi~>-FSip{5G9$wM8oa+Ysdw4Q5<>X5RqeYSpslbTS_6!7F1+VHug@2)2FV7I zO0LeKm|5KNp*q|6CY>HAPQ{=(WR<8iXePvQ8iKS+&`h8|b#H{4S*Yg&N>`DLPv(Ao z_|}1Ot}1@my9^Kme{`Q4SM$%;43B*(-EOcq@RE2${Y{auoMcOxF;4r9x4rdZ2RMl+ zy1sy%5!^TCjXtP7*b&0rJlpyT@m@OOc5wL6GuN66>jw-jO}ncHjsPpj#AVp&F)~9p zJ-U0&ie`9D5|=?}8u$x(2`+C28t*(hHEQ;~$mUM`io0hy;;2om!+A5<4uN z-XA>VLsG$n8`&WF(1gmc2b}cVs&J3RLM%+Y%OfZ!AAurSmks}r)6jqq()?0yjLMUA zi_Tt6KC|&bb+o~#7dsw`3Ij1A3})r}KME2uYbCxMtIcsgwvRdej7E4hC&HPiWQfXO zdo<^MLKuYIbuY48`vLh23gM5t6JLKr2G4Kx#|K*5xox$*MpG zA^GjzEjl@bXG%x~lH7MIrVvP0$e|>^!~gxmt@rNd|6I>?@4C8c>xFGde))Vq-_Pg$ ze!XRK$Kw0pz%Vww%+Pk|kv#(whq35V?SIn|woCusg2(M#$_t+5s8!Y0} z8Kt-0b`QYM?BmNE$6hP!jqzhMH?J+)N_9el&SczU6G=?0+vB6&Jyth)Q*URr5mOim z(oKJub#zoR0te*S659Sz{iU`gc(54V!+C7sA#o7veD<~?CmS}#;~VgTiI1~OX7~nn z^T%iM6?KV*CgEtPtFoIwR$Kn9W36K7Q-qGdsE)HR%VwG(;snvM+$**e>y+f4H)D<5(Nzu4>?xu18P{NasuCr2AH!cQbr z5E21yQb!BADi_@CiuwgI!((0?IM^=`p{rEJSO;~(^qZuB$sE-Kpr^U|6XLFb7}<{_ z^x>Ty4t8@&+ES8ip|-smB0&85s{87c1y?r20nI7wWlX-#X*4}W9bC(d?zf1rPM#wA zXC*gCekf*--$qW!&(Vv+|;8-ZE`` z^E0k$$=00^)QPGqM8OpDk*MykS0kSq43ix6ah7Tx{O_JC>snp({`DJLk8ad>9|wb4 z728R1>l_7bDW>mNUqoeIJ>5XPQT_XS^bvxyOxxp~C}>G#LV3za2goFE$4&lcQo}~( zVVg5yUKwLSC5vmARmRc=`IQ%?duMk5^S9@=>4x+n73atBr>klT=(~(g-b)RKoz;Kn zzQ>6YbZ)1(yzl_K@7pF)hPS7Yt*@fHVcvLigXa4op8j$m)NxS@$^UmZy+7W&)sfY4 zY5Jb2qddU9H39xBxd+OIT` zCy)*@w8}u|0=+@yW5)? z4y)lBc7z?nVazQBCeFOh7c<82o!&F98QGkr*!u*%?LL!r<;~N*FNE4Yc8~cVJ8fC0 z`%#zq0$HYtF_`g>3T8$h<+bIR2(z09M=)TrJeq^Vm}NL=z9r(hIwaa5O$3J4*`*2k zao@*7kv(HfZonjM2+sAE%?V4CE3~N($_Zkqp1DpR&6gg~4zzIJXq#1S6=bdqR8mKt z)cyPiluV*k#t=EX&I!t@_PabnRb-y8iOx=EIF#KoJH7J~A(d{H(SBuguI~!Op;vykG}shJ02XgyY_bf=YfiE1!_28LGf@=Odjmr0`6d#~&JGjjH$LM~zSL<= zHM)hqRRPTH7ws)02R)c*zx#RZoAUBOi;$M?qZ5*qD}>;zy-a0No{5@vt>Hz)XUs&n zdIwjE3RKZk%xg?`G2UAi>wQH!LL@jkE;&jEW8J%pjpt}GYbQ*9phi?*oHC3dDIyR8 zEV$kOhlxC#g*l)St7GW0w8mfBCaH|J#-}G1z%qAJee0<#Cg&Jau|HQh{s0WxiOV=> z-0DaJMIZ5Y5rgi@kFI)G(3qW{Z(bt>MCr1hSzxlp^cPS2?o&q+63JBDv((W+W{x=b z8M5X@9aD0Q$$=Cn`z8I9dwYS8&&R-=llyK{=`(k(s2vm#M>4F^oyu zJaX9|o)}5BOHK~1)SFtV%NKaOf=aLX@`VHk;Lfr+I?a~%vXYL~v+ssNswdZ4n%e5*a7oj1H=ofGwpac~7vco6GAr=LFq`AUzwdwWl>pXUD9qo%goDu^=G~uM0I;W3=~ROB}&Iw zB4?rILTeEAg3-&fF1uGZ24l@7S8E>4eW;2dx}=BwEUpm9;d=`e(8b!@8@vlu`&3$M zW+U1yzy;OO>0r;0}9O?DOATWGUe({yux$|A}`vh-T_OXNc!)BH7|5s zzQ}Y@IYPViS5rN`j>~?Y0=il{E8yvRuUWU9cz0B~*>q#loP>zHY`ISc$mzTr+@PvTWX>7EgVipv74_{e_Y z-62yfGWjvSHc>)l9c>%xzA<`m%(CU&rvvDORLTl{b)}61Iw2;sY;xnR2hHL{=ZpL; zOh$;z%ABmf)7caMF_lASG3>AB7lw#)8meMnfT+D7G6hS##X32|pph$`9P&&T0F9it zb+~Cu*36%RH|QM5(B5YTYTrpO?O5Y(Gj5+3mC)IS`G-5WfpKl}tewg!2~xjs1pbif zD3*T6(4G`!tVjZFC7D$y^glEkf+UrdC-yPjLDA4kN^d+bG>^-hqtYCBoZk^;19hZcy)2HZ+W+p|<48>B`ja0U)ZRkwb?UQbO zU1=e@MpcZKeX;c;Ka-i&Q6-23&F} zJTk{tf-m|`me}2-uXYccq}gm7O;MspT3=Th=rMo{N%Fuoy^e>sK^+bMM;2eEIL2>v zQQqyOyXHLvc4v)%ElKxNS(iqx@)yjF-2^EgjAh)qA3nHUHXN(z8QmzmW{!Y-f|ubp zl{NQ}Z56$f15O8hgez|Qp6i4vhT^k5gs{*q?FqPZ}UP8ATo)su;Y{KL=4?E01wPB?SY;&$sh zFXdSNt8YrgU3dX~lk2|%KUqWY%>U&gd~EsiVJi+qtO4U-cf~)}Kx@g3Rx zp6S7kYUX2QY)fijEo0NFV&IU>-SF<*@6rm&#-%Rd!@-paqg)Vb+k&Mn#ou51k4jSM$D?$V3EPvF1>k~HjF{Y=cx3Jm!pnP~G z%&|EK%+Xf;!!J{%KM^))R(MX)Z!C;#X_@P~WE1|-cs}R$yDeSSVn^?Q#L21--t=jr z%f^RS`r;XGviFRpZc9Q!{CG_1|qxWILZt3fCMuA3+G2Nj3N9 zz!UcvkV|&^$=i}oWF?Ne?}F&#TV?R%2QzxZG`hxfKh_-}aQV$>3Y^M?lBr7-6|~bi z+|ipxZDC^9dpw2l{Mv0zPX{J@w`z#%rgK*tes#8lZGm`0k5eA|?^0#=eB+g0cAG5E zbBi_6rXcjE({G7;cKKEbpIi4y;&?G4)_UwC2L}YmNNsST%DV^0kq>L8S zKQvEa>3Ek^&x#YEds0D~NX+KlHIE zVkED06MFIpub^sQyDLC(<(k-_n8x2WP&1n;LD$e@p4LWm8`*lZ`ib(ulT2<|)wg|R zBdJJz)vOZaQ%4iF$=6dJ?^?j&Ocka6Cy-FDis^^NDrXj0VqACM7#K}RD^|x&_V}eg zNaB@w|Ik_}qxt9_%{tdMs@_(-$-ZXvjd7%p70b4_I(&}@Fbd4pCynfJ+#fmUnr0(p zb}XG16Pg%+@AxKTvC(!#=NYGR(wvT~Qz4@&2Dx&1({0{QCpcv{Pap>c1Y}z=lY56K zPYvW~lPA#;9^tBEX-TN=ZKHIvqeYyI--P^I$kVHe5nB94>5pAEvn;ZST4PXqgE)VC z-N^kN{2bp(Iv#IU#pX_Cb|e)F6^d)tCGX_Ro37dBtOs*A`5kS=IklCr7RJ=OiFTs$ zt+vnWTfCx`ApB(6)iGm2Pya6<1}gT|o1~rFH7gvl_8O**&YstC!duJAMjn=p9@G)n zdy{f(?!g#c^7bN6+dkS{dvTglKwUs)XVhzxeJu%JFv!R-o*+|gc_kAyp~R1=BaQ0_ zV!qv#g_c@YPCsd;@#_-7%tUKX!k(6#0IhC&fHRT+|r0*%WV=5g8gx8CFg>6(7pl zkVN6Bxu7!4kw@;S+P+9A8;TY1kfPSxxGvYK_OEaoXWuGl8eyI;a=6v6nYQ{8#ioe}xlA~tIz3>VIB!BwP_m53YHssBh73(Q zyV>%TgmU{=n~o>cz4)m>j{^GN(ra_w!>X%augBFi( zJlGKcl)DuuVMTqnk9r=|JCU*ME=soL(?_l|fPGEW01OCt`-l%dI8whcX>@c3 zX^+g2P-BM?u@IC1NtAb*KJOs~O}BJ62Jf9IGfHMgu8s+5RWw}|P@OB)L^soEKz-p1 zLaqW&Oq?fihjiCm^7xtO$kECVouL^j>)!pY_omrE3K@Asu@g@fCvP#40)d`qSZ?NZ zVldkWWb<5GbE2F|MVfFMbLn(?+@;gC{FZ8IysbP8}f;iEEgsrD|gNa&t_3&$ucoqyCj1h%#-CyH}3-HALsd z*~bwz=TN_-(C%;7g1gnCIq|Tp;X!#Q9a*Z2!3}RsWkx3oc)C*KonRsxbHTmgrET~9 zt9rD4Z{9>FR!v&#^Y1oe_J^ee#m%mJR%oi)%e>rCCF;XU+)uy%-4hHOFETrA#|5fk znUg2CvaBF zY0e#`L_n*f-Qw}ySIQ>30NZ>VxIb2zs*XNIJ6kWA+pi8Ka96{CTiVV%TVg7FNj(UW3}CmV)-!*JM7-ROW=8NQi z{-Cezqe8yu=+KIw4)25a)D%g3%GuRVS=A*brrO({o9L7ulezs= zUSq|6o|d7S_*UJnauyCr0__$p>nVpV4L#C)XZv&~k;G(;R&1vAUY(u4cq|YD0cdu! zT8;WQGYB$Ggh&omH0hWmvs44U6%wJ?yrSi-fjDOzq&Pi>wvI~yA>QKw>kQ(zY}L*= z`G*DCA-zP987@S2Yd1Yi=cfC$nIV0{on#BHDhW(B`&l#P`bGk`HuI2BLO$WO@@Q$U zq0q+(^RdxcqX*5_$>G>e-PVQoj#fm-%I<-9t5pIRkGwF`ehGb29R(p2=Z+>OTcA4O z)mLmDW5k`~&53*lEx$u$8sWr9Lg>Mds#n{rMIBG-Ze65u@Wu1Y*e=ie;E7p`H*NS! zea|@d*oZDlK<1*)h&F#l@l$hNKArVq29uoll}i~jAwIwCwsZ!PJ;iZ|Q-)XZ@;nTG z?(!QGIqDdhX@JR_@48hSn$xrlP)NQY5dTv6)q#z zE#_F4;YB19yWb)tyrF1o0hOaV?GeCIvyC=a?llR_vdv&nx=gD zbb&f1Pg@pGNUzbUp_hkONf2?S5$S7uF4bxSoYQxq^LB}oeUJ04C7KS`+(^oR&B*ZE zdXr8SjLJJ(`yE9!m7C!>K)9!(UM`wfoh}O4t?riHttROM>p}VS*v;-?wc?nVua0)i z_9yoBe$S9OS%n3WqwbC=7pBFdF4OsYp{|SWv-l)E56azblV+=BvPmYHa})j6Nn*e= zMr9?lxq*fORZLMH;r-*ZziM+*plmRHM7+cA`mX*Xxo~*$A3}wn~X3i#!DIrwcLI*q0q4nImuEBcKzp^e@L> z*#G|t|FzWZV6w*hP6Tm3%(lr)=Pj-6^xwFF&`@kA=qs{!My~2TZBM*7>)qnOdhXPuf;#SDOOpU9uk;2Q zsN8w=OAgUB|F=9n-nQB%ua=m%U6xq#iSvD9&rWAeq}O}8I;tl)&|vi%`5Fx*R+Rnn zU3|&1u?5^8DApaA0t4nE?;DbQDyC1Y62tS~lo&7K@BD2^`pTu?Wh~!*U}^Z0sIX;Q zmc$)fzBw%X!15m~eJD1Aj`jvRPK~V+*$oolOUq810iv-iSFbz<&u5AHRaDeO|*wCd-gl28^xOEew7n9 z5NM5$@@N*eM_HdG`LBH~=Y*$_bn7Pp^)jxIVYb}w{ospJ8}2J7%XeD{1IWBOKyi{b zU1i;Ui|{zs)~8vX3(IxJn==WT=-*61{LKhv0iH48V8=DTD#4*Y*64nA`4*b4?im zcmg5*%y)8(D9IqakqZgQpiV(8X_q$e_zyKvuKAXao41fXU4xNG4OE7?m~kfUoxq87 zW-ryETI!(`b?|k#ilL=+WYr;*gXQnod~-}LnbA$AfZulO9#cX{uCvlMyT0-fWgJgI z#uv|6$k{nTf9YLeU=qqcSNVtAt_NZ=ZD%*g%WOa5 zpQJfRfclB2v(iO~utnEIwzv4bFA1%zs?P%t(*tHN4O3RCAPYENRTPI&Ylf~j+U?kd z?O9ZH@B;if=Aie)8M}5b;u}>FcjK8XK!&j#NePPM;m_a{L$BSN#+Pu_wFzY-UqS*9 z?QF?oxMJg_Zo7J5w-YWlTo5XDiyRtr+KtNI|Gcck}F~ z+s&-l_KXNx>N%4zRp9aJx@syMGFDr9vmhYvsDv_VIO@1HFKhCqXTEJC(jnXSK&0ik zaLVFW)V;aRcKgNRj%$l*xZPhcX%%skk_0A6L?HWy?YhEGiwGQfBlg`KU?)9ovObPc zQI~hUB5u^Egr(@lxmVt7c)qiB_*~O_4`1NW#pANR`ijSyso|Pe#_spto!o)^#hfd6j|?qV__VGQZJDtXKTA^J)h7m$+& z0E~rFBTuNnFVH>_9~f15w?eO1%M0{-T@%wQRvv?10jWI^d+|6{4}?zNaU_O7oA_{d+r@tM?qk zQ1#K7cH}<?KM>soDy|O!oWm=yr$9^Y{6G*GO(gq+Y9bsi!x$5ad@Ny zl$zOKLJq^}(qCv9#^I*?qittvT?x=h!0KyT`j|scb$ra!561INyA3P>(5|Tas`1mE zawbLP(RWrAF+L}m**+lqy%yPMT)t`RRVJOuVCK}30byy6WL^`IW+_mHa0ShlNWM8c za!~ZMQS|42vveI~eBsL$LC>3){0!DXX8Dp0A0adS|EI1_2LUfEO&-?h{w|z@zA%L@ zc9-Yvz^YyCYc8y*(A5UlRQ!X&v22ax*X+Jw+2fb?Z&+7 zwCVlKXvw~>w@2u5(?WOGoO4WV4-2ZYH%R6|?GT>jMi^s%#a&9D6+B0-^}_V6THRvu zWkyjdb+~Eg2dv}!PUG9H@gmqIuK;ZHzG_7?eGf|o%P$|qQ|hITk2YKa$K!>Q8}wwV zJApS8X$8D+@A}>cyMO1bu5|TGD8m`>_n$WFa&mtzJQ-LKgzFG`=8u`Q?ZZ6&r(hrT zDkB#>4-iS6JJ_~gAsnRdA$IV>**G(RRcwjAZW&0J>!r%i>p^oCqsxP zge%G*x%A1hx?7#DG1c;N0icxZ8PG5ksZC|LfF$+U75Qn7MJwFbaE?sPwCy8#9#y3K z_@@l_8Qt$buWE7zZohqxYUr#q03ZapE4JqsC?RVi(T;%_?Ju12k$dHq{R&eW!|kHd z@gdI(#y=6>sM_nJxDyvqhcyv4A<{B#z^gl66{iY8!AHqi)pOMb9s$NraD4EH+3F;d z5Xl0bzKsI+ovi=XJb`2ljOQxmV3cQVV3GxLb2l4C6@cL5&?4Tyn zr`qt5XjsMMOCQV0foH} zq<;1AN}d&3*p~~9k@~Tg9R&;*?hzJ1CHbZIYHP#exWXjqgJOd>+QP8M2Ax8csUxRB z-p(RZ(3tnQMBU5HFbaOgfb6fY5KkT3@*3cgIb2ST|(Nn;Kx zaZ5$T=9UfIDiB=@M8WomU`Vq+YFg;+Ns?u(w=>jNoNrpu=Duzz2eTrpMbn`pa~Ib zH0(&O*Omrw^5T;n9Eh{4mDZS(ER}-Wgg?1(hk_X^r91ys|vj2-K zenf8FATvhuNB28mSnR9<<-e4Wki;IDnwu9QW@?)Xnm!es4b@gar_Xw#5@5wI)$LUU z=M-1dSl?u!u5vnk(ssc@(}11(C!po%trLvrp$VChZajk@F^OTi%7OZIdfPa|8TUua zJp+WO*fXfnvrnVMBJ@aZRUTs!`vDFHW(x=*L~vjGPl^fnn!A5SbFe35#+?{z5~AX$ygf);>Z+CodwRgKIAQW1P9L zJ^bhz310zNQo_!!IpEkamezx0E7sF&DB#Z8xJzz9wQah(tOrbuFiR#o(Y&_)%7$wg zLE5a@)zv`g|G)VS~%g*I3McM$RB=r`;AtIGLj5@!W?|+tt)rmI&Uo) zjG{e*kl$TX%52Io8!ty0HvIrSFL%3U%YAh?UDV9*Q9Y=6K2FN)Ch0%Z)RECx8O=>u0L&e5KeoKi&qscxTnUJWO!#42;NTj(H$% zTLC6Mus9HNa^5nVGVvcsmyyv0o5x?`19H(jI8?qe5_{FOV}$X9gf+Xj&{O0 zxO^7BmXN_rU8gxI@EN28w%waEefEWzuiFx}4 zE{mh7+F(@#B3vFP=zhA>UY&4u;-ZFelZq=@-ol-%C8YtOjI2bqDsEcu%|_6M9a7PSoKXxn|Vf$LlNwJ0nP*MZsH@z!|xlk$eo&Fkl-GUYQoJ56keyXdhwfX zYq0^RIjUD{sw8^Zu)?7fjSIVw>p5TbdXCR|#mFL3F)U8ILzJWjLiQ?y1of9?xh4(1 zRNTA8qa9O5>~@HrV-A+A>svK2Xh`J;RZByS@aM&KGVL9VUkDl}li-J;zPo+mx~+3K zQW4a`p(|7FP;D)?I2kNxCuhlj!|*VQXkh}CIpd}3a0Kh>E`knH-!h}!%N3#V@2|0x zS@kFW)H2XfkG)D)A2~iWgAs#@SEwFA^7)`D&>14c6?~jrbNbeF;8EjvfDx4%UFml^~8<)N+ z=mBUdNg!ek=X=ILu?#E7_dM9Az&vN3bxlM}z(Cb% zI!UIp1XYH4ktjo>X_&8kFl^bvBo$W1G4ASfEK@LB!r0q_Q^$cxC7A-aA482oYiVWO zWDqDJqu0Z6&$R6Y^|YhPGNmn&pkX!balPngsRi5{6>%k=2Y=~Avd753m_60$R@0lX zGgDhVQcsC>TC;*ZV`Nl{OHwv*F^_=z`vjHDWBnv1dce^*Zfwl&)Xq5yocN7li8aH< z&Y5ZabO09zro{wQbdl9pikIYo!)12yT@lih19bmxdTS0?o*Hf^1h!nw+Of{bns@W^nRK%EkJbf* zuXuXFY~l$Q8vo@!@n(wvuaknbG;^MA%+Z+!y^$1}k}{7sdQ@oRnxkBXUXyj8RJ##w z@xG_BA;93yPoxy#!dGSKW`it%E@jg>BhCh_Q1{ zP~I#BM&o}iiC`%jR{%R&^2G9GuY|2*4n}OH!?x~>0Fgg3Eh1$#D&@DoSpHGm^4}%} z!+#s5@lD0iRWfVrP;m8w%$2(~vGAjfKTY4XMfQ|LdlX>)xUY@RlE7&M zy(y$*A1v7#JOIh%%NV(wnKnIpzxRuych^sJPHs1v!=@Ae${c)}-n)~&WuaXzUxc;^ z5{c|rUKh^R-J}5(6B`fQWx$Y9Ikz(s%jschtp`}!a9h>?AmVqC7||Cq(qHTJ$RJy3UR-jSt>YC#o> zmE>JR9#sNrJ9#iI5QWX&!Rw7+{g?nPStM%MhogidbX%W2quBgcNpf-H?)Jo4>Bh;w zOiklwr5iLR0Q(t9fjX=kS{*KRSaY)DnjAc!8|Zf&0BCmaVh($5z73O|?3OQp6eijQ zKYy41xSe_ZOrm#O6CSec?=JiTdek`2w!)4JkBD$R5>U^`j6P~V>G8pDl8Zwf$GoI= z`svDEj3?!&b6=j6> zfzGNk?X}RNj%tZBu(Gu{!BHq?xy3b=M}JEWep&1|Nr=B9Gm|)YJ6j49 zZoRP>j>X`UGARcVJlcI;#soMgsN8&eucRzqYI2m?E;>V6uv1FNOv8<)-DNyLkQ2)) zH!yTfg{sB9OZi1eyH~CqXAaMgP8{25(C(WM;V=!{D&P?8=?ScUu^_+UoB|ysS0XY2 z;)lL53$`a1MxyRJ?CPhI&&Q3 zxrvKr7@E8>lZ%v8+O%cTh48R<8b8&u27Dh+5j6B^i;8@3WR;bn=>^xqBkC)lif4{@ zGH5Pn(gurC=Nx_Dt~IN!tTQ1CnF|uRolYu4DFn!sNr)%JEF(Q0%`(o_7ISr@Q5_Dy zHtr)62RWexrn;hJQ5f*YvzR1Un%&1;?sZm2De`>WN8=f>E^bPU!O$rNPQ#GnnC!s| zyzbC(+$^nudO~u0B1Pxb4@Qbi37FyB*X`9k^7q=xfF?nwWNqrbr7K|$;+zUG?V86j z#duCU&N;^ghus&`Vyjnw%lBh+Pd3gs(}SqL_qKt5^tNCBqqk)v{KA$gm&AoVRUfe` z;^n%CJz*Jt_(yNMjO}f!P-qwaxwrjr$7Z&--N07fCI1*iJnC(qKyM4R;}0UY&_FXQ z7v~Qc7 z;K^Ci`CWC-vRc6?usuW|k?9hIPwpDj_`o1D#1CVH;V8QKivdqJ zuS*p^owiX1z)*-tmoxC#B^`N&(Rq2P9jRH&c?WUSh9t6l*zEyj2;&t!Zr}3$J&aL1*H#e z(W#9L#nK>(3=^MCEOb>in`Ud9oWvhHcL5eA3|)!O?ZVD^FcJgcR&_+~Ec}UdY9N0& z+I2jQ$#jzk67B_7NC>!r+87 z0eE7h+GNaCT{zlMc~#=7nhfNPw-rqtXD`99I)qPY`%U8b{8|gYWoIeQ?~L}XxYu(O zmd)~zB@oLRIqMk~fILYbXmJp?=J~Lb7FVuEAG-I^BqvV@cBhNXu$7W9xRiW_e8!oN zoi&#$c!qHx0h>N$=+~Hou&+lD9^yK~G4}pikC;MZBg?sNC3WJc|cZ1tme zow(@kWgU>r_{^|p)*Ol1HXejt@oo$nCJlPWu>$EPT-f&A19u!7fvxcwROu)Pih;@N2TjN=$)RSM_IWFuL=yewZZ4;G8owXNQb~99l z2>l1``uq4rv$eIWD#M3=jR!d~hyZ3oE}0WFCx0ZJH$BjMYhF-FAMU63r?J2$p3CHa zFMpwZiOg*TsYE1zZNoyQ$r|$|CU_{)z0uaGP$5#0PCJ~RfBMyfzol{P05u|tGlR^q zvK;Y#37YsLPmd1N8_l(HWKR;E8yex~pDz4{9rs6+<2sb%;G>v7RN!aX61+6_0^+FH z*}@aAggq8I5ccfyt&uMtq{GsGKutxaN1PoAOF2x2D}s?}0tBI8wdVq8!@H(jnECaZ z@|F#M%iQp1{`3|mGzF8jWzV-|dk9m;7SfT1v_H*>zvwbJ;rwv4&wIXD_THVi%VVnA zsvw6G!%JSLSpOmD^?B77t>47`4X*xs2(+98+vZ^7pFg_!{`l>J5l?5u^v)NUeVeXX z$e%m~pI&s2CR%InZ+@rtz+H71quNmS>fmpD`Re6bT+7lBUNVglem=QhTR7ad7ppno z;otOXmiKpD2=Env+Pc!1jOu5cKKp0Rc*lqn4R8du%G`fl@4e;r_YBs&XPumQ4-EmT zIJ?+0U<8W|z-0?9RE1NWxPQNP^KC?fRCY2610Z2>ng%06;zUh2CSsUrrZc1M`-0*$ zI+HzTJtxU8`HGGz(Bx4K%lRYcTLn2ceiR=J3}gOeQ?jHjjS=jt0q_bf(6-!2~HLGHGqf zJ05l9g7(2Pb%E&iU|=u_OQ1;*`7*AYFq!5%DkiI$8Xj;&E}{i0Z!5D zw@0&^fMIaA_li&U3Ko#z>SsR^oo)x-!v!;jY7+c~{r`X9zm^7nJn0zSU&xUWWy2L4 z(gNa|ie^U4SB42NExm5vVJA#mc+)$;kY?`)@d-?3JsNwaH9&~IFzxA-7`!h5*Aq5X z1~@9PPizgM0{-^HyYIn%GzqW^abp;ZRkpcnm%fDQW<`7GDx}>RqG`SKrLz*}V5CAv z-xwwP`ACWi(SG_+ef2h*;YQ8MZ8ieHDzfr-W}@nKi=nlDFfuVf!7vvgEE}nq8c>Jd z!)cfSyr+l5c5sR62njIo9^Z*SVawU+FX>c00x@V+Y)<3O^r`@Sbm$uNORhImH(RNRQpBl9r1Jq zMs4s>Y5-uG9UHr?yoL1Pno4&-x@T;WcRH;_c2u+Sw>O%8xs#6p6PshtVC313(86(B zLDwrXc2pqDKezr>0}e+jr$b!~P{%1pJ(VdR=&G8}%Ob>WWajAtb`TzF*H@)VIP3wY z-D{ShJ{N+GI`J8F*n^S7cm_s7?eRoIUq%1{gDUctqn~+>Iq0G@eE#YRoJPZu1OjFr zRwNjRCo;e#;Y^3HiErqrRIob-EpGj}ZBzzteDG^IvN1a<9X3$N4n1u?$lM1KNwiS+ z*kppC_qH(bV@#BL#dk|@7eLO^3dkc9_czq~^o4;)d{y2eIaCWqC&LofEt>hOf%eRCUlkz_ zO5%xkOJ}MiXdc79_4WRBXEn4@aM%dhspx>QaY(MG^CC+5|TLN5>&)_B!%Pwo3NXG z%dW8Gus+3WMJ#DEv<=aOz!o#mQ)KY!)dvJ-C8yYy2L_-U6t;uwCOdP)ZCj=Wh9c+q zAu7F_R7aFs#@Zs)5v&_ALT~nHxcBQ)Rj%tgXOe{ItaS8vUS@Jwc=FbP&PrU9(&un| zuu(AfAiIli557FHfWe?j+k=35Tuf%$s)YsSu$Bb$TUixxE<3Y-l#P^G&~C#B!~U$5 zZf{&m$#r{qJ#4HAiy!V9-F#Zlj%ye{m45pEpkUT)dOjXVi=kR)Ic6c5(X3Wt{gh0RgBpxLeMxb2Iw@;Wl-KB+1odUT<&HUdhCMU zJ&FX-E++(|ih7dH2oyKg7>OH9QYu4}gy}3dMDOV9cr`&hq*95n(_b@PV7{>H#Mg+m4oP zp|(R7{K8J1$w+0C;BI0nTf7R)njwi19=%-Qp=smD#v5Lt5%@qcM)8LR6hdvjcCVfo zCo_^|I60a_h7Pkt}7$#HsO8QwM18A z-4|GVBoK9daCZ^nJB?R&%OCuO56UfgUYWR#bC0g=v`&%;l8{;U=Pj7zIKaew*Df-N zjq00Z@WdywOPKJfgikWm-IlzL1i|n-))-OEzA>IMog>4=t_d1!mlbR*|;tEk9qX)i;g#|Ywya`p6{y*o& z=@3suJn;%LvPpE$OA;ctwuhzFU~b$Ib}3SbaO`~$P&9`rB%;_||E+&UrG)7~E7(Gw zXRB>O%Ki;6?0u!*^~!&yCW>uoux-XC7jRyCPln{c4A&-=m)oY}#CpUpocl}FzX>uU z^UfeSFsRsMS#wU%3|~iT3Q7GvIWTB=f;kkY`8ZW(2wGH21h5!=*f||K}b3CqJ-7bvPn%W+k#@k*GI1u=$1vuN9>lq9WVR09T zRH2c)zC5bx1%J+iZ9O}3?Clg6N#q3~Z1YeYAz*KVb@EMN}nt0az#^!H4 z>>qV_q>ZK9>=!K@zYd` z4^4XriO2rgh;26}1Jd=5Pm!_SKP!E?JwAbU@%6+VO?+rL%9eN1G3FYWJyynd;N%Pp z{@B6FLxNDyXXN<@OAPY}5&)GIFgY4vHY$U0`zFM~(c!3tvSLEQg52h)>10aY)@HRs zw_xFvd7~!{2oF0n^Bs{aMyi+&km>g(A3chP*v(#m*~fNcn01oc%>p3(>Io)5XV$f)F*{w_ zy%Q9afb$h`r#$}XPDA`QbF|I#$#&~Z#}ju;&FU71^Z|B#nsALy;!#}9@7-fGcUAZW z*O>JGlAECi=1~yZyLw+g)6`W3MpWjA z^?>i><;r&LIPsE}qMf&cEYDLQbfT>&Y+NfTc<>ilYYwx|-t-4uU{P>}7PXag^uZ<< zyVr7XoQ}7Ry@6<6@nsCB*tCVB%Z8cE9BIS!falmw z===bBg@FK{mi4ft+2M;8=gEk3w^5xr2w*oF9K8*Jmc+{r<886U^3Ak5{_oRj=I@y1 zWlR41wEEd4am$}Nu>ASutHNGRj`-F;`|~?c;DMQ2w)CId=Fc93KOZi$<%_iae{kx) zy<|BnnR|q3UUcZUho#hDVx1Y5rU`3IV8c%;Hr3HrTITp3A$0tZmJ`4EjS|*bjzq0eaX`Mch*@ zg;F|oeaG)MHfqWv49Dk{QSI9w^FhwDX&$1EAD-W9RY&p&;IkK+>NVSE45n|v;uk=yYrID$3%YTkfqZ5;h4EEd zVXcLkG~H?j%l054(1{x`6MyyQ-^Q!0-Jb13F}BXJvp1wg>HMRzTR|~T85R)jCd&BU zLMNNhgcA#=5E~{Ms_R1=f~`Ksw}4I%BR{GO;N9zJAu!lv^1KlN;ir&Lj4mj{v?0im z>U)Jo&vwYP>xp;SlpYk610E9bE&?xH5Q1UF(X;58uYepiaK_)IT9L|tJQ**gl&#v_^PlgFiAu0DTg|uh^KH=$xPZK z9>{L-(SC&E&h0i5@4jW)i%b8lw>c6qXk~50u&|`2(q)niduO;cmCZ%*;5wRKU(k;QErR?jhGBK&IEDVysHamhi9p!G@nMEHZZDj4Fv)1raq!W> zahjWMB2yAPT~)q71Dt`k3MOREI}%oA5|J!%pmzmgGm}vKlA;HgLn`{`66Ws|V}205 zT1M~dXcqU0c?v>2T)ELq{3C~i3ZOEz+BbP=?cQ!kgVQ||V@|ZKN3D}rzV&J=N`;84 z-PEHWjzrL$H`=HxV)taPYanBufS2tsW)1;I4pivV{!bD=3dC^Wfl|IRgP#mCtX8ja z;}kji&?Z6h!Chz~LBnhA+2-m=KGLN`BEYguO!8X`#|>{X?`$xT9Np5-}KS+=_3=P~^Ql>~m0S z=Zmp(oGwemEa&NmBV$mmy2m=I3<8O4Fv>T@;P&O`YQE5kTZf`X^2CngGc){;XFeAg zJZ<)iY#!sp#n~}M-Fw6v);l_z%TnAfBYMnC=D@5jgbX<*=_r1tvnn)ghUA*b6a^7` zvP~5C4VzAe68ttw@G|t+EO2!Fcd;#898a%dmPb5BV@47A>;aaGp8zg?wlooW5GniY?*Wm|H^*Cu7~cb8E_zw#T@3JlU~* z%l2=Xf@d$zaC^THoL*cv233vF(|O_jx)_ zn4w`^W9Z-Zua};!d|Sh$2YuePjwx)^5{}~=>{CSx%{{K(ehe;@%cslMp}D+ZbrXiR z&pjiYrGW#`GdIqXDLp$*tD=Nch_w_CH+AyayC2qu%lpw%qlj}iydWxX=P4TcW^q|R zw5ef^c*o*_SPrnTFiHdj`5e3!O(XT5T^&*uS>JAF$&o3BRs??dtcsycWxWG*doG1aZJ)ZRl^u0}6A@{-yP|!3sH&^K7cJSjO<%F1E zBbPlaO`~>tWKJV1|kUB_EmR9abKp!M|E8hNgOFp(cXQ+<{8_ zKXPd8p#>C^%)ACVwceXFWk|5dEe-3xSb`0bvQ`-s1a^?QUE!Gf6pYHSHvj zjeh8w<4@(}0ik$wA821phSvQ-!2bWS^)7Ht-}nB1RR|YR+x4PUsNL4q)f-#8wHsL7 z*{W4kP#_=%$mu4f6_p(;qzFk`4Hp&RoC>71gq-6@QW8ie0vY7;Jv#?=0){gsq+CMI z*~AnA$r&X1E|>53`+I%qF8!bV_js&(Jo*?d=JNTzKd<-e^?dzf`f?lXGVxgK!xO5@ z9Y4Z=fxgw{=7)I=RXRq5Jz*9f6K%17Z(ET$KEp2Rrq2$i3zi3 z#GZ|1NJxwanuyLgXIHMsPh&q|;{)%o{e5X%CeT{Gkfa%o%4bgvLyKT65Z)K?%#TDp z(4)-yKzKhFA*9lvrw9oCrpaG&%;k+ADv~B0mmD&9(^>>#S@^&L3@w}kWRbjaB%F0x zP=F>w4?3bJ#NrD07|7UE>kOM?P(}@LOU_@or&X$9muCMgNc!YBP;(2vqCH>KsF`+r zVZllT0f$9?xmF<)E!BMph5<**U~$1ifuAb4NN3tmFv$!N-{2MY)RfZnG;{dIhH64T zefvkAf&3i)bYtm)RtjZEWOH>4e~!y3UL}ToN7C~F0=jG5vm4cj%76zt3d>w)8(hvm zv&kcQaw>P^^G+x`x7Ar>6V@ca$d2$q?9^ecnC{D&?CuPrZu>;O46JXSQ6T)(8$qy5 zV$iy9R_@Qp9sZM)Nx@!`B=Mb{pE?L6PFp0sJ4JrgxE|vV&7QAQHGHdJ9Pdw1jQdeJ zl{GWn{9>}biOHLHR3CE^H>E*p>IpP<&Bc5sk-1)F%#Si}qv|_`A2K;p7*9Yc5t;B@=qQCyKd;`(gd?v!J&)S;v55bC!T3EweT z*H=;M9V;uIXHWW-uQ2`3e&0EUWtBIuEvoJ|sc#pN)77OKK_E$s1mduTV>L0{w-f5m%z80| zu;$-`UOc_C(Ja{b9fj-uwg|BbhhxfvwpC*6dJgeBa*CKe{c^7=a;xK>nq(E|k&sVA zu0zXb^djr8?=xdB+3YR07)wc+FWL!Ib81bZG?dFj&(>FOLC-hzMy#c^a3wYN*0hH@n{Vak!d!dj z#3H}U60N0;(wDr;m8+pQn5=Q<%d;Z*nn)Nn*kp#NrI1dU^hVokyx^4wJ>?9If=bVV z{OYgbsj=pPBXV=tx|d=nceX&3$F{-wA*v>)kg)>$tZtS5(Ot?Oe6DZkUi1X~6}dx= z-`K&n8P5dgKTaxY{;pK)JN^-_nx0O8EyQO156wmer&1_`kBO}n9t{GA!r5BdByZeQ zxJix>qo?flJL2-?Iy_e!2ZJud9r7OyhftgBf$`Laz=o*H(hl|`{w1{ekIE?b=kA|=ojsfY^ubzO2vwZ2ZSW9^~RdoW)z}WsC|BMMg2dfgsxZ- zmQV~06rCwDZpN;c{ZVgePrV z2?WVL)bS zN>EfK){SWQ6bMmjS=!%^9Wr~+8?<}vI9QJ?ver4zyS88`7fVTxUvlh$RI;Zus2jwu zg$A9a#)~Q9BFP@AA051KtE5}HYf?!8Vu2w8`Y74YW^eTf>4b%5$B+_*tke2yTQoox zv+0wjkRG${*q6BKGns|euL;k&^+r_<~Kj*=oa*zvp@8M@m0)GG2Q2&2^QQzQk$Tu-uG>pI|1H}olg!P-LasozZ zcC(iykfP9Fhpu-lpJFY79Vp`zplwa1V?zY6NvIb`RAM_qf>O^CM1}gXd~HdI{}X4O zl}G_6oI!0%)?K|dM`nC{LEw#q@jc_Nz6zcZ6yd0KH<|1&=&S_Q2(=5eux- zqx)10ZJ&zIe)xrJ-t%5MmK{;rU04H(rjYdOoq0JKGalFJS4IhZ71ftfT$aWy9mjw$ za{IK8w-ArEb|&Yl`Bfu*BQ>{{Yacdq->3P;cJ;d ze`L#hs}>_7Wt{N{I@!AJKzeBH0q7mtb_7r04)OgCsT0>zV%LKg;Cn{sMD+qgob#oH zHzn5MB){C6c)9g#eN9u=3OWVtQxT<=5$F`uB%@Oh1!jznx#DOX5USwGLrn^n{^#-E z#~y>Fko{#>y=-f%0*PI#h1iwQF8-vW%zmqwaT{-W@|4ls{j4JK>B#57{E--#9-^@a z+lVxG2zaQ&v(%5pSWK`6^u;#i+5BEbl+JU{zZyM5tLB(*FxeYF>;Bw6F(VqX*OV3# z6>mRF)c>x&dU-(qgU=FGJOUeb&-Ilfc>B4q?a{mhX^A4D-OXdN%~WRZSn4RH^+%$_ zanXFIh*_+wdf$g7W1kM;syz1JdXhXbi$iD$UOd)a{04obuqweLuD~Nks&vmFfD!h+ zv4os@1?p(kcy2!mA4r+(sU@M&vDrL^2SG*+5fklyPhYOwGL2sSCwv5`$91_J_ zsm1xM`_hGXr3AjyPPR8m?2ReSIc33b(P_ahIs{`|b=OuVyLyi%xQ)pyL6x6K?5F{c z7^*#8z#L}v*P;0av_mx!ud1SuZp-Xkwzo~*Uvsegf&l6+*Q|zlT2a^9`Im5A$_Lli%p>kC1(ql%*8J> zdch*o?kz=m2&UNT!~l6mpSmoygw)&MUSLnmB{IT}e@}-bktN{Emv=n(?J`0rH`Z+t zEH~A45@?m)=N=l4s^F7_!|jt-Mkg3}ub3S;W5$eo&=_5v$3;}VdZV8eeOU92Yeyx?wgsevMCwC5Q| z_nje|u02|hpkk?D+!7T6KMEJ@%pq!j5?`kOg=(?q+7Llh;#mq5H3!{)5AqHP)KM+0 zCr)tgh3|_SB>ep8soIbS``JQtrO)98@iEdeAc)!6gr`i+EHOuZwPE@jz1=>pp%~9u z)|;FvNk~PtZx4!rRfx&6-ihwdvYVVoxc!c)T8oc;I|tUgO3~#W;Wl8`{e}P3Iggx| z$y(iLbSaADH}Wwa!;w^1#dWJK^%q9C<#d*-Q<*)2gYQsRopsVtKL74=OqKRpCtFrG zEl4g8Eq5xI*>C{siNWVC+lI#h{Y;0n+%pY{ z7>U|tB?kKa-5pcoO}F|W+ljt#93+BNPc=S!m|-Ld z>B7KN4dN)cKT|n8d04mD79kX4YFV86VwY8Kypv-n`Kv+C^5d(VCApI+&|IYNW z>RE)jBceG;A9JEtr2sU`d1YnhUj-BdTOzTjTp9DVk^J%XOW%ct{grmjrd?u|(=H(W zf)Rnkgdx?E&ZPEF=|nTwk3U zwJ5T#+pT-%qk^>#3a*cOtTIy*z6HViCn=D*Wo**$9L(5A8v16TrPL$%l6G}4Sa&{n zww0lh#yfvE=}nlX@7w>&E~5J3jcj8MZIWk^oSZ|5ykK>p(H_6{2lFTA$nKA1ZL83? z2XW-(rF)#sOx`c&tKhJ++iSU~jr%mAnZ!ZQ4!UkdM5q`M!j0XZexR4Ax87k6KdZ}g znoC1Nc#e}5INJx*G-u*|M@fGz2 zHzj*XzNxOdNt*(CK6$E3dOOp~T0!o-yTosX*o_-xRGt{18l%1@ODK{zc2p-?i@IyU zna@OhI9bi4$KQJ>4-Z`T6^`x$5KI-dxH+K?|FEh}AqR8lEBk6cBF;#b>PW9qP}K5_ z(}Vh{EI2}js-80tr3pj~D`2Gva5Vj#WdntrY5RdNL4inf^< zOuVClA1qq46dy>kVz3K?lPo38&PhW&Rj<38EJTRf+D->ZWwob!(sN zMGKXU3z@spce-cn%cjr>P$vjuqYTi+&6hR4#X1mn`3ma?Ui^?igFE}!IWYK zMP7CAeIO3R*974ur((KC4JE{YHd)zPV=tnE7J!tE=&7Xz+E-U!+L*De_G z9pzW5JfPEq4272j;=T2!-N$i>(9f*-_4*fY~6Z~B(ZUH z6J_3oT2yfWW}KaD0=;T@{q;&jygvcJ;#@V9!jKpj~UG5heGM7kQ-emu<1 zgzgk1YRn%tg?V#B-qphVX~%mvh`uy>ph!1o7*@mx?O5n%hST^W<4fk<_+;LT_Vs!0 zJntO(Hv;jc>pKdWIYK>HcVn=uHN3$7{r@!l?}i)qTk8y_g@LRxC(g8>d%|(z93qh+ zy(OAwhreZaK&|TDAocX3P0X>KIyB=rZkFrC8m+T3{|uE~Cm*w+!NhoH{re?w@-s=; zRa8tBJK0jkQt=LkPqf^~Xwqq{pyv74TEW&hLmwmyje`n?#l7<)up(2S7ZN9P)q z0iI`|54XrRSO^FPGbGB8THXOIKpAyHiaedsi-6Qrok80LY`VVA+22d$wn#LJrKwBD z#4xpfWSlyL{YK|o`RD=Sifjb~*F5Ohs>7XB))ba)m~vqNzS&)SPB=s7Ee?Em)-QQ$ z){_io=j1&#%vrv*lvMT4_8Sa$3sX_2LoBlO-KEl8^G8Fi@yC3VY?ye2$_@;OlXW$3 z`N)tmx>NVgpU3#fSfIs!fnz`R`DHxRij^NOuPfPe{+~hkV3Rd}zpjMO?`(uT5yn0_ ztB_+)3641NSB^MeIGaGEP%ng+BaGi~n*09izfXLeRbi61B+R~i_KojnuCF0o+ao+L z#5ZRSDK<4{DN{%(m6GPL#gF%2^6&Ou_TvEJo$Q#i>Md&*rj@Jjb3dbxo^;fcGSf#@ zPfj(=5PoG`xM}{`anFyn-ho4F|nLtWxyz(KfZ(ChS z=9)hG)B460CyE;`!WMW;b*Sz}QO%bsQKl+}a#1J|UuPw6`c$#ThC>Ua^hhSra1n>K zDhAM~qj!7ja!72~CK=GMk21b24!i1q&o&Z=pk>SiRZyl5A5F?v#8IDm3%IH%%FuLf zWco^&->f7J`Iaa=01lhu7e1-9jS&wsX41MpLXa~WS}}2OINv`o)TY-RF1pT^A zEd|+eZJyqIL7s&KFq&dq_l-v?I_3hNoo&$$;WPiybzo|;Nb*)E&dj6!VDm=3e@&JU zg?YQHHrXrMVrbfE{^)pHEP628Vr7<&Ro=qkC)DRE>?N%O7c6a>`=x=#yWp@i)Mitm z@Qj~MzfTqV)`Ug~3Cn|hoz8+>azz(3(YcLOI-xzCa*3RK)9?(H`@~k$y`shlxUE;8 z5r&xDVi$nnw%M$emTUh|uZ)qZ`-M#IxbnO7b|w3|OEtj)3R`(?DSkgVf*uhXB)YWFO!L9GHv^*-uhaW38 zb1iC9GpSzsXx6rsrPKGz=N}Dtm6E-#MejO0>1?sj4z)$)+d|CIa%@**)0cFiB_`KY zEyX?$y*-6<;#+ku@{4q?1)`G9%Wa8A(VB0K&xw<+<6c>jKBY5c_oAQf5e)K!VA{3P zhfrYs-^PJIG`-3kot8YO&H(;cN&&iu)6H7WP*^MnvvCCwDm7C{6ae4eL%$#o0zf!WopzyIzBa+r!o0nsNA^NTp;{-b_c!#CaJitTo(H8?wRl%E=7AfOA+M7PXaiaP~gYWTt zCPe2A5s|5s`Q8)Egh?Xru;eE{VA23(Uel*3P_LM#-hQvx_qeTe@%~Nk6V@iWpflXq z3&w}qVAx6L&xC*LNyBGgFJIjR>XsP4-TtN|vs()&-cu{57~D+b5# zF|oS?h(mjS`g>&k#e7+3Lk{{}?(_7QQJz8{y|;$cdi=_mMe71CS;s#U!2*23Jv#k z?cB*r({t{kh33sZDSfoFLlw)-pWAz%^#5v*%%$>NXx7Qmhx4S##K2K|pyL2&j5bvi zOQGpiZn4@Q?bgHC!--4^le%zZo|D|FJk2zY=dvQ*r@jsq*ipu+uc=~1LQSU!9 zuMol};}G^A585~sFk9*MRxovcAfc{2cxqm|Pc|~bDL$t+GeTIY5VFj3sh*%vkGH1iG zPYzT_I#lLuMhmG&8xz&^@}N~t^Q`y78EE@l=NgiIa=FnYn4KR>TPg*%(j!h8?qmSV zWz57G@&a+?qOb2nI-Oq*)bL#0^&7JH^!X*#+O<1W_4&``&#FS);kcY~oT@2T zE;&j@nQ3C^J|4y~E`*db3)`A|f?a^vBg%brJGfF*8Toi9r6hc;)PF0%5Tx8COL}|B z(=I~tF>tYN?XWGv^JqKvUfq{ZQ@9dmoA-;DY-Oy*fL&VWKiY3dzPV(7Gl8*4&u|hrH`7FA2DcXh@+NyyK@r^@2Dd~ zt}|Y#9VN}|z;ZgzR%RZCNJ-_&bgoiuaxs9pWy>EiqB`XLOcA4T5FZ^=hSOY&J(sr% zJj8{~s2&oVhW}A77+zOuA}-`l^jwCXIUF}P*mP)8c_*z zm|U2;g2W~8z}^t>z>5u!^GM#p>Cm}l4&n2iq9Gvsd#GHWmLk`V3U5=~(W|<%?zUJM zCHHUjJmN~{NJY$|-GpyN-oUS&meo!(@Yc++($JuH?X+D^9lh5Y&-YE%{ZKVm^q0A- z5m$ClIajX!D$tCT7rRB?mo3^pgrpI4Uj6Z^Xij8AVl$R#2ulBuvsM!I=3h5y z&^4n;{M4GSU)x{*@85ncvGKQt)MaJ1k@HN{=5NuFo3A@p?TU{~|5hL>y?qrol6OBs z8jSkHo<~e~ttn%V6uo6+I<+@ld$4GFrGc1lq-$ z&;Q}-+lg1t%+>waE6J2qK1ru#KWR;h|8zf#1?mjxTi;4kJZ;a}_q_AKe*62Kqu0}h zH!!lS2zUMS;h(*`f!6rQ4HOzwH$IZ2yE1cD+C0~e9#nnwNEHVN@}c7!&;G>xPTG{j z(Wh98EZ*U%XRX&2i31_~@H)r${HiAkN3+bkxZXI~a@F6%!0fOh);cqPrA!&+7^1Sn zd7t{90>(|jvG%~Wrb~`Hhqk`9Jt3&G*bW_ur}drc-=?Tt^nQ#fHv-ApWUhjmOdbM-*0QhUmPH z2QH{Gk4tQY=vrez(r0mSA1kMtzZvS>PnV<+=L1!nW~V_oCY^M4muht-(?w+td{nB{ zeJLt&)KlbVDh}~F^LDfUpQgP5dwK6AHEdqFRnN~(lWWUzcy-;uMajRP(VNcSX^D3@ z0stfJlG53_9}_#9?)dcQKe^TL`h=JTQM(3#j;7Q4l9C!~?Q9Da8a48B^_w-}w)4WG zD;L{$i*bCQ{Yg7S9W86MeHQHOzHGOa47}MqhbjLN3Kg&FMKDU6>1w}*m*5vc-B{y2)v-$MH z?Q}|#f1ThZ`fXQzjyGFU6-Yyiq|ZINU0?HyOyXXgiolgJmp;-C=VNp!`dqrqUcV=t z?g>r0x3$n7=?EV66)o|z{los%`MFH4%T)cPl^UD6LVLn(F+%&DzVd zS;%yV*m_pn+x;AM<%>l@GnIRjSd~pEVi!EUG;fC3wm@_^>L0+W62n(Jn1bUyKkv~k zw!D{yl?1OM3EzdLx#1Drm-kT(zjm_qlj4AF!QgpF9R>|~$@qZ~A?F^C#?;Uko|e5g zhcrQ}zhqjQx&wUsY&>vgl(93O>^J|Nk7t*w#MN0JkjHBrTGwf=iLDI^qty?sgXSQ~ z9DCT(++%rUIl*Tik%Dk~k#AimX8H>yr}UQ$+Edg~C%Mj}9T`13S)xGap?>&#U5(|X z!4O7D?=M{20LnJWn_ovA+*GcYneogI$65378J)Gyzb9z!z1)wku&cvyDwnM-4iTGg zOC5@sp;FrpCU@(|KwxY>WH1ruXZ&aM(~6h}NOT?5_1flbwsBeW|2#cHJyldUa~{ap%3YAs3(Fk4axm^0cY--PdL2%Fcrh+)i#tzIFf8(Idpo`4V0Z zK^=3R3?Fd>dM_L1=ILXjqT41l+6=^)b?Yvw=2G-+YvCEA^O!=BF|~cL^B@+k@=sn& zs(F6AYG02lWw-glr?xb=zHS_E)RpVPFrB-o!_^{1Jaq*qSVgSLwMg@us#SuJUV8T| zI+P44>GZLH{5=Bg0=3!sW%kpU?JJ$lckS+Tq1I21V;HUgHT=E}<{_>%yjR*5y`b|G z=hMqntJM{O;A5Sy_2{}}{mGb2(5<4sd^R80@DwyUP1!%wopxAav+~bXzJQ6*0R;ao z`q*ivSG%v@LY%K(q!^>*IibESMnz1bQp&A7>hP~wDh-&=Z_cTgQ0Svm&H2sTOzD+c zy=KRfYf#17MvjYjniqVPWlJH@>AYdGtQSX3d%yGm-&->Qhr(piT;72vxv+yurJj^AiDC7YNu_}W~G*irk7(7&dRJSfe*b&_!1WOxC|ruHPf z$Y<;xd|T#Q{@ISl$J}Xxt<&?bb!)-{hYCsqswnk|B;6W9p)PfwA}+L&B^G5crIe8V z78$AR!tNBC+jWp!Eoh~4PBLji*~h!)jf>o}D%Bn11@&2aI1VXj0Ofu86A$lr`IgdC zqc7&rDK6i-${ZB7EohqRxWeNA;ga~}Qk7`37VKW!e z7JPUgYiQ03{{q=6BBQ@FLjD%01hbsM(Fo6M+|GI!Je#9`!nuBKd5^LBb|QDpLl)9S zJ@rxIpPHWfDB+Hqzazq`*p|%w!JDr?|1I?0#cwqU-;g{VFl}4;=9XZ_?(XYh?^CzuXr>e78R9uX24jp>7rsQ`?N?n54^EvECKL0oD?c8;01(oeM zaj@ltO0~{>jLN%R*9OqM!=?I$uI1X}1EyK8a!qN<_3<3jX1(p8h*U;dA%zvqU$kl2 z(E?SXnr74+Uq4nEL)o-QZ=qil8ib+D8)rA6H)fqG_P*-0PIokTs_r7y<6{$w*h=f8k+;dFyQLo7 z0B(Qi)!NcU!mO1!eck~7EW;#vGgX{kG@$MN?EW84mz+xAujS1lM z%{$)qUCm(c#T=^v-?J)2f1JZ?{aH*_6I4BN-J&lb`($b0!rA6Uld)fu^GE)i-3z2Nluw3g*~^{!*Ec?M^eEgO zzEO9|P~%+~a${j$J$`&NS+ykzZvj7>=AB3xbK|#;axGrDzUdi_be9#}SY2ob(-vc; zPSLq9v!JX;WUMYsT=+opeEXoiKHIjLI*bX-)}x5ktHH6?D`NV{@Ud*?l}nv2qi33% zU4IFcS>D6Cm%{yy;kAn>*T|LboPSR6NEwU9m%N$YCjx@-L9tVRxrdl$j-`bf{!xK} zYBh$n+)h$j&Lcy{t=RY|Vn|uXx+XIQAhz}{_ogv*P?o&{x&f6dZTusV5*j#(U3ptf zaGv7HVI*!t0`=sY*Wv=yG9Q!l0{7J-H|mJ)Fg5Kt}=FrQB>Qv9B8=6#oRm5 zw)dQ)+`n#?sig9Xs`lv($%5PE{+dx8Vrp0N861ej_3!-N=xFlzjl)x1k>ex^ea3Q8 za1z9Rrfkf%;NHc`9>c!@Q{yWwm(Y4DnLJPZ53Qg(z%{9#G?^Xx%e#a{<_k$7U23JT z0FAOt)m!;Fys6nTCf&y)hJcz=;ni`{`7c|;(4FEI02KPSdU+2QlT7N0Isdh}OUjMDS<1BKOeso-&+%+6khTH=nynVv#-S~3 zu|Y2uuXz(n9#v6)vCo61D<}1@O%=O4zA0G1ABP_4zI328pw{2ft{}Xv4Yj&-oK6tN z^xFNSr3JdW@6909?pbhKOczc#(Q0KjKD3+#v-;?~WY8gl$JD&5#NtCWxxuXOS662ROg()Hz~dAJh59Q zE$A3F+^qQ$%j>B+5OUgL&TuhO-yts0g(GoD?2LTSYQ8|ZgafDYrH?Eo4YpRf%J-YZ z)YL_k`wF!uT;W`6A9Vkuk%8uBi$&{6dy-4CFFyyj>xss*_Hq4X)g0T%h-N?cTt_vxMe-KkeA9kq#AZdx zH=H{n8#^G^z)~xcY?>R=?X!_L|5p*at7)pf)DXJaFp-IgtdkKjzO};q9Za5PY$9*w z;f_Fx0gpa8c7CeU=lB8T>R`~{^4$eo(SzLyjf$9spu@cCz6WAe|LM!bN7F}g41=9> z6_lRNQB@A0&knaP*NM;0_c|tYqKcZmh%%y=)zeAm`ewTcNu*8M zAI!IEjx%Z;yC>)!G+1k*l`79rf&A zWTeP`xIa*ov+{|r%SbuHto!5tvQC4n`y*oISi~j-*S|~8MtqmUnzFf32U*g`^{8}y zM2nysUhD{Y@IL(5EbQt2lb7T6uh$k`ZZ8> zd?`%ka|suZ>01<|dqNh>ixx+&BpI&huR`? zu=nBKWe%1Sy;TdS1wItc*xC6AEY8(T3J;yALbF=}r+t`gC5s(ndoxK_3tTKdkfRoA z_GE#$R1;ryUOyT)Erm-Pj-!mSs^@5Z%_CqdyfIq!G=lWFm1a#V`v-|$iAwznld%l8 zXek`TqZiQY$>Dt`nFIX}-JSwm%E2}qL~P1`qLUm=u#fjvsZ;p$Vfemi_wNyHQ7!!| z=Vz+u_8pfOPj%yBw}W-ql};04b`3sbb9QRrgkeF*TH~cJ0`B)+r<^#^(KewDvaB-z zrAylWM?iZ&r&G4tFLI$XOA?0!1yy@ox~{G#|3L3oFaSD~*?n-26@-5(@V7ig!`3k3 zn;iVn_o!3@liSgF62|5#TR$^ti7LoJ8W2t~1l;-Y6cI#^dV!8U6~sCU3L z#_PTY;OAaD8?IbrjvR;G(VzzcacqVBGZ&e7U;sYRyX!=Ldeqyi9 zq30$Dv}#fNn1%TbHZBj(Ru!kfx;>bhVsvxM>m}|jg8GlgW`3(>sc&|Bk>lMv#y8K& zynhk{`9enJXE(@^nl6Ls9{E$_?cL0>7jW=Y8^@&8<}=wl90TOkWdS`Lm7+6vZ$ zQ0NTQ?aZl%h|2$5-!g3u+j`p~-O!-nT}}pI(oy1FjZ2h2=CoJ~` zt@1Fue{QI|ASA>vkO6OCfsbEq;kY!N6gRJ|?e{8IS9eV^gDg+g2IC|dD0UHo2aXbe zcvy~q0VN)1GNyG?8mp&suWwESidsmLs7VU7zB9@)T-vqg@P0E#HfwrqesO?xEpevF z60@jjX;>@*;TqKesLD}t?%R;ZWiQx(gv`zzWROcd*xx()ixfuI@s^M#Zkq3ig)q@I z-k3UAj6+sg>W0g9y!A#8vXIZ^kUW_G)XVrl?O~x|u%|jnC?`HN0Tv)A>0z;dT`EqQ zL+*I*jnLG=3}I;D$DpyJ%%Js7VQ^t|pM`OhgUJ{=4c=bMRc`Wu-fBA#g$r2g%uJ1U zy1Gz*M6%{b$H6%`bvgO261)pFi5fK65Lx!WRso1`24BJ%{Eh!_H7Vr#ODiHDhj!n_ z+Nz(5+Ke-IGw0yGKSdo}m2saF$+^%T!Fv$#IqS#xJfg+?ZfNZud)&?+ATfR(Wm?DB zGA*3Ax~Igtbe1bkqA4Z&Ohz_OhkDZfW#Z{)H?k6CH-e3ZG{p*jPyF?^jh`i6&+h&& zmHvX;h7Bm_rb-TkRYO^8stVM+5nVhLY-QZ9w5_tSnM2EmyxleSlHM9^8gnRh)2B#) zxBz~(0B|9`1OHtk40bfT<_aG$-)y`c7AVOm6c;^Y_S3LbFXc4(qVZ)>M%*t&c%eDo zx!H~50T0qcUu>LTds0?J8tSU>PmA{FbItc6`@tQ`kc`OG+x$_G2@i*>67rmlFD!UI z0OfzW@y_IQ<7RqpL9&-}^r>P!x@~m!44Zk?I*(bgk2F>#o-OgNg*XG#8@Ck1#1zXP zm}Y-$5Uct!qu8j73iJJ=6Vpl#pKIq4A60r!%jSRIwCub#ld<$w@T#AaK_-u?WLif* zp+Fh4BCKxoIl3h?R=3d~3VcC+f;!AG~a#ORkzj7494fQv}Q z{T&eu4AL)$T31uZ-z~tg4jhO@`}2`<7>IP|;Kj50a1Who{0{h)3Wmfhh{MctGn`>+ zx-IN?BiAuPS*w|hXZ1Ik@S`VMTJo&PlL$K|F{!&*7;>Ez0le-SL5`_&FW^czt4DJ1 z{8|9i!&VMRu@<4|+&c$ftN?+u>Dudf{R(_DY~01L+p*_oeH62qI%P8AxgfQKE z(iz?lyfT37ITiA&OOiwZpsOlI-_ug5t*cQZtiOeU@&l9gBi@)LI)Hg6(*yXNVX?pR zXmw?iSTi*q7K{N7WS&L|Mj&ayL$wW z5WPed?1IC##d6F31s^N=YYW8Em8x^4`MUXk(OaU9=O6Kf!D9pFz|8@)g&H3h8ImYmwspT z$W!=8QWVx*^EXsY@oB(m-4#060G-V;hx){B1VRDwgF`LLVH)_}czu!!(u@8Pf7Bn_l}nKC4(HEO>s|<^;M01F0UpGW>!#HhP=A-Ho%I7GzjabyGEk98gqBTHS5a!kYna< zwwqJ7)S|uxm?qkT@g-OK5tNcDYKR zZPm(%_@|?`;H2NOJYA<_x(+IU2D$F?#_diFbt3BMNLdyvpX4SsJ)QT|_mYKi|L|7e zsgGWKyvCQXS+QOMJ^rFA;WsJ19V<-FRMOXdFNCFgw{MF^qd-<9o2-dcRYnr$sDok0 z5i}^eERn7k$9tDn-cR@)F1%L7bPaJfJK{wqTd_$F2$8*NpUEHRE{3OAd$Tag&d9%7j@Ub5Ks)#MSh5=U`wo~ zeke<^DkCsWqN!jBO+77p-ZPG7rI3?hvy%uUN) z1H~zOD1432Rg$Y2(QZo=7xClFL25q?4Pby`r;R?0b7cK_0k3XIk%Uj9L*OKYo4L~x zOu;4w;em0qF&KJLK1Es!97TV67GHkdj~yOM)WY0V_@xr1Y!Oo_0j^09Ge9L{!kM+B zWXx#;rp>dQdCy*q?LbGB5A7lLlK(7CHUJnQ20}&5mV+G4)xFiJ zULrpHIZ9>(^;KehO+O!QwHQVKqQ53M*_M046DvE$RVT+C?l?s1rxrhYgV?!@@H&2q#S6tFKzzDGaN1ISbD2YKrR71038*$b;M0}7z_@XtMQ;?h_pSCBJ zOxNNU^T()k=gOHwrZ-_A<+!}11@fj2SO!3BFz+bFNaBNwe~8&Piq;FA<|YeW+SjKA z7i5qT_(!7LT147tT=TkT0lxznnQTJ@p0OHlusgqqg)K z<_dAy$pD%OZ}Hm8ElfR7)OuUdI_ZK4|N zC8WxK!luf>+fzJMscQh7v|#&6<_CW^G*bzkX-~a*$K%~85%gzAoZcVb^79*;T4oC` zim9v^0XTax!Q*v7R`y^?AN2_09kYBRIK06y=6xFe{MG%20VX%`m&tu--x<1Cxqss) zD9WQU932NKnR0ErrsewTJI!~Mt10GOg7kgZ2Y%p(qt|^eR*lQ}KgIX_&v@NG2D`4* zQrzD&hc*sq&pvn6-1uA1uy-vZP@*ISJKW5dY=0WD{1?$(?P2MCQRQU)>mDZi73Ywk zP=Cqg5kS>&z-!MU^(1ClidfQwnvlr3Nxb@1i8>TetRj&zbocMPwy||Y=_apK`5BV%%;*YkKVDzK+=TQE}TVRwyJ7MX~{rxu?ZZ4WdPxe;v_42E)BdGk>I;m_vTYIpe z-RpPYyD?OqknUmLnq?_z;Clc#65Iv&g9!Z0@P2q`V`Lg&b1bKNY6wP0d9|nXHL|%O z>RV~TpmGIc^8T|?@+)$7R31htz~RN3hv}&5IlNdGTOu2HXMttRE>X-QR8Hud2Xc*5R8W$A~8lTQoEIvy;fB( zi;3$y{~#YWfp5#TvY8(+{ie$4x8{<^C+buj>9pg-mV(wBPRA9t4WJ3FEoO(jX;-;< zk0QrWL5A$LP92akB5(&^ufJ4EG?qG8eLQlh)Sj)ow1m5kY3f(vfW;Rju!f)xO-|P` z6w_>M*0-&*1?sEkL?Hzyi-A-2fN7AKTzGFmjnh5g;A8N-aO>I6a4!|yP3icZBDD|K2r7f!ak zA#>hEn?=WH+k9JOp9>U~&!{Zx?6hgKyV4H%?=+r*c15Sc$u%}=k;G{$n5-# z-tmd^ib zkFVMsvAroGBl$kXb`i8k9Q-A={(r_hEwB9dMO=qKy<313V#*f(QGIEf>k`r_QG^G zJNkU$Y(v;Uqs9B+x9+l5pgV0E`QPHpM^ z4_W29euEdo5IO_2?6tK}ReN{7;cRakH-)E+EX8cqrTfM4wm$(19s{UW+p0bcIEQv7 z{13PJ%C(V)o6i(kqT0>d3DMxD7U$jjUjZ;%L2A+uM~C@M$_U-o0K@m4K9Nbo0ADkM zrnF3HV_J`=l8iyY6)-?BXQi@~hR#PL){@3s3%hgKe$kLc_b6?7x-k&PnOtMsE%M}5 zz?y=TzIqB_Ll0G*NKFn$xAzaVsfPUBHT{M>RfG+j&&)+&qsx0G!P8DAQvQS@Y(!xQ{tBOz$D8_HeZ!Q2F>zFG5$9`D0}|QOVp@kwrBpvZ_|w z@i6+}S^bVB>EMW`@kP+w{jv86mLT77B}+JV=RG$nPBHo77s{W%$`;jn@*vOR6Ne%p z{3q(i_{++87J^-!ct8f_jTR;0Cc}Wqv-LptH7F5Hx)EUQl=Qw}r{kj6;kg&(oJ&UX zFMx+u#n_i5S_~>1W=pVp2g{K))FhsLQ*~)UO)Qp z8D(`p@VJ(yYHYUKP-lN#EUWv09V%Nxm02iMJ&fwCo=L~1JhNQWnn$@3%qc_OD4UbX zUL@8uhxgn1iObN(e5aR25BYLy_Q+gYKO-&}_gd9w>MwIyhZP}CE|-|31fZ>K4K=4T zq}|En^o7%d=yy+Y$U(UF==QQTtE>7$;L<%pov=9l&by>*p7wz3Z3GA7q$F`cYijdb z5EtTzA!Xl-%CX4?J95IEj|QzCRRmqkl094U7A)-ELFohCj zQ$7?dGR8OU0mm0E5dl_tDSORJ%;9IF1YB#tW$J7o{2NphM-}5F&61G=MWy*=#@ldI zvK2)=Kp3_PbY8!5$BY|Dsir$c7+9}VEm7pOtq#1qC?Oa=nVv_FJrL)H_ZhJA=?m}I z4uQHt!EJ}qf|N1zf-Aa!dyW58vTZdWA$7+VybNBXo zPniE2@nKSRVh*CdJq+6>neAXFaJDp5WD+QL|20w0(mitVg?V;44v1L!3eKNn;7_bX z2>fySWtLpMC+Y z`<{F?EAi>%*|NPdnx;GCbG0%C z-nja@YbO5Tu+Ha=5d@VH#s9*jZtNJWe)UJfhqqNEhZYG`ku#mo?|Q#&70JAU?1)(F zJBtQhH(4GFWgL+0=BKP98)r09#edO!SQ zL9a4O!|a^+zE=NHXu0H`EjgToYtI3lu&hwo$&d?lWPoCAyz( zhQx4Eb+aX-tO#1JXQy6*kldkS^fdJ?YuPcRkkqzllJE(%+3+50HKmiy{wN&%8UEht zCKl@CI5rZ9*3WU-ds8@xwG*zPiKNjCDh^Z1^YW)H`x=NA33 z;hY8O!p!vOTO+W`WU>{2X6#9@`^o-lvt|c^4WD~(J*?c%6s!8(U~FRk{qUW}pY%=_ z-=D0navB!=F=z3qW+A8`0WI@*DT+Pn^XD%W_0}x7mk{W_eL@lJ#KZ}+C*O8Th3 zWEMkenV=lnZdIb7q#l*2p59BgqLy<~b=EwMY@q0s@IIM8YR0ul2(Plu0SDgv_fnj4 zo!XmY+ghO1sXdcS)*skI7 z9V?l|6JGi^Bzp<>xKGj8tpvM_j4f8Beaek zn$2u@19VNep~V&pXOhgRN13Lii5nGUsd_WX$474$ zG4g)fRE>7XbSp;;2De^v6|2Bn8RJ2dSx$}+(d$%ZzmL};ogvd&Z_Ze{-=!<@Ot1w{S2*4) zlRU>>0@A>$Gu@E}`%!aHSlz}yhiMZcMdrfxJ4HU8HwGg4?rYx`4ZNh<;%eh8=E%$< zW>A@}#hc4yLL(k1a`jglZ!L$~@%t({2N*Gnn;|SYi|n3uQN_v3|8(HAX32QR!gfb< zq`{;Rw%E8=g-4G#1liVo&L9M{8qkj@d+mgysPVRGjxjoFhjN50hDKE%dN}sT)~mthe>N`1u32I!I~~7>zmxEH(=q4U z?I=l1l0?1r7r(~Si2X#K#{W6->r=5_{^i%E;=jdymRklgqF#6*4E*Wlo~SJm>FiXT z9s!GC%gaEW`Xc1IxSgJ_qfS{Y$5UcQ&*pG2b~)7ZQ)m2}2L6LTZCiohw&rU5^`AHH z+jzX-oz<$M3+|jO4%zhatoQpr!gi6nqB5qxA6{1i`$dlz8^x6KKYbwCFxwh7T=uty zkF_x3%goObRogXoOtw&8dlIjr=oPXCL!r%^+4@gt^Zs3A^A+bv-GejE`U@I&3&2dD zo?-Udb=y|3Zi?ua(On|ux|I=gm?g(8O7{?omte&?3_Oyot_D$JBw0zG$0y=>qwS1& zbPrS7!oUZc%JZHm3h#5zSHEc`cQJ<{dpXAIz8_#|by(H^Q59kQ*NAk^N@a^NiPy1T zAoV|q^DfKSdpTs-&SZP4r2&C=9piuxNoxjPEtfpqMIFee3KUW1SKG2I3}3RTfXg1o z+9i|<|Hs(7Ks9;i`Tte9OLaQ6wJKDn)0?6))6Pt%Vs(F0ub^Oo z5D_8MR!YSSzfog~AxYH$q7kMFq_%|YbOsf-?qj%bS1osum75RP9U)j%`=ddIYmM$G z{dnr9=o>pB!NJKFMsh}{8lQ9eS#D=4w9AHx12Z@&c=q5lOZT`p@gIAe3=Xt*hWhH1 zs~Q6boZZz&$$aAEmCC1&qKL&{A${|aR%wlPYvDEBN402u>aw$)9bcW1fBRfNs~uLWv9KXq1=))EzxZ~2VhUEo^BZF zt|U=!tp_z;!pu^xG3|=p2|Ay{l*n-arE!JMwnN9ZgaVQ5>G052w{au3s)H`K_dVQj z-at#tU59YsRp>AGq)(5Um~<4QA}7Hd{)WkW!rwOB=9}j|E=|UGsYk_AA&RXmrH1;m zERY9&=VCyS#)6Jt_Mx#UN66ri!(mvF*xd z5BJ(iI#*%=sh&>Hk>Ez;>cSU5o!-QwDP?&^P}uZ#IE7$x{_s@u-n9iyBgO-e)kkbj zP0eSmPYBI`BrxzJXPZr%9iG+Hc1B?#%va7=_JuLNNgFX{*5ANb4h@9jKx?_eEGg13 zTObu^Z9$etfqIhik!rN+Vp8CWhMDY_m~DPx#}?IixBR0taeK|y$acTWaTLE$W~Ox!a{rgj0=d(=TjQXdbS`i* z_ZgPBrZK6(qjjU1D~Ki*9)9!B9RRc2a8_x*GW6HA<{R&t^;r0yurbAT%GbQ)jsOpp zEp0-)G+94HYs_7;LEYD8a(+o-^|^@&Yw#bk2gAMZpha=M(h&}^Ygh6*sN&8uheftx zeND2Sc=LimIw}T(Q@~{@xvjAQUcSfZ!gxEv!6(FP^iB}si%pB%Y1)Px(*hyeVYiKO zK{Uqn7o8<26b~GQJxcDnSN$HfC| z_kpp0JJBTvf+du4G%%m> zs-xR1Y$1JQi)VcxPSl0^5dEuMj!F}{*4HUQm za!DkeN9Wz^J{sl*6WN6Unm$*zM3H>9e8j|u%7MOCh^4Nf0xwxxwP*IL8uFr){^nBh z)4Je6chDr57B~mp075A1164`1IT-IF2iV12iL*x4Q+fbxBh7(0qmy_)J#c<8B)4jA z!zXdewY51BwiiX4Qb2Rst}UK^cc&>FWjDlXg-H#%0p9Ci|0ZkgVbfV_Qf5>?Od67LHxH~o01+#m}9 z?-UooLwolcuR3jT2pST}QJuxLmw11Dl_+3k2cbC22md!YWNXH^MK@2d){PqUF-KgG6bC{^4bUrC%co2oZwfpf51c$Yi_`8{sN3;hU{ zTPMcbYe(`Y*1FRe+0O(1Fuki+9bd$ml-s(<&Z@g2xi5i&AnKxbn~RuiOp_nF z!+;RIBQptBLnSYXWDpFr@7O$%eG25eTqhtaoVIZjQSr^=1M~9&-Dlc#_lfj0LjF7# zSzYx!s!Q3(zii%e->hEC8x?yUHOJ&wS+Q^*qOqCMvWW2rV?epiY8>pt=tSNq!Ek%6 z1TbCJyNxq=EQE)DW;eYp+}rY&f!Wpt((O$1XG#e>Fo2}zofxSJ;)ej`9)T0ikZCI{ zif0Nj7uJ6xeL9bq=d7`KQ7-_09_IXJ0prWN@swBh62qB6RTS>hu!yU`JV;nJ`B1wH z)6ZRr&?4?=ANi7BXu)mhZC9gv8yan@*Pa;U1p*YZL@2ay&lx)g>YT#^!(*Vx1!-vfd{w~C z$-D6O71v)2n6P{&fp30}V<%@EePmVvc(dP;wVLA_rU~yJY6vCjTA-`*ioE|vJ$97Y zIpcp^$;__OAtZ(LFhZ7$?6e^sotGj}QrYG{Up{k8P~KJDrT1Qj4&&iLkE-44lzd;J zV#HX>VxgCRS3k(gy(|9zc8Qp|qfv{WePmJ0-2E{xMLiaexYo}9#L(d{j{XFH(U1Od zu(f~i)ISWi)VBeznz;+3o@C}ciSAh%a_=KZcx?sW|3XYQj2JIxM(tKasXQ+t%I=S9 z+KeUMc#LxS>?HemQu5Mg z=ZRB1HgV0JqbYhmB3jJ)<}lG}xlIv?;ocw4%pJR((P2#agg{{({~)5QkZ1eg}P6`PEMvjf69ha3naMR4-DzNwN%i$Lcxv zFbi%xplryKF4w%o!u{)Sc#)Qg$)I35+qHw<<_{Ck&!n;vsO56l&bFNUpqXOFq^EV0 zn#uf*O_o%Yr}ALJ*|0QtfHJ%YgtT^m++SCfOt78=o6_`N0~WKneh9O!j>uv@jzuFd zXCxl|VLEdEt@6$)ttTz$kKu+}qGx*Wg`jf{-%h4=%B`&x9QULbo=9M{JvE$_&-JZrv92&7bJu;k?hg_5vjyYR=Y}#`kEOm z+OJ@CqR?zkdv~)lB_3wSxqh~bYS0~E8IihDFkCGE%s-J~ID^>L8N=+uc#2dgFD7Gc zeH;{GsM&c{$*N#$%u(*W8*jbJ?%HiI6d~w;#x|=rpumaY=SQA~vuiEKUDurKTr7KZ z<-mjI>?Ja6)uEo;GyPEwiP%rE>p^3mvOX=p-GSz3+0RApreYjtP?tE-`=&||g8SGi5s;ewAjY%62^y4EBz z;QPr@yN0uH{Njn%8t*Y$iDJWe{dGxrd=-Y!u<|t4)Q)OfA#1Y!y9G_#U;7_34g5(E zF`{z{MN_y6bG!d`@XH4uH@t@4s77yX*xdNso}iaF36Jz0a)>;`)&W2$Sfy2|k4iwU zza{Nwo|`GrY9%+4Iu7^Lw+nfoq;nOw7pSz;Ou+-4oHIsR+($HP=Y%_ueG@?VydBAv z2z1P@u(`5<1PoT+H4dOlV{96H=krVw(P)Ht52tk8cqw+o)yW;=RFG-d z&!0sLZ$*F}`L*SWfBrObLMPiDrJ2G?IUQ{7J|JlDTvd_vf)lehR}YLc*$s3$;UNd3 zriLDtOYPqfP0>h5T7}FMPX84gTyT%&ZbBxb4 zpr{rH&6v4y}F^q3HvYn^eHo>bhH^Dp0SS{nE|P z^ZV7Fy{*wWAoIVDaCEM48Rd&1%VDD(+tE6Yaa8!M(TAiO*WuyrDleV8GEHg`bX(&+ zkn90xSnavW%$mk>U>e5*vrpCPw3P*7HCQ3stG})_2G}rz4ZmFW^i8{YiMUo`04cC4 zSA-B#RdT@FAFB@?F6*rFGh%ggNCVrcdGJNKH4t~yj?XWQ&?7j9wT$eeS4`dpuj%0} zpX2!8wlM}xR5qLY{{D8)Vuu{-YK`y!#;J!d%8keve2rHf&UQvAZS#jN*H#w#e{rFt z%zT4H^O4dMVx?Uvz{tUoQ?tnj`@P2JT^ll(JX|xL{@U}7;t2`fy;k@hRmLjGnKXfn6P%A;iCE}0h={EB<=R$I_1sa zVL6>K>6z-3j#yy?&e?;)dpYbxEJYdV1ESqf&EWxi3YT4_q&)J5vwz!R+#AS5pb(WL z3-mSBPc?5;P@NxvB=@@6JJG)7xLwG+(Qf*qeP+;&>RqhC<;}J7Rrb2-*=WL8DL|9M z&3j#>q+dFGDjx!K(k$2GB9fgI(}1N?IOjo$va z(pd?_?QwqBHIKeTinVZbR@y~uS+@rvy{+&ChJ(_xDToxu>otLaqYD;$WxD#Nz4p-M z>b4CI7{LH7hBy^)j+CN|u`&f-!<;@@j>c~osRlkvH(hUOZ%#cI7558p3Y4asB)V0r zHjm|+A&awtiQBQvSV{`q9JOa>jQj^?JMJUTIAEh?Z6hba>8d#bdprkA3%_gRm+-B_ zyu=Zd6XMTIo2?2e-9Yq)s>NfzZ`vo9WMel`CVkrPYHw7q_Uij2Z{}3v^04K3zY_ma zfkQ(=-QkAuVM17}JAUL+mslbFK-T$isMV5{Lg@4}$S_GD5p*Rz&AIzv}xY}FiJ8`+Fo6NXAH(kw%M zQP1EevtBfSDnnP#cP#PnYk@c}7KG4&A%=KEV3+V`x~d4hl`jPhr&p1)s5^BgflsFBua+YUb#HtRmFOHu3%d` z+#1_9mV#j}-2Fut_NVXgI9IWLM|J@pZo)%@Lapd}1yjGORG`3$0=RyTvwcgL^%RkI zuo=K?%_VRC-4C}GgE^ZG{PEwjfzSS3W_}l#so1&OA6Xi+C~AJjBP(N;u8i6AX4Lm( zEzzvj{1*Pw=l*dd51#znKeikGKYHu_HtVg6fwyjjCUG~J+STLrZBi_E`(iLCQrO@8jGeJwE2I0Qf zw8VRQr5_z^#?PiTO0Ykvz7U!^A~pD**k9uhbKx6+^qjuz+Ka!jW>dP>Xzi2mVqCoa zxBkF*-{2NX(H}QM97XjC`BNXRf^*g1$SNaTRco{!!7YnQEX)9R7!i`}<(E8`l}J(_ zr-*C6#@Dlu=bn1xVC`K7#o{_pEB~70+BE`SQRwi;#QV#Ymz&=mkw3WQBCh!2_fmvv zUYi!WsqesmjDn%RvV%b_VGI3F63RDEa1ahXFM@uMxbEA%jo01GoMUJ;MX| z(qr_+l$G6VsK(bT=Yp)+zYcK$Kd;MnJ{XF$9|}6&Vzxfn`HCSp_~~#_`>wWL`lCJk zg24}G45k}*{ZFFqG?Js3ji12b#ud@ko5rWm9U-{Ha0Vk=$f5QvSBck{H*jM@a6*-W z52w~Hw&q>7nDXpkwgrX0I7=@fsb#X2v*ct})}^5#luOs4OXI zDdKH>{~CsC*BM#hfMv^lg4*E?2L=}&gGm0~Q?l)5qMNKi!^!Qqt}x7ByPKKZ3gSKg z$NmI3bM_XavPM58dv^*KZJTBlavJv{89i%ZEATbYoQ~DkO8v#oGiq>NU;xB#GwuE3KaUDjbSov-W- z^2@v86&sAVV@HBCA%=5rXt>pC=)ub)1&h+%Y1L2jW-K?o-hh0Clijk?U@PVnHwk#_ z(wKDIL^Ue5Y=KQD5qKH(GP5C~Fn(nr>UHvVY$~kiJMo@zGO3}Rdcv+o)6m#W*AV}H$6j_dM$6AgcKxg+7 zg0&8BReex5OuvzXb{J-|y)j54(W&&577V;zT(wz&4YqW1rhq^#OaH;OAmT0sE-@jNE~;7i$!#X*u^QlftB|a{OB($qX8Ja!t2)bHbK` zzWBoMw}Y~MQu9aLI|csYfoalX4#ej;%1!S(t6iC_T3)ErU$Ka@@|`=B;VDCvq>wG( z+KB1NT=ykEyi+#Yh|%R`j-Yez;TD5L*jeTH{?PscCodnz*7N_XiTOe}>e+d#V%O}6 z%4UlUVRjpg+VKV~7@^oKK03r75V3IGhoS3PCD<>JA?D_Q;Q49*IivbcT5L8grO?f@HFy5C%#~^lV-Bt_3dQ~ zo*xEdjVf#fn-1zsjHMH1QdP`dySz0jY_x*K&g#zHQi_0Q>*4hgq%PHC2L>$BkUVH% zfoX!**b?RSTxW8Y+N(a{zCku#@5Ay%_zhd`!PaVg{SBL)ZjF7%pE$#SXJfNn!kUQ4 zc+i>B0TefUTY&_cmB4P4>O+&Jl@S4|u@20WXb1Gb!Vgq zJJTn1w|!Ayy=711AI7RNTGNB+$<}DKMvq!;6xsgp>a_hY89}2jdi*HDSY(ZNtX<0K zCSSGnV8$Ad2!wUbNJvXKqk|jLhEZsDh|W%7-w$ScDCI$5`v7oTNRVe z!K0093baj2jPTX96G1`I#C{Z*p#>00TYtQ39I1P@O^I37TQ*4l4!ra@a`3Sb;Gt!?!wFR6J6n z3L9a*I8tc9cp7dN+zFfbVQW`aFQ*tkDBiUaJ4R~9w`McOOzwZeXnMBwq9;n zdGRLx!87fRmg(DA^syBa7BR2PaAs7jKVK;ji9Gb`_U7osv}O$DfiSb9+K z0~EN8HV^&ZB0s$Evr)l&pa1J;7SGX!!FKyhn${Xu$b8>$=)u6uhW}ppPxJCB{J$^$ z4|DUp=kLsWEG9bRk*!g`-2Hct#vJOAM`GBydF4OM&5tcU`rbcC+5g?SOtsDVLDUP` zQ9HK6Pd65oJ@g%Cf5GCr3)XL(=~%En@mFCxoEea=C2dL1q>7RV^|KM;_sFH#Q|Aii z&9)tW@Jz+BXB)O$3;9>af;bknv1ZAow~Ll+PR-u55=gE1@aW$vVpQ*cvQMVZ%A4ai zUwC}5u7(O7qrHE@%JJE|pZwdWRBki|-?^x6%abMl{xNlIn@)wGO4D)OcE$r8!ErcA$}Fn!S^$)YA9gW5jjXdl62|e7Yw^{EF0W0V>}*Aq=LZT_iV(Df2rNR z+nXaM@z8b$;zHQG&{fH_x%#1x>h7#&()wEB3LDP8z25Ov}?B!GIiyKP~~I0{WTx+WrOtVfDbQ zxmC-WKdw?c0AoEf#DP2xNg$Ws-7;yYdU^`(OfNfT6$;E$wz4k}M+)HegR?}?%xtwN zv3l%+1CR9Ei!e)AP!$6*6@~}dP$^~)KnV>ee~W5Gr|Hxlbjq2(rH;7O(Dl-kgb+C! zZMBQTpOtimaB!kPLRN`9W&w~GG^E%@Lp8g-*@bi~tZ4#=-_yS`*jZ&o9OA;yhZcA8 zR+1C@>~3G2iYbO5o6`ZuE*o8$cU8a9tE_*(ZtDkv1>ko^Y1ue9@yF-9=+_QjfsLVe z(i)c>{FihKbez z0gXeOUd`m)8yp3LYoul^BoBYQ(lQKqU2$Yv&syTat%w=rcuxh&iqm{|1c#hwJ7{s( zSwoQ}WT~?KdeKpDm8%dqb0G7Vn`wBbrv-ujI3vALD{qg81Hh%g7i8WX^q6aZ&O`E) z@lhF9}tFrL8nFj$wKf${{C3js(3;|=OG(x9v?7C4tv>a%!9E%&$PvO zl-cg^p06~7kyDy2>_h7~zpUvzuGQ!q8yCxLgK2YtdPIoee~gvmW^&8%%it+O*`L)7 zu@3nd`Ir$o^LH#;x}3VF8Al;3@Y&2iQO@&~kdQhItA@)ST%SoRv&N8P_79E8JH+)MsBQ)Y4P8@w|eK%x=^S zlcgV-wiK?*V0IvL@n{Gl(3fneLlv7LC~kS!UH?Ora0H)_tfbIR!C$oUIB_df`VCdQ zd$0`HBy2(zpanNH8t;Pg~Cv*R_I>$(oVQGc9QB)LW9xgL>NhT5)F zZ#zm|rT4birww79Fu%=;Gd%P8Q$wu@mK6O*jqkM}xfZmX z0?;qaA_jx0)ZUD1r`$v73ca;z>jsinV2xL5*3baXHM>Y%MjkZ0J-w60opS6p3OmC5cPB;}3wY%R2^*Kp-?eb#m^!pzqb>0(VzERs zwA|#+MKb2X4jpZ_{@OS!>WP}2BpMBfbCO3}VbvI}zis#&@I;t!HJ z&2MUvj=8u*x$Hw=m_m)o+|C+#-TOKuLFHm`Rp4{j( z4C#SY1%8)rZtfhny-c|g*@^R1BTHx$uXG?sGXzvcTY3nOoQgtuBJfwAB`{TOlNQR)*m(bHqoc_(S zhvkxPM{OwW_Y{XLsPO57ZttP1&3<*F+s|v&K5;I*gD&t;*^+|5V;oZFUl^LM4Bv70 z$A+-Q%kS2Yt_l1g2oKHVWc`V~hwAQ4p2!;cEk{o0r%HhMF1S6PK5&oPbxpjkOxeR3 z&z;Lyl~?ckkE;@NJqAMhx4>^su&~bOm|ZEA%Y)6Tc+c9ese-1ZVABwNxecIB9s=Hw znYk_=y)tr^5=@|1CZua}=r^cF|3YtXZ_QVp z`Qr}v0M`PhoMK6k$cxgXv2=0f4b+QoMPYVp{7erp?H1o}tn9O>|4{`*@7?G?F;3V2 zX&UqV!WsG?RZyZ_GD(>1nS8nBlwqX)1!Py_Lj!e_!}{kLfbnXP5$&JfMVy#n^8Ky@ zR9+O8=y^h2zxmn$w>L5(8>EDEe%tNHxIohy?|qHpwm&gx+t*YFS#KgBpj+cimcB@5Dm}b|56e6!UFb)s_dU~qikc!uPUTH2mrU`7 z$nzd&B!7>h<MWFn$;7n1t#|NPp8(u&%UVzni&20=UooGW?XjR9+#ckxgwy)Cdbc1=7e9Vb|UZb>;?a&wyd0Pq<=7~p!MhAXKv@?6A-Dn*#zC!IDitM>M9oZES zSinW}PWpB@+G2N11s3GmhFVxDW0y>hQy|_e6HU|6w}>;)Z8b2f8XDX=^AjvK3gI7 z=ceseg*CAY%E8{Rq$^aG_3fB`o#$PaX=?Y;Rys4Z#>qj4qmHGgWYu>><4>ecyKdjHl~rw38c|>-7_QoC^s*RNMW;bHaLp{x8EUY? zZ%ODH{{4z|o}v17*ZUPE)SKK_f3wagzIKdAb-vU1EzQhSdaS1b*&+*oeda{88fe!6Ya z{<><)wlkeEG{5B9YmIey!CM?7@d4@Xu0`>5zN=Pj*G+Yv>$A%NqSU@-0R5QCdwbE) zIwik5InZ)gn+gDHkgq)To5gmtUfp7L5~s(CyK4{!P{-Mt>B7r54@KmLb3~8REd3~w z&#cQZoI{c@QO@>5b-qpN4x|zN6w7xX6Jyh)T-x9<4DGycFQ@ZIch;VDcspxmG!e_` zeZ*>iC0~k9SHLg@1vukKwtlc___P z2ckScy4sOu3bn+Cr|EooaKU8wGF5PA=B80g*4B(wkdvntyf)sN;FB~0>)e_e!L6c6 z1BJEnM{3~#`%V$j|EQOlP<;3`3K6{PyJ}!8-rX_jZXMKf=UPZmVhfS2%VF~OD0S(A zu~G?fG9UdDo{swQsl4hH;ndUzYY#PFGDJq!@;E^98%d0Gbmdm#c=yd$1L5i|quy5# zQ?X-P;`_h+Kx;x+2PEc@bcJO)_-F;6R_Vhd?puu0eEQY0rp10&SIt$n zp(EyZ?@^c9GS46NiRF9V&wB4HtL^dL+~mc79$$2_Hn`|y zdXk9S6Q#|0;%wpQ>R2M@XaB+T&8(iAu$8mI{lV+iOJ1+tvWE6Q&+u73`CHj7PrmRJ zub!7=g3pZ;-BxlwY|ER=Jy5^jk;PEim$T$o*+tsiG1JRD1S5GN?%Z`X_pV-iJSiX@ z+Vlcgu%4o(0@0`{O1P5Q3+}hL%~+Y?v9*6KUmZoKIedv4PE6@C!@TGicnAw%l67lTyaOYQyUsfJ!3*?j(tajzP% zEErZNaNTC<(MVo%{UWPcGem{Bao&AzT~fDNF89yJW$&A>U0aSP#1XdK3z?VzC9oxeeF}n3kTE*4x?Kl#8*^g_B@&ylMSbm^!VTt=66F&AaMiZ9u|hf@jDmfv-0gpqUrwCrYr5*lKVLIWUt#h)4QD5Ny3MD*y7~UC+ld+cVpF#& zwGUTB@X4J(r0u?RrvldkUjAgL12$jljNAWR?3ou_wc=S&$^ut*Y?@a7?nw7 zF~V-y*jhQA$AI@v`WJF+V)vLhZEy$4o)y8Ni75fwnS|CjiGt4SV=^Q1>aCJcFSYyW zC(^FZlt*42?+Y&6Q`pdaTfQnw6^9JYtA(SY>{wifB1=iQfJv`pWR23YSl{#E+Ujp7XHf z?a*cE=p9F~K0~h$F!{x%3OBk06YK<2w7p%4!JY_P?~6X79+wLxHxF`LX!hgRohHCG zCW5H;qW37Am80<1xCgvM&f#Yo9(-Y$?oW)6lgh^PkJr`kdt2iu-VLTe`b@fe#Od`8 zLi{R2hsH5=A`_w(|(fE@XVsQ6)QI5?o;6a5KS zfpyKFaS!07Y&b``9fz(rEgcqMe!V(w#;={u1k){;{L*7`9R7;QPesY$h(maDU3jMzRY{S1<@SMCxLn}! zOh=W7B|SV#ioU_@J{wz>=eaQ5|DjV}mIxCwRLan6H zIk?p7Jzwn#11eSa&??vWsT0;7V)jivyp>Vrov#SJM)Zq_##>!u=&Z9@4CgF>@*m*S zvNPJA6W*#TO}F<_G^#tk2B2N2ZM@}opgH35wL{1%%9Pp@VJ6=<41!~t(YJ6=;XEuk z(kd~xB#bjt?l1ey2DaC-C0@Xh6DP6sm*Ic0|9>BUn7fA9;Vq%MRdGNYaUMul=q>Fd z=L@;8#ozBfn@9Du#P^6WaZ1bdM^V`6AF6-(>XjC41PwGH51dM-biXGul(Cm{8Dxaz z*}Q;nfi6r@yPE)|TOWLsub}fqr9|b{z5Mc~HRa*+XO0!Rd&FO3m?MVO-wa?pY&&d3 zrCWY$Snn}Z|Alvm$uH-ui81KD090#mCnac9&&RSn{{gdWbo{{)h4jO=eN=uVzhhc< zhR5fQN4Dr}*13nkFtltR3S*EDi-?m&)hjHQTjq~&hpB={B>#xpARC2+efK1a>*#@r z(-Xl3ZQ~=6oNoW41Zs5lxvg?6(RVjSGDdqMwPzxTHsyCSf8AqK*RNcKw;MV^+Cqoq zznd)x70CcrqgC-CJw8+c0Ou>{EN;Vt9}t;Lj<|aHSkSj%+IGl%TAqVK9(<;>Yv}y) zrf6UR`V;RnjvHTfx5lUP>|)~dRKrUf9l}mjvmUS}7UK9YsJCojiVf#FLR0ka93xp{ zu__vu{a{=-ZufZQL8)87o%AP;d8cI;K(I})tc8b?IPITO=Vt*2oY3V(Z(+mr!)}RW za3{$*PVHGYt$LI*+HzKkjOzCKTq40CZN2Q zlc@#rN9gt;H~anE!#zap!%r}~L6@O0k{6<-|~YQCU+ok;Z}ijziFCZ5Q$tpV$4IFd2dZvFyxD+D3Xe{HLLHsl8k=mARI! ziK*Pm)6tQ^Cnl=5c7?5XE-3Ze<;H=Lr@P@8p)aoM^>t`2n}iB_uWG`wJy>?_eLHQf zc5mdPvnxek`{f`t)OjxKEv9zKCJXO3JvCr2Ie*3s16f`dq`dLVbK?ajw?#Xi9=L@8|K=z!jU=#F13Y|<9(<> zpy~W@aEO1HZ$Ro!xXW=mOZje{QVZs8rY#`LVy zD?fB~^A*JHy2 zcr4Z5DQj?B6(_!*!|G8B^Y8e+ul?TzG2~&RR;i-0YGF-179$voYI+fZSXXRsjFR>z zu21%_S+M@`M?0dT7ygcy=n;%9J||ukT69hXRt%=BxSr=DW8XqyR`qU6TKV<0``j+&JwMt1)6)SlQuGQb)8)^A88M%RkvEagu z95f>{`7KGG7VVG(JL^!4G2e2xC0>+GOF`6AL~pS3`4714ky_peowC zg(@NsU5e!D`T`3br~$pzp$HJZC$RldB?yWKDpwT{t55|OAA+d%*2K9id(v3_kI=j& zz#Y}seBchZxqUl>Vx>F*1m+y(4WjGpr1uJni&0LU_29NZJ}bcu{$v;u)mX*Iunm>w zn!~`nVO+xGf{ONSUL|aIWnHMwN!&5uTkb@f@P_ zpO33!c@1~!4xS%k!n*CcRn)Wb53fCr>JFrBvFdC|y*uNCzyVGAd=2^|p{F&@Q3Yj> zO(_BkRXtbG4^USj^=Y3`#ZRbybn(I03dc}?&y6#NaJMht=+SZ>k8eS7KiNm@t_=^n6(;ugO2?1ZGzt7zVBz|zgXxT-& zz*M2|MsyiS6tK6Uy-mE(`>Xa@BfVtwry4x<*d@f3_>lHRj&xb-K*&6jx2I#x=wD#4Y~&Cx>Z-P$kSIUQv!s7p!SOW1HMlBV zYdIc|34;x)4LweJ_rAevM%?-toM3rvWe5!?8_Q6T+S9H|7$To%-n4r@3Rkbtk}dIf zfso!kv079drZOo%B>PRx7$~R2YUveLYu~vNoeQi`ya*1BB$8%Fl=Z| zz!IcihC;|vHt(pPyry={RB!!zrv}e6HlmY{9%IsIjOfNm*~J0mcjEQBl<~UXzh_FH zv<~gG+T5rjl>R7(H>3`YhtZzLWj|h~=B4=NuIK0uWYBwCBX2;k$Ydy}AQths^3sFA zk1BBn0xC+RGEaZ7?+M_Nv&2c#QNF|rLcD$wcrTjKqO`u#44I<~M( zdgvaT$}S^LUl07o9;UOjJwEA~BKySQ3=Gy#A$lURX9adl3q1z&X?ODy_l#+Ymop|cU(5PF0JlWhc}?p_h7k`r?hIx`jul2vLKSLoi@}Q zqlTz_YXl(207_IODTDch`k`P95(aGRO8K;}>{t=X+t!tY@}LljmBh*F6!Y*~-_inhSr=S5=%=OI!Y7Dkxyv@GqJxg} zJWg04e{)f5m#tg*XX>HzgK-Gb*}#79Y!A9zrgE5}uwJdoVg(j)$om=5X1R6GptL@YVbuS)5w-s0mdkd{8UN% zPY-ngR(lf4RtDqgkB8)!pCKGbRO;_D1w~%@YYibYc^*Wq>a7fNohLtEQvZ^^VzR3y z95#`M{>DmI>d*#=M~Nbhw@(@djV$h}WlAY8aGJJhdm~vJcnvqU8ghJdI8KI(Q7MJ5 z_~h3&JI}46Bw_jo+RH6*6~y3^$_}e`L=qU32F{;~@X_aG)>ibM@8Qn3$e7)x?KTbA zn;e1Daw>&iS4RXNHH62JD+@l_pmEk!7na>laB1=6I6PB3is|KJo*%4;@utQPue}Ro zd7_Q#YU)#vfer~@fzA9_7PB*8**arryqQJ8OakmdMai{NgU2}GTTmqOTWov zL6@|@#OV81pCJ(6p}F(?+N-K4J=81HjsGAuq<(w20yAk}ge&CCL^5W2x>cfzU*(XHb&2NMhMQJsSZI zbti*xyVj1{;ACG{bEI{_vAnn^z74l$|9kSNc3*o=CfW_VmRx%Fi(t|v>c%@-^+HCB z1Po9(TR&Vnn10}>HP&B);iPl=X!n@A?v!SO;hNcVC0r6v&+qL|q`1YbpA(R2j_nAO zKXKa9N+Qs7gnO0QwYuXkxO`(n;d?MyJJnHq`x<8FhNM5IO&;rh@Y(1=E1JTXUG>YG z=xuFc5(`K&zcor@gl)oqpsl0vIfn#<5l3VT(B~fJWu}K{jh@s^Ic@%emM9uo;H+lf zersgwG&myol9#u}&fIT#?JFQQfJJt9p7DCLYg+J{z~TbN)_j(&Y=`GAA0UXq+RPIB zc0GRcF(l*fW70v{Q%7rc?aBuH=_#M!4*qUewGoTgCk!Ou69S^8pWPCfBwts%6xe9* za<#^~7m>nXis@Z6I1Y56XrNSXu!w>2Dz3za2PyCp<_Y9c?e* zz!c+J{@ZqooVDkHN&@Ti7`PcZ<=6T;ERm6#irL_yta6GGAc5o=hfm$Ixj_=P|I0BT zS_L#fho3(+R45J)?se-}tb1@6EZhj3`55(A+>y0;y)nYivDIU?Ov^kw;)&=>YGjK5QLM06hpK|T0NbcWGb(fQjl`6XKb zF>cEr&G-&D3%!;_h1P2&Lwv+(N4;xdE}EgYL(wB{@Zpt0x+LQDzAIhx*^GC? zMJ9{RvD1^C)rfDwysmkL;oR#y>(K!LbA(Nm<~Vn(3l<0C)yxAR(2|jeAgjTOKp)Kb z3FHdQn?#;9fmjiKe%>`VN`fwCyPQT?G$uoWB8vBo%KFAZbSPdK8XcnG^=kTTj8p8> zuog?lnM{)U{&Y>i30Z{`^`-yXft5W=R6_mLvytsUDy7A)>WI#ob>2NTuRM8PIki7F zH|n>j2Z{P!Z7BM8*|F-5#3X*yC+fsarT*xqKO^`UT6lr85Qe^nMHS1l_bjq07W_*0 z+!E!Fe>j??jQJgJk(9M$Gqp&g7p;k+Mt3f`yZU#E7nqP3f&u(~p~LHtk)a@U>zgB9 zbo{g|Ej!^`YNKBt56)wdF}EQPu}s!cDmZ`2pM&a9Bbd;At+75vCzD!+aq>x!2*{?l zmsw*yCy=VHJ9_Kk4G!Upn_+5U;!Bw(I0cP2bcHgh$RLxlJKm^l8yxsgCH==jktm~C zx5)^*UhncoQxn_ban(C;DsQ({rQsnZE?AAjmnDD@vvh>*W0HbkJa&+8RVG8xNx0f` zn5&BA;(GnI5+t0)!=VS%#Dw(ekr_YgyNBhIeP#WzVVY$-DKGSOS2>vyM21aM4`F{J z8sB>3#$351rKynbT_AYKugCL|A~$@=NeGnAkR#9oUK(0;BL z3+CEpp11atPJ9o!;OzVOKJhv>^-DIQo};jY$#r*EUmPs+MOoHn(Qn)XF^x&vh|3y` zO-`RFb0d{+d2Vc=^WS^DomB*J5xGasXOZngBDv|N4c8TjbOKy99wP;Ik+XW)n4v#* zK!P3@@nWlboMCZQ%8?HUQu_LU1TXdmBuqSP!sPcc8!pF0x5V8+rQ6wJC<^ekXm|dK=N!UBYMZf zqL%rTs9e5DpCLO*=0m1O^Hf49;v3u-X4%Q-tFEqNd+FI;JZDW7MdhjEG!RE|q)I&f zsG4yq-WkZp3`hc*2yB`v**$QqfaZNsJA9d1-WIa*v_AS_nr!80Eo{ zH`WS{cUS8zFbo#q-$b)6C9(%G6$4=%T6sjQT!|ND%qj_|tgd+@;oLD*rrV-`BP3lc zO|o2}x95>wb&NnKHeNQrBi*dfcrQP^l_n`y*1w>2?i}LM#0%KlaoEB&qT3`963o9k zWa|@u&X8U%e9UVCw;l zt#D)CSyW-)3Ok&BwTkxt>{p8C8Oz~WM_f+t($TEWjVboLIkp#;C_gxQY}vuwygy|g zydenfJDqkSP%)3HNED6Yshl!8H6Y!XiSbx4h!})NCe;@OqnH|IZ?ZnA4Y)i%l&7xb z#)=qEe@mRh%yuDtIHjKVlC##+zpy8Rv!IYShQjotOuj7XgUj`;82jwyD4|N@s^^=T zf;X0b(n`M(dW~Hb1rx{FadU{zf-47qG<2`O4NJgiKJ^m5%)332tV}kyV!?{PbU=^h z#)LNgFsNW$@YAM!2l(sRoT4?sXoLq>y1XHclF1Z)@J76-btpuNt51C^{b6@C>-?rT z9j{626#(3^-r2q6EU1TN9Mf;8E3TJN-hsFdPcQCg`{G%^NY@!Tv9@m@PSl{Rep; z3|PjG8^0iU$SvP#hUMisfdsj3b`&DZB0u)d22{XNMP{6*XLf`fzGwjgU;EPu*G!&J`OPjx?Q?vN%sy1J^#5Obx@9`OJbx)z-22vpp@u8#)Hpdh~NPvCbk zdoz|R^QD)@GFszFTnjNO{i+N)XC|_}XDwn8{suO`9r-6-v+2gSp==R;6jQYU4?E6WwUEL1!vet!vnyiSbfkp-s7ss9q&ua{#`^kWg-l`bDa-X1haG==m&Xbi z78?+yw`T{3gYm8-Odih0nO^zxduHL^^wbWV4AtCdlM)%jJ)$*s7%<%2x3`KOYu>YznZz;K*w?WRdE zC1CB@AxpvU0f)tE3$i2u5ztMdS;p{mpr+g~INEMy$z2POS+1jDAQa~N2)WebD&A^gPhE0>QtBk7r7wT#r@;zZKQMlM7AD3Ze|26{k~c8(C^BJfaP zzp(c7Fr5ln1|L2eo^-oySbuh)>9g@K@!5kVJ2yN3#{OBf)gYYOxi_6VybgIVb~J?n zkcVx~=&tS&Ax@X$5>eZ^gg*+EE;;4WWMp5rr;>u1*66ExCt%okq(r*YGyNY2WASC& z+4NbV7EOvp{7K#Cd?fmXJj3U|z8;KEv&q}Tj^U<6-Jm=ekG;$hP*6?rlh*F4I}Bn5 z$X-tCRq>GO)X|Y(36s_C+>zxMnx4~+;n&jq2a3jNbe~CnMLSzZyXui~9J$AF=(b2h5YGV1WS!a1fB2K0w+fD!YdtrQ8~cR*uH>4n}!L6W7-wyVw}_tA7Ob zgB0RO`kY`#;S^J@+GRvVKEX>m1L9 z?Mswj*prnV{iv|>16PV#UB7Q0`36FBT6MfGgEN;xBw`d1zMUgUwqH@kmS`QinR=Hi zA3X24)Djm?aR9TqX3y(n!;iQ>1t`ST5@pdNb}`d3y>7TQ+Mdefd@TK~&ZWmG63-TM zsObSDj3tL!qDCcOFq~!Xo7}>4%+96WmfP-I-$quzCbg3Vs;IFsBxRlQU6-pi1<8+@ zY?J(&h+GeNe_2ax*kbM1B4DZtT^_tJiHDyB&6|H?axS+wP6>+Yuj|&~WN1T0cXw5u zgiuE-Y2$jLB483tprnF_*h0Ha?nliMlE9JH=wncLvv)tGyA+uC_apWqU)=vk*t>wW zS>Nyfw&hH1)p@D~+s$fc53uQ`6KdJC2M%p%fek=ZTWKtj_Bzbn|VFen#D-diUWM2nG5=bWGAjxz1-48mq-}m>u{(rCO<*rLBg*>0< z{kh-weZL%AFwIIL?4|C|F|N}5z~bnhbc~J}3l&t3v+}EY-*){q7+^xCjmXo0Eg+db zZ4si_v)6edpAfO=Dt2=0s+jHrSmN0Y4RK67MUe}Nz(Vt{u3vG5EtbiUjL>OdXJ2am zY{sfGl~|k#PrU{Z5jN$_c>8yMRde!mG%|6*?Mz}UnID@$UHz@`bCp*<&<%uAK`b>wCH@gf<=95u|?yZNr9Z zlv8tV12b{?9m)E(XlMH#k{!McI){)+EzZZMJ5XdJX_$^pMxvU) zTHeS^BIc^!rP<Cuh42quT^XzG!3H#mAkn% z2M%1c=ETO0e8GT}VX!wc*%ce)V&|=pG;w`va-_Xy%*{8O<83A1uEd2HpVI|l^j2RwBo=qCX`3thE8Aobt1d~%%S*xs!LCb$4?EeSVH2*xOQ5&QB)Y{O=)xcqgf2?rrkJ8gFy(;feEnd3u2H115FD z<=az3vZ}NGSjx4U#d7(@mp*DS-D+Zm53l})8yRRdj=R)mvT%4pf~x00^ zIoromO;BPwqg9yn}V^j{nMLBifJXA$L@^8)3M{q;8DwDRay@MhVJI> z_lFb#UOs`4UK%%G6sSeuOA4;$Eya3KQ^M-4t;F+83M+E#%*3FVI)^Z@1$~o%!>R&Y zOeP_E{jtHXG~388C~0eaoWK>(;0iXPT}l!mH`P|Al}%1uOWziN8nGF|!NaDV;q8p` z42eI*i7~c>(tL(jo(Mo*?gr%`0t?Y-w1=(S@4zQj=pUTwU97rf6;4i^g^?4^-c(>M ztdI>V{OtK`F5`-7+3`3O}guZ63vA?sVjh&P36_~HC6UE^N$YIM9dn!tK zICEO&XpS4BfI4ot`aCR+B}tOHvSP_DIHnariDK@0oIgN>^fCz!Z+FE2WJ8<`hrcOi zW?Uh>G1P0q#+2-&5~Rk->&++4BO`qPPcPuynP{Rm5anXx2a~76X@k1EMuP98*q%13H;@Ti!5FlMpr({ zlgL+1vfMbYoJ^HQ4cAI2BvVLQQKAm2`W2sxO&eaf76 zDw~JLN_tM36v2nxwxG+}n({KP=c1o&@^E|c_4}a)(upnp`P%YH1N(}osU-O##RD<< zU4;dM+$B@hkL{GTxb7O!W_*k}xNd9xg-ervyrMp6A`};SOR+>#t_Sw+z2y;J!ui(N zGJC>H@$f~%z`HohG-#WH@<+j*yICH|_ZB&hw6P6$sa~&%$ux>}?xwjv#Nx|9p;<05yKIbNnixlsD# zUB`g+Tq0g)t9p$km;n*!cj%|y7>Bp)|?|uI?m=)kMH3yYi(id)iif$6iyyT#~qx?y-z|m`U#2w!h)wPC* zZ3Fs5@NI~Y-3O-Nh>!W7YWGE;$650DWhQ8I0;ctV*sZ~vQlN+gB+CLC9js;Q{{tYf ziKJssBb+YS*NFiw`fEXih!CXzR+k>ow`pC&sbuAbhD|?@1ul_wrH9To)r~av;#9WB z9d$t${N3uohcwBmKpy|(9erD9V`N)s*AHX7%^`vWCNrY(Ue#wN?Kw9h72%F)-+iXc z)9&b%RI@6G5jCTBWWp4Tkm$kd>qqXG{|i1%f9x2rP_azv^(SVUXPT&nDl~^IAIYj! zzBb61bMG#WJu__z_B(mZLC5r8+CGFK9V?qO>+!*cJF38RUVel$Ng3c~(>W-vMrAmG z<{M?z`{0waxv-!XLg71j?3$@+^saTOM$+aSLT8@q$d_FbQi!wHfyGTr^*M&B*j37F z9t_d-D^`(!;Hd%@a+-s-VL!;Z!0;dM`MK7BF8yxBcN{w};Iw{HcEI}xMKyR=)2)T( z2M~sa7O6r_{c8jF{+R4ECrsNw+FWuzH_#lqXfa&njH^P@$1=;GTxuWs#7%iTp*qbm z;(fHWV_^TOrZhk^z$D`uF(BC;%{n}T9FXpkry9SjIz{f`Nhi+LJF#eyqR|0@W)Nis zZBI79wzj$O%DT!VKGwK1KUWfI&~HRXIIFs%O=s%QxX`}KUQjR;Bov^KoYV^h zymWV%dK~LbNrcL%w^q7vaSmLv9c8acy9Ew%>OPOm9isB1K7%EFfCgjnrc2i2N|ww) z;dRPn`=Ka6UW9D&>Ckv(y-hoD7QRd-NAC&>KhfuR?;NC>+14pnfhNTQ+TjBSwsuzmqDDAe}6UiCFZ6_OlbVw6ke4T0q>pS>~YR zbzjjbvj%0*VGCns;Qb2gbr{9%YuinFLjjbh0nKVHsnNTQXTY1%RIEpTzSi4hO z!Pas=Lg+5@HPobVZ`_#qg&^2UeDNaVD8YzdI6f4%Q0++3fuhs?srSk^G4X( z<@K*M3Cm7f(gTF)^13h1CiqW^aegyKhDFnS3sir5!u3CRjI`r3_ z*{V>trg^n-D_0R9a6gAFeR+c_p|s&I_!OkvR7Ve^VZo?=zIl}xNQ3|%H(YN>dXpy%=e9D~4MBB>>zJtOM^LnbgkzVOj1e+9 zv$~?scGnZ`;^9*1$CWYl?MH5f&oo?{W^nLJBBfB&a>`ithr{?hlXYkCzw8BD2SdE5 zxSQ`7Ng%DoLm_Q6xT|FxIi0TA<~kum(Q+TI26(DT=UXl+2c36n48G}bK<-Z>_nK3Q!F0M0P4-Oit!vRkH1MgX`O(JutjS7<4r5II5Ydc9(-Bhmlb4=`ESz0Go%1fsi= zr`F;8y^9Ov#n%^!y%(=9wc^}YSWA5Kh8x2Izr1ccShMcw!C(X9L%n@J_v=cd7@>LW zk9I_F0@yBDNd%XlW35D2GbZSI96tG?i93G#aPz}%Im4Zq*S}v#PyH6HMdxmNSvN~_ zh>3EN`~2bpWw2LRKxaNnQ3(b0H#7unrk@jH`8IkVUs_!uaQOPk%moqoJ=;J<2vc?k zIt2Ao#$WxuT7=8DDnw?>*)_yP0s1^`H&AIjBfYOJ@ots5)_ml3Cb{xSm8h?(g2HJI z))P8VQi}-@RNhx-CeGk9;t3|eK#5wcsT8dD{hX~)El~w8?>wS8UJQtdRtd~|$b!(R zTyuFO?bTL%{c>>}#YTMYHMS0Wfq#ifVF_dWCK8_Qz*G(Zf@K-&IyTAX6j@39Nu_5- za}o@9{U7Yx^aAUhslWP>-VG92`R1xsF(sFaCqcF%;?UCHH&<X5W13I0(l@?ioV7 zeUWB6NVVW~q+TS12G*StEi9XseAV4{$`t|;J$2Ezdx*GhWm@{GnUv*|7vLF2Fg_jS zT%7qQTK4qUTkI6nl)0xkj=9E1Jl0F@fIboilEzQ@t(}8k6)Y<-ea0eyN> zaTa(6llz!7m<`%&$B8nGB!KBvmhi>Xgow~KW@Y7}($bE*C_U!soV=4t8A1JG0eE~K zZd1;lo{9;oi9U3j+=DU{1NOwJ1I{W*s}B9T0}x&V5_qv0zzFT(*=ZHrv>vVsoS7X0jw0%DdDf)B0Y24bW2{AfT%OHivj zkZsme#svKr71WrD$u}iL79i*QzLu)ZYvSA&pb}hYXBJd}{gr!#1}1x;QhAZgidj^w;Z2_} z{@Z4f%0(rr+RAW#88Lg#sNd+GC=u!uD|AW#4&@^wuU@LEW=vgY7m(7#$@U!1Ssay| zW8P5g1osb2Uw0GUFcl+0QAMz}?mM@oRZP9}m@C}MX;22}V!mWDAMY9O$llr4qwlwH zOe`)o2@nC){kX!-Wt@8r&BxkbWt|vOAMR%hBE3+ZpWjspFU7%<5|xTQYNd>$f!WL6 zTdaI8F|t>yvC;>*P2^st#-#}EHYtOPc-@`*(g-%v}C;H&Rpzx?MOd*h_^@U9d0FTFtIr}2YSl}Lm28fOPZRqzV(%U_l!xB z5obkXurB`&Iv$>EiAIg{@{Z5086ZSSImTV~dFg&Lm2Np_#1whDfKFJ_%~h2z0w0K^ z2EC0=&#h`Qm=r$~v&GQ90?7ZxbH7&E7bedpdnrGL#`&HXumHx2fGA3Z@-MadJEyjr zoTlb^d#5S*r1&_KjE&&fEV(B(c4UT;RZGOWDJH!#0>>%frpx&5HXob`$wjrleR_;Z zpo-uiit>}Gg_mm1>#WwZSqznq-<*9MyQ^Y-k?~5$o^fF=@h+rObIFajbSUYJF^p??wKK%R`;1;Tl$7VH?4DPOr~>c-F+JhfMN%~7 z7wZ&fy~du7Jtiw_v1P~C$_Sy4-6Ha>)7H1-?M=cQr+!y=tX;h)4I=PCt=_qakDH(q@zPAs?AR-1#Ln~GZ>pkrj_9_jn{J_>PVZ;8#)B_OV>QOj zX~HHttAAv;;$(mrh1ulIG)mSAxhw6 zlwLA^#;n^+NXmtnNEly9ER&{{DBLKlNZ^kOA@UHkeO_Ld)H-=y6lXohu$*pCE5&!n zlu7okWAUqMA_>$<&ol_U>YaH^Dij;KgGq8x=I+XQzjI!5E}32NHVFDG95`{jjThsD zbk*pRv;9^`KM%mPKw~Sm^-V&ay`$+(QyG};Ta#$eAOQ?(^Ld#=Z%Ch(wN4UciJtaK zr>T99W`z65x9dIvQ!X2ykNd`-!4A4V{oe-NjTcv~33_nVPwIjq1NZ-X(0v(oc|rJh ze)gY(?%0E8|5t|w$&R{;RVxG5JrcYHlP>rI@d$BY()B3=8v`!ezfH|s5uo1@xd@-# zli%+O2w9!xIQ;OhVXj-l)GTdVgSu(zvB47yFQI~l-jleCS}e8x~KsDI{D>O zFFkSWvwTi^P+8JzyA^y{+6p@MsJHnccWEf!wtc0p5E>~|4f}1?iqVt(f0x*VHaThh z4uV=-d}GwM|^s97@fb2Tl4vk}}1rC5@Fy{(Z!`_cJB2 zMXEr2tP<-sTyES3QFOPMC91_XKzv>qzAtgh!%db7gm;2T@8`IqOByWB62d~FV~@pwi@@><5W84wu`7)Apa-S!q=Z;@ z?D{POgkfg9hd;1n3WLKnrSeIcMn5QC5ca?U4zWDI1s30tFO9nsolJtxTE1u8T1=GI zZNS@6C5l78p|w~M)Y2!;r&A4j!E|iCBA9)%a@8-RzaBp!Lf^hITV??|fB;lr$(0|I z#3Y~_E?C_o|KN3&JtD?A{xy4Kk~y@P-dJtKO{J7)1pP+;t{J~ZpRL-L+#JNE3;f>D z(a{{|*cPS&l*}wF8tpp=$W;G-d4Dl=!DXG3WnUTW6!`E;tnvc$%PsL zs=oWKG`*P*l_5yt`_nedWfLD_b7nKe#GzMf}Q*0l{lp-#Zpq z7wo=M^|G#Su5t7LMa`1AN4e_7QGe}krjHXM0oQ;1)n0nCQ93Z*_?5^%7(1~v`Ha%$ zCBzqM?{ZF(Y1T_s62{c1IL!cA@vuOxE+yW(V?K)!Hhl}!<%0u;vQzxrYa6V0C%O~M zisw}tqwg3hOB1O*t#T&K>4j7m@D3D->ACut? zv5+;rke{d255n+m9MC-Cv{=oO?%4rzMZVBbu}GQfb}@y#$*am1zllysM+dFVdqZip zvU`Q5oSh8Bq1Mx~8ngZxnIo!g@E-0-U7NH{-!yY@;>ZmwSMN+dGt;`rq$;smZEL7M z>E`8V9+{8*2g8}!JJwntCPX=G!)8OBl_$s?^m$~zjAD7e`kB2sJiLBN_}2mMC1;md zQzSVG{YrVvbyTL#_4fJ^qkvJZkld43H7a9Fk}eyCMd>DkQG>l2J+oJ-^Wf5gmhRT^ zPJOIM?`-W<1dTJ-{v~@@Ft^9yZScx(Ry+>WV$jMJU#}NmfzZLMx^Kfrpnk1*pe_i_ zDc}1xmh%6K(hyvJjX$yf|0Djg5>~zci*n0iXSRm3i4+yQBx9RM{>vUvzS38=+TLAW zTAm4tU!VPS#dlQA0h+9J4d44q!xKV1CGS8*4uh>?=wWOFIhRzcj9Y%l?2jDXk~@eV z6t!CwxNj^`m;%UcTi?VTll6j&y2mz3DFQ4!;CY^k_;2GC5}I}gP%a!;Oxg{%i`yY< z3@L*~#~!`BQmB1Tj3p#PXQNPB6{s^Y`<2xdv=D|o?-iiT4U&4T^DbM`=|}Jc5s%+L z-gEbORmZ&Af?X6=QHzp@;aQ9@CRgZK8OPHwXKLTIz&cx7oI4n9LDvgTU6CsUgZQE1 zEG8cD`q17!QFNy{XXw-djCq375g!e?L8^gIf8@!evO8VnMiJ~DqRI&NKI$H?eVd)a z7z!Vy1y9HVte&OlxZ()=x_-mmW&CDy2Ix37y%021J;G=F?bAz6y(!4e%VJU?&B!Xh z;@Za2R#;*ApdX$*d)N7E%3Ss1zBbP?ieLe|c$xHR1}R`BRWorOgL-Hd9*CUw#w}yk zdtEgIEBi4NRz+CnGe3n5I4F^einC|)n}e+czQ)!V;_}YfGzG@bBmow82TNgxk<07j zm_{I~A5s}fm}}WHRq5C^w2Qro*mMg-={x$})28cMh_Hsgb`MaTeq>4HI`&ao7(EW3 z#lYAfw^#}+=cfJm9Gl0;rT+H4NGVj6qw*iC!W=*kIUVHH!NT;Z-!7Rt_QX+`4{uaH zY2tb;=hRLuxNVeR+1as&nUv-Bwc;kizBU~l?kY~)=aV=^^11};uPn}<7Y+VS zI&Ij`y8Gw!Z+gJiMPY>H?6B2a!EkVBi&MzYId=ojbnJ-%9x%0gp!N)>?Cy>@rKq1N z8VqSMM)wVNkU1LklDb32%esm8hGcb`E_E_Pm-SR|kVPGE&c*a18lxYHALGkmM~=(K z`(yb4Gw#~S^msyTCd5OUnRx%p*qyOyka;`ESyOa^V*r*l9#|Hvt3=>uQd+WWCG4xJ zul003l`0*Knm3WNaqK(N;=?iy5OQ=?qY_LwE_IocbpAAqMV zqmxX{;Vh%xy^6raF?rk@Qy{Lt#6%k7bO3Off{^?lQ4_ zcTolj-B-Xui>n7{6{NTG&qw97@6kEIobTsqtw*dZj>5Rvi?Si&UD>BppVxX;%#m3I z^{~!b`DG9}=d{RV72vMrRQzP(4$4@epnF48#NQsPY{%6i4#HPgtY@|3umATi^7yWQ zP3vDQBVjgy1@qMQ;P6#@%LAYKmy!ArR9r0s8h8KanC@NqtN(XQ|LJ|pk(gECo7S&* zB>3?oD>no@`|FiI@Ual`O+hOI5?&7Y0X-VPQBc8etD4t?zy!V$&GhAhFc8fUiv;J0xG5K)jq4v)PHpk$p^=RJB6gpnFjN zUB`%=EZfW+JRHd!U$C&F_)$;u3V|X3j;i!XWnb0(2fU#aWkcUxzbUs-|Hq}+Ma3#U zcmcnN_!Biju4z@R(BUPv;c^Q3Y96_pHo33_dmCqO?g!t$BH2TY+S5Xq1FA5Nwzc4z zrb-HIE-{E4%T0zq_kO0fqIKs>2*Do|Wbd)5g4n0=q+n@AF0x{bWo>PbO+CBSJMxOV z7o#|IOS2?0=G%i9MSb_zoiY&r0QjIJNflsq!aP>ShKh1kA6J- zqbU|{%BZn^@|;k5^+jgEoyIr%M7S_lMm{ap3n1+TwJ<{;F|TQMBVO1XaC;2b|AomU zuXEx>XKaD~X_zt&MsEch!20E)B5*i!;@oVT7}rNKP4zqpZQ70KDu&GV$34%_A#A1Y zfZ}RB?hW@5sI7NHOyG2Sk1Lryz7ET|5nej+ZYPsIC6Ts7FYmsp%gbmV6yv*Yd_VHx zk)`*k^`p3acl#aKbHVmCZ6bt6ElydkyboGCvI;iXBo?j9D!&Pm4RnVxk>V;8H)yPR+-(Ucgi-0jN zGKNE*{IL@2&y{|QI|M_y=+nxGg?d*w2xQ1g2)qUOcFvUua_EHC62D^AF|7YE;B&|% zAgcyHiDHCm{LK9%m6GkD5_%mSx`I3U{f>4rp{RgK1;6ny&W`J|PWHgzxglbB8>`2K zJ<32VXbFx@@ zJ(t<5|AWC)b7qu+C9=<{ckM13YZ?m08gMY!#q~qmZ`ybiyS%VgtWUhi?HNn*73-O% zT8VAI{hfgs)#n@?Z0A<1A@M7ger3&RzemTGz!JeN!u3YKD~Utb{HR5T+i3ZEt;5j1 zXWW9cO0i+FeR@%7hRqdKo~hHu(0VbqImC;fTlPeiP^$lHHxqkG5yZnbB9TrVB1XQb zXRlZN0(`2(l1OVY!rIHyK2&OBJ&9Jd4*uhKu?RzjCW3%E=1CyNWY0T7I@r1@&*=%;Cg* z9L3}NHD`yAM97<4$MQOYS!c>ENyH>Fqrfk*mU!;B^cX!(>fJc&_iS9T9w&Xk|DcsG zmk<6wwDPZl_iYNgysFI|@X2m6@ROE+#(z_~z>#_QvjY)NqX?%|v<1t=?r@nA)TcJ$2izIJK_qT)mcp| zSmDGE(@c|OuYY{9Tvk<~p<&liQ+pWqwiVW1IOCidEFu?RF5a{tgIc!Ya?0JwLPz9TK*k*4( zMIPXN?7H76WHRqmRpKhA2sTl?^-M;xs~~SC1+fTd#Byf;hmVnI&yl+U>ia9;eM5tx zkhGP-HujECTz+tVvSQB`0RGYkBvo5Evk^wP7eiskMUw|Cg9j{bmiZ=q=l~_MoU?6Z z9lGh=IUBCJONi?}Q7rawu#X)|m=N)REUj<5!lX_$2Rk*|_6=Sdo)yQAp;s^jkF{dF zO1<#}5nk)fpVszNWS6}_l;Ht$=QegbQM9~VeTxnEh*)eIeJFddPTwC73i1N`{ z$h`?VW7>)32sA<>Jmgzo&mH2=Gz}0}nuF3g6y%}yT62Y=lU8^5WS`H)fdEr~ZJbRb4>W$!$CiLB0jBp-Ik%&KxkMe*#8Fi@DUH3^-tvHdC**j@_8u8`Bw;A$znJhgM7;qykA zoz-Caq+YDaae`VD2gQNI^5;1-@}t`Rs`muKI}>N;H8z9%Vg{Y+v|<_+Q0!*rNt1Ar zPPF+Ama{3=GC;Q$41X)|ntLic`T#u8S1ifJ>@mdN5qou8fNJp=cJ*pa!O7O+OzOPH zHGMKqDjOfE z{3!Sz0qPSVpcQw07}KZTW3eK1&`&0%k4`ItrZvVnLi7R4gw9eRyTx$WtzcC-7q)7< z0`ax!p;M7bu6$&3o&GEGx{t^-f#XZ`=oX zV$gH=#}oczTz=@$#Si}%`^Nu|%?^dIf`Em#wQ(X~6XPBYQ#~Ag;IUG7D$ZeWV8mtM zz&tD_hQUwV3%i|W#~Ei5N?j?oaziJ1C7)L%{#xk%-G4_k|0P|uf`cgHvwu0gK`yxZ zI!07~3sT~o=G8*O<+p#RN<~qLz3u5cJ^u9PBF7Cw!MJUvPDM0Mm}B4`f+ITA3G5=* z`Ze$+yf2Zvl56!fGQ>kjY-GW#w;74K^^NKQwEzO@%?9<-s6**EJq)Lkc8 zM3tZR67Ri4?k{P-2k%12QXiJ(lB!R&l|TY1gF0-e?8tQe(w@ymLq_7KHLIEA$4gA_ zm6+q(?2Chec-!J8Nk`<+Kh*mW(TQFqdudg7gKhqhw3Xbkl!gz*n9kN%&=E0W{+|3ZwpLKE2{ zm4S(0^dmz5<9R>({i)6~;KrLsVLamcUe6|*Y!%E)#0s;Urr-l#^n!TJpT0!Mn-tdsNtg<_n zbIw+j(0%@!N1SytS0uXyI^U2fH4n9Bu4x(ld(e??VU7kR{1|*_>G^AwOF;4eJ&~;K-j*0bdIRX)?u78 zykm0bq2^PvkkxSGj8*@RQ6R)Zq1Qi7A5QI|581Rg9v55L8nn3PP^Mnp%)~MichlSp z)WPbAV)Y+nSF{(hEo|Xu`%lfq6?;?;tv5VbNWtJgp!lK86e5P-pULzX7wHbLM$mnd zso^mD2u`r^1ls#&sbq27TqK0=z4eHbxx*K%1*|i0@2NafCRe>B6Ej&SAr>fCb28cL zE2u3w=C|jKXi4?~*IRePQAnW*(Ahy|6i!Y&I72MfRBT1KFl+Mq@f0e3BBld%An8(~ zL@8p*_mX31g}o{s%ba+Pa6~yr5-B|TL2BJJlWy7182cxx4GMi(MzB z4mLifRS&2_bcHfH=jK>a^r*D*X^V)MIz4Qe9$dYIj8!J`?x9PLQYP~feZc0OJC^7+ zkeSjLqHcOYRuRu@Ex>Oyvpjyr(OIuGEb#md@L=>C7DS zye~R>a5YnGIP;Ik#`ei=0}HRbqY7>-NRV z_FR5UtmAXTypOjQbD1ogSHuFf3Xwc=n74BW>U z{ZFGw9Ei&CKXL=yPotqYLQWG0d6G%76j*;vqYh9>XNbM7had@t;S9g{%7^Mns#CdwtlCxA5ZkeXDZ?m!eO^h?UIhg6Bvag;g zY^J%s(X>F`{M8+q=_>^Yq|Nqa!4&8z#39FxbE61+e4^HY1b6l<8WH;qlD&`Zem-+f z>RT|a!Q9RqHXr)|%GG`Tmz5~_^3;7X$s8yos?W;cd(N1;7vkqe1qhtX;hW82Rz{QE z=dC)I!eyKKdd@8tpatKyoyyLm542kA`p4Pdoy>2nVzOL?%2P~=`{Szf1ls1-K5CUD zWVPGKX&&LraK8obENP zaZ-ja+1O3@RGp`UJ&Lf_aifG!H?5<$d)8VFRK~6LpB>1thKph=zbGSIYY~2K4p|%> zr@!CUsXbo^_YZUU1LjbNDD~F;eAe;(UASpbX(yW=hc9fc z-HDF%2Iny|H;J)U1qGR{P=|5o@?G=6oqZ;?5E(P*x_sf)5VeK7=^6ZqvAy;e!&K2d zlNz>(Rt${6pU!`So>@v!l)7W}+>6ek2mlu(;w(%2l$ z-~f4ul!5fq7B|zs*`s#S(kI?Dg*%Z=+^^jEkUa_{I8W8rM}~u#4-Y9*XkbT z@bI{{T36C$e1DoeIM0|SYht+WJH-2Q69qHg>8JhoOcWO{9Ttg$uit*xyOv7?%!-m+ zYk42j8T!%r5r%C+F3}jyd?tN)`@mcT$TqEb^@Et=W)J;=_DjN|`kPBSpvQ7=99@dG zYcGtB<=`^}JPRt#GBs2W+M5|UWIN22LXk4Gw+%P&mfC8+!8~t~!e(B+VUodTnb?Bn zSNVc?L6c~;fQ<8!*!&BImJ}ElYtLGF!iL7{^Xii+AXn_4uKBo1%I{+f6yeLwF8{8H zp-1-9x*ZgEW^7*L)VkMj*oNX$+6x@{=y*Mze0=ycsV&)K@!pT&-fBY(x}YMyo4|*{ z(?BQdtjL}K9Kt25K4bTDq0YVzDyy(aJqPX%%+Uy%rIMNXoMO)!+ZHsy7vIR&S+(cQ ze7s(V8rR*m7T4eGAbQXM&vrxG$;^NmL;X?F zMoDJXJldl>Y+`X9#X;lf8%&bTYpog<$2sxCn?RxIymLEIIY}S(YinNGf)#z`HOW(V zg+}i~8q@p(o=Y=h%si9jZqU{k?>2pCpC&VOQE?NsD4!2E^kFZ?FJd+h^t^F;)TyoR zjYH8rPZ#m0MfU^gLL8q;kG9rj0aQ*y9-b(!u(kN9iCQEg2k)QujYA+ntNx_t!C@gH z0gc^8&UDie#&OzTvs+eE=|Al>yl=k5B>Cpc6D;CM@-Rv%N(*sbh}Fc%n`aA@;Tj?; ziODvP9cc3*9YNoF#jkZgkjg$ZM~2A*g5sv5)3#D7d!{_z&==!xT9oMrwM=Y@D%?G~ zlSxN6yCqZ62EE6#Hj9t){=-eOtEOxdeLdAow2=0XY`WE>Vb-Q4cSc{)|$!_ zqPX5k{p7fQumn-gFfQE+dvu=X?OCegi*f3>Tsp+EA*M}?PTfu&PR(=W_)X2>DP?%D zmB*Pw7N@lq?#yJ@Y9Si&7pj~EvYMATz04eOW=g*}W2(O?E*oW^Y3+GlSr3)5SROxw z?v7BYj==QeAv0o}rqy0ciS_uWji^JbE*$kWV8?f5Zg$r_47aOp$J78~6#_8Ksa7OUHWcY)>kxgMY5bj4- zS^03OrWTj-9C!`3twj4JBV3kDvyR0_W*y@OaO9}FJjFy@5|jqPeHLot1o>atIQ=`l zV*T&_qADp=g_eC6!`ANGPxSs>KW5w4U3m5Ny`JXP9YcG}L26>~Azhyf4}nS<*tS#H z^iP|L#kTbL4?d;}X+u^kdwthmdwZ^((pHsWGj2OTr6yY6Aop2&F@GLt4iyYg{3_KK zG>6Yw$>m+Y*r9AL)a+xJ8YrmG;yPYNFmE-I*>yq{aSI>PXeN^_AX;a3YI^Dx7Os{H+ickk7&7@sN~LlgMC<9Ryc(oE(KeW_5#S?OqKb=Ga3 zj?Dr#g^+NiWtzQZ1lr_IpuT(JuF^PIb>55UYUe~1Y5fv?<$6WfJi4QQQTMX6wNUhk z@FvC1AY_W8)U(zt{Mhv%V^xMGd83)^EZ3<#g|EYYTd~5r9w>;%%~@0LxpKX0dztP{ zzjUpc$N7F3jlfQ;Dcmc#L}z5v$!@iBgythyB-aVB_2-1Q~ zwVK}PZfSog4xcER_pzK7PDV!^}9*--L zY;fl)!drFQ2Jd85nQfhhcQa*=_j*}k6}mq{1+?_0e~3Pub=>oy-7$V^O$s-U$?2>) zGB#fIfD@F>2XG{3m57_e__Egy>~lTHg2)C2M^Ca6))h&-)skm;ADEKcmlSL5qF9N{ zNjazC@d)LYiC-WpK%_G?49q*&=|?Mc+q@(DP6>0VEPdm;i6!q^-A=SKe_VHy7)}ec z)So%86d9x4Aph_)eS+o+a|oSo;camR@&>wcBfh4vF-z9q2p)g~?ingO7O+)M zWrFW$iShknuVxm*$6AI39ksEA??qRqmJpH=GR5dIE^qLng;=Hbem*|y3To?Uk~WOa zOKB~LLtL}v_-BtynP!Nd=CCY|$n%A%`7l+2`(YbiZ>SaMq?0FjIaOCruv@1Mef^3s zk7b6!+K45t7L$kDu6XUqlo9`JcET;SvP$@jiA#OVWKA$B;yc>&x-A;wOqcbX-Op2? zg(XX+m3(oEg%VD)m4NR}*K*n=cT57&$j7wFyY?t&GxltNwPua%_uD%S@8cCTph*<- zfjsFx%`DG zKnhiKL*F9WDwlf7H|X%+B!w5K)bGp}2uyWdIec@)7wUpO;{7h>K!<3&>pa6&a)nLk zxNC^Q6Z1Y!xV=*ne}Iz*j%A$sZFo%9B0rNg)f_g>ELeY%1ol)nlCRm_`o9Zo1Lg5q z%yEOnu;_a6z*z2NjSNB@FlO!oYr!>R;ufZ<=De?;$@)z4-6hksDSDLqsG(A0ig6Xu z|6H@!m( zAktP0$1xr1m`kT{gXlG+RJ?Aw8#({jVh{-rDmdsdqW-=KuV+AZE1e0+~pAgI9Bh@>j!R67r zq_IrxSplc0V*k8;{)9(}5#tJOXS^KGTH`pKxw9K@ZJUU&Ru@{)6Wm6;e><}|)Di8c zGfRu7hh|$n^x+2iue*Jia6J4hOzv~q*Kbpz#v@C_qNVyS2YOy6oq5{O?6dUs9V6bI zly%MLC{PoWqYO39SO4x_<2sFfp%On#aCQlNsaTS)^`B^Kuoq2^?3l%ueG+k&thtd~ z^Bv<>rycmh+?qSxeRtd6b{M9f^_wcz*8!)M0*c_t_VT zkq;JRWExoXHRpzz?#tf&^?zov>TWLiDB}Iki1)jXHHR}&oWRi)8x6|UD#l73&dSr+ zwQb}2_xnbRuV&1c8jspAPfKqyzCKj@NsKR!{WsIcX+|$Elqgxud70A5m8AI0}zg$ zy7cGVki+rDAv7mKFd7>+-G3Xt3h&ia{pkl?g-gPHsO-8l zbuZEN0383BwBI zlOc35LI?KZ&wVuEFn?@Sgt{o)EpQ5qb)#2yfH?CynNezG$GEV0|9<)xP2~4mcVLE> zQJHvi&yp!7&)O8R%=O@s80Ltwb4=>`<{wTEwI?njgYMVhpLuiooviX1x4$*Lz3xl5 zw&tvX?gypf-cY#uMVbRaCDvOdu`V@-wk2gd1ndJq^y9^4RQxb@0OD`n^x*QFP_m&f zY6y@O8O^y_F+2o<$vm{s_daX?*-e777J#Y|3xWuq)aFpNt&C1rvgL|{;bN640L{0O z4RX3@FqG?l!SHT=(>iaiYmIMfGQ-VkkDEQ_Sz{6Ob*d^w%gSLL^@F{w5OANp3f|uvO zWEyvY$vkswwAA&sg+0FV!BUb|)!21p&-n=AUNCRlBRN)nI%Ns7c2* z?^=Nz)D%E24r6B$N;v5q^x^5M-*#j0h!qeM)dZx#%;mcvSfk=R44O$4zo_YnR;zxS zi2@X?!vdOXw<1b=OBKp>UTz<{)iTVW<_@mcP%j_k7q+V)9sFKhDGJcQ>(WspKN*?7 zeoWa1;cQ;9&45mfVYi=32hI>|dR3^#w-fzSVD+`r$)gs}?X80ol>kmW7FW5!?XP=h zp5ba3Vv()u~dXmYU zmapt~MXeC_VZf-^z?;VDXNQD8ef80L6RT4!byQV8+VhUUTpG&rd)D@~daI^}SiFyp znmOamYEQ7+w8VQ~d8cmPGeu#tS|g3a1w*R{U!u(?4i(qlZPinmg&s{}Z`(YXHF`(# zMQ>Y=Yb}ZDEC7!SvX~5;wNfPRInSSA<&H29(|6+-(Q&nI%nGd5-L5K1D5^d?%l@K< z$y&e8<$4HOLs*}`UtTYlt#p$UXHG&f9i_2>$twS@jCt96zeP?4X1Z376;PS;dJPt7 z#giwVZgaiJ8*|_@4e=)$p;)vnk9-!#$?UNCiT!|od^3trOLY2c3&4?#*L>p09sF}Q zoz8ibTL3vg6sO*2H7w-bnA?zud`s92v-Ka$8&J_&=PDA?SVV96cg+Ux12bw*-9iyB zNA{t<@d--C3GnT-(~wFeU)UY^4W8m^=}cm>%NZr?0fro0;AvHuMz_%ZSFeXfsAlPJ zjT_5Z?-Jy(etI0xXuA7CtENXk>H%`uNng2|woud{nz2@VxD{*2tonhPpY)Y-JDE($ z_ZDPVPs}*my=y@|r?MC8W{$dZyQ^kw+NKYa&5Gw{b?w%gMYPLg+{DM1N`JM}hEd{DD*_Hwz^UQVq_|7&z!X5AWZI0>z zj#hhNT6ggkarjJk@$4i^;l2r&+ad;(N#26Y)NdQ-oNlVnRHp zPdJ%}Ta^7Sm3cQ8q;UGwU`N*VV+NfScoR35sC%fOpsJiF1vu?3jD($_jpU*q!(olnP=l>dXe^{;fsX=pF38zPx?Lu|$09Q>cc%TxD7 z9$EElK={)^53l;!pMsuQ^)E+67#tCSAK_m)^q(?I`rsFAmE5u^dDZ`2CHD;^7gcg( z0*lBEPL88^0n6cQ8=}{cSe9+ZEB_Yed3epwZa*5cdcQjLe204YJ(fxm{FsE6K6G-|voV%!D>%AGN~2Dry_rg1!RVf5qNshS zmvikWTU24Xl=KjOw=z(d#N=m3R{mvP^08x(&HA}kpXS^OgYAW#8(gcA_HJJLg0!FM ztF6@Bg#*(%ga)EI8Pj_7Q|4eq#g7E7OoG*g9`qEH(0y>v6Yh^%Y+l7@v({GwhFh4Q ziWUb05N%2%lfRO@>L?I8X7?ffx72l(Zqp93?Lx=^(k~OG4XzUhKvyquh0rHHWl|rP z?D6m(?G*hVzTQ2q$@}jAuL=pEwOgyL2T-?ayNb%zZS5vjc5j|IC<=s#5VEzkw4!oP zjVWT1Rs(oISQW^uK(>yMN;hqvwB_a2{f|LNs3OO{%b@;w6?7Hsz z`#rvYwA&t?79rQ?dVgN;*X#MBuqns-e!Tg$ZZ%ySdqPwp7tj~vZoQbve4u>LLvKIo zD{+4b=t(^Y!xd)(_42LQ!OkWw{?Vh37-~K9K+P4~3TR&Z{niUWG)7}j|EqW9D<+D3 zfhB<Sp&%CVQFU-gzd%;AHAjY(H%t9cnv+9R;71$5|Ej^H>tV#^!`ocdx1P&qr%~ z^VOao!g*P~GTiNtI_cc6ikxtpt8ZUdMW{jt;7|4+nApke2$ZyJ4;H<|q^!JLQXY$K z7s6Q}67dAX+9!t;6S)*_3>t#9aFV=*wWhy*o2+SVUxQVzhc_v%lG7e;Yf?8itIlzyMf@Al9}KpmYzVMB@k_}6fyvCP$?qIfgW#+ z7)BjuSptxK3gmpMZw@(pVfft!#%4iq9c~Dw4c7z{6qyY26o3Gu%mJdR0mSBdmy_8! zOVphvcbgrbQaUL>A(^rw$L|gO1FJT$c6hzZLn$twv!^k^#G_s=>v_|%@psz}Nyu36 zmGdByMGn1y6FTEPHggAgeGcb|ResicP{Wx!clDVk=EXB};(vq$-gv~O+2HlBI4`Or z(kjVF-92u)KB6uW7PZs}r347)L>$3q91D`#7M-M#xQ@(UBoiuG+|WkAg{akxc(&Ah z?#B1Mac@fDBbeh_v-HyG`E7BRWb;B&gsr2glhM`*HK%i*{j^ZYGUR^?uF%WBd%CHr zj6u{o_79iEd3$$iM>=3Y?Ja;kL8C3N`juZ$5}dpL#QC6gsJS;_e#nS>cdp&mKg~R^H}+%3}U#P2!(Ulb`w$^nI+oq zeCH;exld0fdrUQJY_cIb>!sYTw``-c+_Blm1*S4&dxZt4W(fByt2eN5w9fi_I~w~0 zZq_X?f&XrMA(>fE?`UxwRGcA${;X`We8oUfTe-sMMj+fCVAG&%-Z(s5ZalycQgdnb zm~0jW4NOE(m-qli+l-#N3*BOATUpRO<$QZ@S)8$Zph$*HY8k#OiknV}vMkl3oirR1 zXklABGNj_DH768I&e%)7=FMRAnoa)zIjpjj&ronI>w1XyZY^zVlENJnnr_)P?0gZa zn9`L@IFO_Fh%u-;gTrUWLI(hp7SLr;>QIZJoQ07QfWb}&hAzs!fNj=VQ2oXJu!=Ll zgTHYG#(Lf`?YindNJ!X$-ca$KB6O24z&Ra=j+cp8Dx+mfz*9+ynv?KJLsHjcswf=> zq7=Aqq%<=N&|M*$sJ)fVAg}j@JZ`$a?pYt)$c>yl09rEc5YmJkwb(;&2;-Fm3{n*K05RSJsOE-NW-T*6PbSQQTUXv$yXd5Lk7dB z_uJ2GaQN_)AmXC1ciF;;MfNikkRMYmb^Z5qP=Mtz*mfk^L?!YdVQ&3duB3SEcLv=< zIwKw5=@tyKyqcTuv@jGhT(+W$zY39W$@Jw^jJCDZP6bej6xslx)6?O&8e$J*wu8Wc ztX&gmxt&ilJD(#4NPc$1vttZikgwazY#Tj+zX6LtCgZB0Y2uKMB8FFz-t~zDX6j(G z*7?qth&Q%_w!t6zD0!t3v8PwR=3dV8(M26f2KG_TawN)DY3tqR!6;5@L52$usI}BE#|lNemoH$KrQZN zb+j?zHl!(SUiK9-RoBxvA3yr+&z5LE4;R|{7hnvC(r8{`Pv{ONd(+g<0+z|=Jb9`} z{8WB5UH7&_jDwbDEBrXaccU`}O3+nL4yG{Z{?((0aX?W~hL}&T7d!o6f28YhLK6q_ z5bru6wiaC|Q)^}*!~s074>XROnmCH)&Hy3#%QD5Lw-m3y8M0mAqm?z2@>tX%jMcCd zB8~!sX|_Pwc&{XWAUVLeO`9DU)0K@8dfh`NYsQtfa1)v0%o*(-51WYhN6O#q5S9Z& zIFL)LX`qRy`qpv*%?f86Pdi>dln=5xu{G%do$B5YueBH$-WQfn_Ic!rVBg)S4Lpfn z`(14 zs9{r(8iTU>b<5UzS;@fX@LxxT`teBC;xEYD?BC|{K;@LjjwKQo&|r?leVD#(KQko6 z9JFV+THgnpm?M-3=Sj(}WRH_h$p!X3Y7`b)UzCqOig>lD^|B+UcFhFcqO9Iqcde#* zQiW4bHt3IbhfM>^rv@GcG0{)sexKQ98aQQt&|Vsn$p~o&y)8V=_A?~8Cjgkl2vTE& zPI@3nW+5AVR%`{%g3d}}0M2tI@^6ZiHWy(*W>hAV6*2kKx{*S2`}rxI0siLpTL)bM z-;)CYL$8xC_!m$@i~X0K>%H@>P^{w_`Q-gz+31w}I`JMpS_zuEJbSS}#zS|v{nR6A zf7I9MY>Y|~!$HEoUNIJ5?|*W1BEaxRnxdwdBTdo1mZz$^m2V|T2w)qH6PuADtrPP% z_LJM4LG3n$<)O0XeBCv%@dlG>gFwL{n28%mq3gDb=_Dt@8#mtxIWLql4w?C*(VMDc z6QswqW{M>tb=yjS61eqA3B$ez=&W21lft@WEw^(i0n0+xZ_kzl3CSI5n~%^cd^9_F zFgyS`?%LCg_z0J>fBMY5CYhm+PB}dLb~0;_0n3rPJEjN#_tm^){|8SFVglbG`iMp^PI+Xep-9g+SaI6|{!@M+%* zM%G=O_YwEMedym=@N>`G;e|h+v!G@c43Ql3`=?)6^t@)le^@?-b@tebjLt>xZ!oW} zT4`}#TKb##KP+1Ln=j4lIP0UEQx}!{R0|f`)B&|ka$^A4&=Id0F znr8ryxH|L~?>xP2QbYT1hk+y%=eCtyO}YYBR-7XAGV&4yDsH$r|KvL4DXzMXRo@l?v5F3R zCZm&1OW{RGYMAsp<+0s-H`0C3^CCrJ4{`FEztEda{u(rmWKxValh8>zbF`}fJ=u>N zb|S|ad{uk0O;H;Y`u&a~KNqUro=da+4@g1q&8GRpA#j(>D?aG+NA=jIy0`qgZ%%+G zRemr@W{3vsejly`rqfqk9In>(^*-%fsbm6#IhCqpdLj=X5e>x^*AchAY+6Z_K(pm zbf!;NS?Uf{fYOKyTSTZCn^V)$3%!@B3JB-$nMB)E^_0goS^miTKv{jGbmcTb?g%EL zgNea=b)E-4zf7rV%hm2S`HT$lhn~{qxdADl2w_v}kHO}taXoDPcnDv&Msq+2Zd~6_ zr<~raeJsF&&;Vo2j!GW?;3~hOS1n^Vzma(Wf@ZGK3~wNf7~OCu$5*`DWdG>zbh0LF zcAmKh0u{$+LH*HgDH^H*x^4OYDnx#VtyqYD%pFNU@kY2>O(ez-e(tD2s+ziRVhVzS zOjB9vg_U+Mzt8b%pSYOb(_Qybv%R=sztV!YSpQVzDf)0i*IN>#(>dKO5eAD%zSSW( zG_Zq!xxpVL5jQYddBBtz1NbO2nL*H)8OkI@IGK%~5t1s?7N2`vdl?^7s;MlF3?mR9 zc91`3|2gC>-kW%14C}VVv%nuz_trN3!4dGrwIR?qhPEuYCH#acNvkfe3WoFDdn{bDz z2WMy9%>$Ija=XnnRQ>x26S+fa)Zk@1Em7bhF~~CoMrQW_`Ll5(Xi*C!^T|Afr2N^g zD)>$s7Ey3Eo=hS$>bu^;+NYwlj}ia#!lj^xK6)*l)<4lAjjt>dIM}>*Z-d6F@IKi= zm?2;*t8LfsM!|*ipuNE}>5mpLVTRYSvsG>zwVxfcn4JecRaPss_6Pe=>^FR7T=T|1 zMTH>7WY(_7{|XPss>XZ2IVndXL$_+)vSdyaXW_hM`ywJEUi!yja;b9l^54cPMcGfu-f0zCThA&Q7ldej8Yu)YmV2elnwhs%a`o<0bjC48 zI1YY0zn*lQWpb8hCFW^A7eVsyymD6bQ8;DE;a<_f|FVU$IK&%%H5a*Oo-O&5z{`TS?U&trcE~~n8)W6>naAVqrDtNC54R+7< zh6`ocKFoV6RItr+Sn;trzO4Koo5mgx$~O(2hMK>e#M#h~24=|vq~(a$R2-4-&WUX2 zr!zIJ@^GRIS}fMt5tx!Qr;j(7bhhj|(>GKacW_| z(Du?A##~{Y7MFaKFk`yq7vr?FbPnSX*CCrs>9*w=2-&MLmU!m98h-o&#Z}yeN+|TD z9b+LgehSZDFSA2d8~|78p{q-Y(yGo4MD;OBoUP(?7-xVVSUT{dhqi{ca-y5c>f0}m z^&|>?(K2gp-zv{0e-vY`EEV8gh4Fh!TQk|{3$Q&9<4$Jpo24t%CAm!MV{Gt51(N}k zXY+LR&1pEaxuI8P|3ojVqIi6_x-ZZuaiG2iIy30G)(2?*CbrxM&4vg;tWY>VZF9dG zh@x0*j!G|MyD;QIMPhop+yT){D~~;iy1X_$GkL3IMgfvFG1JTOGjY?5H%jEM_(DHa zIZlxEOzxeUxgJOEqdWRAu-Q4_hO9+pD84?O+EdiQF z#puX~Lzf4a4C~`$4gjbOMk(fpc9S?!^XMfnvw_(OSvR+dt8se|x)CJ~Vt)OJ3?in- zL(l%988_5fK0w>rhHKqu6Bpu~MB$;p%C^k5UKSlEQy|PEm4P8c+ZNMjo|RO48|MkE zc*&%YzhE_1HhNj;0JN#*x=$nag|)TH+3A82flRzcM5ammqAb!>Y#Uh8eiAMk7vAd# zfr)?2hatb;Bn`u?zb)K3rM&bdhO(n9p)0qEqqh{6Fd0RCA3Nw0%>RH*PqYx?!9Wj2KLW>A;Slo1`~)RYZ@$`n&Aqoe&EMn%OU%4Py@O=cOUc%u<2P#5j$n$ zaBgI$?uciSaW+SM>ryyk^}MwM-1V-A43IlBI42$p$jW~T$RPhL*eX;nIz{{;wCGeu z>>6Px_Rr{lf>Q_*#v++MeKlGj1E96#ZFQ%nF%I!~J!zR0lWz<+F3)?n_7OUjsL;s> z;~K~qZ-<`6b)^Y~B7<;B+nVr-FZ?x=7dI5Q!TC%ku3OM%6K!{+th>&hTwZe^t}b(= zM+jycpzYC&^9NWK?U!#0YcQCR&T%Ef97jn`><4Eojj!{VUmzH(vLO1qQkg++Ltbb< z&2o#KieA&`tGD0uU1>ed^!0LPWVZqI3FuT2mJ}wZZ}K#mED|*NxCmVCX7{$l5ya4$ zN@n*mMdpoKkzX;}dWTVQY zP0C!X+EE&(FDdj!i>Uo%W^sab1(Vxa?x7E3SkAF*9dovq zrT7l9I_T|!K-gXm);sElgnT?8Vj%6C0ugLg9jlmaRjGbE_=SF2>j{dm(nj$goct^&l&G>T;EdMk<9TbDO@K zh)qGUr9)+?`)zkUo#JqL0)gm!8#YGU&0B`em8bO{Kb;9IgOcg5{8PO=&H%|1reM9If6`1#SCY<^%!#` z@C}h!&Yx_J)0+Pf8UbOilNx18-XR5L^-2ph(m(Ptn zK`;+n%V}>LNOC=7vM?YxeiyF!;nw3Nc$_-ehXXHWqM4jr=VuRC%jS6Ey$8x`ZJP{b zvvkdyo3dMn{c3jx!|=;nyWi(O*r`xnG4|*eN>i|1(8Dv1~mza^9lkd2#a+ zIrIPYuPJ&54(AK_C4z5G(e1x`_x}-b0bFx{`=To%Z3ik^pGa?x$haS&j05mfxgegm zVAEn)J~u7AIF}VgKA1nTJmMawch^%}d=rb__q-ikVR6KE)i1rYCH~zd>p8adYyU*O z@@V2m+Y+fwr{nzQb#vmmS!v%@Z#swm)dg+qB6Uer7T3J2(u)F;&}y{0Vn=wa^7YO) zOLf+C(wUA*?3Q#UjMs5p+-W7`Pusv2akFZUM#Tu@l!JI|Ardm4kAbpqbRDFwRFzqr z*wwQS`mNZYx%GF4hUIjEn#o>yInDdAqytTB4|T!1?SFDT9)mEeT3`)e+v-%2gCfLA zH#Kpb3}B4J1UiqFF{Pi~emA^6z;*P)HQ~2lGMr}kpRyEptOx`AVpXJzB~}(y2U=#T zr>5&KlqzTpm7}aCoP;l}rh7~O*k3`Hzzy7z1V|4HZ8O;JQU10v8r8Fd<#87DIQwQ# zfN(rU3@SC~v~C}=7Qsf)gm*~JNAJ#z?9ZsFo2{pKNXf88VX3Rkj&W&Hdl`BmPF^ct z@fiEAH{9iB0IsoTtc6Y%$?`Fv%rJXQrLjupHFCS`Hni>ZF)ZlAsj8<$s3l1DB4C_b z_4=sTS?cikqNX~#mDT402VZ0n@C}w*nj1g~GnsclPLt_JZn>uMWLvG+SxxI|Qzd=( z9NKM%ZEb3Fkg$b&4Y%KR2khndP>=+2F=|P6f3ehb{-JGnV2lP3Q6|I8bhk9_AtPmW zz*gTFdD3adDzaCW$ipzy5_*}*01=~y#kB38*1>>~>+{$)*Oc_YlwbvfDO>{bea`T* zQD;S7l5EUbt_r8Dw`^Nl1^VSZ-qdVyV zqzA5HaSjeNm)@Khn(L?jLI?WQ=_ugk5CriWwr17WJbiR(wkYS^#@lrl_Th;1`0HLe z3LIa@L{DQ6*<2}?##fZVl+e$0!H|+JZT-+~(rr6p1+0{;tsEjM%g1R)Mcu@T4k7D$ z_XD_3Z-9`1QjdIu*Wsq%NwMDSsJ0US^#enKjz$V{SKvkv9%pX zB14z&G6qn#!!rJ<&WAKF+tT_WdT)+Gc**LnC`b@D*v~PEgl=0vrnG}&#^THNL%Nu( z6**CIxO=+Nnn`7o@Yzx znGSY53SC#d8tCYqLnP$_-e)=v?_e+JL_?S=s5G|V7*!;CZ}c&l2OleY8cm^opx&6y zY|jTbQ*lPPuJX8G2*f2Li-T1hM>{r9$U@|@ zh#tkSj8@O&+ieWKA-A8K^cickori`XWR3ZwMWKn;|EY|u!J&K*hjRYEPt1rMo|_Z- zFUm0)vHI#0FLKvfBhul*Pv_Q2Qvk}0P*M{xS?mig-1M!O&ILbDpccvELN$wIFFq}G ztY($w@Hmo(zIAiOI60TiZu#EGi`$>4+Ek81H^TSg(#uy%JaLyX5$W@`u_tb{_st65 z_{nJEK0bAE{I7 zz!7rWW4~F#a5*Y3GcnB-RhH9-i*6Oj0PYI9(3-T{`FtDSs5bEe?SegR2-G!!V|u z0Ns!qG~8S=#Nf9r3EzBMz`nyKn8TO`Y10->cY9feV=2RvmBu-S2r;7YDvn>^z%BkL zy;Vpn_m6MDhH{qo-TasH?2~rFS$h)CnJP5VLS+j4lQx(bwxvkG#Ds4mP+u)_g1H*Q zww~ZEOR$d@d-%GSrifXyNq<>2QCaE>H4is&_Q5iV3HSuE)HoGz>|_(fd#~Zj#Ct%d zOu02cR}R?FIWt}H@UYn5^<0p38}RDE^kLl+v$S8_p}U=qTzqRxTW0$=bO|zyewF1{ zrC}!7>%_~D(1av-vzy*m&9uw&oKhS@vjoxWzguhsM~tCc9}H1c5)-bHhr;H8?U0x% zN<~JuS$PRuxrz1dWk4dK;B1AxgxMZq3tma9f{>WgsI5$HMJcc~@Is*bE0K|#0?unt zPm(aoJFhiz`%(Pz?TH>0cSz`9I|58w^9yQ^3qamf)=1?manMG2(OlTjf1xUm8mzvB zLQ-#Z63+%2T1jB|l(>YD_0U;|&An01S<{Eb$IpQ!sjkujl!tdgCxpf0mW~NYYpFxr zfscsZ58EKQEu1*vjd3`#Y2FE0>lp{$81rainvni^v26!E-~f}H%-T464*~6lBjnd# zd_#E6@z770Zi_YU14$%LkgY2kbKwO79BIgd>eeCw^HV0zXxhr#uROu;baoYZ?Dg~> z^=!j-MJcWxtXE{(0r|{iPunHQ>D@~Z4++^u_D0n?#bjl(pK{^^9tL|F3e)S}92N%q zk6u_(d4%6y@t-{c)|xUwK%pphrWy2Uz6b~I3MIMn8cDIUe4N<()tNh|4cp+Sapnqt zH)ko>n2~e${^T)sXU;kN6P(C%fBElIbsKlpyl=NcluleYFY{|E9P4E+!vFbK-<+oh zKltx&sM24xO?@!StLHqE!Cl>mk(&Er_G4ALIU@ajL|q(oAspqj4^<`XK)oO-d~eZ7 z=bjnlrj`)gxrM7K$-0Gs`)Dkg0NbtMOWyol>7>}ViXFResP@IS>s!wWo&#y;NnS+r zzNH^!+|O9~QS(4X0@b@%?1FUF*PMA-yUlV1x^n#e#(7RGaefjvG|$RxLql%oVE|BL zKN{&3*g{?oaTMpe+N6H9ah{mh50?E5Zf4IgIm^zgSE<*B*XxoYy6t{Ri#oh99eNxid7^^WbF9qE9IyDX`!Kx5PPQ(9 zREQ`=Tj>-5o(L|f%r>is+>Sn)s#3cQB_*N9{Ix>GEwS&od~0ZS?Z?0Y;HA)QS32cl zZN2O#!*{R|7y9OA$XX5FLjBC(ju{c$yyda@VP3{x*#ED>-{$@*g?fZDnEicxJkW2~ z=LQZO2V`7|3z?Jt@Hc5>$_vb1c0rdD(2TS1@{>FQ4{cz0f7O!;cc5&`umHQC?thzF z#W-_&s;5fW_Xd49iOw)9H~TtKN|?$}S}YHb3^gW98U58aQ>}q#iT9;TRo8m_|JxmG z$Q=U)JirGIr!=mI@q@CfBej#Jz9=QrV-OBI2m7J`n+!xxQwDtr3{BW`+74Ooz#RlR=e^fscWOzmqnOe{r-Qp?akG8luDza?CT^ApSrO8-Rb0@=)vYbWeX48) zJ*D4{t)w3nJ*YFv3>?tUw3i>ZE+ z{v?&mCx*}RT@wI|T6~2M+smd!#r?-_*I(0Qh0#Xrk&xMNupr~n^m$KCty`%{StdMQ z_mSR0dZYPPiImFPP@;Pz1h1VBYpu9|Oi?n}l*LR>RUYkAJgp-Y{amvJ)|Jd`V(Xo{ zXAd*=-sqOjG-fZX(-Y=_9U|u_IvrSDdeC7250v{P zVcvS&@S*g6RaqeX=zE0QLpsl-IBSUaZxUM%OY;3u%_VS0LNjgN$e5(&uItPM+Ylne zPNuDg5M>bdas@G@{9wgGe1bEADD2XOrq0<4h95|DYnckGsLdbk?DX7F)_!K$YTk$! zy^YEt-n%o~!G_4X$6!CZL16ouYER%8uE68{`oB-m)U4)=lBj2)IU`1L39K~E>PqHLTLVi%g8`VU`b;K|{PXXT6j$FK+j#dnia zUi|rUr9RCD?rKCyiZS-CdwtiU_XW?fS&|=lLiaXTP%cOs<{!nbCUu4IH%GwVY(<|D zP#R5h_Nll$;$);7biBD(|K-J%UeRRqab>tcU5&jwkZkd0aaa?CE6IWA=H-D81Q^-$ z>7+YB=T}GA8FA6bEeo(>70ddqPgP1KC<2uPldur{UhNczA?*)6A{1-^{dCCbI zt3#=;P~T4P@Y$q|KiQbWp2Fk(-e}lzW;NFf>>^xsgH9fxU&ZRv}@;$1(DRLq}$Ucr!N$Or=D<3uetaqbk>MqgW*Cdp+#2xJS zRPAHCjliR&ch`_xgFrR+i1(wwjG}(w85j#DCP5PylK|Ww8-DNT{Vv0Bllw$izSYNM z+s}4}ANF$lVG!TTH=Oq&2j!*GiK!rQ^PcJR(@P5;ljvMWz0tpt66Lcn(3-d1ekI&3tPY9;59WD!_9v!xRx-NH| zq?36(OIK$R`x1*IN>J7!XzP@{s%ic7n3!Gd5v~y=Ix-dcO%Vas=fJvY0@A@R`)<86 zgNb-lultJgeaLiM(8jusx%*I!%2Qo0t3`-I#nBmY+a5D*QS^~1GtQv%%-8`)%4DX^ zjlP$A5(Ok;H*%}H10lOKQLo*0gqI8BeCuUfv7roOd-Y8xE*xyCVy#ShQHlwHr;c&t zGnt3+CKcD{_VC!@r4;ByXrkD8`gd>g=<^qF%i7S*Qxi@(*xoh7+UEAxfsJt z?}o4w4p8_kOj>sgNtlj)zEi%{m1kYfWEkyfkS%=CS2z5H*}G&gL*KGp<}5ojaZ-TG z7LCMrhTm^krFhY z=gsNdn7mY3G8n52ot)=F)Gr#DW}&%>qYJfZi`8?Q6Iyx~)sgzmOuaY{b=Rm|sYH>h@!}Hac15hVwWJhtq7}1jkkLhD>ji(|{c!lgjLF_a0z70V&k8 z>)KIhS{|zrVu}5@D6X4HAL*ZyAgep?yav9#?LjZXc%~kk@By=TSUYbQ1RGDYq4~&L z+Fz?J+TIwR4_u{?g}NIh60Bj~PKBsSrx|}sfypUSI6$ujK7Bc&_VC0N>urIn>uT_s^X!eMpA z!M+QnQX!V|-L-%0CfJ*9yrRpBR)8-`mk*lpN^}<$|NLgfplV`TzkMG=p)$7F1*=Gi zPJ^nN5x|i#TfO~lW1YI$7o7fw_P>d-hQ)l#J!-wqwu}2A z2QWa{!*3vjB_V?x2=jDK>om5PLfmYNhi<(i3AUEf9&JV0jDUxirH*wD>gcr4A>y{M zfX;pi{T@uaFN$Wa*M0X!fUD{pj8#~Ck<3xtcTLCLTFZ;~8K?+MW`YEEh2{;;iv1;x zP2oyhz+{I#WC|59G)2OE&19kG%F{$0pHP+X}ih@Yve3J-3l6LL0Qy7lYvDeYm$ z1Nms%>`ReHJz%mr4z-_Q??{tW)^Sf|X`99Kis=PWuJ*86*Mg#Hd3wuCtDF?$w={M$ zyjuJDtFG&K?b>)Nm_2OlNViR7DFKcF7MZ^C8brEt+4DKXDnj@4p>gg7StGhZT*0X!kPbP5SN}T@2?+me}t3o6cOp}twQ2#!> zR@jOcixROvN;BDBBc_`kgbgDvJ#<))mu;P}9AWZ?8^kS!v9^4QuG=5%gk5#NcRoPl z2)H=}cu4K0KZ_38&x$P2#ZytQLMOmV!-DP^7jnO|4ofX|6T>*WI~Op#=a|+je0tcD z=xkJprm$@ybk|#NIEyZn5(IwCCPLgIEpnW(no%D$EswkB%&vP2be*;`nS@t_>8J<3 z$+6bTVsQ1%c=emAS4(`sUM^xV6Bua_S;}04XsD>z7p3bXvkvo$hkt_e1Rdhk*Bn09 z@WD20zxDsJS5vT8b6>nlN2I*~D;^~5@rb%g)`+)YnJQv>7ji411xb|{!Uvz_Uq$iL z$B3;A#D14xQNygy<4f~uay0`LIt_SxBv;fGz}rjbik`wjz2&pH*Uv0_aaBmY$JhFP zJ&)U2cy_7s{>#e;wz=|qIWje(E1r*WUf->LK``;=DNkC{JSXZKvg52@c3VeCy@-Y( zW9NamTK?GcNxn>V6zlsq!AGsR1G83h=DXTme%%(YQ0I-zXL3?jBB$iL(i`PAZffLM z1+RP*$v2e4FpmH;Y|#M-jW07hnEmjrVa(xGopl*tmy$g<1JR=d!Ieb5ipyvFXS{`= z8i*|5z=E)rIa40TC&-jBPjByhralleW;u{hJ0%P1w}hnWaBu;Znq+u8M>iHWg5{ZQ zuwTFV4pr0?HSX!@x-^8{g{$W-H^t|K86Z&jrfs{rnbiTR&eA=mTR=UjIAIsQoOI78 zgd1I_NAUaYPQp`OfA8flnD0#2u=!ngJ8|NRrPLs{j%`luzV7;#ZDyh$<5X$v7(5cE z>rpX_Vih)9IM91TQ7sa78p*rdyeS0lnA*=sqvH$%HQ6t%doA2oSz73z#u|#v#JZL( zHi#xE^v{?ExXqzW_DLG(tXYd%Lxl|+tlNk>qt}9lBPqMC`aYdZv`S&IE>8{M`a+ss z$P_6W@jP}y*YjJp1Oxu4W59K0vQzKnk-ri*YHy{;gtWc9IbNgUc&SlBcFnRiw_dlk z#dsaggj)q3Axz__qK~ARN@NRphc))IJevhz%dtD@K!Lq~*U}MhZf)YZ*ZCGuvZ@fzoD`F_t6*@4C*+4x zF*tI%wQ;cijxzL|5k#<(pnakD~KTMhz>Qk~??;8ZJcQ zhtTSL5YeXX|0SX;76cO!&hViyth%S}Ew`87))?XOoJgdy6t%akmZS*;_Uo>-B?|q~ z5*X(KFv-iR7Z3TPAAi3F$Lfh8xQp1dckLR&7f^v*i|qSmA3vz9z8e_Mrwy;PG$Z*T z4rA>+yYiB-A$CJqh9K<8zis{`0b3(;cT zMz8%nHmWhD+c;6tK;@$Xj7Y+_tpp)L3t27dr|`j=t~!-iJaPvi90Y46k|N^08Pk@1 zJlLEjr2S~HWYxIVGZ^g2WCn%1C?1gU@zEw)KO9itb{96DWHO5zr3Ax%J7uCncbAXe zs6OTw*EU7u!rW|6o9gsy4=1qBW=z7vYQkLi`F#cIs+u*x%3CO`cg9%^L9u>!4XY;$ zrU==3z5dX}f&UWe3N@|esQSgT6D|t3ms^!x)n8J#Q7~g?Bg$BNCFIc>amaoMqqee^>!RMbGx! zcfK)HKlALd?|);c{+C|;FaFnJ?s`PMf&XHidg$0@-5(KkmbbBVygGjeS2b&p-ZTIA z%bwaUK^TUOe9K|{Xj?aD^Vy}B-S{VAvQNXCqv3BV=HEv$W;~4{P6!%E<}7C5()Z(S@&lLUTRh$_+PUX(Jf;>3 zifUi)0+@rmSXCmsq#WE9PHl{Uus1Jg#OPQN8|?G`I2T*KD+61I%%(ZKrA)@?%DS-^ zT(7dMO*TsY$+S9hx?$;Wy$d^CFRS3JV{$4;9+eh$s<=lbxy1Vi<(Dkl&vmKZ#jrB7 z{Uqs)+>MbKI;`wH12B-lWMZe~Lxsi!aaTJ~ z0^^1L!`TSq$em#s4=@lN6ANRUj65PN@qr>}qB8RyRg@f7#y5P%WPl-Is16L(n?wZ5 zir_QZb?<+`?8YVE%~sH}G>jmffEQ5R%C^4737NRLX-*h-`B__Sk#2<;3cQLj=*IhU zw2D#P!}2YKR3Pk+4B_}4v}_F;+HJcWhlrAE@>poerM!@*08_1bsH*_i<>JOj*Jcb% z;V$baoF(^W#lqfOCAe)6Uzx@GQnC+MFr!n(wan`q&PBmX-cGH@LIwy8N!VXZT@?&*-%``W6}^MM1VB~@RXt9>Y;uXlX{2qq@(Ler|zOV2J`uBmyB zU)ziK37VTmD)Pon{^%JAjfoLsyn+ETdHBy?fWHhc}~8 z3DpPk|M6Q|e#=l7N`(?fXMWOXob$r;H7LJ~rV86$D5~m+Ce>_l>b4i+ z;i%+6cCH3mqmLrNaB{V;!x2awg zt!5rlnZ@;A+#UR5e1YMdQHR!yVOz3B=Sw;zpvSsH;owvwcdWgUTM};lWzE{0bA_$v zMmvX?eY^b10=9|gSp9XO=59r;GpBcci)NU~A8asG8T%;Vk>j`c;Xu=Z4BzE?QMjdS z$Be{K^^s(IH#)o$T2T3o~Y>YDt!_i|KGZ4)P)R9*-CL$>~CSqJ^P)|Qqj$gQ~O zuYD1q17%o0UHfjT!&AP^p)+d9JW-DPQRjxE-WUngMC0v71(Vg{G3b9=;@D5~GMb_h zL3>hZL?HG-SNTSxJlPv)qlNlO_ZtC^c8BGMjWJFGEmUn%MWY0X;whJ>2XcGoyEf-C z`9oTf@rL0Xu0L&O6)txRA-_oSTs`*RJOuVQ`+A=?S2)(VU~CsRt!Auu)ICc}>w462 zqbWwhyG~_0-52RpcALG+2WNhal9=u2PR$+p1$k*58|yWgRTh@KYoqDH5pPU!SX z4V7ch9ZZ`rz6MlYS7C<^Ak946as0Z5C3Z#Yxfa4e=JE>$COtS_qBlFn{0oV2*i4+- zZ#a83q@{Cw%_}Xy_4SLupKW7_=M2DpGuofs1JjVWVP0EkljUE`yy0{VVs{elaUGB$ zJIZ9YNK<`J21bd~w@JQhKw-b2n2;t>dkY$twuD+LesV-GMDNvrN#+xM?ZJdsCwc{5 zq6fZ-N11(XetRzP;NPNOn)5BrGh4Z<;n>Mw9Xpzc^ylH&X^A+`scV2|CnG}1gcfY7 z3@zL=xFAU~zUZXqW5kb+t$w|JHPdPQ90qq^`qL9>!d<{oJw{)?aR}KX*NKfY2p?r~ zIg#t1p%RvAuAY86PQ!Z@YlX#hq9|*z;LNH~&3`S|+&-qwP^%YrKDBCePvNemy=^W1 zODsawl7O^>bGh%Tp5(2;?6*=`-QT%E zlFbQxm)gBVAqyxsPS{U-KJlv;0z55RPvv}8p&q;Rvb`GQ`lnp3H$QrU{{0CFnKl-9 z+QOuPQL-PwI64Q@d4^(0SDD=&jI9+ot6u~mI(G|L-fW^$+2+jg>#+<4Lhc!9su;S4;P8F+AU?=f$*Yy+Jm zB9j{oXFI^{H6I7axM($k0IYo{G0+T?NFE6m>D?Sq=o zq*C!yGMgool=MCs)PT3ws!vfG!MQ)$Om0gMHqG~q`nwbRn_^_6mOqRp3^hjE6x5^5 zh1z5XOTx8k_Kx^xt0!Qi&qFZ);~z+yt)5W7$iU_roeEI@EGo2dL8rd%?@6`aYeU*Q zI@L$Lz~mOS=a|d>Q_zg^2q88cxul_YKM?Ci#l=&^3=z7EPG5k{?W+0An$ABa^e+$; zqwOi{)vZL}Y1Gm*M#*@VN^+)m{ypIsvv+K;dI_kQEjj~gkgw&119Y>X>Y6&ZDM|p{}EU_h`!xNxpb^ zaHp%}GbUI5ovFnBz_<7Xc8$Mv=!k$tSY~>#^uL0?u>b!V{x-_@?1} zhwe3sUvP788*|9NkSECf`;V?YnnwVb zK_|DO(BptcaUx6Nw%)#>*5vaFhELQR9Rs`2sudmb05Ci<_@I86OsDF-Pgz}sP9`TT zzw9PUKet);(|dc!UB?8E{0sGf&1!p@jmFX)0*6tqy4(9CI^?5A^@nrmy$@Pt6YH9y z0|0%uth;r{T15WC++31aUn^BEaT)Msvz$#))_WODB3pt46d^=C~1Se~JNIwzsca8~W{Q`uiUccDl& zp2gk8&my!b3Vb0kA#2SG$$jO2N}+~ZE8Mz~lyJ@Wrd$TVV{INfCq6gu6t95EnesQq z90^=)`h)YIeQ-*8y8l zpNs<_rdd$W2uU)>meZD~)NDYzSx}!cN{i{79NR8c^K@6{WL3G*eW(|*gMXnhys0tD zXGr;9Q_I^AnPD5+y5ot{4}iX3JEYwqZs?t_A7*j_wT_C30|}P*gG_oSNWW4mfuXx^ zwEqY~LVr{fedlVgHmcVG2$%(nXrA73`aa12pmo=EIDL4fb~nZJ$VP8}Al0a2d^P6x zpL5pCY3kJ^lvJKULX@wbYJVexVlQ?xjnP7@MgAL#h~LF4su1N8-M%YL(Uy%eI>*eU zyA0YZeQ;x+UPEPZoH^({aFRK~`rEPM=FK&?p2632qie6TzG*?o<@E)}QCEF0_I#*u zE-`cAOroToIDHpCS%y7B4p%Y!-c&)4e3g?LvR96K`~WzI?`k_j0yUR9ZaMXGRhgje z@Bd*^{mou7d|`^&>`^L4Y@eE;3d9Jen7r%qRfEo9Qx9x&ptL%|4T|+F+ZaULad(UP z^jia$7`Lf($Gw2Z^wL$`CRJ2G&@fi$Y(1MLDsDZ~JgL7hX5S+S9TutP7|`%EY5H-l zBuBnuw7I`tAswW1!iK*2WB{!#F73chq*DD)xjdUpMDAD<2JN!#g?wt5IMp+lIwK0U zom0PxAZFM1%@YqTJv{;samvh&M79Qsf1~=w{hZeGVF+Qs-d?4A@}Y)CUAWJ>YoE>B zUu-4qdvaMkEfKzm#;@<(lD#oE2mr~ zV89OD{0z6|`GZJ==MC$Rp@-Pq8z#Qq2R1^yVDsOF-`EEp{HJp9IqF&>P}dU2d5*1T zS(nUNHt%^(Ee}N{_+1;x*%uK<#2v1UCdnz=>jW3NEhjl zE<&u)?xTsU1xXiHjn1#zv3&j?7W`b?3bMz7zlZKEl8LjvTqNs!wlsb9V$I@H#J5+C z#>PZckD!+2;};bBDf`8G@6$kapbJbLc*+PS9@p_YlM^>|n)NB%jp+!j zVT7@DR>Z~n7lfpI?*i)!bgr;$evz}X^S~F>&Smd^_O3ko74JeuoaR?Y=Ttm&KP=yI zKY+C(v-viYy?-h|5_&{2Q%hpc=G^lXpE5vd#KF1rTfOpcT9yqb83^fw9NE}twp}4f|fq*>rPAH zN!P;`D~hz&j?rM?H?*H)lZMB77-89}eG*iDd5SQF)-N%48cb^gfa697srug*-#UH5 z%Ix*{o(fyK{|{mB9@pf3_y1SrsJ3pct+j&o?%KL~;eLo(zkH`A(4^bAb>+^XZUa#kCinCDZf55o4a^R0@;-;{8sKcH_vtKi-M}Ik& zm^_p#Dnxuu0t%0+$EQVU^BA1RXaDfT_QhrO-4=O>lz zZ6o2ViEHmB-U+4gaQR1P2?Jl zsq5!=3$>G7!d(9Y6ZGL3CLUAXBIRdpTW-EAe>L?a!Nq=lv%MZtOUfd$@&6tlY)R9JtbPEIhqyOe`b^Z8gf&r*kxuOfCZ zAG<3J6Us9wUq9^%(K%MX_X#&bMn(Y?VmGfJ0JdEW7GmbCTPnTt&>=N>lxcT#7@k0Z=72-$)>>!f@>~QKH>{zW_-1ieT#( zmWjHvuJ&>&>+1}(J@a@G4qOx6X3|QXHt(HdAxx9-fgCr>k@YY;Ix(J8{dkXVkUsPk zq2FALp2aZq2rF&M zkgQ>CK7uS-SMo??t06LoZ)@00CHX-|?=$$;lyfExV#iM3FX;~UBWgdDQxM8FUTrSI zvdUhsBDUa4394Ol1bD)F@hQQKzW2PPQ9CUiu>Uq&U^AY%B3th#dL=w(B_8ecb+RW~ zX1@qG|0SO#Y!=Lc6L~hD&Zi^?9i(Y>s=2^kHbUnl;77{@DCKZ$l9Q^dJ?Ar!d4#eB zRiPknuIDAK>~$oyGL@|_-_@NAIyZ+o2a^WBRaOi&R=lnJO8VcEJF<$97K7lH}sf%Ywog`V(IG5 z;**5roUGkM<)oE_4!ZSphL@~V!~}?K`mL;kLClprg(dxF6HAJx5(Gd(w&e}0?kEI#q-@8-}O$lb9MUza(Xyit`KV8{1 zG&4X>SMMRHf#J6+*{vrOTSj_oIdi7B!^e@>GA5~QbDk;|uOei$5fMqRdoRRpmIi=wC9;d-7$KJ=LYuuI7evjZ<|#e1|cL?N_(atR@f`6)HMCo z%ju`Aj=fatzXmCja-||kH~SyvIR~2;5N@rj9T$}k z44qIR%x?#Z|Ik6+BH~xkSLIbGS1!7I*Pf+X0~&bYa>k84=R54(l0+UNC%QP^SQ3%j zv3(eSz3Z?a3_G>vefcD!7xm)DN2N$Lbr#W6zn`nC4GNjlvt@mUFxYU5KVCr{MzE}m z&LAiuy;SvMpj8HlLr25*1zY_QdoP`7JzB?YKvpwl%x6RcpwK@ZnH{KF!g( zQXMR5C@51QQD^JagF3`S@A%f2ph3g?DvG7j{}CcdE&HA#>Y-?qM9fmY3*cT@dt2}0 zM`R{iBjg7|k@CZePZ&dEs1eSn+_pq*1%*O}Xg_=QQB=gBseG9nBdG9|;|Lzjbx6@`O6cty&ql(j8;C z@ZL%)giA8{WKkK>~JVPGF30}33Uwe7Ul(_O3kwU#<73t_!R_fDjpQu{lCIX+cv zUe^Kh4LYy--%KoZ>*|hyu`A7p0(mfeWPN_avbm8p0pXx;&4<+g>6FN`3wrCQQj(-U zyjJ8nhEOcNx;5GGs}wwiT%qbZ%

$ca``W*BxkJ_7-5jTyEZte7c&fCYrM-bOp#J95LBf?_IIlH}FdBSADtdKo) zzglgumo9owCR!UdPJrN8`5LHpPk53B$p<-(@UFS%9D{Tveb}|nQylI`o(HOCbtv66 z@B3c4uVCnYP1)8zug|pmpZhhk|uRvHGNGfi)MQRa~*4w-u&H+?H>RE&M#28|C=&C(ldk%@A zaQI@vy|KSIAa<~DCp2}}^?QYU|JXjvRoZSkCQDx|u6~?m7IGG>RuK3ny-M#ldk~yO z`Q-;~p6bWDaPGAtEP=&ZWiJzNG-zu+&%y-Fs%}Rcd}q41R%a|&-()T8nf3hnVuQ6O zDFb^GB+@-)7w-;t`FCVJd|DK=9{VJ8XdGLBub+D(3fh6NZN5Sgqi**Kmh+!Y{QnF; zKw9=1hqISD)OgQir$j(tRBh@b>3!a?(6oAH2PzC)nND=z**;{WE!9cZd1p#pO(sWU zNwyPDn#-VR^n8kRqPZ8UlM2-?kMRn1_)f4_Vl1kl^TUS~cS8p%NitBlJzTXrjQG5} z+VjV`mEpsl(2ck(veI6!fI@>fBh>G8b*fVZ@Qoe{<%sw~{V8P1uFB+l4C0FEx(H-@Fs<_pUsJP(S_HEZh}9IX4ZBp9(fUa~mtToUUH& zc`pxb=DIpDXTI6yWfEuzj`BfL^@8E5r}Pe4DZQmN3V|db-%8LlRLt8a#Cv4@i2cT; zek$L3LDX3H5i2BHANDle;sl^E&dnX$Hy7mBpKu^v$c<&#nb#%1>|wITgyT$+gb@$z zF@3HYxbW#qkeQqnwP}(Jtuv-o>{bO9Kv3Vnty+D_EUVG7{-;+J9acF{b`dcs&W+`Tg zQ@6=n{Zr| zZsS zJ|O;crz*mEx1qjMPV2V31+RH`drz-;vHv>IAKLHt-FS^iZ;cT^D&Tg`pLzcC@GenC z%`5g1FjsrdpS>yJ-jUXeH39gt3*qs=dhkm$8v0&Ir*lQI8{Mc+OF&wE0AlreVBF)x` z!#tR(N91paVAoniI*2N&Vo(`lCF-lYJkZUK!4{GK)p~_)a42D1YEJcNz|mN;t90%r zp@jqnPy0}<1d$pr!~4k2JK8Q!XVtaEX^^yZQ9gI4%#Oi zx7w1x+o))|(H4BsyVA}r8ETs(W7*-!d;dO@H%EyeIPVN+najoJbPLt5W>^ad!KJv% zFtRuY2265*!aDHp3OMk9Ne8K2EP}YM(VRy5hIoS!VyAgJ-V247O!5|C)Q{wxgaUcS z2{G8~s`8$pP#REeaJ%?oPY|HZwf8N9*O4D(sp8p3?(YQJ1Hb%JJemB zoL}+;5XP$MRrv+&3B`m^7|NOn(R;^5MALPV3ymxcKny`Z+Oox}nWZ{N zaA*K3ceRi7o@9iXPGUcOAg_h5A~k<4gH9$=V`pIhz_iiZw3i8n?oDZF)^upEx;VM{ z^?Z<_4U7@dIv?0)bdNz^1dJs#%i|(E*hDk`b{`T4yY!pGR^-L}--i_{=|aPm+fq%3 z0GJ%&CJYAMH40Dg2%RPD`M^EbTa#)puopGLvYFL*Z$QQ5T=?nm3xpt-&TK;XOjp;g zEPK!>)a<L>hL#sIajNk9n^Bx{SU8T{Q^}I6#$x0$Z(u5@xBQ zxQOKWNiotdAU85zr7|0@z0u{Gud8}#oHbYTmDD~Qy#s0MSyCIp&m$*I992I8Bq}bL z6+(!=Sew2*#tU)&Uc~u({GTo1H^9{IFJFD%iihZ<43;l*sU_@)NdEy`l5HzaMX(rc zHV)m79(Zj31IaW7<;fB9z-^>%Wq&tW0PzB8FI8=e5eWj>k&KNd@{V{9*Cna)cuz;# zXZDAJ?<`qrp8egP4cA_I;rA*))x53Ic7~AJ!HKxE{-e)+@%dv@&mJDlw70QhiPSS6 ztHt^(c7zq?r{GK6{UP%axF9dwhAqaRVl%sgwCkiD5C}Adj*6Sfq!`GqsGY(@e|gz7kEo&c1|7O zxAcnJ2N>-ywEjg<1BL~Y?eBjwGIvq@cSjNI;r$$#CkIFGk<57-Ewle{n(RJ%T*SHOTeSs`6R#wk?YI)& zdn63A%vM{AX>daka9 zLp0uC88zCbr$$)7v>1TlqcRJc>pb9R-^m9@KpdaO5>k7d7!6g;bHj{}WeKv(*8~jO z@%enHa~U6tnvA7|cwt!>lI{-bU$smqm2=JoqH-~mIdkN~8FOLtmxX0Y0gcIa)N!yz zf=s9ZYf_)m#e|V?Vf2}|{&Ykz4fqb;MWn649i27Ti-TSOb^RwqUv6GK^se_I%?Q6@ zeu@7qKi($P4aJ=15WZN?7M(wqmA^=59Y_8`nYX%L|4ZtE{!n59Cv-~s_ zlIM$8^{-|Wic`2$5|Gg08|dyfPU1$w@d-!faX!lkp1HhYp~ zUqhUEls4`x(I*i)zxM37y{vVGo4_OEZ(@#cL~n?6^b!5YkyN9&DF6u5)^U*oQ3oT` zKA+n$iTWhXT5$s> z-*2hK?m!upX6Hj_L|D>q^K$B{r`0e6jWLxpEb>Ufjcn^L!e8Pq>e5~tDzaC|-cWh) z1Fo3cMKBydU!(Jfn_L&h&?PFO+KdoDWKPVjk<#Yt6v^lsw<3@ZAbdkVJ%8@lId>n& zMQnqy6$RV@4}41kIE_yuW7v#W&ghnZ!zOt+fP$L|S3L2LK$(;Ot$xG7jCzd~!ykRwAjlZT@`z}%tw=Lsr`&6+#+IVTbJn8j4k0sle+mv76e_sqGht&v=mOPemwpln|ldVnzxQp9tL6(YuDvJJ;Pp73Mh z-vq+D>~&|XwCT^}c(OFUk17N`s$!(OR>T6z+L^qD&R(B8JlZmKz<4_35;>|@yc2` z(+Cuep_g!chmr7zfN0{KLVt-Xtnao|^wzY4{ghP|=dyL5y-V|z06zehl9^|_Ms*E+ z9AdC=ZYZw*K(mi2qebQ%%Q%MqDI_#Gkt%gD7-rt$#4IW$T#gXz5khc}$v+W+*uot2 zRR0JF`;+>UZjkAHT%?jQfmCp$2VHaZVe_;i(xD>+gb+mKW7Hmg4{>r>?;0rYG?=KY z%%w97#WFeBy2At4B5HX467Qt4Q`)7TmpAOsYpw@)zcx$&uWYM7AI`rg`H$iHS_WI< z(Mjo4eG1Qg8eo37(_REnn{ElEG9i$MS;m^nS)mN;*oCnfCiRabIj~|^yN|9eOJyLz-J4iPqE} zVv<_uv{WqTfJ+HZ(pfJdf@YEKBt#3w>xC4bxr*UC>^BbJqha?}QwiurCT4$=SOy{} z8N^Y7@;U3GW*6C>5VRj4vKqaW3GnDQ1DrI-FqSE8wT6&?i2}ZD z)^{6S;FSaWM32w~(i^OH%|$vVoNZpT`&JI14}Rw=on7jyq*6OPT7=hF&7C;v+wto@ z2x20$a9EfBZ&Koa`q^QhjL5*AruiUapS({V@e#DdUt1^F7Q;_dw#C2p^vpwN)}9UC z-WCa3_O?jP^Lrpusrp)`!Z_UX_9QQ@a!zcG=j}`_JZIIgml>t&FP}G##$OK9)#hiz zEjJ3sWZ-mc$G9R2NVvE6E0>A1H}4lNH2gr;jJ*sK!g##%gYbul@O}{VsH2PbLVpwN ztOLQsSo#c&bOQ4FF>JD3?c=Sh1tR9`#JV9vdP5Pk`Xj>#xfR!g#JA`|eID{9(ED1} z8~%M;=LW$jtKLYHW%!2hWfXpqT;F) zS_@vyg{f5I=w1w4qB*24bYQzG%G{$^V;H%2gU!5riv*w={$d5$wd(}B%b1SW8R$}1 z`rqC_`Cu_b?ehqdWOKPGij?6%*=7X zp#4U^>hh5G8uaz(F8hs`E%k->QsD92_A#z_9J%J4&TAFL#xH2~1+j;0ja;y^@RoUt zPnM&T)1gZ$7jJZXV0A~3@=oi)?BuxyHMR>$Wv2-Nu&DIRppf5YaFfW&8XLgGPh;mpA0;D+MT?Hb5A|g`&YPH^&f{yf_ z-I=(CKKLkouV8lSmiw-yc7O)S)CzJR=pflJYTOEEmy-VaDphcSc=sZ5I;dV-Ey+xi zfJ0=aH#cYO9d>JNsufC&PI0_XJNmg6UyX^EwU6GH4a9=%4Re1Y-Uu{*fq84qaRHN6 zrwamIz+B0lrvEg-1hB6X!;0zNYTAv?i1j*^)umOJmhlM9E)G*!*Tl&+omD9uo~M=N zPARRUPlyk{{YD`j!c0|E7xOGgWi~&e*sArz$!sGHTn3b+=bM7P0ohsCCPJ{7*%J0-+Z@@{>CfG1T%iSQ%A1BeVcDXdiZl^ROa4)^-Gcu?A-f6%6&1b z_wc*cRx!Z_Rlxj>@QVuzg>U0AzD9R zpBakwoK!|wFRpL?Y}=;h%&BdvxIcNkLs3Wqi=~sYR4V{lNCnvM3qk1R!r=&`=(Sp& z%g`Dp5C4L;O3v8d^7^CZyc(m)yUHTy0foHd5jto6NT+l>aGmP72Fpd~BfnBVm^6YI zq+X0>I;M(-#vdODddc37{&H##q2Uv0lD+dYdj%QeoFqiQ+jRLfK-9!A8dUvg2umrWwz1 zTqA}dpw)hXzfER2*F)=I49m(7(rlZzbVTM~X~1OtNP&2hW=k@$8ApD!%tJ9LqEtqQ zkR%_lUcr5|5$t?KAly}~WQVm^J{Y!H=RH$@?F#Nl!XVbpERmWYhwbm23Ncd$X6kE= zo%PjORPG!_=(x*GF4~eK;66CFeRx9QVD~z zn{4$}i>QR}nkQ)1Y>369Owqx9V7PIE^)2Au3OB0WaFA1f9m!`xfnoHv`P&vf45fq0 z)M)KL&0Pwe@fgEK;Zlt&64?udROYFL))>eV#M2l=i^^*Dc}UM6(s0LlW4Fp`rpIS= zcvA~ByAfwX=j4U6+kg|Y*js?H2C9{1MHTs|&CNsYdq-MV#a}dr86IqX)eKSDaqhuS z{U6UjUd)=b6?^Pbndc-f9xJ8@>}dwQMDWKM4sFnrm@NOAL)-j*|LH=@S%+=`Q3&Kp z6=4To%WTaBJ}#8b9cN#A(+DnQ6Q=VtzN^Ei!@DRUM!6PyiM?o;%C4l1;k};RyYI7W z{;0a2&U{2Cq5NabM_hxN4kwS8x&=7|?rIvHUWALr-cGMivASn5K;~o6F;8WWb!_^R zFw4J6MD*5h7wJiC*V^fqQEuu+Iprt>&6}=yEH%>}y*IX;oRhpcIrLdF(>b(v*uz-~ zWN&X3g;dc?Ai)N%m|{+GI1^&3f;L4j(32P5YTI`2CQo*5GG645=7@k64rLYd+u6&4 zUAD7#*3+zF9QV`s+ua?D^Fz@+rqOxY3P3@lYb&aIe@WL*wCAiI>sdJvsW}tj>^WO~ z-6#^uQ~Ffh)_${Y-AI3stTK$sCkxvB82ny^hEyjjY2yL zr-KVNe@+)YFR9wHGzvF#e5)46{3Eg$r|+qkmp%41dEj7BkNYdRo8mo$A~P9kn_Ev)jNOu4MjC`?dkB#OOxlvYFp!C@5Ej5t$jCsv2pFY zkBE&K&p)Kuwyd2QQasnNNxt*BM8WD;RXg9q{CeB6@$C`ZuEOV1H`7)PYndkZ$q)}z z;(eiXn(7#rg?Qi{?1`V$YTvbb>d&~-=+xVBm%}g9qv(qJA%~l^ly#{h+DGORPrFB7 zNm>AlZ!^7b$9lut_8L){xVY~Q*b8m!h{^>r0vn5_4U)GGpN_S1nKUo>;8r}tM`oruyFS5&Km`Ei7FaNbD(WE9~+9vmv-Byhr7@7TL1}5`Ul)OsCgY#Zjs9%j<)9b zqua}XcDqdPagXCKMM>lcjwVWLOqRTd%!~z9g#N>Y_{}hS>_%z-A=>?k>IiH3UeWCWKTt ztB5bDLN#eHy06TwGZ)Hxq$suz_?u0)Bcoi*k+X#ZG*DJL)&{}Orml`&CM#a=Pph+2ut%<~MXv`gF)erbmy`bdf0 zro;P0j*DQ^M$CK;!f~D30G6e)W(>+`i!blS>);ch074lxsIAFj;tE|Q;NBt=H@2~( zXsi9Gex2ms(sF2a&_FI`R}5q?m_#{8?1A`6*uHuejT6Zv>Nv;m_ z1V|l-&GtYr+<1LI%RUK)iND0B4DE5vOS(?M@O1DQSMz|MFKjMAIu5fSZE4!9c>!M? zE+gLEK4?4uo+qb+;bKoTHzcE+MB0TvR_ms^!mJ}rSnv(%pB7=>AgFm%{X=?!=-W!5 z)(p(e9`!Sy-6EZkOtUohnt);MXeLJo9YiRb-RL;T>9_aRI8QEdEA{$v9+zF!*rlt( zv_8&2_4d{z^07S0xYAoC;Fe!6)PNAND2{7ONU+pcA$f}G(arWu-F0+Nx^XgRa;#XB zF6dJRWHpN%mrz$%Wg$?aw|rt+I@SZFn5?w$$7jNlg#rGUc~2MP*{hH@$Pi?3nm0DD zxjOQ38k6GD=+yMui!NBw`5i_~Kj!&t;vK#06O%!5Hi+B>r^O13_-0Ml0g{jvaMZ%3 zvIhWQ$&YBgIIbsfrKcv5qzl5?vLzO#P~D`ULfA3X#I>PkLZ000Q7o3Q{}}ZZU@{GW zq{wqYzK)*FyH_1Qy+z|~B(dMF>C$xUL^y`~=K?ALW9mhWtVjwuhMaFXPKIv^=E{E* z%%}f{g8Ar*A4II$8?|=Dk5@eXVAQIJgnt&ynK-g5abyd=TQK)N{(1b0mzQl|&hQn> z|K$t^kQHb6W}M-ny-1c}MQ0?3xe?7-ILe(7^8D31?SBJb#JTp_vDJT@ene(21B|orJwB)sLW`uSuv0IlHjogke_8xhOAK13c^Et8_PfA`?2v;_( z@7U1V8rN~*0-c$?hTo&V%Vw{{ZgI6|2XBAKhgnCoTfEzKM;7v-@_B!K&rgnMtGF)Y z7!=UEub)1-@p8K@D?aY8=wHSC&3cD*&@txh5ay4p^i2|i zhE10`Kc7xo@M1WvT-9DY(qv$qnd)A&+JM^RBuUdsZ?NNG@^*i;0l6-aGSr>pmtc@J22D6 zsG(bMSJqP#rquqr+oOd;(a?t|qw=i0Ud+|6aqm?0ijBRTLMYX5M=;@Zy9cqF z?XZ&$i-0ihR7UdbOk6p&*TVJpM|Dcffa+&~k~AXHlzzG3ZyRI*HrnI%kn&3zd7Zl; z1oFnBBWGO^zfdfzKN}=0lIC1-wgE@=U3)$_H;>+Xc--IcJv%p7oYKQ|1aFArG&n#P zcnHhFhjy670{Kos5+Yk=!y43f;X#@8rvfSoye>^0XP$vSdn8ppb--pjyEQDMSY6qn zm-Fv=qg@y2-Gp#_zPmcKbo=PGqW2G_^r;2jXgd=k$1q8Ao8R~v+Ba{dN}$IO ziRs2XqjO!ugL#Xio2FghQYLZCbJvEYR(B84c#VK&KMQmbqS94gHOB3u*|VNsHHjNk z#$&!1tDs3XzJ@s|qBHU%nwMOV{}6ZJu2Frh%?eB#H$Xoo~f^Wr%`g48aRLzK_HpF+UqJu?0 zqKo4vo$8@OTNbS6L}}nST`HLxG(wy}hnF^YiWP(hlDoK>+KEneui6m)&=dis+<}2j zm+5pKVX0d5Y-^3-+jDP6?i^C$iH@R*FWjDO{W6hv;WQyR<6tW2NC#IL0ny=o|BnA* z|NjpDvs{P(quW$EXrtJGXCqC(4PjsQE=Wj7(@xG45&&r9BDgMR@ljF=t%f9DW4_>Qwhznk^$x z+VMM4cJdf?6O9h`E3)`DMw9*+6^7AA#PLLXdi^=R;u_b8+2(01*bi>zP8<|^FbxGosi!@g`J;CJXN-|p;Eh;4V5sIndoY|Zb5ipR#iO1mw)ruIz)B{GsH-|6LI6{v zr&vS?%k?R)5W-HZATEhF4amUmaQ07L+*98$=QA=#G}nL?%6MDiB9>$*qYjMyJ^vIy z(0tiPF%Rq1!EkPUwXrDKVh;jVCG6&{>=pNdB*HUlzqNftF8#_M-uV#DECv?`sU3*Rl@BJ`H z%n~B3^;8SJ)uUvk<*Wys{^96xzL92YC56ep0!yuj@*@`k)cW3we#&dT$|yZTr2+ppk-Gy|5W7!|ERlo56fTcM@|?pk5Acq74fJ#eMvjUC|jkg<+_ z5i(mSw+8c|S03 zId{@N4M25(LYp*UNSU+In5ETKh5L#!D9$2wDaOvG)y>Rwza7s>4w2FmFf?Aj%?zEv z{>;p`wG^~11-e@CQ_s<-Ov)JZ2W>rjM9w5W#E)Y9!dW!#D4P=EUpBbG&-MGe#hL|m z=@#_6d!(34n1Vwbt*(gft|n$v5Ujr{nR&d;hU3+?H&v-#^4X+>g;jBD+x`WWycctQS0VzH;ES&o5*NKxp9`Jux z9F(ZS#L@OcXXW%((%H7Ecsq?VyiaEu{b+$b65SubPd(4f0tFqzhZcG_lhRSie~M16 ztd8R>nxeHjSJ;$OE;L0?dwPd1?a!ygQ*-Vj{9(2weLATeE4(hOMH5H^%^sxphosh8 zv%3HMKhzk9Q5G}4bh@^+3pA4WZUXdAftSyQ)9`O+qx%g4ka8|^; zDxx{*@RANsFoWo?EkFnH)_&Tt_RNN*aq$M>`gJu{)s6@aHhM|l|9HdmGh^Fg8E;l> z|MTg^;hnXz>?kTJ5tb}p_Ui42ErKJNs)R^me)e)2S&FfT(?fjnAd(h4MwSPknjnHX zH{#D9ll+)fAgQ5}%-)F2a9qb<9$L|_!|6uH1DLwPJ({j#E2CK+cieu}at{3jvk*+R ziv>;9yMoV>(LBsP3N|%~9YM^_!ng)vb=$;xK^rD_iG7EEF{EhU+3*Ag0uhVgR~%4K zyF_Wd)u^ho9H9f6UH#~%7eqZuVEs&>k$Q_=v*H+Q#l7p!(h7RFctfz>i_KOER)6$m z|Ei!UUljogROZ|qNWJ6>SAJBiNEoEwgf1*?>p2AU_wIt)T)nXP8A9}8-6oP0^d#*sUp99EYm`pS@H`^l$6Q-i#far9v4M5}+A zVP$59chHAv;~%^&-Dll8OKYSR4(f(4Ls?fBS)s}Q_{+K**)LT#T%8%T)E3c>29HNX z$a}*cumQms%p*3>V80h-qDhSQ@ePT1GAdhcgCauYaNO#lf(8X(Wc=w~Bbd6#3 z^Ans31h0m&Z_i*Lg@L1pnW9F?0+S(ju5^fRc6Zu;b~|j-|5a_1HzY68b=wohXP9Aq zBaJeY)$1?GqhI#rT55xV5{M2}(F*6h3Lw=&&EqPF9(1F2dGj+;BeqhhoI;v?tGd+~ z*3rA%;}9fO62i^&>~BNpb7ZvVZL9$uP|oD?u!&|HcO}xo`YMHbfzJNRTIr1rfkFAe zOh-@LbIMggqUU*FO!|uf(^iqdzfC5y8dGbaSPi&y`lC5EOO*8LaZ&nmHH6 zi2WEaHv}K!i_RYm4}ZK>O2FhLjyFqfXJsB8b|V=g{?jxo-(T#(n40cwNdseA3ET%O zrzSp#s@iDbLMQ#DF(tLkbja#X!U%BjT4DbV@JVkA=%wbUV!uOPr*&&7C!32YE~8S* zHN_AvdglkJwxL(ruiPla&DvXScWdwvThj#;h|h_9Z0^3SiO(cvk8%+-(Opx_F)uA_ zGo?hisNZfoTZ$($t75RKiH5kkE2x`ojT*5EULu58UsTK`gndB1=4^qsY57`@w>e^kVah9~K@BI8Tp zCqw*`D2Fo-jO|!%#kP)NQ9rgZzGPledQ-$=N3brgZ`yDnzUi65sh~Hs640pXi zrT>938zDE)ItFwFbm|p_Me?%%^$Wf-5(<-j4Vx|#*Jp%xlrj7njMbBKJ|JuM-&QW8 z6>$w5d5C-t5d+h8ReeE-5of4Od&AQt-*}-~4Wm>j^Alxs*au-L6<9~_-f&^mHfHr4 z8!hD$UZk$>81knruFWXuc(qLCRQ`wluQ3#|WpBN2%6JHW1vqmazU%AbRtgCcE*v2cu}ZIZ>?(hFx) zg!~JrElg7bhdF?oj6F8HLA_lW%aPLsq3TVcN)1M(cJFH5_=k$s{U{=HZn&hj>Xamq z8*>In@-nb+ql&f;{O6eXUZ3u+YK51@NJewJn+uzsmIh5w;Pxt3YWineksyWq$6MYq zW?Mmeg&3UEMtdn>Q9@kWf@cF$M%eplOr)2YAdM0ECdB${sFNV^GB`{LM*EcwEf0W*2Gi=TqzcYHHs=v z-Hy%O7wbaJS!3b@uEFu9d=g^QuHfrPf63IG03=nu^Jgze-ji8s%}@`Jv0M2BA(Dlr zHf1&rN7GbUF$5{-BZ3S4g3sX7X& zu8t=R;&@9BlrnD5yf-$F&Tqo#efBq&3MLr<`wg<`T9NCnt#${d!XV?QkpPxMzLiO5 zgoRyA9WMBvr>_+vjjFC{!OF@TqfP$UN*<>1@@)ELOi+3Ki6VKUt+`9cb-wc-{awq5sYqIBahd*xg49YK6&V*kUL1)ZxV@1v`d(rw3Ydn+= z8FJM$L4+iw~@%*M)g;p6|Zmw+o{$lvXo2R+utxEiaQ1p-S06Si=rzi8L z{j1c>D>24|8uivB0}whAO{;MxPw59|PAyDje25v+AT}?`=sAcMS){)vzJrnf39#tT zzG+XVc!a;rzWCC4qEav9%p9pdWAzM0%Zdf}w`Te{|%Z|d#$zTreM(hc#y*4s};#oveAn8#N{u83iz8{dC0>gN&L z{yB;M3Wt9;zO3rIWw(FDBK0DE>@T zvz*b`Ma*m0Bs*28xXr8W(zU0Jzk~B7GwumG5J&7^D-x-I^r`ng8Or+w?{-w=^ZU6S z39CHd7$CJk#){b&Qqy01U;fPA6ZfYdo$hqqD9kUXSkm>ES|^>GE_wC0uvlb84LwK& zMzKHBen6&cp9lbt?2W6x*ZEn}XMa5S=hP!D)D2}6q;kCTAY$9cXUZ*S89`cbKb>6VL*&SQPgg;GQ}eg25V;>$E_#|hO%J3BSjTh;Y>ng29tZ1C)}LF>t`=>fh!HiqZ=}VlU(87({}=#O;^k| zPN*W;f<-c>!#ULaQ-Iz3!1T;&)c=AoRX0>J2_*|vaVN^UYrclfTYWIJJymJhCKFFV z97;ytMqP#I^Mx?sZbY9R8Rk%E4hEr?<^=)3x%<2^?hK4H#PM!D7F#5&8gu=D z+4j=U)Fng!Iy*mP*zG$o4a$}1RnJLkm>j+yx2|ONDNpAvS%2{f#R@AEyw-W6a2}k` z-M9r5(GW1JqDse+)TvT;FsP!MS38WjLY3h?bXU`jOc8`uFrhp|?|al`d$Q!hn+~%1 zhbJ7PP18vUQ-6g=Ep=A^hdWIF;SO2uYW=Z29vU%LqoPlioQhb?wr`Qr`BR&q6z=(n zO@u(LX>1Ztlk_&rpnm zzAA6Hxo$|!W+TlOinmlVzrq)*2#At#4u`Wnw(uT5uuRL6rpaYM9gE|TV{+|HY!@X} zcSWK~B6vlLqqe=l(|Ab=p8`RBrJH-f()o+Bmi zPx4zryP3vks}EIia!p)56mv61G@KyD-i9>Gj8mhtstTy-)bdCpIg^}61Pn;hBPV-m8v6tDh)ckHu77KA=woXI44Cs+=KCse z@*6DUCz)ukfDS?sD1E*DrWduTMgjI1ATu?Sfkl&-G=fpWE zM^MsGSQyWjLq|l-!H|Ud*O)x<=bv{P6)v1RG#|SPk1O$8a*ZBmH)B(*{;nc=>9nrB zZ~2l@aSzUGWH~}-w_?j^^mb=NCX-4KbxH+}4bU~Wqcb$jfCRh4)xU0B{faZ~VbS%eZD9dI=c+SJBvj6p(e0fGF5Nt!BBX494w_OzbiQ{5&EJXc*E1?xOe7ly_)QmT zDB;KuK2rR2)Twk%FIjRjl&MlKGfn~@Cf?YQI=2GoiB-mvC73MF6ST)LrKecBBB{jHY#IW zJJ4570E>^I%DZeW=Ho=5SAOX=Z;`9B>4raii_y2pO zXadjxk3)=_?XcR;+A(Qllv_c}00S0d3@TlKZuoD3uP`&rzm z4)Lo7ltc$FsiF++rfY1x8&Q4jA7H=eD@}$-ZM-Y&2^7JW)GYr^_d2LV^70kI`ZfewdBZV%9Y^S#c6zU!_-m!Pr|zeMMZF^0ig|+QCuN~**^PDf z#eS^)Yc{Hc!R9xB_!x?j_N!;5@7y(9`-7AT-P|hjfnsf=(o)Gn$p?m{MG#)tcW)Mw z`AR=*k+eVd2)6(;MZLU!4D8s=x>7uZ(NXyDu6Jo*518vmWME6ZXf{hv(TQ7KFajYz<3gUpt35C4l-$99&%Pw z(p#m`p#>%pt7`sr5{Hmf*&#s4tgu&W@o5XJWAnGJGC7&k#5SwD%fB*{QxQs5U^x6NXDqj(Q zU*z_P_!VoPxi29qzAox%W)9B6uZJTLJM%ogqV_ul`PzrQ2mUuL_5a2v13(`mnFMA@ z>2F+ndc&Vj#{HFcCPW5j;CeB?`a|if*Ns{1<)ZsBnfd6m;y=Zg{izhOywTz95q3zZ z&%YVhJeph`c4kM>w~4>J2r7Q;>DWL&&v8SOPSZ(d<+AYMR~uSFc5eEDYQ=hG?1{Qs zu#|tgp|eL8b));mz7zH5F+O1bVZA{#`s6RAss{reKE~h(U}c|{JwmoL+(hnB^>M@` zNJ59?kl-?|2!xrnY?5k+&W>CDG84<}F3@0BT) zp7x8NO>WSNAVjg++4|FX>HJuodr&e*%%fyJ=n!;EW!cN9Bq7e)#6kQGpgkrJwVAC@ zq#Egb%trmiwy9f_%uCQg;H~)jM9;%>|F^&u&rzgA!}HK?VniBY*y}2rH_A*9SDP^K zS4IarO!9P6*ZnRAPmv>LdLtpK3}wn}XM_J3QzOO^ITLU^yD)pz_f}663>iYDw7V0q zJVyZuIoU!eg`a1sQ72B&yQxgqi>RUgf6Cqks;N6&|F4SNMD29iT3f80nYNya%A9uE zo)If^X1s9`S|AA*L(a@dsUmW!#uPD0Yr{q5GF2e85;D_CNVz3bxnv-hJ^v1B-A+y_MFJ0lKhwt0j3SbgdLGLT!dl&WZt@dMjCJ1l0W~ivrxcW zIg1dsM33U6!+b;&dd33wyR<~teI!^y{!4pc$Q|a%LbjP<`Z*4nPi&EwK$J;ZF|ar8 zk#gpOKa0c7Ly$*EUfbM&SVl)o@TnO`&&>^m*k;+ zbvdG4?N{89O6*$?<&}-P$l*0U>k||wzAe7klAvo@y714L)qh_0`65#|9!Pyn`zB4n zl;z=tqeY%N^4R7$*$=2f^DRu<#u`eB`U=zz07I*WJZ<+LIN`O}dwLJjIo`5GjnBJY zXepdut7z}M%eOd(!tC(4MY9>1{v|1Ex7d486Dp)QZNX$5^!{f*=-wHP6vs>@CTmbNuf0M2D?%fFtf^GW9olw?c8sn=QP4 zj41&@d3Rjxe-Q2{oz`SNm)G~G0tC%bUP;hUsT!lUl^2T8lvV*X2=%6dT(aQ`emoK1ky7dF{Wt zPs_gO-QjbuM=6L9Xn{D*0&Ywkj>Ee{1_UaAK%ybaK6#_eE6Nl zC;smmAK$}gf4Je|%=dqH`-$&=^l*h(vwb!3i>GE@cu)2C57z!<2j}Iz@rbq$|B1t& z7GL3WWUyeDr-SlW!;!>k_k5egx#joaR^G~Q{G^v3e4_G^u^UHqgKL=-pi4mL{QSk* zjcVQ5CjafJOXQp;!u-Rhg0JS0`La3t0ILY<%?P_)KN~#5zt>^yT8HVx#Ph!xh!G9mBC#r zEu%6Y^WE*J{wX!1iFC#&FXdNS)Wi_--CQ*mgKZ?jO*vIze&`~0MXO^)^|12biUh&t z(!CPh;WA=L6LHpp_@%0i`SlMte8vHBzB*a=DER(0;Y+)J8<{#1B}AvN%f7Ncc=z}~Qv?JM*Lw+hpz*!AfXv>p>nr2=-z6jkmCab7ZG3Tx<5!FLGI-q?2V%bx~&e*zJJOZSC z1a9y^B=6lZG91NLsa<_7Hn};?wQu6!u}Yt3vVxomquYtWCvSntV!6`e`BWw*F%gu{ zsX6y@&AV1tQ-s=CP(++-bQcXbg?p_}phdyyRzEvvCWoVJ)&P?^SBSe-m-(E2zNR8w z^x_f=8AY{2E08m-&y1CN)>%xKUKpvnm12FC$t`hKnrbQ-XN*8KYOQy#_g|y4xCZi) z(^q~Vg$!5=f4!mWxmdyAISo%h_L$TFJuTMNaQ1QnC+@H9)V3E|4OlOk>E!5#>WM-g|_-)^mK@E5x(B}SgLOwr^xu%?glZba7UWK z#F`#y)!12}2)M2G%D??9^^meeP*XNO3C1Mwkm6#~IajcLR}g6?&9u0zYPP0g&anN$ zyWJB9aTyBpU<8w{j_n9$5yxZ4lKFrow-bjU#m#D8@~k^KK4Us(8r4KlMc_YryMLYH zDIu%aB{k4SP@oNX-?ZfTUTP)5wDUAFJRklDe*w(_lX8maya)z87fyxKl9oNPbq$fbgfL8@uJD>~7ZwGTN3yv7VTF6$s;ifewd_8p5 zw!wA$uEr^OhjDP!I%BPp2YM-FcAZwPZYHNCM@c1<^-L_Vv!V&ldUHoGJAGDv(b2L% zXQjI;DJ2czV*xg$`31^70^Rf=a~sQ>!^YLGRVvI zd3Ii2+zk%CbBArOK5{Q+RJ?YU`p6_bi-CFhG z>vWbO^ggB}-WA=|SKnuY4woJ%5&2FxMcVB!Y#~F)uvGJ4iZ9T0!4P|u zcvtom;a~JVc$Qz^nJ9FJ@+Atn%Q(Jph@uCh9LU)c)b$Hn9Iy0|ljZNj=`vMCj{D5G zD3-nw!;}ECNwbgO_)lkSb+>kVq_p`Qptu=01)aOuR~}Srr@r>bCdwsmMZA>M!;51M z3B0FVO@6&$fX+^*Q5Q;>n{!mlW`b1ywSa%8z3RnQ)yWh2nn+9UZk7-VFn#aj?LD7& zK1;ZQ!BlwYz3UjdAMZ*kjrNTnJngy4WR8)Sgu53FI>kml)d*#McQA`PtFsf@yApBaTJ($mvgNaX;xXxW*nuM`xTWf1?a zC#|$EBtVT-_!Pzg>6{&nm1*n_WnRMH%OIg*-fX%ZARkc&sG5ol1_b(Mwum^nKfB_H_C!jE7oe>x&gLNys-<6JrPI-Zs2a z#Guf;vw+U(B1-ThA*(3LHdQrijxPvi@$Yq(qiAyP&nu{uR7zR-2agl{*p&N8nCi%E zJhIt!561Wui-B5bol|l#Nv+f#eYYv+y3pq;UYV5V0ojJ|I5JP$OD@~ z*0hJL3*GSas^?a$Zu`6Tjzw!kz{9<=>K|tgFa8xv!2e4C-hbtkdsa7GdO#Vra$?D1`94>QYb3?{!o|-A# ztNM9jO`YG9v6AKFJ=Drs-BSP1yF|xr-b%jiCq95LGKD;4pmCtBF!;A{>bnH~ERq_bhXqNdNaK?qhT07v#jtwa<}PG!cPBUzAmF z5As+HjR&JAS{r2_#0g~kIIauiDR(4)ACn1c5}iz{)*M3jw7;Xa-+INfW`N?nRrUB- z=tny8yi;FY=0OO@z`a-9-LiYg2-mE!ss3ex*s+d2K3N`FRQp_Dc=*9n((cN2=44fb zcpQ!ONZ)Y?GIJ}W^+#`qS{70Wg=eqWU1pj#`P^F??mUPH|<2{y+u zsAyUvjRtoPRGR1uO(jR5=gcM|!>q6FpGH0CkTP`1#L(IW!b@cp_r1L{m3YoK*?EC>A;(6_ z(Kc@AH*QVk6qVQa20PF5F*L~Wo}6&(2yreF(ysxR)=yOip(~H*xlA2u*hpvxeCsmm zm~0Kz_k=j#yRKDxSM`%?zO`y?$IV|%1*R&S3s6ORrv8oXfP~8o_XNU(;a&yTA!2~T z_6xGeiMgrsaZnwJ%oMky;f>qY>t7=NFW>!8;pFggR@-aZUiX&YUsbKwI;xml1YHaV ze@l|h87mATMqrcu!08@*g1n<%Yc3g~_;b`RrQ_VNaoQ|9QT-cO_Sbdw#-{3S%KHik z=Z+ZF8mYLE;ftEW;g9*{tujr4X=p8Bj!j~_(gYlYW4_Z=_FEgO3Svj+ACuSqP5>jv zLnp@TYJ7G5OxkVVWG(cL4cH#f*131Aklvwl`Wx0~!}hd5TZVs3#0;^k zrUK6DLj}5~CxZrD5nYEgVN$s727KR3r;s(3)^-KCr*!XBr(&`*PO}xqPD%H9yF}L% znNdgye#&%ze@Z?xqV&g^4qeqTU~%d%_KWj|+FjbA!GCLcc^6r}FI9?NVTYWKMT+x0sN4wCd91P*X&Muf&R-ikD$nO|ge6T8b8+}i zPtWdXZrR1~?x4ewAo@c(8_dB}pmNlMw25Ill|#r9##@-+&7V@XvM=lsiwfzO*z40- zK36c=`~t3?b;|d}Q!~Z1>?tQ%S&=H$TaRxdf>{}P!)umX3hB(ADp@NM-tG#N!>@F8 z;3F)a(;xP=4OR*L>Xp0Mx$}uF1u8#ZJ~K?(0a=)lJ=sny3`X(Cv*@f=oTyYCl_jvZ zbzY>%!e0AOh=mY-XD%YG&f zgCZ)m#}At&mxpfs3>)eqHQf6ZG7?j)Mc9z}FGDAljVux4nX|KXpDLXL#Je@Jr{w-? zL+ixK#&V0luKXkbE`|PWCV%$|(CHLv(P7za80FH0-uEX>* z*H!<*$-1G)IV>2sx#drpuZ^V;MlR@>@LnZinLi{I)^muqu-8n`}I(>2G@} z5X97ja5)Rv1G7Y@AC5XrF2i@LB+Xo}~R72%YB~i%C(#1Fy4u4H& zImB$76P-0sSrI#K$*)udC?aj#rD~S@@onQGakl!r6jSkmvd#UR+|G-$4kYfJ>Cz>N z{V_MG^hcdv40D|~;!37fo|)k&#<^3dJaMP&K(hv#*v2g&Mp(-Ml!^)n=Io?qH;bl$KY(Q_4-5a~?vk#EfISl1R1##h8Kjl^X4StYVAS%gfBa0I2 zr$?MS0Lc$3Deh9k<6ew8n9*^!-?7fy#>0`PKt9QZ127;#83Wevb0}>-X~xtN%r9 zMqFl=?1#(4zO_r^cg8-Qoa)+bd4_tG31;0UB~=xuZ*=zM%CfoMAa0`Hjh}|G$|*-% zRgEqR{xV3aLRf1@osZp~(mCT;P$w!&{ByXmRkHz2VGxay5xD9v1ot`z7K6Extg&_a<%<5?M&QwCGF~t zO;=hQ){Zu0Z*oH~^=gDFbY-J`G_;dYt@jgXp#JQBV(!m@EsS$NnyCY-$CNRt5rXMK za!&n-A@wEwY-M>%?-xGLL#I5CFuH?GOZ^?<@`LY3n?!MzrZs|F8$TR4@Z9DPso^HW zP*m@kH>ragr$f4G#fBHAW5~IT5LUb!F`3;H;S5WZ_ z)sa#>U)QfoxH&#uuYk9jQE2B|jt!x>1mI|P`#J(u<%Ua4p6`@ysk-8X7`Ls#x&f0q zqL)pQDJIU^Eu0VmUiPFu>1@N#X5%P#6p6?dBB%3%K$V4lc__j|-D?WHAB~ZmyK^#_ zB4x+QlUS{C+w3j9Z(&wutNh*Qg0IVNsjM?mhvt=!kLkGIZ?R!*pllv6Zc7o#n<5Au z&CbVEIWIJ=6=2FWU=+g|wpQ+pPi3+VBkLV^sjL&HG3?zjLiQlHP}3_x6ovaub%(9$ zEXmZEE%2m!^n^;&90S?es zX1g6gL<)hzAfJtO5k{J;@aH*UB-JLP)MB|A_Tm+F0xZ*6q{%_n=|_8sqfRyF@= zo$El zy+$V6!!4cDN{Wnw4ANGk7y}m9^AFeGvec`7VxI51xG0WexUopyLzbEDZtcH~v<$8? z9HTNdd(2=%MU3BJukk5Ua(4vn+J&oeejBeOr}LFrhVR#>0mtOb z4wEiT#9TavA78VBp6c_+8D%mxrm^pdG`y9Fii;SK3b|y_Jdto)gXn^@Qx~LYJq9$9 z*+7Ox%=x!5huB??R*XL8Blsj47LM7PrmRQ92IqQq|<3@0JYm~V)aH)M#M!zO|k09L{p{Yi1{TQ1jIUy)Vq^X~QCgKMp3t@qNV56*tiIgda|%bmeDigfa? zrnWPAIy!r-czCVDaEN(>EBm2;a=z#|C6Jik9k4iutwpADH`@jaM0PL%mL_`oi|d~= z_=QitZqr1X?P5Fzg8hD~?E^@2)Zs2MeQ3t}BNs_Ouv5qe!L^JGy%kT>SpnbRI!bg} z71_EYK)l=4RjIJI2FFfWyFBYnty@J+gS7IE7S`OL+wWgcM_MQd2NoxGdR^1QgkS4b zNAN^)jDOmK*(Yaj6qs7kD!)Z%Es(|~8`gDSEJJ~@?xcwDMHm9!!Pa9VnpU*h1+ZOY zE_#o->87maz=Hf!ZVn+EK%3>Uaw}EBDbmKB=c&Pd5?>%zn)}; zcV?0N%tGb*BAkTxle&9@P3_EGpkYWW7$C>og$nPx))o>sL{A;8EV5{uh;~QVTWw`2 zv4BgpI$`siS$LFmQk{R8l;(RPX5&ytCf)w_|4P+8^X-hJ=I=9--~5jmN$Bc?2VhNH z6`mdPeCURAq5D^Tr^^sRY?p?^{Jnpik#L6o?{ygn33&Pe(W;g0A@7BJ*z!+^3Gjq8 z?1fU=5w%O(jH5l{;c-p$595Rzq{P!fI_?ZkcnV1^bJzt`!#Igr@XD4fATlrq8X@pBP?%=TE;; zUAfdAt#;GNMegtx^F`zY#E=b#E%~ZdcEbjS`}jrOZQWmHUk)Zo^9Ms(&V0orKGFHd z&$_#ZjnABbk)kJ%a`Z<1cwI>jU_Oy)ID zz-p+z*xG;L++6h~Y@bhCMNR4m?Igp!<1IWx!nKH~0RfZl3?>gx-vUD%d5*5d4>lE7 zPqa#;<$EX!L^m_27o6qVojc>Z%i#Mym{k2PCd1{><;Mc`LCr83AG?pALNb6Z2df5X ziQ5Jv#whs50)EI8k_3=`4)~5-uD!m%vToBc)=u+PzG1n;V1^c}4wYgb4T48-Klw2- z7AEcxt;_AkSKzSVYlsGnkwG_bN2c(|!2JZ59De@TlX)@l{*d@QLHYG`3MSpQ!MIb< zYC0t`6F=avggJUdSsVEn)6_ff{p;-fy>4oP%D zur=aYDTjTm8)|nzUbM&{ZkY8(yGrgb2f^^)x)eO1sJ$-N37CWZn=iv#$;{UL`!ZC? zL7yB7H=FYCFh9rrJ)0_LrvkusSVIn~r`|^1jVe?>+1D$w*xe7A2V{G9f-7&U(6}J_ zC^qkPkyL_D960yz$NIx5CB#`w4go{QKjDAEZ!6#X;t|h7Zucrd9e&P!8n&is)1Iyp zgKzm1-r?3>0h3oxalJQkhl%R)W=F$?D|p{CxSGCd0vB^Ac!1Sy*h`T5E8C<4g|Jx) zEp8X#Sako&*tbl>UA5H%cisS@Y{=ylSA2L!n=br=Fv+1F_^(=Iu&R4q$| zBk^nyi7l*F(vNB+i`JOuU2lxCg3JYNxB8=#0vt7Z`Cn|N$`_H*P2FU!5V0!B8#66u;?}->tC~F^y zD}T~cB3H;EHC#QygV8CixPVEp!Xl$+?GC0{W`OXr@9@sU2ea5+epJ#~KI?E$HOg56 z+>VBtC530*tU*s6`&-#lF1-2~(6~N==S3aPXIqd(Wlb)6)=*Pmk>-q+j3@Rued_R0 zDgU&x{xgUUo(ae%^%DokWdM1&r9YUJCNZgi5r)sgHA%cP6U;ER%r|VH6w23mt!ME0 zCYvGxhM?{f_YC_n<{){r*tl1>m1yGf5EJ++d=5fhvquk z8~7*kC+r$O>t>q2G#lsYE??wW=o)2*dEWq`-7m7oXE9us3=vF**739o(Ftc-m37m$ zWTC$wf?P_0_LfSd4~_rVEZdJtn~MkV(*GL^X?b-+Z;0o1h*}Z#bMx;JQa&E_H#wY# zAcsnCN5%6UkDir$!#&!%Jv(~G>1``SZm{d@+gb$ML&k}XAF7fzZvJpAdE;F4&6Hhb zQcXsvL-O32Sm|5Vj1~Xk<*aCyt<1^zgUBz9YUS)$t%e0W&eIgaJG18lE5>{2aDiVf zy9X|4)~3q^&9-v?P))fs0p{*2{@i^$7TCcG-J$ll@Ay!*^P!1rUs8nt;R0dXNM|`&><;&{PNp1L{mzo6P@b~o*oJ>Z z9V6u%78p%vnicR1=F^nM>u=C{efe#KdaUX(lY}@|OO|5cdyw^FFbmF~aaf>PAl{&? z{c=M?ccN7@v43l2SO7r`BA z80HbuwBXOgcZ|;lX#DTfL*dOtQT)PtV)HB-x301{sH9)r=02{NDu6-T@~7L>>9&0V z#CAv@GfYtP(_hYN7sv{?4{RbAt)uWIvY8u0;fTe-%|ces6XZINV7P<7jPH@aRTxY< z#phO)I*!Kzg+ZsE$tg#!d@zA_%}-T$(ML6fIxtq`l2vxS;`MAEOY%7xOycJ>bi0Tp zYlTfrL6pZ<&itALUN2d?_bxw&JlY}K#1(kk>c4Su@bNVd(CGyxDpA2qHkS7<)XPs+d~m1fIh_V$8esO8r& znID%wnNGNl@KB&@uTP3N%W!%Sdt>H;sd0=V&&SCj-kDd_3Ie6|to`n|&$B>hn68<( zNEo;)<n6)<{ubj}zW2k{($?tugKa&Vs+Fs2f)n$m7D36y*rM4V873h=CRe!bDO zSAj;>B5&VkrOyA7I$LZzl&Z7S}5`^VpH7v^Vg4_n+Wd zvCt)qH{43P==2!FM4GRt`bBw55|cimtlfggo>A7kx!^0W9i?y@su`voL_qo%wAdTw zQQ(3%{ZB5jML8H|X?36xx(_?)E|YrDPLDv3FOr~3VGT5k77h+a19DJAXuIcSPiD+` zvxN4*g0&)5EQLc5K(PVSaG1DPnuH_;PnO&q>jkVsgU9wLhwts*m#hjRwY_ex@$Wk0 zAi80e)p7Y-?_do6z4q@7@f$0*tq5Cr`j~@{69;?re#mMmxC2}LeQ0(EqyKp0=BRkpc#$f+H_nQE#`f%AScAWqYg*AF zKQT%FjJCQ%S&v|7SM4*ifkoL~H*j<5f<`5xuzKL8OH16`Ac-1xA(v81!W|(79K12w z_U3T6?4$^ah}zBd!Jj#I;p^fdRH?NfNfTlLA9a`KNLRJYq$6RIU=1uWHKi1_3#<;8 zH^N{T7z$70p|G9>MT_-l98!e~V5W*i6}J1*m zp!Kr7Y);GSSr-G>&13ggOy)A_5{FzdR^$$Go>^vFvAOyB>pW@v5{r}8gmxiRj5%bZ zGtP{IR%g7?L*NT_RgRlj^d3}A-f%tUSaim6=Lxkr*mln+yraDQae1`7x>T%f8UFC| zk|uAlUUAq0{sO11FaHD|5i9L2aPoNx5vJNOQVUGV+Rco44>dV>*%jw#+ZXih1`2<} z^fsV-L>BNN?xTAW$C*ju?E%x?oj$L%A`lNs!yy8<0a!%aZfr^>Tt<2*nDy;^G!$maVD6In2o85Q_>Ch zaZ|WL2LRNU=AKqRxFBVQ!uZrRCQI>g?08dkmD3kYU{h^EXB>_hW`8hUJ`BU92-x8s zfa{?Ksq-&1KAIvX>aLT`t-FhvLv6=4fWuKtCu)m``5#(QZ3@aZ@s=C%ur^?0U@awP zN&7K>so$EbbPYKCz z1NGg6R998%lX|@U5laolv1km!+v#rd$gF7(QDT@la9afNFn}~gWB)E{>1BbwB!Cq7 zG&F}$=1G^OQc!Q_1y<*wn$q#_cpGAr&gW1%>;L;&fLisGiUog$Jet6)0PyoN*hZmG zvvKodA!+R)%DBYfYGs&<2x)M>fv|ag)ceir_e!XT&uxOZ;#~AI@aKIZ@;}YQok6k0 znm~MiP2f2gbZ4w4yHqQk&ie_kqe-V82P6c%`qYieA3CO=h*m0`f1Uo3)9sF6b4;TA zgX>!%E`d}iB*0X&0|GSZ!drKYpO5``)8}K(nNM2}4Tkdp9O~LsKi}1J(jCE&SCB*2 z@_lB1^ykZIwT<4+pPcGet%fO0vpPok`_QKF))6+VwKbhiq5Rt(bS3-NFq9dSG8U8F z2;lu)X!GC^@c33CATX?@cfRVyp!^ghuF^`Gl!vl;%zaeh4Rc{^oX!H+JL+!$;0TYe zL(7(z?y^}Ja}d&Tr2RW=u(>EsdAX6vKwK3^!EinFSyr(89DjEq#0M6drbzX z3taqOvlxt>=V0ceq z=a0G!-Bafm*?1DA*?6t?-pFtrvO&4*TPD-0{#{^f%oP_vWX1R*^9IqAm_PN?F2m7y z^=cmnNkY1@sdt1uo^bObyxh1yx&|ip?wDoKqGnt^^QV6N3eS~Cbd_sbF`HxCZXNZv zou4zO^z*?)_@CI^i&jcmd(XJH4*9kT{8{_bE3RO|*$FCrM-Fj{Iz1S^>^h_K@6DY4 zO1Cr|dKwK@+G6$Tqb7R4#_7}TL#vmzSRTDp=MHt4FM_H>?gTo|Z84dpzW!iFyn;!Z zlcYCAvX);5Yfo+pouiGFYexhiDs#+ETqo4jK4xJk{InJ48=zCSg;`VQmkAgG6ZzwK z4}&m&JBv=%Zp|5c5XiWp@=XHD2A+gYOEol;+}gL>J3y1;xL{QQ^iRs#))6|u1Axdc zVOv7hil)Cth%6M{nAdYWj(o$`_;I@rzD(b8lTY7KId0=40*Ye}3=@(h|5W*K`#v<5 zbb6OfXO>!BQx$b6DC*ES5uZyQ`Fi7!X`r*y@0sZ;3$)JHH0GB^&q%?c^ZLe58*2*# zeepigX;!Zt8%*ct0(l83T*QD70 z+8S%ygW6P|Ymg_!s%wP@?e#p8E$>ej9G&G}iUk<8nfLg>Ez$c~04-i|hU}lxy=8VH zZY^}2907-AE81n@oH+%mj0TaqqcWdVOw4ulPBIyv%Qnr#8G?yG%i`Ry7hs?oppvx` zLuuW~F5>MvBc0)KR+qMR+#QYa8eQfk9H&;%gjw32VUtjpOwSq==t0Uv4pbYffO~Jq z6|5dl<%2JxrtR!h&$wr1xL)Y;z(|$K*5@2lCFd@9Ps z3Gy9wFdLJ3;PEY;u`4)=jaT;k!tunuKS?uuyWZ_hK9<9Y;9JwqaLuxD^NZlJnCRODOJVt+xkN-FMQ z4({r^g$vP)L&O{`*4}F&S!6Kg0bwRpShM|=bHAyV8;^BjQ^1D09zrD{8-tQ62`quxqdUwHlSN;Fc(B~gKviIGTL49WcGL9s!f*JOU5pcZlG?^Egf#M278UNW8Dw9)R%_W$+z+ks zE?Y3=6yI$86jYBa77-QdV{(Ad=zR64f(MaD`;LIt zBR6=7>mG{sM%W#r)hWyBkQNu)_eluJt>E5o?(%4{IU`?N?Qxj@a!{7j+uI1ym`jmo z^7j*xS@ffNu&{YoZWJsvtxo4a51M8PCJ67;UH7B@U1HzGca1S6@bWgBN4gtMuqdeh zsd14-f|T}NIos}uoksdfnvV_Fo-CyQTBldm{~CENU~I0p@^Z-Y3%a{Z=3zPm5WIJK zPZ6F-m#&?7`*8V_voX?|G79U}P_uT@EQ!slyd~Tz4*p`+6QOSf&F2F`DqMu~Q;^>) z`KqZYQJUZOyc~Y&-5#=pPM@Rdg*GZ5#5RMD7zcL9V`j+muVd=~-drZ;sSL<5x?Kiz zhu-qdb|0~2)K_Aym}#{GLULMJyM2_|OM!9VX9HRqKNg?iRiDGe3xotofxZdLVX?iH zWYWH7HpYsUmyjC&$n0cCZ_Bbj7cNzmv0b~);3MBad}L$$ zRd}wbM)@4O~fAq9y2m1{7KO}%)uhWg9c70`IoCxU5X7db2Zqb?&!ubYZsqB^L z?)+c2>bPqVS)dNJ@bqlVAo5C5JhOx(cEosEge%KTs~-|SI-Q{@Fv7_v7!GcCrHSdf7h1;xP($D*orw=Teoaf zR00FK6Kqw`N-c&!Y~0vmhJ~(@B1^s1<--6$udLl#Y)e+yU-pGCIGdB`eGHhoSgzn$ zJ|)IT1*y2PqHY*Zhwt6;yNigouQz^7QzcBIUlOlb?el!s)n=p2zJ)UT!GG^+|KG$e z08yyJ$*7+PZa*v~U&G*b?pe#&)4JxTgN_fquv5jX+_swbySXdL`-`xWTOVx_-x|yK zYVNQMiWp9qJO6?JX637bO%2%%uZ#R=qmY~(A>9wPRC{NQE*0nysztRTaH{)(US+WN zQ8cU_=F+x}bAJQ``T6b(zyYP#ZvJR^O^^MyD$2*)_++xIchrCdqvJ4hD42GLN?;6w ztC8`QdRQgyc>z(RqDJWBTDB{dMAK@-Z8nuQ;5F#o`e%mogz?D_xvv3!BlZDf_R`}w zS5sBzdRiqoki{Izx+lD2P@T>vdyNZaF^rE=eONmGP^XkZ670|t7UdWGLy<332)iG z%sz(_Vm_AdtIDO0O0er?$0DOw< zNll0ADBhlc(GXZ8ogl}-9@87&u`HK=q>&7`!z}DqTpoSd9YJV`Zn7f%D@=fI_lza! zM!-O}@NyaMaYJq6DL&GJwWwRgO!}?vvh@2+dw>nUYzn5B+s5X^QhcjzRb_m^!qkuN z==K$fiQ!Pa)653V(cNVMdlZxU81AA0S#(cKIEd8s#N^J=`$+RmsZfqc1WQ!l6fqo5+Fkfj6^SSS zAPnZc)MOKv@Pp?AXp*@@9lj(AjHToS`Z^}ARF*+YNZh)iEFhQ3? zo=#Y`6%gka_R}GmFCttDgnUhKwR$Y1VMCyO-OodmW_Q#sb~+)Aig#u|d=_>1>7Z`g zONrZ73dH_o1{T?a%;@2B7R@P!NofcoM-^dyM@L@bH!>`S;_1&<_P5^tY5QQ8%9%18 zCX#QgS2>KE>rc)9S_6hb;9%1#KJzywant99Lt`6ok2UXL_iYWqeb|?Vy7HIM6wCH6 z`esyN&fZ)mtKo?t*}Y-GJyj(#ca{O!o&NFT4kKOiRXujn)coX{s zj_CJZQLi4if~itl^q=1FS;9w1|0T#3SG`@wLf%|&;mojEPEfYmXPhkywb!R`+vz|u zYBx$IQm)IQHNMI+xVYG43*Bj4s5{HBa8ZShDK)DPGFi6LCyypE$!zY;g3ADEytY9O|?tkRc@mIUFZ7#dWlY)^>?(H7qzN2-E>whi^n(IZCW)2 zcn{|gvexpf=Uu(fN}8L(iC*!<;%vhs0ImmWuOAY+h_hcA_Y5eezZ9`I(?k+;q8Qm` z&y4w|+|_^1lHYfBX4rgFf?8><(3p3C#$SAc-|q9GVlOZm#ZvM!Prw_Yv)kmxq?w!f z8W(QnIaXBMgFtFKv}AFXEEr@e`m^-!?D_&XfJb(`&PZ^Z|>$ z&7_z_yFAg5+Ya2l$g+I*uN@6%c38UV5LvC0NR^jpX5+w^eNjE=;i$=CtVFS^Q)3rXbCl#DokgCWr@gNd6@GT6+f-+7R9<`LR_ z;pxIa`Caw%Gk^9JDQia>MGkka;*lOdC7T{)QGJJ)eZG>mdIaUFLywE`WOVgv+0&NZ zXNYyluLDjhA%ZfKPl4rIpyf;!n6FTXH8t%7Jo8{s7JYWsczWTR2zaO;>xiBB;RI^m z*TPDHzA~j= zKR)8stgmZ|aOz%=5v`gK`^nn#2G4rfqF0^uoktF}>}NO2UJ^NnR~t3}fTpb3QuCxs zUwMI2xUs_r2svVwuL|LKdJuW4q3`q^Lrl<`Q8=?f&&(QbgK{jC#~hcLM!n%wo+e~2 zP!H_otFcMGuyM3ukm0x?I&hsPGYNP2g4Ud@UgaSm37aYb7(f-4gb@HN-^4p~>87Ja zs%A#vUXL(j)#{*BkEG{EJnM&T<%`l~){ngD)V<&V*A&JX3QbtMYtnE18)+FBMt22; z7)Nh&d5fkd7vkclxBB!zEVN%hp$$JObP>a4Jj%?p5plwv4{?>k=qnp6OxmH!04UHN% zF}M%AiBJ~DA9|NV;wpWMcq@%C-L$a0XGFs)iIGnP`I=CD%Sicd)ca6Qi-FXgFUd9T z?dhPC$ApV_>x`$DmWh%e%TKQ^_1KBw+h1haDrQ?S!gY1Z9c@$sCCVMr%q>CSh0hou ztIm0b{fGMwa|Nf-J-fPgogMtSW=Xv|T`Ytw#bMs-o*>@pC96tz0L7LTbAK7GZ(I;n zmW#%{wa=iB(%@qigjhL+Yn4rrXMkE$eE+h3+FqGk9jXyk%LH=vi)C<&|16j>w~bEV z)peF@E;Fx|BlFWVh&`wErQ0qsMrinP2Z=rM;LprUWA}U{!U%d^U^080@7b!$&srZ{ zd@J?l>(puDCJ5WIJ%J1e2VRZ2KX9nOKC8uS$y*k!Wu10o`lOc_{K#UK3i0 zKFwT{ZSpEQD-a!8d)t+AR= zJSx}_8d4bU1eUFOpeL-7n#K-Uv=0T!5+IJpHN+sfM2VFqXPU{_9_KC;(G&Y2%*VKj zm73u7qV$z_eLI)aq}Z`BJ8+#++e+90wSZHoyYM1omRJl`6|H!MV+G@``KO8c{~YuTXJ^Pyt1(uT-YsMtDG?BD%k zGdzCj-~RvH(17HJY*mM(R%2p(DpX_-N&Y7vG!3hULRW|763{5SqYi9BV|*ZLm!at& z@Eu>O}$<)lazArQ2vLk+Xo z==DzzoE2-m5!&79>Qy`glYUHDg+NO>E=RRm2%l8#X0<9)_d<2KK>tC)lC0JWOex)a z+7l}DZF6fvTqCa(2vi{y2h5r1Tb}a9<~)r1TDoiAq!1?MG=FfP zjW63955ueTuD+t5a)FZNE32CnvsM627;k*}!b@LOpLCx_`X2-onVIf4cM6ENsMEF2 zE*sDa{`mWv@8zk(Tb%9f8E11F9yPRjp^88dnI_agco8e_&)EOKBf;sb^`AsvG(J0%!=yF3-^^Q1Yfan4-Ppi4baz*zNQ>x0F@d%s zzC}_~hU%maJ0rx(^PJJN4}Qoka!7Bv^-U37#7HpRo2w4j5@b`@84CR@W861YJQ$5* zs_urhi+K0NSTwkKwu`R74wvn`hldYwO#O2f#MT7)ppdX$taGsd74)NYqL|;U4(n|V zs+bOyrp|DbsJ#(n*SNjlu^se%J`m&x^5<8}LAwb-Dn0MHn5HnsWOQ{Iykx~FS>%gU z1OWa^^Ha$rWgq6?lo((RjJeA9iv511eGE&{!XFG~Sc>WWc8Szl!CyqeadbK%e|Fp{ zZ5>Y)o7?spj-FJl;$TSps=nsUSnCLI`7!$HQfUiGyqySqwRTbYdXAK+Ec5uyBaQK( zzh}yI-GsQ9*!K)mTd=55uQ12Jjll-mx3yi4yC%M&MezS@|`c|6l z9e{7jmt*4zF}naJjJ2258jnuZ-n3|V;GB-CLZNTWdVkYQ`-v%x&{W~t zqs>51e8_i8G%6rxUufKyU;F1kTodM#gQ`^m(chSZ(Z5?Unmno1thS>O-uz)&aNjH* z7nfcWCiLC9`}3}M#+k;!P{#GOM-yUGg?Lb4Z?Pf9m_LpM6P7&@T0=k3^W*24{TsmB zUaaq1Vgkq=K>J(IB$zQ-5l%3=L$+mqq9{aGP2OyOf3^$F9 zlTgShorbTm)SGKFeoFCN>aZ49A@R1^d)#LEQzGRbjO?9PUjDj#)BX3`?8xP1R;%SB zunmf9cuL{@hzRs!;0N1Iufc|4pOph`CF|@7?uBm_4NaYD>*gZzjkd?i{2j6<6$bBA z#RSFo4u<(%Z1`%;8;Wg&&;w0SvtgQ5x9K|i^085?y>Wm2&*h81i5NX3rSJONbVMHY zML|B34oWVupxbA;-}Rcc?5dJ@RtJ4s`u)@jLRar$V*~?C9H|bmLJ!cvhP@4kS+r;V z4_og7*W`Wn|5xP<)oyERtx&sF>nbYGx~<*B!`6BN5flgr2>Gp(QblE}h7=*m)Bqk3 zS_RSrAe36;^Me5sx2v<#*k32v?ltg_F1}FL zSD9z}em4N2M2OCnOlL7-ugQNVT3u!lrutNR1O4A1lt_^;4gtY5+}G&^F88>^)i(Yf z(p2w<%P~_uf(bQ!LmK0?31gKBSh0|cTfCmx^NHp*{S%nb1NKhXNLEi_^$Ai7n8)c( zLg1UJeqqq%Yh|{WV;6bZ4Um@JE!Z+#Q`F!(E{kA8Z8B+S>bD>`M554PkdS6Cq0{wr z=2dFntaGm8eFJe51O7eG1S+BRU|<_zYGBL4%p3!qwT?}tSY36MV$3WL(0dmWT=n~X zkP9NBYeyY@P*#{fs^6KwD;pFA5|zzw^CUz$q%vE?E#V?p>ed$n(y)M|96!1l@A+Z? zwQ7%9aRvj>$``~=66;)XZ6&Sn+k8CI*7391M5SSU1<=j$4ktTU-Y6y%y+k>b6Y6p% z$;6&tI{r^xF=97@1hg79p;R%p;0>SV<8X!0lS-)5R@8pZaqO2xaFr{r-Vjco1gi8d zgg?^I!xj-7AFd|uP-~aaZ&2^e~m!K<@xH=W^t!r%0Ey0w%LFw2FZUwL#o42_A;JOjbqcm;7tBB&u1_s+E7?9Wok z62yHuL5e-%R>~B;@|FOyN?Jk!x}1OMDhkjg&!b}g_HpJkh76ka;C#CjTa*d7&@yzGBtM9?pX!aTH8_RXHzbN>DRl>@(sP2iHHOCvu(8D8>K*mu&y z*RBPZ{)zQRA$j|`CoKJc%W`^16T5?9(!b$kKP?dK!5&byhM*VaYw;1%)iG<9f9Ju| zXYGH1eIx$0i=H3t(X2eD#oq5t^%u|Yd^vYxWPZZ;d}L-A*Rd|B{Q}mF)50`bTM?ri z%vze=E)8*}Y3sD(FK0+-AErJZ#x?x-y00aqwEp|tcIgrdWS?VkGLAP=Fa?=@3Dz6W3{IUvxi$3_b z=N`T{tUq09dDs`C!crf>?54pz^H>}DA{uH}#FYqlbBH&7RUwTax%Vy|7yUZ7RJcp) zdKKVC@&)1?z-^QA1R1Vb>oCAzC0#ksf&WuU*t-j6MR(KzlP4+zZoFmA9zfBXJ3dLJ z-vqa#WdSbkjERrP9rS#U4HAM|(T1$VJs zJOd|9C#8ZbwvgUO;fTz(m*PcqM;-P_fW7w@mq@Nr0Q@V1A2XR1E|r(y{i8Zg#bo!XH_MEG$j?-IG^Be5I!n|fK0_`|ebBE(^>j&JIAaS z=vn$;*(e->?`T6_WayW8)IyrZlXW4Tbhh9Tyx- zwgO;L`6jpXj&%=^HlSXz7y~S(~e?N~9W*T>C^A*Xe4b zlFU9G*6OZ9PQ=^-HlcKLCg|+4Q7V-)*|KytNG2Z-vedmz9~N_MjM{>@LjQtzi<>l7 z%^j-R>pzKD*fQpGpMRyYP#=e2L|FurGrYgo_#SWu{ShvDmSTZ*xEoNZJZn)fYnH4T zdSETAzhj}C01iDIOYu4NLsRd17V0GZRWFVZ`uA9rspEnj(hX^ZLctg)o4LL-uI6VC z+p{DM_r#wX$MR~bCK=I6n8m}qGL9O_Y1`0V7X`0*HotaA2ljH(q8C(IjI-DC1K*UO z7%*-PArL_P$SrEyV|LP}yA@{y0rf+Ps-&D`i5l;a#vSVZ(>WN2C+etVvoGL@lsLA3 z-6_NB5t@i%-SPy}ATuJkkR?l(L}DoY!P2lr4V)A;dDT%mYy)P}8^YFpu&9CKCqo1m zcB?f+vVj+~bq|_mN6ZeR`{@d9CafCTwQr@arLFmHsE_?=-{z#Sk@e)rME?5$(^j2sYtQLQmw2qtZTDU|z(CKou)u}+&R(UY*0Y>_Xc`7N;6ZLF7YM{BDT zjMH3pYOUP$obef-}E%6 z3#~d}WOhVePrEi!S+Zb~f8aD_A9hC?ajxKZ+UkztvQJ1urqrWIZw>DDBWZq~iyFo3 z*T|ePi*v+Q%_d1^&{AT%D1+|A{VPY?!wq9JG)vD_y&&e))LDi!7yK^Y{qR#XcmNYT zj75nmbGE5+*ReuEus$ICi(5n7R%1OFdWy}6Y14eiZ8fArt8Z9m$wrh7W5nVOH-}9y zF+OJ8HP>a(dlzlJTgoN%1!TrCOHC!`@f|Jb!EGZ?QvbK->CF3d#)zxyHIWiE@MGa> zjsHex^$3SY8OwiK(jUgc0VPVO%|gQXq^}nY zOa&-T#Aeb5X@y$31s6#7N%g$*)+mG<2lUl(gRa3ZBruEP zF2XrMXO)fOvB?s@t)sUR0#sm!J~%yOosfl1KzWLy%~;WF7fe|5?Wu~ju^yY`h&n6FxXNeJy%f#K!lWY`nlG?3oS>n_XyhVke{l+%c zp{}1wYkapXl3T_u*SZLhkqY#{u&V`Q|goim?;W>fn zGlwGJ51!F~xF$j^rgy145TL)%FUxLz$`UOdmPZNX#~5VN6_!bAvuiHH`PzSP7#UabTY z9uJ8>m6R*Hs^{}Pcu7i{;;@aiQX7h~rD05@2;gJcZWpQebi?f-Gj{Ab?h_o_&?H=r z_M)t&PbW+ruzM8Y1XZb_LG*TYRnj(STnomIZgE^O2jjlxZ5DksV3srOasq1U@BUA_ z{KV7CLa#21TedMIv~k&sVK03<m>=g-m_t)tFtV$&WKwx4e9Xv-ZaHT3Y)Bs&&|kq|Y&;y62!lQX9n|voym=2wWv%MFrxZXNNZ%B=L?bI>d(8 z#Qo!X|8k|V=Hh$vC$Ggy+y&SvRwDWhY{C@jGBb3JXyx0DPdN<0qC*{hwS)bQ(~`DP2A+ zf=NTqrfu;ZLxQ5Q^As}`u;dW@A@^L6(+;ngCL$=*DxR-&xg?oGwFbP~ux$o)M%luwcLIU!Aj0`FYgDKE6 zdn3vG$$>S&nn907y6l!}6m!f*cd-c?D4zx*VNCtz**R@%ZWZe=#0PD)_eQ>6`pVV1 zfJ@W8axuhg!T3-7^j!36qId2~`MqBJp>{xflgLAKptDMKtc1>NrPKJQAZ1)fvOBE> z(v(hBVLW@X&YJaefu#%_iN&WP;EIl82wT0G~dw@J_!3nj==Z#GBi$sP2MNTNv031 z{E%*LOG3&)9kX-E`~;3{0N z(s^b2J?$F;wN=^Jha$>h4X0!t$F^e*Sv#kl!QXAM>rFtTYBn>g?&PEMdxoL^O`F6W;>_1 zPQF33O@WI6SXip3kPzOdvQJ{maZl-j_dxjF$wW4g6(yc%zm3jKby2gL0J~?mw{7|s z5OKGd1xwSd?eLO5Njj-l=|edHPuf&p&>SD2sDeHV88l1I%q6KN`#20(SwfT4Pi$VBFv za`e4eYIWF$+_b9LA&(jxrE*&heK8gJXMW@m+>f?!UK@!G^hJ5Waym4-sY5JodJcJ8 zfUogR(7BU_j=QCkm0yTdo7K%97B>Bjr`^26RwI}tv-H87(~VS`)*f_i#}Z458`=%x z`~q==7!0Njw?sHg)OFW~#T}jH#Vt`*!%WApRD}$3ysu8O{mMhhb84B0-cI+T}z}1xmt%!hLBO(rgA8CGsg3xx|%7) zCQ_2U0A`8GM(0crh2|SzXlEA$bF^)sn311Qhk}+_QY5+Mn<`HoW6A)=7qDXs@YfA^K*ao6L;?m4*E^Ftpia*oG-cYgocpD%0-QEy)2Dp(~+-}meq z$#lxI?yct$ht3L9WEMWiydlnxRFv#|apKhdRcqhea`F>itX>XY--;I}4i+C=UEk01 z*IdN)D8{qzk30JLt@3cigV>LivZXXwPmxmZbM9}eOAJ3cCJi<2quJ89Yfr*i^TV1; z7Qh3K#C|0G;g)<^j3`YnelvA`X{F4f^2D&V0PfohsTPFw7<{?^f4&eUv(t)?&-x&PQOyjc%=5(-%Fd^bzNt3m)3n-{bF# zbZzar$U*V$wag5VDQ=9ivslJ%Cy=%LjN7 zK|reQy=2Z*FeNoJ6i-e|q*i?mE#xV9PDdn5rI!~Za+WMz-idA9Cj2r_7iR3VKZi%Pwfz{!mA zy-H?C&zAK+VjhQlPP4R&S67`a8GN(z^*$fBSr!r4OqOs|9o33`?kJWKnh~$cD*#M5 zIhf)p1g=rJU1H!juSBd_*F~tn52;PU$r1Y_z^*N2!YNl^#Ph9W>#e5CWPiJx%xZ~N z`SWQuR;>O_Z7H<){_+MJQC08|r01@#+A+^&imh&_9djVcXwD!kqjDXFjv836(*)__vg!>RUhFK<#7C03f~{CQhTv~f$_brdVPvXsgyYkppd zUShd#LHyy(ZXt(ZAZTRK>2?EkSl!l9Za{EAgoS7>xHuhPqfRmpUz|U+A<_KGPll_Xp*hn`G+lR zcMJ>S^byU)Q=Vb#$w%rwb}4|jSYk%u`t))8qsjhLzMSrNhsXO1SfVS{1U*9M6zP*2 zO!C}Bz@O^W)N$fBL)9-%`^N7F5j`4((f4colGFkdhd7Z$JJbM+Z5;zrs#P#-+nw;xh7cRUhY!&l85?- z-Kv1A?aBqNbqKj40{LG&0hE3yx1~{<(c1VXDLVdMI(MFbXFQ-`aGFJQ&g?e&fVITp z6dOm3=klDg<%CzU@{&ASUH(h*kP5fLd{(Gc7vvkpHwDFg(Tta=_F{S`ohu$4YTm%@ zGzwY3Mf=3#{Txb%qxsHvDnE3I`=f6WGlcNL&`@f?WgWj~nQVzvE3oj&nly10+jSDr zxbVe^#Bxgt_=Zuusgn3$9!FunYHv?YAT?wjBD{0G+(u!Ey?^<>VFbC z+m^@#ter&mX#Pq%4SO@{5K6} zKM&^4n(C@K;B9wex+sgB;{r||k30;LUE2TNyz%@=gK&)@(JWiR%c1ky?WQNa-11wY57qfu)lbnemiQvqsmMAum%#j7xNN>=Szxo4=0jb`E0xPVnbJJcl6^-|dx&hZLU zFtuNx`S|DTU&|u!nf)@iF||a&q@zx3u*=cidgkzX zJ(x(A^gXF9mk?(WM3YGNg>ef!D~vmUUN=w&H0qI=)4f^M-`y^v4%b#I1El?mg$=bp zO_1fgoZs6LHE$2VG0=U0IPLAcJQbLua?;D)mIY+JWZQem1B2IeydvRV4KeRsvBhIA zt$A&Da&tV7s6z0YvjRX?R8iGlvGQxj zbw0)$UbIBY$L^1>)`Co~NZY@ebA*Q$hdiCM?4@OiAqmk-evey3^G5dUN+|+qV1+dgG2|mCH zdUe(H-zLUhKMm(y^@|hnH}|fN*Y>xznj)QYATYA5b><7;Vjq0|zsw!uKYKVp;SPai zSGYEnmBeIPI~W*F^RXHII_JkoB#Y;EpQKfK69a!+-S)E&@AyvL2QNOIJZ%4kb37r@ zH&(}0NWVRO1nF%GHQND!aXbq z@wUoZ@&8_2P;P7cIZ~3eBUIKz+tdP+6h&SpvsOvZDOp`L2xW~>dAk!&bQdp}+}}Da zm>8)4VP6=-2gWEaV{7cax0|mt_uH<#ORl0>vNi9^!W=tR7|yNCv)<~OX*41S%A!FI zl>F@Y;FQyyxs)MoN6mKyAF2E6sx5Zc{g^3jKCWjELk0WPNj`@=O2^nC56w^BcazQX zNY@QIuTyhr)_;RMByKt?Qf!`gFNerAQsjc!!|49CiB1JD=t(O6BTTEyHwB&9;v28N z9^dg6LQVYfXsQ;PpJV!+ko=daL&b*pY{Ij=eVU)ptz%0X?sggh+xIEpm1&|-Bzxy_!ZbguTl z%Ue6@2~rIm^BjHHcY4Bka>6knUs6WpC!5r?@$LzyB`eIlp3Eq2UgeV^U=PK|!VkW@ zMc`XOl2p(!R1wNZ0F3C7a$1519doisYXe=7gkFnb?`!e(>_F#b6(6YTY$N^-H5c~T zEw}=!kYsZ1^KJBDV=F82zZBZbPF z4yNflFK3c2oQ3=QT6t5_rCNJ;@*LlJTmb>#yG4MlKIk@-bzM@GpnEKDiCUNrUjMSC zFHD7xiAZYfOic4VX`M8jdlWqMptWU%(SGGZG0PX|dP_zjWP<@|?7tsQpCHaYs$MhS zAosKUe5E{!oE3}iHm_oP9GOp1UOKk}KysH&d$E{b`*|xr0bz5N1%~0D8We$vWrvTv zcDU2g|Hr=-d+WB{b1!!byu|t9v>JM{dXL(H%%aj3d5p^^k4z^4n!_P5v@m#jGV#>? zaHNiB{LxyBhDdQUm`aM}QSI6}3`P1L$mgr~SjaLe=U(UK-28n0*k6b1)^7Hc*RNl| zBtJ5n#)QWuzcoHI<8lEcuCH8Upl997dAwojkP<;rh|$RU$FmQ$#O%zgrz}R#3YV%v z`ku?Xe1Z1FiXJxHQ{p_F@D>=53>T4gg361>T~{DB{Q&cbW2}ZN|7F*USGn;aY}Ex3 zyIH?Y00Q>OVk`F}D76BZL3)WZT6@=}5epP8DY9sDCzi`}b&2;xv#7JJ|EHpki<87Z z9^u#+^{hxVml%YSd1qk{A^eaHex@{P3zuC+=gv&j{kG|lyTj_oX_Q0e`kcuAu}&}{KbXvAh|9$zRUd9PyL{1bCS(=L zqvcwkYHg{;^Lw#>o@RHt^TB3qcMREcs9d>?+MnTrRY0cPo=E13f;ntwMb|}`d9wP2 zFM@BUFJDM>Oq#6OrF8BY%SaH^R}82hGh_vlaF$7pL(& z%p?^)Fh!a_!hEaYhogqZdt7b2oz8K*_E&@lvaJo&p`;@A09!=#D!^J!BIM`S&*GEwq8 zFni&O*QvY(%l`K1n_XK-TzJ_O=%6c7t(!1?C38BvY9^G3uPhV(_|{EXRIybs6wI04 zsJWca#Kg22%d>rXh%RuQA;_G=*uWc^-iY~pvLIMZ1=l1Ph zV8e1m#$eqAw#PCwUd_)ig~396=#|Cb6tqTdHG3>&WLm4z=U#rQh|FofiQG|g$a=+z z{ZL2k-@l3|=&I4eVq{;CM#=&um<|l?Ur1E<#SF2(cHr8u)A>2N9o7GFdWF!-o?!Zr z@BL%h^0;Nsq*F_t33;VBWXn}Q1W-Q`Wv@G%RkM`<@wpDV_y6o$IK1qH$ z?JYGcRJlQ1`g-nuJToFR;#CqDeuVpFAus-Ny54T^xOnry7WtnHrj8 zBgZU(&Kg)9`@79;t?m`dWL6Ao6PY2i=LJ&`n-F8ZM`qQ?KWkoztJYG^R87`>X$#jW z4|kbc!hNqsiMzLpQ|ajd*2?+s(#111ikag*gVPm7ZVbV}KB?ySN~00Oc6FIYxNCBo z@C)8YRNi4=2bGymDpsPa$(EiA)X-=|qLJegsoJ9H{v6<7M=G7OF;@Nq+Ne%)TBJ*? z3&h#_HP`g<6RzX>9-1N3?|4M+UwQl5MAg!t=G9){B=(G^b<2wSqFWvNG02ry_Vzsx zXD{{hRhVfF?u-}7!*zrw(*vfC!-%m%*Noo(s{2U+cmhdSEulA&yXFuu-5;Z4f*0h$ z6y|OKm_F7{&2#byUrY3dvY2VOzYE=*E%8my=Zo~F33Uve_grGrF~O`f+TrR#Vp+3? z5ZF!2ndIwUDxF1mzBTLIY_GB82h>Rc2Qrk^^ltzCz9%spjyh_m+2w|fI%-?jz~ueq ztpuV3%O3s*f{v&1xP@e1iu7#r-QU=~Pd)G$q%UZ+EZI`QaMgzk@gnuA&X{x9kjT;)$ zOb1J35f0T1Q8|t&dodz-^U-e=3z}D%U1L{V(>QF0l)gxq6rxhCjLwWWcb|zt@(!iE z%R*c5sc=m?8<~iz09vpn{j$!)1m6k{6PGbUrLlRqkcw#6=Wc1#l-?A>B7(V^&Gv2s zqU>tW;~gH!Yq~0T3~XE?KzJ+RM4(8S#i?^`H3*n}g>Y{1HbS%-bgwHt8TnyuX1;E30K z{LWN;PhxTP9+GSU>&8T!%PVO5-j;wdo|ivFoV_8B$}$hPeNVTA=L;*LbB@wA7d+X` zjbF}RxzL-<%&%*NBo~d{v`M~ezPxT&=JM54GnSP|H4RIiO`kM%m`?NQY!|c|$%mJ! z*BJcUaGA7v4d)X%!L(_4B-4Q`G=1Q5RbUrt$2i-CP0smaC5?Z=Ld6s_P>5MwZ|$WD zEB}vI(4VD|s=i2SmO5nStCOc3h-43bTa|2axvyi zrBPz%8kTm%C_GbmyClG*l5sJO6hov#i>F&v{JqOR9C$b(j4P9 z`k<@+2X^Po;A9Y=sVbcvN4HdBSImj-ustlsvFW%Wx%Lk-izo+upIfRX0AaZ z+C~_fhp3L9Ld7c!A7yjU8}&TwJx0SCbyIxvBrzoy~TI-51@%wiL9@1ZKOnc`Q*2I5qu}##(C{=@9G~yNIQK8?*U;0!lFE z7{k>j?Ni|kr;6^wT<@5>Bz(KWB5`g8zA$@&_|1BO&z|$}o{NT~XiRlUkW>L=xYMp zk}c4?oy0Hr^qXS{*8V?yV+=tu;^bvZFD_jX@+|0^KY~b^F=hk6xd*nsfo17o8(Krs z9)vW-fj8O|;)yq}cq0vrd1cJs6L?QQmMF*U&5$R9U8Cdrv+l&TrY0 z``inEj=j%q-}t01dDTbPGX_^@w&iB7pt+~NWUcTYx$1XUtgt_h`e=#CO`&O4t2pTV zHem8apX_gbmxKI1IjV%!>9TFtj1^`NMli%OyK51ftnT5M2UC+|{pE-4ZxzQ8paJ?>D zW@dywJLF|K!6a-NVH|+=qWl`NA6^hsUeTQaO!Fe}3`@guX*+Zf;F!@#YJQ$4l9xay z1K&=bXzH4E>GgL=CVD~X+<-5Q4 zm-tTB6?NJvM=CZAdtFy?w~j+Jq5a|jBb~fOY#yaq<@(<^fLS{YW0V<34QsqQ&J`aI zlbr7p`Lby(*g!J!XY{PNa7Zvp@Y<^5c+iB26}?`_GR*wG-+8?ebAsa7%L1U4ITXPk zAk%0VK$M`?HP)GZ)4q->FYZ_Hb-=C%uX4IWZ@dfBl`IsdfUN4ccK$v($?hWVNT@&# z9?=PJe_>hxvG#twDLlgrL3O!9Qp+K17Yz^`vkbS3Ck49u5t(KQ(uEW>S!+4vy;2!C z#-=iI#y*`$RLjCD1b0BbxU~gx#!g-G&OY;^iVcZPsET_7r19l3M!wn-K0+t>lhyLD zLF^7}_beb=cKm5JuS^~`#Sm)FWSg@j^=-k-jD}h>-HNc%j5QxI8bf=z z<)C<*T9CcRUi9#0qqttws-g0#8eU+BqHbsEe-vr$RL&OZtfBWOpxVrOZ zd=YBJKx}QPH^S+Fu~G$}>}*YCK{oVD39i9EygM080^bgzDXs;gDTjV1$6L>FG4SGI zL7x5Sr@>4U@Tu*L=5u~Ao<`I3jF+1Qw>*6_m@za#C&`$%Y6ER?Q3qckG*y||wz}p| zjh_{i7`g1}z&04k(7=EJ4v0Z+mgNh@c1AbHe3I{nXZxV74Rxw-)B9JBrBDqWAG4h! zec3Ln2d5d6euCc}nUtX!+`Ip>QSU<4m80t3_;)Jf07Jb%CSgb{RK}a2GTyYPGKMdY zzlb?bTf`hgVk}#JIA#a6SXX_ht1tX1_WQi4*xQSWU-!bsrGOkO<-iDKqy_DdDHxZV z<1ROQgg@70E-`LMT6IksA9oGXdAjxy-bDT9`<6vltQL5trO!Fi^aI>>5akoIWl`jM z`mH;$|IMpunC(6Z;l*WMemc!w^S)Ex=Ngl*$cNHRyPdf=^o@PM2K@3?fSG(jFiv1F z+Ke)+WN1WdJP1e8$wQQaXyWYZ%VU#wPETyLk9t0FhtmKM^*v`&HTE6Wc^UPZ5m3EE zt~7-9Fs!#ab2czM?Z$aJ$IxBm(sp?wSe}NDi`FDceLnHn*#P>)P){>;@YUene32() z>LfZPVQd361m8rQTL5~5J5sIH&g#==?nl5P3I}b0snxjolsmkfIC~GIcXmnJUu-R* zX)F??j^|xh4SxO1DpK58IjbV=#}q<=XMA(bytXWt^ zdDen+hvvt>@8gt>ZL-P2PxDI8DIe8TvblmhQ~1C$G zr?h%|H8L4to#>yH%SA>zm7JF^5#6>>upnf)k58r0`+NWx$l!rGR2@h7&AxBj5zNrw zQZRxXsGxbl-9_!Yv0DMArt9ue8nldlIy=YI=+fI?8)UvzeS!jiGv$^}-!u7EzfwG7 z|9m5Tz+wE%hq5~88?CN)Fy?s@z{ZSw z)NV51{vGtOp2&0qarSnNB=9-o+YMG3#%96o*GiPor#w|#lSSdqp!a`LUY^T z1!fmQqHJi}!y_jph3K29%zB1&4%Hq@6fBq|Ys~KgVKH~-7>QahqXK}|S&`qm51$U3 zalM1yMrY;F-9{{i6$pwEE7qVF zh(RC8M9Y|d&nTF7m#TK_9QpJCoL)|Yw53Pj@^Adq6n>g+6zmNo!iCyIG}lpSg}R+#H3R-`&1Uin5LzRcyjx_}LWjL*!+g~foy~a&yrTnjt^$~I zn418K!pwML z@I|wBbNu~q3lBp2PwhuHlr`R7z}-Hxu&rBcqLYdti1tRxDL#DHWg4L(0hoiYK(8kB zFs&-SLmu|7H3xNmEJYwF0))?b2F`c=X9V=1{g#rkqXb#=5w~dUqAajEV7%+&u37S% zr7t>`zPNPh!gJ;imW4j?tv`i?FCY2wr=h`pCrLS3I6W}=SMugp<$9?LO*WGUauXsb zro&!`fA4rA{mCVW0$H{(X-Vi45n)RuPyBo>$VEGUu_WVP!FZtzUzz#h&Tn?tobCS! zDf43;)#~qm7m{N9GR(bT{JRvs7E-Fru=R_r@v?)8)gcFy7D7s&IG7u?s3I-I zw*!z}SxA%d9rW1Nn5{qlCPa?$V<%K*ZB%IBG@;!ouxP_%B1g|W%@PN>NyEfHo|DG? zRO--8EcDlY`FgFVdb6113IqSxj-;#^#c_hFmD4(uI=?jxXpPjA%5x#jQl|RxX)&G zIiJ<`xx?+7=>1*Kcp`MFSDDWEHG;?X6f5aB`SJDLAQj0&y|4gKqsaemx*{jqo8Bh5 z&107qy=eHnf|bLVF*>Un{5In3--U59!k~W{aDz(t81Uem^C#%6SA(gG$ABP@W-+B< z`{kY=+5gh8Rrm%i9;?QX1utNQwS?ebd44kZOmSTi;r?@{Yip;_+9_!OCnC;Mh}-F+ zK}3+x5RYn(7fjY2HaL9~fAaBcgy3CQJv8$=`pU;Y0MN>*9WjOFk96aJQBptBTZK(5(PM}B!u9u@v~xvQl#st`kxV8C<62j7&HiO zgHzL7XyW1fn8UY94DRJ1N=X)0$|`7k(K%9A`M~b-L=XgB0V~F;&hA2ehdQCRv&z06 zGwsKJCb+kqnO!c$$Ulc^k-8fT0<=Uw_z32pL(WTG)dmf*aY;F|q>b^SW8;4Ur}8}O2NRJep7 zkc>*6*3?z*u;cSmKI*&%Z-v3>y5Wu-wb*fmnKA4tE%8P=)J!5qoO%Pzc<8{+6F)AB z7KV3Zd@r3Wa2;Jpz^m8wi3D>ZFLFiTmYH_K=J}p}=PZ+Jyba;(k`cKX1mRH;cs0ryC*|8*tqBAGmIebO)qkT4EK7onQ5AI^!bI;IT6EDluqjO+RqdW7Gz7n~_8!YY(tF*A zwF_MUiCJ>36+G=m;UZCFgai<)J=o-RR~16AJJd@Hko|ByQmlw zNm-bR?zg|QdZjD)-8r_>9TKP@_>7lx@y0iH!JyT>{naQVv>3(mZ4}F{|37>Fac%q& z9kw=@grz`Y_=fh!B%zLw%i&x&qM3Z8sgUbicriQn00ie)an%xI7e8HL{4LCP15cmx z$=1>SWsKP2gT$BO5?^{vbjF+zlEx@cf3!!JwN)tCx9VEP?~lb@V~o+KJ*=?w;#ZIV zn4T`W$|pBQaQ&H4iqkDWK%}q#L0?S11ATF=uVra&UzDYjLr?P!-f$$=#eVRU6E%05 zpQl)NDAqpQ^4@0*nmf#Z9{w6&AsPP1v7)Pg?Nj*kYR=*4UFN44B4zMRBg&!=ZPTl8 z;tI65;g9rP_cTaDCLS9yKqBUd34Yz`?%Q|o{7)PmvWbr2M!)3&P79_PIKhL}egXc_n1a`)**6k!f2w? z#+!nS)uWuv05lQ+-x0N~F(_}=-?1@c2A&`Ifdh;4n7yY7Z& z6uUTS$e4LOol)$u&+0iae234mMhU^amh*{*yG8222~ULCazg%2Yp}ZuK2|9pap*^6 zo1db4?MLg3pRpMN7#H?$pJI#h`i?I(mUh?cs4Yiv{#pF z+CEZm&=G=(mas`0hfM}k^ob4SIHQca7JRjp$FOu}EmCyu|IVU?&-%ZQ_x(s0D)LSF-QFjje!pqu1HoO*CCakFiA zD%6bW^N{YLE}Iuz7_5x&G}l9E0JpecrbVa&jDyu%EYld*oAODJHYUR^? z;T72i>(C^h)l75ns_TvXG*{P9r>+;aOoA`CCyebuzu;Ry>T^HfB`5G4X!;Hv! z+Z#SdiM%_8WaCdh*L>j-m^N<r zW)1KJ1v4znmBCN;ZNqI;reK^ju#9#xdA7bRkeL$!8F|26`L+IR3_UFq9HLrCMs4p{i?ZOeRWl1 zfR(xONL^P`k-A?NUg~S;E}EU*s=tHkvJBEk^uDi*j@4$lsKp5vA6|F0izg<%?w^holoyq zU|!bN*kk|56QaCH{038psWWL9#F1Muns)s*?6y1Vfkh+>S1P}Q$!;0(T;ySLzhx0rKauEo3;prb`3^XYQw@*we>Ls)?_m3yIjVsTcMOOqG|?;}&_ z@_O+EjB*j!X{TeBG7qmnu1nMOY%*7b2r-8P#&q{l`FwCs1w?lmXyNzgRL3dpvamF= zq&~HzyyJDxgf~(KJP#<5`9SC2(EMkfv+IHjQu`cG+WKlPaSM>8gLRQS?6d)oYhN&x zYxIPxf$hpFWk$1iHE=|^Bc}*Kuk4-4$v-lYnHxB`2XEpegb=oBQNSm;`j+9dhLPHH zX3~qTW}5{phrurlP90J)26-iP8rYPVH|?l1^JBEpsQ)VWGG2IJ&I^61l3a~ z%ZI)z7`9%Va1dCh`>8iIHQ(JKDDp)$P>2R!U;vdtktTiN&N~3>dCvt4rQz^YO@OGp zlMt9e(E@L+MEHUoF?31@`olBq5PD_l#Ot^v&Ch0Q;~_ZDt7@cXg$Wf{ZzZUcNaOJwrJ73VN7_EjV=M#x~oUW75GqQQoe(ZKtTrYv1-fwD(GchlV7OmsBF)aU#u8Tg!1Er#^ zGIs2-cT*ag#?2pYt|#Wkj#?I^-cH(Nzj(|H#VwhU;G?=%q8frT!u4P!lO9Z(?4I|b z#zySJiss-wa&M_850TdnqRn^rl&kL_Tx}1DP*f2Asgg3d;a*nK+s zz*dbAg7I_-!XNvyv8yCDV1gw;h5_rB&+7ll0)5kU+*YjCXpV08ld-euk8swZ=(M6B$LN- z*pATF`LUFfKCwI^@O1#G0)>{zWzzm1xy&LMnTlNURQPumYvHn2V#8j!#*B=&VPqVd zof}e-28P>XrHsTA(zFYM;`rrNohw8uzM2((livnCuzKfhbw=h=Up}^NOSScXEY6qE z?0v&sqm$COQG;#BZRfx4>ud?h3~?qdMmGC^ubjrXj$MCGDP3ax5asY)jE8s+F(glw zErl*@?`rp(-p!M)ccx#LhU2X`@Zobf)`v0~x>xu@p!3L9X^4Z`+$#&Ab#&Ho&5l-j zH{T2x8>EY)`t`h`j_SbUBHGfjOugYU!S@v>FsYFXSI#p}Akby^x{I>eUC0=zMIiI! z33s%Q}o) z)l*(qovHgBRCD#icEa8to@~v>wX|>M2)DUY=(02PHF~$!-WR^ZmyPRogZAW(`XzZ9 zbF1AQiVJ4iFIL@u3;e^^J%#tbY#?x|*(z648Uz{Bar0QBVVf2P8a@08Gs`uKw()yD z`!F#53H@~DXmFoa$HYPMGzceRVxmfB{zect?HAMSFA##_$~Y^KEPhA-Oxg&Oe%O1v z*E`&4cEIbi9|^MtZ{!2kFj`U^H1w$OkCN1owIx*s3k1=C5((}N{HPtSm?7cBr1A{1 zS^z;1=&B~NxmXJ!m)njxOan~;r#r-D8nw1G0oOLPN4o>{D{!aC*^8}FkZY^Ijq-^T z1d%5=3xg?KhW`(Y7yc9ZGyH(ZWZ30u6Ww0?9@?tn;N5+DaZ2Oh(4ydj5|<^wE0k{L zYL6kR4hQSat||-Qf;?u`Ko?R;Q$_=`&0X+CxCjOlhlpk8Op9q06wUo@RE7^njzHn+ zs@Hol0+1Q$Swe86>u~;=exxZ+VwcCeQ)Dht?*`J2?x^BsTM^`ZlJ5B9Jo8tVD{tVI zCybRODv9-&rjP$=mQEZ(;IZsRM|HWKQRN2vemVe()U1OG=toQV0+>XZnGc~L#el+M zX4{a_>bUdS94C>^qJtSo6HJCyLx6iMvx~Joyte_gi1d3yzYKUv`~SQ+*6{gQ;3D@> z$*Jt3j!NB4iq#OzU~j?Qj4K&W;&>-Ixfoe#nA0}QgPF^LIbUQJ4=Bbqp|oHU*E*^z zm~N1U5tO0}1iown!ieBlN{@YbW|c_S1E_$WFEZVS8Ju%M@rSz)AD!_Pn)kL(_xGPJ z&YF3n-~9ul6>^iX036Q?@+F)0bzJb}+r}>FTqm&={;2tBL11PhQ&+6UM3fWzQQkc| zIaPpMB8kKKHS~>zmUtd-uWrd|2KV;(AErP4K7LaF&HgwH4%e5%qOU&jlPBWVf?>R; z?O#pu69LrjNBDZfH=E?n@4oj9MaBQcy-61xv{@l3dtmEGeY)M5V#n6Q82QeB|4qi{Sq2YPptaw7cI{Mu4^aC$8;^B(>CFQ=Q*>UNTqI07Me+;pU9+B2w{VWn6KP5fWBwH zx#qm+D{Owmgh9BA%$Bt*Rqps7I{kU+vHs4cD+ZUoejR*-EuoM`mI_~(?!9us%vQBM zRO=a-FGi;h;#fa@@RON36H%JybI3xFZ^L5HWj8eNb(DA^$r$Z9U#BTEzT3Bi!{HOW zt=^-Cu>y(fOQui<&3jLSl9E;Z!hFNn#RdQd&|PzND5CT}!7y&-n=uxw+{L57?+kU5 zA~HkN3ltGT-KtyB*p^ktwc6>uL%uu)l>`RHDYFRAl&@Gs?UgufO|QgrnK@x)eGs)k z9q@fz)I2ua;24p-eTHPvYtw!&QSly~iIt~)7y+8vZ2oE>J6+qYI+>%yf&d%$PPzHJ=*H*7mws#eF{QlO1 z@D!v)1zcMparyt)`_8W>*68a9&ty!86i^@#Od)|l5D6en2@oK((1Jwlpp*+X)GHz) zO;iRjPJ&*FAfQ+RmVmundqG7+YzXQF6~*2aohF8&sg1d3R^|dclUEYwn^&+MyK{;+bMs%UVStdoBO=$`|;y+ zY{wN=WMgLRI91Q}$v@&Tb~B@V8|yd2`vY4X()!>!JY~(#t7$i}`RKR%v&FprPh&@Q zJHTt~8hrm1`K3j!__Saf+O`)P6u9iD{Kj{bJQ< zYYEmVeY;+kAGc=yaMhbaE9`76UiNwEW;{iO|y?(cQiFR(#C7+3kF z_Cm@U%*`>{W=J{IkI^cl@fZVv9dG%9u`1s)F}XGY+pBfx-29)|s80Rv^z{C!8NcoX z4ZS(^iEw=lcKmFlbZvQI2HLc`XTtvm1|@$KiP|J-37iBZU> zUS1us4UPYCC-{5rDeRW)Pq+c^I<^8E>pK#2G zVdGc*MFFE(qnLB)_Ze4Z%b`y%uZ;xuulzW67sjGRfBwA>Ycxdtdv5E`BP}D<*;kg2 z{`zsH-Myt7Be>vizxuJ!-@o=MhmLy6zq*$#7>R7htP^qD_Li<5ayV5OF!Ea|eD&)PMm>Mi zEj#fBGb_%;1kl%eD+SnjZKUYfZr@ec;fGiW|9&(^-QHI`idAW$?X?Sj7t)^=-mz`H zdT3qWnq}5!=G-X#UQ;-5=a<(T^!%36b9n-P&&VFoB%(5S)-&S26-c)m__|Rx8=56VnwGp#jbQjJNd0pSWplrdZ zHK!_TZehEq;P2{DRqpY_L*AInWd-)U51#ymDHp9HQ@>-f%!4mjWp!ZN{`7>MJF#{a zV?X2ie>Vsx*L1DAzVq0xYD^HRnsv2!U?HYdwiUPjXu+IdEhAwk_x5_c|B0;(-8&NU zv;UsRNCiP;q&THZ}*g4S-DY1t1@*6v0FGgl!Z=&F?C6_jty_@g!LCP}SReA!=e(<|duV>3kzUPPBl}PcNgQ^Z zE#mF^v3&}ZUHuk=6Ud8Rw+*An%4mO}KE?CMFP5)mtHP zShfQY7)(ka!En>`xdk%gM*5197KOPTJY3J54+M=)^h~pQf>|8)^4K2QriyU^NW{o7 z9l=D6WAXt3wU`8p<0f2rwcCw=T1|jGVw}+GbEF-MtW8nQuGov5-%}nqA=|q=mby`i zYz4tq@dj|oCrLqF1g@eFa8(mRmd$2NKFq&{jwKQ7)W;!_>uMT7v_}sq$^V)f85w6e zxKtsw|Giq`&~bbjjo`Q;8Hmfvi3ExLN@P_LbKS%gG~&6Z{}O!PX~ze1R<^fx87Jg?BJwJy zK57FltO}y+MjH^f4A`xWWD^2THd86O8? zSh{6Em?pbq>jVc<+wt0^&vTBr@?S>Sr$S!I_@S-qN;fbmdn=UFw7&SPF8Jv2L(HMEwbcdl6LpCRnnE)?}X)F$!l^4f-H$NmG%VSb*uo*qYlQ^- z%zmTY^$yL+wPo95!ZYg^AV8{8jgR&yT1Hk9QgcB1s`l&AQ=SOZ(@VQj<=a@!XokA< zGl|HwJFrj@0=V(xNsh*gJ@~MK-tjNVX{p`m>Uqb*=UOi_(?c%kLsr=O6&eU9kc~48 zt_mjn{jual;N(!o5=nJ^_J2UsxZIL5F)F7E^@#R*PFpF=JySl=HkcHiBG22eWlOl@ z5lE6>R~a7t)F;|%n7>O{SPo11v24T?bE@4mhtA5>OaO zSvkW5RQnll0vs(W2eE_%4;6A}ZtX4^?Jhm55jgZEk|JIC6RYgcLcTTRUBJ(x=71LR z46gIC|ezPsNtvq_4_Ej zlV1}_INksxU8V8Om%<^V}>bMzMUh zm9+jsMCpx2T)23${E`pgrh+pF#pjAxYoTCED>^LSdgoMzWQamJK}&eoi8vRFgL>ey z*EA;ZMg5I$z)weVcTz_Oy=}hv9PDGcH{Rmi9cL5n=7NO3zkLyKHMRK*D`j`uf#6<^ zHlQJMSGt)Rh%#!_IaYxF@-~HSQ;`ZCRfb=r{N_TPDa5#FDK6MkHbvD z%5fGC-g?*S2^X&tUTa=J;*Ila2kEQ3-zx3_fw;>dwx|33C6pV@SnJA3`FKdH(DTwV zoNj*J0g2k1%yrx`V6N<|7U!|VVqc41wCy|Zdr`$$Bau%{$cQ2faJ_FKzvgMfy=yrN z@nqTA3L-8VS=KA^YI3sFLIp%4KOH<|O9alyXRqG*cA`MXhZc*E`t=nM@ixew>~nX7 z#IaPs(KF9FZmS~_eu5zJ@%?uFDMBJ?7>@CCml7!tkiKZrp!~Eyk#+?Vr@Q<4nQteW z9)ZN67xX^Y{D_QZq*>7N5?Y-?WK}i+0dq<|(YzQ0b-DhcyWD3?8NXv0a;bo5nFLET z`18iK%)k#slpO=lY8h&Bg}iJOGPufGKm=!OCx#%;tOcyh%#i?U&lA7Gl3$c}%;$7_C=NY^B1dL*%+HhF19PtMU^?`4IxM#p2WV)@p#xJPv zIpt>vHB!IAj~H?d7VOHoR7VUw4hvk(@kVEiyeQ#&kr7e5&3NnZmP&-57FmV!xNCgR zIjVh{Ztv4iVO~mN)CR~aHNr2L7_+jZ2_)~LjIW4GK{~FRpw`3e^YZw3Sdt3f6uEv) zki()b_Idy5(%DR1Q@E;tID>~JZL|5&3`geK=2;9NX^RCBs$$|Cqtefsm`)#teD1qS z^HkO{H0jarLP=-T7@E*I{{~W>&igmS_|C+|LARSzP9k@C^RvCKNP$;cwqDEBi(dgR z`CrvqC13Cn0*^8`-o3Zr{i*BL#6^m1Ad%KuB4>oeC8>bR`fCBvkd=U3;2uDoZt$MV zmIouB_wd^~|8|E23e}f&3?(sJQ2IcT$q2L$&S8~|PN2*$ZAaKyxd3pxd^R?$`2c4! zLFrn>lS<#XV|spTRKZQ{iqujy0=X2OhFzkk5G&TT{%uY-+d~fzTCb=DTzOMk*kW`e z0z@Y~Ueyti9OTBbjKiJ8@^s{znm5MVs(zCi5@=V%ml`BO;^q)I#&Ox6^aZHaqjdCC z!?Su~rCp`Ob;gOU3NxTwT}4X2R|Tysd9cCVfGY7dwRi~l)Co5LjxF3kr$Tq?B;2m|iaJJULO2KO`uaH_C zOwFxIv@8nq+MNrDddkm6JE+4lgZF0O5)HRR_^b7Sxh;vP1?u#I?W^1uiux+7^6*cE z2Rs3%iF!bMVzxn4u_L8t&2ZP2k9>Dw`#4ytw+i4VMIDA=v7#)Nk2W0n3@3_rMugU{ z%Qs2F_e!)^3W&!BwWJQTQsbNEy|g#En0TTacG1-KhUtvS~LV|R7xCn@^&ctxWI&Y>i z6C_;w4LVj#hk#{%o3n0sJ5z1ai~d1xIsguHz~Yug2#(`32Y)_<_@Ri?v2Kl!4?b6^1B)0kYd-BX=*1hL3 zByIfG=KZhFQe~e34zGXI0K%D)mM1(-^Iw}94mJG}#>TOje+{VDLehg%)0p0dr;8vF zd|hs2)X*~S`7Gp7YS|vvWb{P@_Q57w4Eu1kW5zDmA1Y$6hW2n@i@zRf|7k_ijep3G zlfAWsB_gK|g#YC|5tc-^=U6z}efZV}%DG9rxe0sj4}2QZnx4*!WtYo*t-jm^;t=07 z%JhbB7lG2?lXNhw;zzrdiaxaG{cOUC)x1Ncgw6UG-hq@+6cVs!Y$Z(_Q?RJU?sDnP zO9y-|YWzsXOK<|~n$;nK=|uNHdnE~)1Gs7lFZPO(1S^3+O>sRsqk=@3f_&)hZtvl0 zbq?c6q%Ckw+*@-c!Dw}&+0{XAqpV&4_b*cL8Pl6cXuG_9{uND}zL z63Wc2B%$-=60G^@(dw)MWcD^d5F#HJaFj+8Qzt{>eKybbO)Hrcn7Exra{L*hHNuPF zdRxgba(1OLx4U2Ww1#OZoA>}o^gsDJ`zcIE`J^jQW|QluLd#+45ya4lKh9Rl+?!$1 zF6Qj0$(67`JzQwCTgF}BRgAd3Coy)cYwACb)X$nVMXaytB>61E2c=rA7LkP7_UEK2 zN%&&4Y!8N}ela!ptIWwdqXLIiXMca>jfR?IrVBM?x*7#4Twt;FsdYiN_{0GhkCKhy zl3?2qladdYT~uSIKW*D7X=d@-6ltTtrODcYH&_w&8ZXf#^31-GHu_bXlOi4>eFvzN z-V!~{M5*k+uu|}j^j!L08GQ^E$qUH6E)XepcL+}W4{U83!te(BY*kw;n8`qNGT?lH z=j4Nj5&q9e%B2}=iz2K@hNKCQXnv#S(LHZ>*?dzB?42%06#J7> zaF8feZlCB3ky78JYJD3=i>&g}`v9kl7bgo($hZbL_YUE8tka>%6$?*j1(h`k*IS8^ zoW*Qno4{a71u9 zZ?#eXjgM($)m#=$0(!?*kM75jUP+fPC`ph!YsQ}D;sLk@_hDX%?3f)8r3RAg3&07p@|KRqAE|skdI~BZ z;Kk{T_55k_(;v0wkw#gmoo5C(ytwq$@6mI&fFSmqO1xHh;SAum<-942P+s~CWR8{_ z?UYyQf?3{bW^T^Y`i^o)&?aV?PnKQJ1u^=7mg3HgMNFE)HNCzo5hFX??^8VG-Ju{n zB-bKv|JfeTEk9r04-qa5|Dk)cr;c=21Uz)(ykg^2K`)x`j{{O|zjx7 z4|1Rm*0W+CelGon;@`7X^8P`To7yC+H*f@Gf8rq~~<9tV|Hl>my>-qARd1yc^^hWqiuCS16uQZ)O5PX6w~@ z%Eou$l?p>nd*BB72k*)isqEVRBBGM?$w9kQ#5DL>-uz-Q`~0b)z@t&$2tf3?k&`JT zegBHJM?p76o|R~Mk%1S8zk2kLSP)lC#+?TVYPVv4GB%$kX4rW2eQ@q-tDS@i-^qa2 zuz?FFJ1;h%z2_0}#P z_h3OcnIiPO1Pk=yDOr z42dkuE`t0-)G=0CUO*PIj2h`k8#BvINS4ooB~q*1!HQ_?4;K=+CJH}A3Txn?$;n3R z7^m$-W?-1J2pp)4Yq zRmTiBVT?^LgMBbA?Ey#-ts3iX(-?oHWGinB&zCRQl*%RY-^C^zfuw5ipK-c{qy6+| zE#$#lPIve=<4Pq;x)Y)QxucFes~E+u{#4W@pFC$7YN`(WyJ22ZWdRznYLd1yIacd+ zf3;EXD1&TR;17uwgm(e4Fx3TCIevQY#dw}>>+H*j$}qtyIkyDIE@9><;EHn36uCYp zFM5q!&gk{A8~aW)#!qMZoV?^=*^Nfa|0~g)ucdLhsa;(ws_PC`bw;qW9UVZ z%NwLXG*r5_p4`MMZRv>+)$e2g7geRgkG#tWr0B`LEGxxs#kLm?&H>|CTbZu`iRBHp`_#0E&H$nnw zz~jS>t4vx~yZv&dd_1a110t)rq}Dw0aYX{)^1eG*`JpF+%O3~Kc;A#yCZBe%(NT@- zT{B*vxgx0adxg7WvCQrqs|{>%Uy*+S%x-VhbyoBm5y=-7AAo44y#*!i@)JJbGOQ7w z!K*j59;(^}#5GT^oz_E=up_Pk-)^Wedd`8oWTnT?jQoycZ>APoMZC-)1paaM_`q$liI=wovr#@NdL@K_2VF8~`i415wcNw-it8=p`5Yfk6W z0Z1I}L~vcteSQlPf8&_sjoW{4{L_=0y^zFtFU~;es&)Sehxb=<3G=#rHodNELIZel z+T}8ze>>ln10{(he4pD?-r}m2YP~)xGGK9a(w@L)%Fl`LTm@|osjc&CXkE`pv~__v zUijS;2pq+)0uAVh2n1O*TKpQ<1X9W>P$ohTol3#Mmsp{xOlyc0Od0dp5fVkjPRla&VJRr18)|1iSZ69R)rMxafryzE_GVgabg_Wi73skhUY$y&(y_ zLCZWhi0?z8Y{Gb5@s#_OUTP(UmIu79;-9Yl%VX!-deTPIg}~)A8e6^X&=?A1=2Y&w z+s2=YXyaMoSkLQP>rXNF{#{x)yABf=&f^^vDg2y>1fn_K(gOQHxr>V_Yyy_F*PYB~ zv{-+wzz^#-vRKYa&NJ)@57ITw?xalUfr9L<)(a`T^B~>vqR1t4j%9$rP`G zaax4Y(DDnBpM(_WS+I(KaXok1G?&P+C_B(N&#A?n;1scwOmR)~1ul+%9W#Df1YF!4 zaml57a)@TtEef$(1tiTLRzQ+$Jk&a8D#erJY!!2W>a&A>oK-0I`VRYsSPMem69Z`! zAH@(XS+<|#uxHBM5afihwmdKtf2lMVqWE3B2Kmyfnu1jT8XM{~1t<{zc1Y1ve#7$< zx04dslt-Pe=Cx7AHw2-uxSOdHh7`atTXWwRRn$>Jmw?qO?-H4pS@;~S(S7C#bpBGJ z`Q}JvD&DK@RzvN4pJ`E1Q-H+5d~;Ha6LMqAhQ`pU!k%@MI5u81Fu~z)RHRdrJ^L3L zPljD2Ep*cOX__C%T}zAhoKSx<@u8NYwtoS+G`Z;^>88Yc6@JjEBtp`)g^{F1U1h}oADXN*YWe77OI6({60TYH6Ke=ZF6tvSQ7eX_tPra+%iR`HRz5(PdK&D!>Iy2{ z6|PdQK7uuf49m!vlr_!B3D%mgl|_)RX^Vj_a)53b_y?#dRf3mCPBNqqeUA95sC2YpQI<>BjjWT*7r9 z*b!H9_*s`1E}X6C8aq4@)#mt9I##9uPmnQo&*Ibn2w>w*8wCqT;_gk-a7#* zXuMEM>4|EgqmUXrqBscmjj0qM8I=8R0cS}IdsidwH05Bw5WSn}TV^XF)!0opv|Yy% zM`kp0O3I-VkSLs2WaLV1KC%}zm$JqkQ&gc|PPV)DYU(H_HdLm&vJ+1!Rsy9}En!mq zzbQ?XUeq0pj6?j8n`h&7)bYDoiqV)e&M{XUid^PlS4XO;zsdkX zv8+|fYetuv<}ERMyu;0(a{V17dYSvQv-1&_R~cj#O628q-AIOu4@MQTCU>9IG7mmd zr}CyFj=?>83^x&8{oQJ;;G}r^8& zn|%(HQbgPPBmK^U(7@K^aq$Oy4A>`5%U`zx8$lMVUci2=Z1SXKr3qU0z2W`w0k8{MBuI4wX276C9ag z+}d3Pg_E)FDB3FT49SFg2DzP3KB{B%m3NB{Q%&}leZD1jloy0X#R>W*G*jtya4{>; zKpkL~BO6|yoOmtDk7||+I75FOE~I3P%K)M-avObukl|+0m5BT`8s<;63WdZDJU*kE zMKxG^LW02kJwTMg5kbnP_9tLx-Gp(SKF0=P`7h_Y<|I;49t=e2d21?P@fmQ?`EeYWBf3f<*lo@C@?m5{_eTCBk0vo)eJQn^!8UQ=P%*PhT*# z4|5SxG3|fM|I+^ndAQ&Dzrp|u_yHTtIt*bAkbn_Y-TbvtdSWxFZl~0yf*PTDey(;J zl2c;n!g*xG$*iTywXi4^nO$!^D|!(uLTySNmQ=*f)L!V1Ct7)wJ?#PeWPG2QB&Vpr&R&)cUv~)Zo-tZ9!CX>C0yYIB*<@|vdEQ|{U3Ulsvi3RlUoPTJr!1zV%F+ z$u1Xey8>KN%`7SZT&h3v)|+2eU8y@$Ol@d}L@IK$MdGWbO6)ODDQa>GWO{e*EXL$W z_c!xSUD~x=OWHhZyQ@aNCmCyvwzbwrQU=ShsAni;fXVg>>tnZp9c(e!djcMD;mP9?=3k2DGAGE&;2)s?q!i^rQH$a(HHe-#9 zHm>_`Wk|_wPk35v&(6XgTB~N-s>vaS!M%E_A9XMVAGF1o)63Xwef?@v6bRrxi-$@j zhu+yir=AbVo8|kXUP=Kcjp>HI;sH(%&OsV*`VGqHlvD9}+_Wm6do=*E% z#H{}K2+r2z`Y~VRf4=cG@@BWyYMEQ{*ONdLLJ}5jYWS|lzGKjdk)#?<)`PwgyO8E` zZX~EB1|q*on_wx^PAWe^LvgF%7+ycw6O|zIDDID9gQeh#B%-^u)mW=W?`MJ#Ele|Z?~651-Jw>{^P|gV;b$l zma0QX#n!5ai$*Q}R47 z;+1^-@Pvu~l5D&)_F`Yl5^GwN;vg*Q|KX7FTu6)A z4kzxiUg5naDsB^8s($FpFeu~ml-{050w!tcLf;3hRf%ya(=k=6sVC6er(#BUg+%%u z7268_RnGFpToa5Iafb{qn1eZ>LLvgJs^-kI(3+u{7x@Q>$pmhNllt@#yyUjG`>Tik zwE16Quhc|asI8xt@{A9QV*o3094$Dl=hA}za)xQNzs_SF`+ThthdAWRX$r~o?vgOm7L5TMEY|H~@ z?PXxEZO#-xn@0Uv+Qzj1F(o?=f6%AoJQ`Tf5PR6oacNa^H){7x4<@$>iaep;*(l)k3 zf^5U|6dKY531;oE4zb=Bwz$3=g-aXFCV_*qHx(Sd(X_OfpO$nS-Mj>d0%R82IjJ}W z|8Nnnr&3udjdn6tGq>|i8n#Z+E?%-H*#aYPoti3Z$#V+*bv4$dkGmXY4cjQ_*dA$t zux*Mz>xT)O!vNDlAp(Iq&h~9Tz{WNQ&i#IW4$7M*MryaH{>uf#@C8$|1LiH89 zcTSUpvR$nA>M%5AXBP=PTZ(|9i`{)DX8gV^WC?QA zLH-uSs(Q)hOWgryg*RhMKZwj|u6gJ!f56FoO1ZqVCvg%|&(-4fjkSQGA-p zH8bV@D5MHu+zy@Vs;%#R4XwUbYe`rt>wB15A&{!10UeJN9hgtWjTHXq$+622XI#)h zS##n@)WGi2Z7-8l%%JM0XO3s zRl|z;r7w7%Rp)=!(OzS=KZ8{kcR}Hdac={Fz@@jran;bkdspOfZ}@a`T>VEbhBm9} z15V37(;<-q{u05ST#)p2Oo&!m%NO&IZ*MUe4a<@x8~y0Vgd%F2E#Lj;b!3&^&OLFS zH1PDO;sh)e_)tv7?8UmuSUxM9ZPIOA1vD15{U(rLL+P6ph8oP@XaZxsmc%#ALVm-9 zphy8KD70{8t-Pwe< z3RyMK&{F+US5szaC7B4)(y&U7A7|1nOu*b6VxHiDzlnV?G`E#Gf05X|S$O-stt z>myQjrjtSd`^pE1o@z2#aT;@HE+APqdbMIYqV;Z8Db57-sf8uv zrF2Hc6b({x!KmAKcjLSi@={kO;+K{K#CmVn*n7|#y@`LiNGrxCiySt$l?0mvPDh?m zeH8eoOy~L_1uTwr{h>4onG6f))OW5wOoBs&Q_l|*2bXs+Rk>I1#|`$Yr+1o!n?ni* zumXWSBJohcOmHV%m-*a8`3dsS(tJqY>!Jrzpf}a~PBQ=Q@o^Hy-h#!IWrvcwi6*M9 zGuXGPk}+0I+mDJeUOr+nz18YENWlECllfO?V!74=Ye8q`b{%e2v8x(pXJB2P&9JYJ za&974f(k;UTwziu;5x>(1um(#ET8XL8H9>)ZOe;IQbZUFEsnL|e@`2C87IyTFkGxz zzM1K5^H<~8vD>Yglv1Ph{>z0;8Pvi?@CC%_8(z1>2S4PP-<6p6LW6qY{gWc}SFv3LD-v#bZB4}5o+ z2d7k=heYgU@g}IoP}(({XK7;(r#e#JRlcZDhpf4BrZ$E3BRkOH)ZJJDf1bPHiOS*x`MYIgG3GE&Z0tOA9T^ zQJQRb)N(?ymGj>S8!^eMD3ZB@guLq6D+;L?;#Jn~{9eg1c$)x`Y}b%h;efwdlvKOt zZUyHSmaH-l>n~!tnDH24i&`<&D!ObBG4b#HO^#4H$%$2c%_Qj{T5|c&_O7f+T_(YO zRHaE<0g$GHeMPX#p(VgYy~+cV$&buwDt)mcm7h6H+8%pMskI=IO-@YVqrSPO1Q!>t zU8f}3CawoXh}C1QtgQU92Z1F`0p9)f7ma^GTmrar4{=W*o4QUU-QSQJ6Y> z=|1W;wE9=nmCNYdF2PUA#?Fqzv)flLZ!}3m`2N>-!U54qM~D$nrw%h&N`gUXVpma# zbTrHa?Z(`GnI*35m>$JEz_p4At$iNO5#Gf*CaG)QeNR7~Il>C@d#H2l<-n~qw)cuv`R~6V{{rKlMylDHoi`|myI7Y>P!x+52*u)$t@8b;i;>bm< z)hX3tH=VK9zcGcd@3X6ruRD;*TLG^j79>RrRK0 zcVn{k!~gQH5lx9(F3T8^{|Coqp68vKCH6bNrV&V zaTu>|C4x|ZNQB9PL^(Gl%@_C ze5J>w*&3HSrXVvC8fF)RkK$0JsRXnB+4B|%O()7>fn)s4c*Z5uNz#&ePn<6`Jlk<2 z`+i(olQqRu%Dar08hP#PN)KgR$XMWK>dt_qe18_EYC#@RlZ_yc)Xb&9rk?MSL)5q{ zPJh)rFf&EHAH$Nw7M*1&Td(x(#2jT-;f(neQ%^aE?K8Nm%m#?e*|L@poMgfn!-Ac$ z-&sH!kX8ev2cOwVhmB0-rPKDDe6W{)OcuNfCsHt3dr~xiXKv2ppHwQ+V1dSXi2U=) z1B;j#f8~T>LC|M+E0l{8t`-_Xb@)4!1ZKm3Epc-LX!mQkLLWfcd}-(E@0_ z;n|7%9rN*~u`G4-%%fy8L%(VfoXCMM&jVl z(CLMYs0E6@${&L>>6j32nmS!;+DMY~YogK>mA+l8-uERdO*1B=rtQ5}YPMJKLLtt> z^QXMWOZ83$aTl8d?k;k4)KYw9`TMO?Uw<_=&HAKAo_Q~7vb@R5?_55hWhzv+A`|NW zz6B>XZaL|?UYUKS^hPv;4*w_2*(Y%mC%*w6PS>(s{=arw%N$lv2^R)6PiWKGN zVirVKs;FPoY+9HBT-s1JmXzT1;zk3 zmjl*g$JbQ9b_n>;y~hH~+EMCPXR0SaqBee*|Ge2PU+=c&TbS-sJOkWP8qM@TPZJ2T z31?Y}4QUrDp;X(XN~31e_BP1FARcho#+&1%Yi~9^+z2g>WgY4~x>?9{OrL>AkLLry z=kh_8RpLp-Vjy*`MNZF#R`*D&QqDvo9T~9;I+|38ypnUiI2Ysd)YTg48{R+i3mApu zK#sGGDZ6AC+8~)Qt3cujRnQ$>UVzSV)1A0fO@)d?6o1SQP=df88*Tj zcVV&Kbv-1Gx_J>!P^cW1uT6#0yKl9FEzyiz@pj>DOnhan{_l){+oqH=mhWKQWlZIE9PKMer4I5<48!*!<RnCkjnJV}8(7W94cR`ZWu19JV%=T?RqQ@AE*ABL3f{ z*Z{=n!>q1ow`{|ppXo0@44Ae(fFyJ}&Kct|eb3p_jckzAZ3XVsD@>^o9R*a3nc1+U z)X+(XenJX5cfzwWdJ_B!S@mj`dfKw+`9?nvDCvY=*z0~=-K!O3I_WAOmXOZr$qmrl zD9TaTMP1knF4@v{l_2_0pTg(X(M`7iPLfyK@o+QBM22D=5PbPc*kDO#X_l9qHA)wF zcFhdzq?;#0qG;|!t18U?MIWz-g;ib-bYh!vwq><{-m@@p-pss@jO9V)6`dqq9tt#N(Dgwf_8fE&{yGi#S_mG#f(km=+Reu6o#LadER@2IPUup^mNjlau$tcf7{;+s2bqq| zbX2?>mdw0>r#o%^I!N*Fd$!4`nHMQ@E&<|KZMCT^-bwmLmlaUvj^mO#y6b!((UES6 z7t$H~-3%%YRUWXxn(mRg_*@3ZB4WIRe4pRO~ zUwb;_5@PnZa{}bTojL~ZveI*6?er*{PcqVYzqiPVF6)G(M?{}~y8o4aSUfVn+Wl!A zJ@7j0f+oh_E?r9xI)O`Q^n3z}`7@eG=Nd!ymVCfYGi+u>`;SIS{pg`pT1gjoDzn2v zS5FU5+W^Fr`BZx3$`It605!)skcnti3T7QmvyO?M3?*K8Lx~8d$H}ihFD0PU+L@L; z5eg+eUW%P>W3I)3H-U!%?@n<$^R3G%svmti1FX=mRzRe|8OA0trCG%Ax%8Qe_gKXk zVIMYENT2-}C`2T)Yj7uhZl_0*OL|5L;%$?33KNFN8ALH{ehbDzmho)qDK!-q4K)=` zd;HT%F~$*po*7^N*D6eBia&|@+cMMO@Q}DL%Vg(82_>j&M0=Z=b(du_e^Ky~U<@JC zBHFLb*JOvspjqy)v@D+K`fIsHQ1ZekvEtsfCLEid^fyauTD$Tl6Oo0hvN1Rlkwa{` zGgp1kj(uP-M5mWwdhF^akXTf~Od3YQlxuEchel4$i@XfdPX@yDwV1iXD|RB3wS-=< zF9CT_JHeGLE>dj64naDm$Nht@D}#f=5-irQKBBeI<)O^SBOkQI^o@UEp3%l(vGI|~ zYm9Wqo9W1O$hWb!jCG;DT%qL2HS*vYsme{0vHEPwJ@Pr(3}mwqix$ePcRQ{%2kB^E z=I*&*(y2=P-%Ukz_#;f(&n@atkYx1`O#f#9tEk*}FRH$!<+|1GVP$Qmm)4%CaJR|S z7;m9@%IfxG4rvEGKZLuz4!OPa!hN!jH1I{Eq8t_%-%Eug=#E^h%RH$A2df(x{!^N- zWWX*>-$F_3#NCPakZ-l$FadS1A{1~Yt*5JcGp@BLJTWL}ari6x`9Aw`Ov^U%j>Lts z1LH9%!X^OAMuxGNSmIvV+4f~>kGexhn6%}!i(12krT?aF0_l42wD3UP*}RIrMCt0G^S?VY`SuXY zAxfO^;!L2ZJhdd|d&TAOk_Vni-D`$Zu3~4dcI~kKYw68v4v;F^Y@FT3lp7Y>L`>(L zzU|UY!XwENZJd{6<_EOvE08#mT@mN*-?)aq8bXxMvk>;&DZj0EE$632-MyeS+I2jt zj()!l7Nfa(t9tspoxQw9XYsSK&%K&&tK(MUe_iU^j29dT+r{^(c$5zbK7LGKD;u6H z{tYG}>7Jbfvyt1LR#`>vc~2v?Bt=Rkk+<==FLsZ+udaCESn@dTr7q>3#7;Jh^!))i4T!lK|0*x_F=LkuyRRo+Q z=oQ04T?7e|(tjU(waUWmToNk7nm6yXczb)KBX7}-9l;DvG)`m@bD(`?BW0;SV}c*X z{U**qr#_4&BrhI3?zQSxO!X>c;Zo{@T^o_{c&a!Ru)X! z)dnQg{AkQc?J91;=L@)HTa#XYs-*AOTR!9 zWRypZ^XjK$Ze++ue_I{b$b(;FXPj2pKVm2zR&Y_P^X20Y@li!tUo#`D11nL%f8inAdfq+)z~*{=}H|t`er=l zFkhCUU5)PjWt>p4BEG_O#=J)3`_PZ5m2%9I0`4>J%A~`qq-esBkAKu^9;WbmZ)#q{ z9G6(gE9}KiTJ!za8bcDD8Qrc%mj523`$-omJNyeDPc^66U(6OViaUWAqk>8lrvRtm zutsM(!Hm?)+0c>#vX-K-Kcln;xagT%S&ZtY#6Xc(-h~xK{*iF16@qFVu^J!z?=g5kMaH{18Jm zrg&NXpc@a@HiAd+F!c$!@&E(f_5lb~B~14%^_nL*0lQR8&`~SnrYjq60ugb_#HN2U z|EHaEZENCM!!VjP34}u|tO1Dx!Gw@V0F|>GG(iFhpdkVxP^c)%xnkLh2)h&r!j^Dy z5>$|rK~Om;LO=nvO0|d^DJY^strck5DpEYudQsi0{jz_*PdYw@Vw#Mr#cC6vTizBo}>B-e5ov!BAu=yM6tx{w(#*Y6#fF;rnS#I(BY~%B)3|m~%``u~eNI(COSTJo_&dcuoJJ(?U_PF~)|rMm zlyXYO9%fx~ZpijFn!Hbmo9$1lGkVgmFe#6y&r(aCUPRASz-Pp>`ik6$DVTY7I=oE& zlo_&G;S~H!w!**=gnqeWHvCJ4tJ5o6hSSvZsDcZ!+Z}SP)0-tP|7so%MTLO4S00#e z{Mfkudg3=U;&_&Z%$(QlbX0AWG)K?lCHLPZ=m6JQ@{mtQ(7X4*H4!}1NjOQWe*f!l z1+Aoq%p=Z?9aYov`;re2(A{Jv5{oy1#WwHIO?}^g?3CaAJ*_M81F$xOS}L^bJ7yn- zOOImgP}FV&5|GnC$(m`igyd9tSLL{D%z8xFCxO~upn_$tOxPuX)GdHXze0w3Q(grD zfhB#)oeHb9InclsSv1B|H{T|5;4(9cYiDw?WA;X9sC(Wyn*xnKpc6gzQGm~aO`>PF z4?UyO0!+qRut3_0pG;3 zfGZVpb2k!Cy+eomgG(W_6z8FDa3cnpU!j$J(_IR*is3w;cqAg79Se>xAv5IuFwHuM zEH1ZmX72%FRsdU9pT*s%RT5Kp5|o(dO9B6sjXjyaRj*w@O0_kYx0V}x&3Vc@ZVv6m z`V?N4iUQXxqGXSB5p)&9bVq$69#L@ex?JKI>u=bRGcq4X2*IYve=M?%Jdy z3kJ*XMC_w>o`CDcjTEOMG6p?Ry2uV-<30jNc*!U{&oE;nhmGZF^7|`NikN*0y=0&H zd??sSc4F=OL;Z$pFwC-%k=-{*5#l8;7#bcYRSQ}CdHpRJF^#1eA*P<+eX|Vuts!$s zS07f0*i`nBoI6HPypqB#7b-WHTEGCB{M<`c!H+Xhthu_tkH9O`j8A=W18ymQsg<}? z?I9bBx-krcCjP32_r*IpD;@pd*1=K}Dy8}b>WNr}h%XcFdfnFgYl&@VkY(YT54RsH zR&M5YwX2pZ99P175r>JCaLN$#RC(A_5vJ~`g`e-Iq#7DMjuyuj{rKjUjzd+0r`;F=?%P~V2n}N6uXXyb<%I!Ls)iuia<-Khj&~&!bI_dds6!2V8W-r7~+Ik zj3jA}@Cs*W8*n%&lNB+tm7#m@pwCFsm2cp_UhU1>mE@}qMKJjYB+|}N?6=(>i!`TW zUi(ipP`vIboiJXyxRAngd10B6UhwYVs)+u>N;JuT6K3uK+%x)8$v;Dp$yZeGI9ZqN zBOhpp^W<&A10r+69p$gR&Pd&-1M=hYmTsFVToHHV36`OA=%>#~@*(Sjr)N{zPR4eCg~iK>?08REAhV}7$kjSpDIIRBWaR6R-z)xQ1$gFknVxqAlC(y6wp8eFJWl-H zO0*l}MwWI|Zo{~^gZW7zTI*Xa-sDLou*Qi}Xb9!@19cr%7U~}TxjbXqDTv01&-~E_ ztq{p*)%emsX~~w}znoqus5hnes;j1}LsY?3*d%^RaWc=1w-N@Q9af}@2^Fd2So2k4 zRY$;gdUJx-R=^z4y(NnW@chYGV4;-0k}>kzizr)182LH6b{&U~B|ntHar&k%b;3)G z-C6{FFL#G;$GCR5q0I)y$lAc*${P>OSFR z;dKCggqTinX?;2OQTD+~N?rt$spkCGF*$95f(&8CH5{zI1A|p(4&PcX;Ny{6E5; zV`+vqgh}wP7ZfIjjy&~2*zuoQjH`QFh0Bw+{P3a#0j6y(poKs8wU$e6g2#ShJ_T6# zZx8ml%vtyx;f2hUH|+uPdUX%WjwZ5k)2;C76F6Ld=Z-}ACqG$P9<=VROWFT^HR72e zb^`acA57(sN0=hLD+1du7PV2FXSayb@;`qsWtNjVM#(dbI^m}oz`Qg$6B-$xvwjp` za$mmKJMn;bPQ`Cb$4ULj9cC?&q59=T#K{Aq#l5I%??der0IzC#eKCp(8sVOj_0QaZ??@HXXWw(N5C;SU#-D;^xlb^*+d3-Z_&>*6DY$Lv^lY-7g7wa%KOGRN522WhnwK)4ImSM6% zgmXAKah|RsI-f3bhEFm$I9i zypdd_(iJISF7A_urHVb4V7TvBqJsLtEjfFIzh3f4uttlwCF@=TX}5Aq%H@B!xVv!#sDNh`5kso#t8B`t*Z$*Lz7 zcw{%`Yg-{U@;hTU0KCeg8qxe>ySG&3fGpt`N-V3*{x0yIkC6?>Z;zw$zP{!EN z#$yZ#ckiSGa$PP3$4M1TIv$?DM+e(bDh!BC3SWasyq;4x^ru+tv+&)}Iuq}M6MJcZ z%PI?nL7dc&AQ0`=U)_EQQd9`2m9~1IL6#Ib#oCAaC-x;9NXFTZOWxyVK zXWzDtj~_ZC?^55fo%UzExIo3T#K%x%;r+bN*#iIiu$Jq96|p2*@wUBxC&Lf_W`Dum zRXtZ4+;Z{USTrN=OweuhWWi??bbW8hVN~7Nts27fjPRzyb=UHWt8yohTYtn7y&up} z23zIJu)whg^{8;SqO$nYth^@nv!K#Bz|64vlPI4AVxM#>@$c<&CBct~bUR1%*5b-u z;I#ka9+6S-mg>4==YYHNW*kVNpE#kwRFXcJUF6sj=!_}hbFT;+qYr49^};JDL{sI1|fFLT6fMw*xfEp{We4P|EywJaEM z_jVa@no+Uv?qRkdHnL?N_;SD8Vd)Uv_C&$1EH*Jq*}QB8wexrUf_RAH%G;TU@ra9k z6y8`XU<7tHkIx?PfHir^j$M@q1Q>r3B!^x47s4hCNo`Mt7RzO1DvHW7?u)m@c*Zmx zUa9gTel[o9ATEzKLEaUQOnGh0z01 -Cvga_conf_beh -Z36 abehaviour -Z37 evga_tb -R31 -Z38 DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +V^=j1omIkg8OEUbG72d1?23 +!s100 >[o9ATEzKLEaUQOnGh0z01 R6 +32 +R9 +R10 +R11 +R12 R7 R8 -R9 -Z39 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 +Cvga_conf_beh +abehaviour +evga_tb +R13 +DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2 +R2 +R3 +R4 R5 -Z40 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd -Z41 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z14 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1 +R1 +Z15 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd +Z16 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd l0 L187 -Z42 VeNNJi03>MIdzNk_IKJFBX0 -R13 -32 -R21 -R22 -R23 -R24 -R14 -R15 -Z43 !s100 baoj;WW0d=L:Y@hn2U?=:1 -Evga_control -R5 +VeNNJi03>MIdzNk_IKJFBX0 +!s100 baoj;WW0d=L:Y@hn2U?=:1 R6 +32 +R9 +R10 +R11 +R12 R7 R8 -R9 -Z44 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd -Z45 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +Evga_control +R1 +R2 +R3 +R4 +R5 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd l0 L37 -Z46 VOiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 -Abehav -Z48 w1256138582 -Z49 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<B7amzdNkAG;;Ie2 +Abehav +w1256138582 +DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<:4ozHZC=^hX0 -R13 -32 -R14 -R15 -Z58 !s100 Y:4ozHZC=^hX0 +VWM]N=KVQa>:4ozHZC=^hX0 R6 +32 R7 R8 -R9 -Z60 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd -Z61 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +!s100 Y:4ozHZC=^hX0 +R2 +R3 +R4 +R5 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd l89 L36 -Z62 Ve;Di?_OoPUgXCMBlVURO<1 -R13 +Ve;Di?_OoPUgXCMBlVURO<1 +R6 32 -R21 -R22 -R23 -R24 -R14 -R15 -Z63 !s100 m[>=IM[TaR5C=MnzMT7>c2 -Pvga_pak +R9 +R10 +R11 +R12 R7 R8 -R9 +!s100 m[>=IM[TaR5C=MnzMT7>c2 +Pvga_pak +R3 +R4 R5 -Z64 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd -Z65 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +R1 +8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd +F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd l0 L35 -Z66 VHkmzP=gd;mD@MOhh4AYKl3 -R13 -32 -Z67 Mx3 4 ieee 14 std_logic_1164 -Z68 Mx2 4 ieee 18 std_logic_unsigned -Z69 Mx1 4 ieee 15 std_logic_arith -R14 -R15 -Z70 !s100 VL:Z2?FJISz9N5>XaK:5k0 -Evga_tb -R5 +VHkmzP=gd;mD@MOhh4AYKl3 R6 +32 +Z18 Mx3 4 ieee 14 std_logic_1164 +Mx2 4 ieee 18 std_logic_unsigned +Z19 Mx1 4 ieee 15 std_logic_arith R7 R8 -R9 -R40 -R41 +!s100 VL:Z2?FJISz9N5>XaK:5k0 +Evga_tb +R1 +R2 +R3 +R4 +R5 +R15 +R16 l0 L37 -Z71 VK;WQR0;ZeC2I8`N5aIRdM1 -R13 -32 -R14 -R15 -Z72 !s100 KBk8Lb76>dJd2ihUfkYfd2 -Abehaviour +VK;WQR0;ZeC2I8`N5aIRdM1 +!s100 KBk8Lb76>dJd2ihUfkYfd2 R6 +32 R7 R8 -R9 -R39 +Abehaviour +R2 +R3 +R4 +R5 +R14 l100 L45 -Z73 VI3NFZcjIh_=T`0za;J3h^2 -R13 +Z20 VI3NFZcjIh_=T`0za;J3h^2 +Z21 !s100 gzdc1SL=je=>NSFaLPW;]2 +R6 32 -R21 -R22 -R23 -R24 -R14 -R15 -Z74 !s100 gzdc1SL=je=>NSFaLPW;]2 +R9 +R10 +R11 +R12 +R7 +R8 diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat index e1bae7e75ecd9df7b72a38204de5d5c093aee8a7..894e7f8ed29348924e9e70cf9a1e5898f3b61f10 100644 GIT binary patch literal 352 zcmV-m0iXT>aLNwBieRprywPLBieRocSP{(T-(W@g1}lP_dy$Pfoq{kX3jjB>{5+ci zZ!7?_$NOjj_>N!f_0`-^kigIk07cB5*8-Gyor=}D*OD*bCI0D2)S1Pl5@Q#=6x0005i5<&m~000~?dJlv< z#=7XErSl*-23CXNHXqSLtuD&)X0Tz1+V#87$1aiDXmdr*;L=lPRRQ)m@FpQ;1LE{z zcV`7`nEP_&ua9L3;}5!s!6Yl2LM`p7U#Y1Y+xXFI&7dWRY};XL-x3)>b!I!w6o-ia yZ}pj-<r8O9$sv%zQ(2pg@aLNt>BzR}P)NBAGcxO(41n+4(0TSH_kl?>`fgirWz`_kL0F3cW7nHf3 zoB)@|xtamfs^qRk{?qGXaP$KJ2R^p*0xvo(q1pl^A`9j;XmBo{-k31ju*R8&d|1*G;v0ssI2UM^|&3(;rjegOag0I~iZ`2YX_0PcWZ0LIDf zo>So!*e`>4wU<`_ diff --git a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs index 454b40ddc414206707b3f0782fd587a9314dbdaf..447563b4c8747714ef3d5f2bf5b23b36f0cbab2c 100644 GIT binary patch delta 477 zcmZo=Yh{yQEI7q?uq$C*=zkHL!$5iiyLOX#gy5Nt;--xCv$@UNc7GQ?-Bh{u_nK@L zUdM>5y?1Ko=dC(=kWK9F+gESjRlItCd9my6ORt1N9p!>P5idGtR>7{AP&B6#L~PS9M|1)eAU*KIK0`ZDadn?;;t@eQq8+P~d4vsB%% z&+VC>EzDHlw#H_M?3$|jODlI0uUR$QI;`)_|LmKJ*G%5ozPMs|ZAH!Yhf;;%J7?5y#L^$xzgh7a E0Of4EI7r-q*9TtQ#hRgNKNIK@W8Ku<^4tpQ^xv7GuS3S)1RniGHqhalRwJ$TQ*2O1`Lf7G@oxY2 zHkqAn<-9sq^XXA-=6c5&Ylznz^MlLzvPp%Im%!mnvt1M284iD4VlboA!RexXV#Q^mVe@&8YsVb1x%&UtT(jXB3hi%_q9wyP#O zMaC(7RhZGkd);Z};j5~-O<^nJWY?$oh-p-{{hN{7TH-fr#)Z-;?QH@RUgWX}WyqzGlFOL)ZLh;$&-tD6ea`v(&Uu7LozSjg(lt!blXt&HG))G2zB}0c zuwiB^#v`L2+aml5TLZXjcJ)9_!}K!%X!#09=!fMOB6(tUPSG(`1Hi=PL<$>hs9xs_ zoq^|el%xT4x{lFB29enTUYmXSvN~NAeixh_MAyZtT*Lx850=nkR7{WHA9`$*veU&U zx%v~oai=!SeQZ?-w72|F;EnVqo&=qd_jod^zy(!A)GP!IP>yDS z120v?K^jiGy%aR z-}ptlo-2HZ^CuQuJxqz0`gyw|PZ0Hv&b$#sf&WrgEpaw)=4TOYk)=yu=Hg0)l>ZK9 zRuDm=*`|W%h3)n`mn_{7*Zi>_eI|P-#I>Od#CrAZwRB8x-P}cQ*z=Iqa8O_)g9+iD z)^8N7-fB&^7Oalf`xT&gafwh1)<_J<#I~tf@N<>|uL&}@L*fcjQ~pbazUcH^9EpPIz|tmH8sf=$Fq%{G+k>~)`3W)bk%RNm4F4{XijM* zd`U9m8S43$mRQ*ggqTzx9CZqe=|n`DEny8&9GIGkJ@{`ZX<5!;Jt`J)6&FO7cO;iz zxl5K$QW)&FR7Oa=morxE15ku#XYQO<%6xhFHiDFuic?-on4(6vb(*S78(8%srZ{DG zss)Re>MR(ICFqCYjCw@-eP)>&sK;c|(XRcoI!r088Bq>&OU1YpA9c1#@XpcD4W4mF z95j^WXxbR>BDD4q+It9{eT42FLT?|Tzl%syFSPaTL`*#{3oyuE45`CE%`34=0NfLD z= zFrx2q>PbmYN8l5e!^<%XBid00lN#$9(C8dATtuRhs(DIs7ooL}(B4Dn>?3sd5PJIv z{au8fTbnqZ2&cAFju~)iXENs5{5+${Xg*M3pqgzbI(31Y&|cskr=EE^`<(9^Z0j`T ztkmXa$p823KRiMf+#8PA!4gSCgtcJhNAcLXZOtCRy@j>uYDx`yRN4vd&3aA z_~j06-r3u5LKP{kkt1T;2kmAoU8Sa{)S7I~jdMQ^I@NFXQAw%I1LTv@Wfn(RU6;n_T>A4aS!&cR zO76RK(m(bb)iy_!B9$jj-_-C;mTJn^&qHTCk4DU%xBVg=FYSD&Hue5Nu2aLud6x0m z5KCt`J-uYr#!`fjlpJvg^Cgx^|BjXXWIYfQsa18sr?lDBwME0S`UIY_5mCSt_GSc^ zAiKMfn6PVZt1{>lUV$=c-LVheUMAb5NPe9~8;7O(gg-(;=4R|4ff?YbPO-S0py5Y;JvjO=|Gk mc4elOn^!U6bEJH$1PAsgQNp9;5AlfjOl-CLajVf4EdM_Yvb9_Q literal 1547 zcmX}qeKZq#7{Kw_G{(kkd5amgd1s}T=uQd^Te?Yko35HHR5LNEo7bBgV#G~eW>Jc| zEpb!pWg{cj%}a<#O_?kdOOfm4+Hv^nIlpth&pDspIge75SObWSrwhA}1D1z(lJeJ2 zJCqQEkQ|9HCK)ZLuYU%^11?Tcbo2R~5j&aY)xU;*2dMga(Lf`FnqZq36h3&wpI3~Ee5*YDJoWgx)2%M~>wMpm-FanReOm@7 z;E9*eL6!WDP$Ws76&55MoK{VyWc5SRs-y+3wZR0{lmk;iV3J^d z&8zrX#;P~%Z?}U!omhB04fIGYhD?jt^WknFvow9 zK+84RG8n&*c100^F8>m(h^Fj|ZS7yj@o*nBH84z5sIS=&3l_j&{7^&n`V%@7-!)`hYm8@ZXDOB3AW$uOt7rEtQQg)U5B91cgN^& zci%+aqv1HTp%;enK3fT5>(i%Z^*eojSZuIiSIgm%*2Fr4^GABj zA=R<#47L3*O!xuBdmO5g3Tw*XPzH_Cx=|kR2gz~+bd(@_wATIoA>)S=O9SvCq18{5 zZ+Q5NN#VPKtGY2_GPc%e2{et3b5T=)H$>$?aRDtW2(vYW`6|L<4Pm*8 zuv$Y{uOQT3){D+j;18b5&)P5rrK7EGi-%B42NszY-hXW%VH4rl0 zO_bW1>0hJA{ZII6uQ1fUVryk*cF`tNl$iHbWQIRXpQKlo(_Cp!Ck7jUN{Q7u%+kyg zGmHAX@lMr;()C>~*+~uY%A2e>16RRrX6BN(MK8gxH8%6?=wG#p|lfHRVdizp18kfAarZjKwxYy=9pNC`VhBY14cm zntv{FknNX`%@t39%aj=}#FrwHT(-?tHL@z3Po$5f*oe!FzKm7dWpQb)_Z?l8-*H^* ze>D#1y*;@1IvO7SdcO9Nm?l5-zGWm=PNtD7(k!nNKy)7QPV3%kr{+Bql?R9<)0mq| zrqPE?WsFSgC#c&ap6$p`i>5bK8IezZM|#!D-p&9+BV@d+xqTmoyr&8P_IEKOkx9GM zB(~)So!d#Q!2Xc^5OmVsau*Y_Lk#sF0ydH{d{B@VM4f7x-TB^vsxo#Q$9}If?R9{_txf|s5 zdXoIUzM=l&sr}EpIiEd`B*E1tGA9i+k3`4L-tKI$`)sfTQkd715$Nx>cQ5$}4D`AU z9DH-7)n^@DFp({#e(Ee+i}&m=`D3>EPLtaaGC@V=LgLkv^>cOIK1jTkWO%b|Vqg7r zkuK|iJXyzfS9`P1cNRXmw50w)`Ysz)<8$SIb9Xqeo)T-UdFsb0DazqEGz5e?@B?`P)3Y|c8<*t>$&Rv>6Y@#-e;vR_`u>pP?_ z$1GF1?Bg6~pp@~^gRjG}t;wTcnqbzcH!)kz&t-F3bk%$(!^`izzALBNv_JL~QF^m* zI-_!Qt#_!6(e5Jw>DC(G9{Fkh(c8ECu3#Tu+iYb=`$-9t;;T-C$b_Z{*sN39y8Ev3 z5@X(-S5H3wyE{$e(RPt;wZ5j?dX0N`J(yN6U&e4&v!uv}VXpmb_t!Vf1q1t=H%A1` z>0G=xhW$l~+AOQ1wtJX%M_*mMM8)#E>B00rD?D>nEt8sYB!sPNCys;^hvD*v6a%<%fG_C!5a=hURo z`AhRlY}$4A-_?5-`7+G*eVKsIzR3M+g?)eD+%rIGrskmE8(;|%Vo>Bmn)^8 r&zoSkXRmZsn3Q?Z-&t{Iy-x?{9m~3?+w5I$x+q%3*Z-;a#e_cq3UMSV delta 1108 zcmcbidP7y5vEURRlS)OpPT_P0AXUOX;elTR%lnPu)A;Hi&0w4SOn<^AL605FO5W7Y zEAZ_Ijb`DA-#(P9?K_MA#K>ZrXD7$+U?vQ>JU4T+;G~Tlt{lS&g*TPq9H6<;x-$#k>96 z+hlgSmGkOc&8J7Tnd=>AtRY@=%nvT-%O({(%16NhKV7yC%HC@8#l+{wBY!f6xGV zy`Ch$Pj<{ZczRjM_7mT~N08v^ue>)648qgrbS-z%)c81w6DiE=$q4k?Zm+Emfq`Do zdF$Q6TV`EHb~0Hl&1;>lvikC|AM&y5@2$GO(q*D;|CfiS@h=^R6*}e{^QSU&k5sie;;8Z5Djm_NI1cl&x`i`zxI$&(KqD+#aHLd)6sT z>-@4q+Va<-_nDQy;&Vexbmi0M^%yVSb2#{i;3ua$A%|5>r`(s_x@hl$&B4VB)1D}t zS}t((9M6JZ_FZo`C2g@wW1XY^%I}J1l=0<=TAxkD@q2xCirR1&&r7oQC-;1>R4`Nn0l|@;*I`tjfWTJ%e6OcsQuSmFxl-ozi<3zV6$1t|6S>E>Rgc--7%}$Fv zf?K8LC;5uMtlhfo_Z8i}(i-U^o3^Mbq;N8ny;&LXe_A?s$5GdbED2UOmg~;bdX~TP zsov$fC(#+6U3lPide{QUj#{W+$Gv;R-u$@oQ|Kb3Do?kwxmb`5u*+&+3@=f9;# zRgN*7O67c3AK&IPDbKK4si^q&ZHM=>Dt>L-{bT17iEDcqYOc&%-F>;nQTM>n;PzFQ z%oi6){JS$%ZPB9(C0Cr)F*@N5d+f$Fr`Ceysj?OXAzx zO(AV&!CQ5w>n!sVQup{7u2SQ5F?LqK-lj^T!pV+s*D^GQ?)Aqu?FVPn&R{{VQeJ3ga diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dat b/bsp2/Designflow/sim/beh/work/vga/_primary.dat index 5e002471549f84721b1bda06d791eaca547237f2..9c762aec23e6fc8e93adac6f1ecdb3e95b212a4b 100644 GIT binary patch literal 1277 zcmVfcW&QvL=iz@rGr%zb zMjfxm0lRx{xagJ!VL#m^)&LP>-Xa2}EP%-mhy1DI4FrDCE(8UvLmfHPfyx#JB@Y~eG?AOF)ScG7=G4ji4dA2S}qdHke@u z*BJ4F74iuSAOr%DA_zk$xsR-zLm8H03MnXfkqg2W&3_Dz{W02PrwAK&G=57y4e{mu zOb(sSIQkFLqWmwp`v}9jv7;2`5CmYM6A?x`wzd;*2yO6K35`e+i-~5M6`}|TAOjCS zEfc5Gt0={e2oCLL+amL6Ph>9?759g82s{yrLK>|CJTHUKIEm34mk+ z0RR91b|!6g00000;75XdsmGD08%TIwhM8d%)foAn9MkU0?g0P*0D}B0+5i9m0EV;d zIz*vM$sO^P`Ai02xw~GXMYp z00<}zf?hA>C?O7yG4f|86+L%$U?L<2zhMCY006`bM6Lh;004OP1Xaslydfin8o#?F zsk%z2)PM*V4DikjG9{HEi5uy>2+z-X^Ox!-CaAT5Dh~+q^Pw;v*C(rRRT(H5ly*`n zJ0@vi>=Fo>FB$fbyeeGC8;8nuEB9UZ(0I+>!7^e$9Lnup(g2&3G; z#r}pbx^8soIg<#)GR-5{;V{%3n$Oq$6*1hu&@s0Pf~Wxi0017C?~?!k0077@Gx8Ac zi}N`%z1*Gmy1Lz|27;WZ%i+?dYe7K%tr@17`9X1t{F1^)3Uvd=47psYYL<+Kna-yz zyTye8J-vv>BE=+GS(#)gxW%CzL_Sr3}GNi(o*X?$;UWddq^UN~f&+nyJGI z#lr>KM|>7sk}k7YU7u!fIOI853Y#>gT7SS>uwCUeFwU-r1)afuk;7*j$Ed}TO*oo^ z@H)mGC^=JTWk*_X#soWV#SwR}lM6jNz9Aybo*%EXW)Fm#itW7-hE&OPLhFOr!Rz2p zth}$ozar*Q=MdF71p{TguAV=V8Z03Y$g<3j4z(SRlcjB1ceHZX8hhMOQAUiUFvQuY z4-pz>R);+;g%=@v&N;Zp8B*+1)&bbR5@>Nm44;x)Y~%(4;|wzKUnaR)nQ~};zqB2^ z1;>^Kt>FBj#~UF?)TbYe2e$kneGw{0GTyUrRscC^ZY=nGt~l*U$;>AZbN<(fOaCFr zM?%Tc-nhj8Z-CQSv6r2Wj+t8|b>9v94&Yeugs;%LYegSXF`1O+G n$>qZ~wG+ouv_BUnPk=i0EC;uT3Nt$>oyqhue{G8Y$+yi$kzqJ) literal 1277 zcmVLH+7^|010bbqXYjmC`^yia3cr! zm8M(1YTyLr2bygKj?Oa2HVe{=nX}T zveyn{deyZL1YDKEA}t7a)BZT>QV{7cckK}`)-7PC1_&Z+roCVX5?yx>caC%jyh%)y z!I=|Eoqg7wz58uv7b zOaTA@0HD|em;e9(0DF-(73N%T6C0&BhB+&}>U008tIMa=*J z003ddmQ?CSsRJKVjo*%efp?Y1J2Z}9p70000wzp3E>000243vIYii3ms|%7H-- zBt-R`R=sTqjM_w}L#ZWem1I;uLI|cJ;w2-4CTTNEG{-;)Bn`;jg#jlY85)8p=OCLM zDec$*jCaHcEk;&tZU`!|hs}ATm@90HL%A_YEJTu#CT?vlxYq)7B%LlB(_}L;G6;1d z)+l9AFAfJga>pkKDSaE=u?#Rz$}XmuW);t9QlBwl^y@_d0001mPFwl_0001ow9Q8S zpj{16-eqWOP$6t-zy{3+R)3HfLk3#?Et29B$m0B>wFf7YT(_n?Jh|vc7rCi-Gsx8% z{)9d-Q2w8VpTTzm^9jnSz;85oO$HpMUv`iD8k+ZXACTRXpcP_B@y8gwQUCV0K}nW4 zzm(Xx33!L24T+OrXQnDQYlSxkqQA;77>PhT?%_sQ=MOa-)*c`dL27n30D61+YWQh^ zJ|hJ^t9c^syIQ>OKHa(KQ9h(5v@X~Jzzc9Wa7`do1~(KrNwpRTK%MCtOSxXs!+bd3 zv>ENRerz2bN@z)?X@H9t>Kw)3w2@hbi3pRGC-P^?JU$IQBpxLz@zc4e&L=HU!iKdC&FQf_1 zgZ^^I9S}3aT5?J{^#_>bIaaMf@jktD>fyuaAjGV~?EOXr@OgKF4IdR7sNq?^KZa8&DIbp0c%%h7a1yujRB=Fj z4lFv}c+quha<4A**6x=MlSuuqh^SB%LiY*xpUye#@LA=VQ1NE@m$48st%bBc(M2Y$ n7<{zYi)Ji5=j9}-^>BNSq&K=`xbcQ+Gawqv%E~;ELK?5+{&O`M diff --git a/bsp2/Designflow/sim/beh/work/vga/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga/_primary.dbs index aba719ca0a6058c5c67d54b81eff87ca573e9ebd..76d4c3842399bf83fd6faf59e59d504722f9a36d 100644 GIT binary patch delta 921 zcmaDV@>E2WvEUTn_BKZ0rmaBY;Vmh)2iFV|Hj3S0tM@7Yx_oivFPVS4dmbybT`p{{ zJj-mj>hYTHyZ)~aF4RB$HDRjlWWlCbQ+r$cYv+%YSlX_e`*rrKxNp~44$JryyuHY< zmu1(B%WuCw+V54FlyUK(bGmo=3BS_S@0D%`9av)ir@dv8%oo!af_~eI=e}QVl_$Gk zvg6uy*)t$|>xt3)N&IK|v?QTDOCNvNNw{FY;`8?npQ6mtHkVDw*{UvKoC#qR3k=^|2h0KjjUYDM*=r^3&KWBI5`FRtrsqcP1LH5ai~_A?=&8UL)&M5>0IUDHkJG5xpqz`2G8%CBoprM&h+8#QE;2} z!O>@7W~@xe`f2OW%g!jXo&DlfmifGvCec;r%!L=HD}F4fVX#$Srs;URe&>pGdyX5Y z{xwOa>~MFwq9gHO{-Uz}Nx{!JcH0XT$FAYlyBqLs&(`9~2f?oj)RhwMT-yHYx{K6> zb2>(`zE>u@D~m{$@@zCJ;n#Rq=*nxmIQF&4>itUAdf8X5<`4 zHSq@XQ*SN38Jj9!hHrc(wEM+Zoqf}!&-a}wvX~>7`))&>4%5x&&+D|7CbY7wy6*L% pq;+Oeth1d7%ifE2OvEUS6ibBAPzd~t1l7DKW!+ahVu8pF1*y@dY!zRC59GlD{v18p2#Wmdu zz7030U6thDKVhoRzUZF+J9Zg4Wp3Ig@P3BV(bC_Y>*hIy*d4rP@Uv5Q`S(EMF2AR~ z>5GpF72MoqAz|8lFlLVQ6N!yi{xGlSnQ)^bt+#GMbFKQaK)p%RU%zd#bN9G$;H>6b z&u5oHPOO3GttUov@7pNn+dH-%j(0emxU1YDDB$p4jlZ6A1e|VeUbA&?v%yxSg_c=Y z&dyBjTwrzWFsDS0)|!vKyP4ihSZB<)P3R4e%}(|Tx756ZsFYv#Yo4O`xSm)qAJ*EL zc6a&rfbA>-TooTr{fe8al^l3AUsRs!W6Y$W8vBj+uIzYKyJ4F3gU2CeTjUw)X851{ z?92P&dgY1N)op8}?@hSzy6st8!nL?vYx|GMEO-CqY;bGVxB8sPF@nJhM5>P1iXMv% zcwSZAGri1mxr9jR^%-ru8(rh}wJ$f?z1-vFvz7Nc{u{`w__jIYVOFW|H(T=rJ>548 z^VARj+qzjnE5T;vvmJ{PD?;tAy^cAjcO$|1FI07Z<_aG1-R&)E`??I`Hs7D|b<)n|zns(-GdwrCaZUS) zV&8=xktWGh!(&{=>AH6Py`0-kEqo;ep7CkTf{t*z zGUYZ_>Qd-^jP6�-2 zgnymT{%Q*$ewn7nzr#L>q1o8EMQ7+)bx2++r|LkC-X(0a z_HJT*IH;e9#7VNv;<>|e_JBo=kiv;yAlvSe;r2wp?s0x_U$SC?Voi^CaW3 z*g!7vk}B^~02uuO&lDwbd+gDnw4;Cw>^&*N1}IB(vTcJHAgM*{8KC6}(?)z;Gy=LW zo4n31<=rT?s>to-8)W`ag#3@cmUaY9%n>LoXdx4x2+}8{*9FOezYlMO2u&`L&MC$3 z&-c$M#nI;rEB3agN5TVHYo1h_V7=OUMiGTX$RkRKnYxgM5)whjX9}RZ+(mUqVYPTD zpyAJxlq3kN7!nE2AOOiOPItnc;f~qe{@tlS=?SD>v0KcwKSoOAAAq2^$Q)SMx#iqs znDxO+rAgLaF=`hpZV9j1&@2GXJ_2l<^OXY(S^(yekzf!X==`>4GWs*H5NQjC9kJ_W zcBuaf1n*SUpK?f#CH`f~V{8qgFnxb9(k(F1PULhNeb=Ct0_OPIp$zdsY~H7US6WW~ zqJ$6+q+A5aClc6y_S6l6!BK<_oa7g) z#J}UoIn4gs;{MFywtb>rfRs~KjZwa9u_A3`Df__$DRP%>Hnla&KRG z`Aey0j{AsmeK)z!Iw=>zM+pp~Fua^s^JgDOnKb{U_!U#{WhwrfR+dFc5>}UutZ~yPgB2X|EcO<~H#D}zQ+!BN zE|ytZb0b}lqj;WsT#K%EffGoR%O1uMN*pmd0pT|RM<^+D0oAFu?H`W!k3)4bo@*nP z6TwZKiW)llg^h?(f0j#EE1%EmtTjvZ=(2s-fa&y!>~HJIksfXG#Gp8QZg8OOczg2p z@WIKr!2vf&1U;>_#C1! zoIzaIZYmOvE`;Z!J@UJ1p#r%0c7A%8rz%qBCu$VprJymiB8VHVKnsmq_n}O=sGRiZ zB8Eh;N+{NdP;}~AaxCgvjJyBV(;pS^h1P|0p1F`mZ%Wc~S#e$dHw+Ps5#fxS(<&$= z#&Z0anI-eu8P_a|zs44#A)xlol&G)OCLFS9klP>}+ux0u8sFAxA|hvH+LbsAY&ff> z!eb_}V<~Sd3c4H(H`xz=FY>&on7b zq-Ut1z`}z2|=Gb%I24h%N)>Vva2uQVhoZ3kr1S+97t@yNEst75$1j( zAUE*J;M62x5bjXpGI^uXmxge~K$U7SwJ6=u^a3((aW z<~x|hbY@8T5B8avjY@^NCA&SXbT>k%;`YOv;+2*z zLG8SWQ2Y=NUQO2<0%)@F3=QyL)HQo8fLUhVfl7;c-m*apr==$T%F5Om{_1j`C#eqv zD~B871^dbmI}&zay3Bi;yuXV}4EiGMla6i)d6ImIZP zVsq&z5%LjP>qsvYdLbK63Q(^rXgO%VY8IFX?NU?ru4BsJpqhd~%#Bn|B*lk(C6>b( zlA}{x)-SLvx8b*SkZXKN6@s9)k?Jx`Pa`I4^g8K~opkRXA&&uC|%t)waR03>yP6W(kYeAfg0#aVju z+T5b@F)^<1`l!sc#)mm7UHRz*!7N0h%`v~~$F?z&&f&;Xs?+MG6N}T80y+LesL^r~tfEoa7AKt%j@DOMlNY&2%|a zb+=Nuwg16LqTN-CMoA25s>~q^z3c|`d4LrQ=#q>_o88|%2pv~?HVlqptMItn+rgro z&@9%d>E-!;FAAf=GxR(3SlR0oiT$mbc%b22?CCAKkzS}{BEw!SJ8Y&u7e7|bKst+D zkRsVndGo?K}ufCDiM_?7*ql%7np#qOb9GBVU*i)4XotpTAxC&>tSK^DbO5?MLp>-h~M2 zf>_}qFPW?V<(?hz+Wb)hh3!IWK1=+&B2)J6H-k!K@&fZq+=ef#n!K-4byK+fu;-dE zF?tanb&BAx-j@7RR#@{;K^L{Sv^nhlT7D^BD(<>e1kM0of z=X8Y4%(T4ST=OWOoY0#`RIfL`mkbty!hZ`91UU=8C!;W!%IZ`4mrW3scWr2`gbGpP z>rd3R?>ZUInpVU9e4@=LtH{xs35Db#rb4o1>ei%vae&CiMkNi4MlOj^c}?@h?I+wB z?0(WK-wpuXW%W9P%>sO+-s?qr&EV@)dZ)Kh|7_iX#;sZM#~{T^J?C6Wpq^r*m;KN3 z77i8a4%822-uSZ?B}^*~x0Lo{8tgkUr%#O~@LQuBz5dFUXD!>sya`L$4Q2yDb}=;? zK8eBeHim%aC#qiq7W}=Vx7tlZa^7BRo-(!`#&s*%C(G#Mk`#v3&=$gxeJO}4pv>Ct zpO8*m)gVVQhrB#(iqcTy(~vT+#xc?@@v70y!E73?x2lFktnTTg8k3Kb+*t`;9EOb`R&bS zl+@R_&qemSy20sHpv}NmHLmWmHHAVfbp4{GG8aTUJu&Qs!cW<0{%A!NLRWzMo)7Ol znMH*KM)un*@`agfVEvlXP$D@-Wc%06weOZ1Qd>6{UJb3?wCH%EU(FfIdQkehu{h9< zrN2Cy)qRR9>mmYwDt9lhG^qcyHZ!bMDJqvaVd&voRX}!qY<=y5V|lDWzp2@W?!ws8 z|1g#J3pZ_o)a+ITR$>)^{EtzcKdNPeLYDWUUUv8+W=jzHJL-ImpCrn|&x>kta_0)F znxEi|ukMFMp_Ef|r;XKoq9bRm#|w4Ba-Io+H1EZYrrT1beAIq;MV*%anA;^wG)82` zVx#~u;k`Yz0+UaRT(^*K5x11|K}MJat{JInMSQ9au{ufI`KgKNoLhg;Wt&_x7Jm`r S)_Ko{k%y6Ig+YZ8qV_*)W3WB| literal 3258 zcmXApcRUpSAIGiib7vjSb|SX0X1QHz#+IFXTY9xB;Tp%I!w&~v6wPh29UPK+C= za{b!%X?3&SOnBY%Dz`i^U$4e?{h@ofDb{_#6HTKmsj<&Q*Fpuk4>ohAH*(^&Vim{?W zjO>zw2k1%+Z?JwFE3rlQ16=X+7>65dxQTLKRy{z*BYH6IJy3r_)8Ek^M91*mfj#sZ zYL}XdiYoFZT>9@u6;h*i(av^}mxCwa?eBJVknyHYAE&tA2Qx~D%raX^3C(Q;d_FmB zHRmEo@4c070UXux$-kQ6PV29EX4wW%*iAQj$OUfDX>eoD0o>TevTVEZ+=@?I;SK{Z z4?}?}Fy84MUzqZ5J~l;1n6?arqyG5j1d3m-)qaSUMZl|Ckqe^>n)9`=6ND^cv?$;L zWnDcASSNMaauxTuOUUoa^VC{f(0>D2b;1O_$)}W1+xsITB~%t~uqyJ5*;{1ySGpj8 z40t*s&ZAo?DzZm1g);%bghe^Dl9;D5)vW++08A&)Gm;tVR&paHUjy)v#kHOWLv_c! z^C7~^!#$wp+lh(xAMuMnII&EN2xC`u)~OYlaL;W(0)tC$q(pS-Ik^m6&RuHk)b0rz|3TxTt~n{2NTO_Bg5+(H&>&oAzN&N$m9gLG#{ z4H$Pk5G~`zrS^_3pj7ku^;Kyq{G|D@ z6o5#mo=;~wOe@PPR7uSo&7+YT6Mz@AXvxe;wB_~*krznYY+zPWSNb&o^sptanf~&h zdx&zu2~f^`EHlktP)A)NR@UfHHHJbzbcm6q&~=HcX>=aM&+im=n7$`8?sdL=7zG`5 zmXw1Be8GYK6UZX5ztThfyQ;^vuz#=ZW37R@$hvuW*fuPI{%$bHtw`R9)6m>Nk}~&& zV~P!BZdkD?KF%8N#Ck_QWn_v4FxYyntNc&QmV)Hbd<4K8Sa2-tQt7MMYYKhO92pxo2&`6g=(r7zJAODWXWPi@gAO2__D6y zb5RdZc&Zw^c^~wyR5V^)8pHpplvQCIRq^1Px*6EW|5}^lw1N6@nI2hVg~VVP`W~4# zksqw$`j+~g${lhCjP3rW2t>VV1AN`-&qBkb)sUtnsZ3)^Sm`G+U?Cf>RiS4Y<^SaP zzDh|Fk|nK*N-%6#dw^cb3$pn{U||3mS_xme;&&4UJB6vd zK7VCrP0{8=Nt6+nM$*T3eg7Kid1j+W*ufRtNgLdk4v3#{rWh+T*)m>aPw>a z^>3yva1_T* z7K{jIGwm3fp4B?s@FJrJ$Jbp^O9_n|X#K&ZGCNMyN6LiT=zu3DPZG6w5D!~bqx*(k zCvr$X(X<f@n5tkoN? zgX*1)oj#X-v2AcP%c*XzDdtMf0y!42qHM zCdBd$zY}EFw1C>EPvDI&mw^Ctt|lA%6(Qdw6pzrckFn?$Q6wqb`G?4*bX=$~zi4)K z2A}BAzn)^0o?`#+38nOeuCB3`84Ok|;r0q;4dkbz@)7J;GP0k+4afAzamrCM#4bp| zk};vs$nSPXs(7&3&*|P~@Q0zTucEBeg41O6#hJ?Xg9$dl@Uma3(fkn&)b+q{(+CDR z&7l2E2Okbo34bRT)N6dneU{+(|5B-a$+0|P&ERSQ~ z<~T`aW3pcu=Vq)&)h1uUpjPV@JF(q6*MCW&xVq6w7u0HIB@xnGcNIn9cR6y!<222p z#bd`FnOqYL{i65+!4+Z(tM=hgd??}WXEtUz>#5i_6W0AD(;QRIIi`d&P35`?*Y8YL z3RDC1+-f$JU{O-9byjuYx4<^|Il4%k67Wb$!wMYuk zMEo{EyG$RE_40PZ<0g#Z6J(T}gw{7%AzJGgg=1dWd3^AE;k1or#yT^rrl&jMC`b+d3FrzL4Qau}zi^B5u$ zDl^Gkv!o%#kTI#nR3lTolK!uufombdu!j1-3Zb`iMp3ejg?|;)E|>gQbziQdB%!vi zDap}WiDsKcRK&Lvv@5b=*25q351ZVEx9uw0Vwh)#*d^ly;uh-~3jit?-1hfvwFXN4 zAK+(NOEV=R6}rTyAd?4xV|y#jG;TpMBQXdUP9YIjcM-?y2BF1x)HOrKAq$lD92Jx4ZY0D144{W(+uWSI~&3Oz{v z@BPon2Ls?-#cpP^>-;N#TE+`4H799DkNkS>7CUNZ{YqFLRpp?~yFWT);40k^;yA4srpve? zn}D%!=Id@mg%29N?okoQJm&#c2*(pvH$kXdbTz^AR&+?_1Z6kpoGSVKj|IvR(7=^8 zrDE?)7tQm=r)TLR9u2j^Z7*zh=Hv=;#Fkug8u9e7JcIFCkhbU`d3pZl-w!(Szs}%g zdG~<;E0tB<1&DY_`iHUB1bVg1qqV^}^K&J-YTuWn$#MC$f#Fe;&p`pc(O7nCo9{s# z4+EQkqQk0J`zm7Tl^JnCOGybIHBb9$X-6;)t^>QOZ)}ih4y(-v_w8b}a1q?jOsluo zvdm5zD`s07R9eP5Bu{R~6d5J55_I3l`TIb6B=TCGA!0)8QER!_J_dHNQscH9&#e$_ z@zEsup3zjpYe`<^B%MgnE7*ZgGSJyrQ)GMs^kzjp?bsE|6Dt8~si4uR9(EmChFCZ2 z7aryG0OPiDB;5lot2X)b_`v5}h-*^=8n+}cZs-u_`kaqa)7IxrlLtmo-tT{`m}}(j`YXoIXVf?JwIBZwhx(E zC4D}?d6sPMrn|Vi00~`8hCTM!h@SLF6SpLi(cWB{xJn)0w>gE4n)eg0?YINJYwj!3 cm<#8bFXscVH+(|>500GK8UO$Q diff --git a/bsp2/Designflow/sim/beh/work/vga/behav.dbs b/bsp2/Designflow/sim/beh/work/vga/behav.dbs index 06857701f20b454830d0d961f04dadbd64692e83..b02c62dfd60a1928831b35d18c23cb7ae2add858 100644 GIT binary patch delta 1724 zcma)+dpy$%AIEcYP)P$E9)^JHy$*$@bffa%ak1j#X|; z7i>FZ8+C+O?uW@`B^<*Mb23vJdc0oG^UwL~>7VcG_4(uT{k-0vKRzpGSI+9l;kvM3V=0zrP5tpB~L@uoEkvGf7Ph*he{fHD(`gO9nu4P{o5^3nDPW3*}c~X79 z)o?AW`C7x1tI6z|mme@>3ueprhkV6uQIcf2SqHClE;eSRK%z7{_*j+O=be8Z60_6t+HSUq zVY;B6Mq0SH*IbQ_2C?fO#K5;AYnlFfJ_Lg#eLFHEkh*W-1&3~}6IJ@KOYL;wKZ5_4 z3;f@%mEgh(>Q2|fvebv@_{S)yjkxXf>_LK@=?Ge6>y;UOV2x7Ui1bg5;V;afe?F=u zv(y*5@G@CpfxKX76{V^g=}&g(UnxM}fV;{}F+$h+iKb9*9uCDOIP?MArkv%ybhwYc z@lXF27+WHSH)&Z_m{^NSnK@eM!a_FgyusiH47dSM^N-7U4cc~rK_CP*y+Z0V+NFMF8 zO0eq0cP_pG8RzaOOE@Rgotn{FTy3%_@Q zj@C*Ini>muF5dDGsZQ~rvzvX3rh0*|UByV=Gxi$ErckHIe4|V6t)_hBr@EXa=grRY z!^25HSVYepxRkJN_KJG@984wcj>7o+J!R zu8O_CKNpm|vv;zU74z3^1J)v6=hEEG+e2QvDC)w$PTl28LxTG2#%9xAu@Z9JZ_38R zrh8XtboW?4wfC*kEIKMbSdg?cHKRIJ?-1(8J#*i(k^-1h*P1P-Ehf4gYn)WgfpC|o zRHZ#~p9hgWII6@?QWc=G^i%_@&c()A$7;jpB*IBZ)|1lAi93W>cdz7p5U9MVo9BP$ zfA!14%%5We_?Soa&$p-qdFvh<&G{)YN%AP2{`8S>sx!0SRJi2BtxnnsywZc#XZ0IU4rT;x2;zY z>7T%GDn#jnijLuQF9%kqKRK3aiL?zjJd*h13q7fpy}_r2dudUZNKO*~VEB{luQVO@7#a$0byWR(_$ta+Eg+D65%Wt)SeG?c zlj07Z3};jk4OskXvhz82g-XSaJD;0TP)(NxgFGlR9Q9G+unU2RE?$CW>=FFZUMm`$ z!`!&C+0WfLw-RhPHU}`aclSYz8S>k=7uO(LPcrzDwQTD{Bqb(+qII1!nW8yT`S*!6 z3oZXtZN>VuYld{~l5mZfJTjK%;UyLfWZyc0DWlCN7Q*GbaG%0Sma^2Y$swKLzFWFGT0t(4cgRlk!)|fTBtM(S&YNDS6r%Q{ zO&h|B+2LNMNb8J;bCD1fEnnR(#i?||YfQOPBC*Nql`T?Wb5L;S>R8?tKZPuD46huhS(cME`bH=^81zV%HLzn?Pp5bT!|qK#Wlur?aWu^0;8 ze$2a%w0%A^>WozP%3u~sO3!o=+*tlgLCPQ7mtiT!2Y#nm>ELD8_S6mYXQ8nE` zv_&gfmZx9cZTg}SL?0)_tk;ksxK2%OJ*Uvc0ZbfWfmmysRDd-kBp31tFiPF6OGO+h zFCyr-1wz&Ak8DARx5Ddv4<|E}d$c{_Rwwd=)wLV_t!ufMD8 z*SdQ#iLzYsRMhsYX3pIxe;pf8P?upS zQ-h+w>9puOC?nAc*$ayy?QzgPi!lettl%d*fs8vwdPdgYGP^gs+~`2Qg=Xn`j;aKs zY?>WIvENue$Y;I2xP1oX+{6%UD*KM~!c^ws;?raJ@@}=!w0*-Q?;O^YS>QX@DF}|w z>ziX1y1@FfKaVika_u9Ibf~q3;XKj-VTv zTP~ol!D)*%^ay3ykCm?tNgmYjX{~Ed4)@5%TU$W|X_&ZkTxMnyeAx|FQhQLbfY_aA zYv6vS=e2IleJ?uohzvMg10aoIawsp>%wlw;Dh+3F?p|j?vrVWz&4_4B*4RZi#*{q-5$H{IE8JzH=fB3I3QDnk)9M^ zg%2O~6g`}PTw+3^aK5TDW=L~05GY6BMl5wU;PcPR%=b3aD~5(6`dxjS$q7fB%GF1? z4F-jSkiz%XK*0lWNf&Y=QVoC3L0dH4w*=)%2Cc9Y>b#9siYPV5lk>ZEDvV*A2YGrz z&S1ODMDg7c1nGj;Cxr~14%@T0& zpno(p{zzi>2u$J1zSW}S5QZ16M}_!>{DzXs8XKhzNxjtyY3Hl)+pKNWf=A**!LJSx zJNW$$XuP+d-u<7jU$Hlp>fLOXEiOJ1bOg7#L|*q8OKX%h!^=w=_ulhA9iXmwnvrC0 z0oXmRkRn#$M;=>i2d8SEY>%)CR-9n-Uqy*DTH}Y#Io*QBssus5rhN1kqm@sr-mnwb z^Bd=s(6?IW60X7&FZ8Fv^hVi535{9Xt6M*Lk$=Lw zLTPSU0SRdAB?)Da;EiE}pM#=c&(NvBT|^f&2(OXOsFyUs;QLBD#6PDlE0x2@DP4rD GntuR(GHeV0 diff --git a/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat index 1343e840f52bbe7d5e36224e748d4eaaf9365f65..e220b5ec9edb2b1f1566913c18ba1301682c2d47 100644 GIT binary patch literal 171 zcmV;c095}0aLNum3j4?b13n7-$eTxD9};XHg=6z5>;aBLK*O8>Y3h*x@gBhJ0a463 z+qix(=>lq=ge&;E1Jkah{{(FMG_wjZ1?CZ_4FomY{GbMo4rA)JsZLhBc1(Cdi!gax zfi(uxY!U!l0|4zbT}{UnilRQm5P=zhaze_Nt*((|I@#;Xk;>?8Rc?;VmD!ak2w+&* Z==C5kDa-rMg&{k3Q_4m?8Yc~{000r{oydDay%Rp{3H4%6sCNJ|n?Rjh8>v!|0C5G&Q~~Ww z`Ovw-D=7lfp9C=T0t0U@W%LAXMlW-+Fa>4`thEG*TRf`h7~i|xLvN7153-qJCV$f97jQZ%#*(4J13A> Z-;abc0NKm^sPr=whEvQTObNNp0swfJOMn0X diff --git a/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs index 9bcb92b94eaee2aa4417bcf4afa9fcecf9c0ed7c..ec27c56cb3aceff7b1757c0ea9205679d2c870dc 100644 GIT binary patch literal 458 zcmZQ9IK`KIAwQm5Ml}&g)%QB?&*b^_mXU#hfn_g{;09ta0EsXlL_l0NuoxSVb@Y{H zF5lvysmbYXen0f0-o$TCxH(g~uE4QistlCxBi?9Ra$p`&gM<&t)1)7Y~1txM~-ULv`?;&-pr2(DfIaChWXUJine8`iPLPQ zS?p_Gk>zJ)t!)!_-Qe6nf}`7&)NkyW>hNx9 NbmD1I@%|sPvH@41%E3d2= zx?7#8KM+#Hp15Iye@?rm1N+Il&ZU+-cNfh+U@EA*IBxB~nw@2wag3IR3g-NA6Nl19AViJD@aMT#o-XY+8LP<|FOO@=dMV@_y9OhfyR1@kyyz%Sq4Wo~NxruINrIzQ1cfK6ah*KKVGCXfI~?VF277NaEh< zIhX+1f>u5OCgik1K?|9?#&o(#0O*=Sy#jyLDO8YBfhI+zi%|gm85)cO;=uR~h|dD^ ze!+bPbh)0A1f(d3nFTYMSX``005d#Bm2L-@CFiCZaU|3aR_`CO=#Fz z6WW{<&!6)MsW=jaDvT85!>KJ5(%ZwX7GUk#)YwS~?U47v|1Eyhd4F!<%+9~=2CwRN zsn$>o0l33fe6Uv)>v_y0CP`8hJdGZ?e9{VJazWJGExK!u0dU-)osPR&#;FKoC%LAE zEh_dZ{+sU&sqQ9Q%$+0v$hdKIoU11-j4ImqjI|}3(8XfMKLrWhf=Ib!wU$+==D6UJ zb7AvO&##Mf{5!?QVaWRP9fAJ2`jw@JFxXf_0OcMLK~)4hfIuVz1UE^;Pc(zfy+Be% zQQZaaBB1ks@%5`*KOXoRz&weZE0QQrwECRpFBe*bg8^miv2;(=f zaSFx>`C%p+{ngI z6E+Rh(Ul(v3HTe2yDSv?%0;{t62-|v7Qijj-bv{Q^O+k{KQnYVd3u{*(}IP|M_@0uCv!j-{NPJE^hOTEp<0Rsq?kgH)T_COnha;_h?bm60T( zYEey?))~u6(p8jmPPt=ya|&7Zxa?e(J_1iwNuh diff --git a/bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs index 629e74ad613633b8632f01eb68531ed442382afc..c64c1d0a7fa2933631509464a1539c1c3478126d 100644 GIT binary patch delta 577 zcmdnPwTDZBvEUS+z=z9U>e^@S5(3hF4hQ^YnCfP2l;C8p->^6ErQh1E4@~3_@qIg~ zzgyj0;d)(|CdW~O*-LD*yIUo;+&UP!^~{Ec1qZ(tHEJ#>JD6g*yTCFl>M`@7YpZ@H z>lB4O(A}`hbN<^*Z#Sj##ZqP;wrxJ9W+TcoyYX_{;)PFyU)0O!7F00!D%|;~P$hEv z)sK0e3!*=q3ifs93rwj$e~oy}e0O6ltGC5E($tr9QiQ0wD_F7lOqo3}6F0tG3b%F8OZM%-1 znaVy*?(Uoy$9=b^bUJ%%&RtzA-hF7-pY3cCOB$8W>NG0dt@yLWa#u-M(KoTk0~Jnh z?B)dU>74FMs+V2vGjEoB(xa=ot^v0mAM>l(S?Ia{Q;^ih%m^Q=8^ZRvhm1TQ0|4u2 BAf*5R delta 577 zcmdnPwTDZBvEUS+g~N&qRoy+URzO<*0mDKGb`H^v5}eHSTRttDueajqlj;{+@;=Yh z{&K<5;gViZ+W*Y~^S)TB)O@bk*mYFQY1f4(3P*Rfe)n9ec2xV^SpgfXxHVt4Y+JuF zJ-Jn(L1W9;eJ^`+q@8jbu2vZ@*!0IRAVwf&{yCKohnH*-U*3`BTdKjrbbZxCRV{%j zvVH%2KE&_0Rn>Kh3)QZ-SU|jHu1Tx>r8o1sC~P>taO3&ox(m+RD!ZP0{J>9ghltUk zVw+M;$$f8E+fH5DB(Zm;G3$-=N!oq2+Yde0(DeDY>(cWryN`V@Y0JCzTy@Gf`SMt> z&+AF`IMOG^Oy&Ccq3XkTcA#3_rhC(b|ExEv7oRF)oVTb{>yJ%`qgMQ+ zznj-Dkz>(re6PZ<{B)AY`n`I)UR>3?^;K7k4)-zdE=@l9(|7Zl z3E`6hwO(}`lHI6XXR5KycD>Pqzl-*-+3flL(nGbm8t1OAmiYO^_G`0(&}X;U{(h4l z>oXyaxA(qF*Oz>;`^7K$^i3C&HmU7;HqFb}k6m-uqOedlc?-|1J25Au7nw^w2LQ}G BAgBNU diff --git a/bsp2/Designflow/sim/beh/work/vga_control/behav.dat b/bsp2/Designflow/sim/beh/work/vga_control/behav.dat index a4ba25fa856e99bf6de6f7bedf4fd12c73d11021..41f77c068b91ee527b561f11433be469cd6c6c81 100644 GIT binary patch literal 1584 zcmV-02G98caLNu4@YD412MHPy@YD1h0)Cn%b_n>BL%>h*KKVGCXfI~?VF277NaEh< zIhX+1f>u5OCgik1K?|9?#&o(#0O*=Sy#jyLDO8YBfhI+zi%|gm85)cO;=uR~h|dD^ ze!+bPbh)0A1f(d3nFTYMJFw`npKh>ztv>Pb82+^a7 zFA2=~L6Z&nvXKaP8=zE*;lwNfD4%eeJTu*rt^9YY_4DQ z4yQ!@MT(O6Ef3h<82=8`@W=w);fNRz(}}E)5rF%ys}iHT#km0h003-^$kYG;006UH zx!DtxZH$A%6Ja@pgboEs)}J#lZw3^oXBB7>9e{rl61^rXXaN8K0E?2dIsgCw01CP! zqQWUEp%C2z6&+F)h9LeL5wEUV`4Z`rY)t_G008;MoqYfR00800q>a(q7HF&o&Go}# zWnfv9j%o_SVoY!skOkOVm#Em97|N_q-x;;_;Z*{Yv@Br;^L3X@87atnkQ(>~Zfu_3 z?lc>YPH5;H(1(d~lJy-yS(cO>I!GmqQy(5y_3Bj|^@IeHIdVmEPQ z<5apqhSpSiNw6OepwEN7`)DESj%MtC(^(`U(nQ2wBM0r7!zA7~4xS~X;im}?JezU7 z1{(Riw*s>%Bx;&Kv?g^#c19&KX2EeLARKqvSZZLluXQ4jTDz$y&YQ!%B3s-w#V9OO z=`NcyBhWdxohkR*SH2@1c`3icxkw-4 zix0mTukjlQAfkZ532lVen-CVg?$H#rAJgyQ8%gmkDETBVai!TlAn# z8fdRY^wZFTZ!Ko|yp+{m`Th{q?z8?6dg>RcW?c5#Kw`os5J+q=#1%3_Q7aH=6k~yu z2dH}DzFh7s+Tae;ZTip<>JB{pAkNZf zBX;W(0Jnp14{r%{nQmIWv?W;5jQ|ii5}7s=V)99~i8r_|AA@}{4~rHtXCAIEjNwlh z5UYhSQ4QEE1S^`upax|P-qq4o3;~BKS?kGJ^_agBVd=~G--3HVYSZ+3!yE<7EP379 zXOUSQ?$4KK)ihwsEit2VEe2jBVh6v- z;JbSLGC$U*L_fR_pyMZ6XG2iUL)hwQzYs}bO6M!sLw;URm{6%flMsDbpR9^OEUCOt1Y{XW6>4a2I_GC@#-fr>VfeZZm zF90jTbHQXi8`0h7itZhW+{K;)domuKguak}IOLBOBCX+XrLVmaBg@yMAe!_Skbcu| zgY=46^xC1oeT9Co;(6r-sQseugZhx;cY=J5;`MtW1{>h;ac?>N6l1UF23>|5e?2dZ zR2LH{MYSGn<4{U$Eu2CZ13eYB9&O`7VLIkiEbbBKu|W$q6mqI_>5(B;O67g6;bb#U z-XJp2|Fm_d+4;Yrn2W2=jJkoq8==K2#kO8I55juau)zO?%d~?zqN8Sp9ph}aqx(*m i>Z0}{-_^zzgJpi0y@v&p^tKYTNdXCp#VPn7qic$bf8rki literal 1584 zcmV-02G98caLNwfq)C3;-K0r=?VB{oXtQt9xqXu++W@gG1i^-cZA$=;w|jTsy4$M& z^SuAu0kh8M=yIN?=p;vwcK|_n)|Ub-d>X;70b>pC8oeCh;g;|V(s73@49u*6xP@fqLyO>&-4f%u&T@IAd z!xm_v(i0DHJdM>3hgtiV90}bU-?1zne9J?(9AI^bS*TUUNy(2G)cq9BA2H!P5*U;s zqdOoZyQ{Il0tE3JB@opD3k@t)&sQiNABB8T43QR?W{$KTibyYr4XcAOH8Gw-@!bbl za;)h6nB1C7rZ{HTOvwEq)!l^ORqgP`B1dkQ_aiiTr;sE(3nZW=4FfVG4{tI;C^$(Q zkDWXk%OwA>6CEZ-(MS~~&{gYCCW-#y@C(CJBatQ|a%qv5Cuez9mm&yt22dyx01F+l z4I_EmEw?G8a%3wbBet|+DhMiQqxmk8G7EeuM3)aAb$MQtIt(VM>5?4CFA4LU?G2{c z){2#`4+7|>k4rbGYlvqH=6LOn9EIioY<8ZkS9K-6pqw@B8 zeOtsaN<41V{7MiD$^L53&lAvA@>BtcDj>PX29Q{V#ZaEKumXT-^K!}Npymg#OJ&IGT5(pNPWF)~& z?Vut_7DX*JP>%uY4I9l6|Iiv75AVQ409DDziK0>H7!cu(rS27B>SDewI~0MkzBvJy zca#rDEa`C@95T)$J`-8uB{hgZEE^AjvynQVrqiS-QicB%H2B1W5C}aM!ysoc@(CqE z6Eh;cBM*%_UZ=;nD4url;TS$c+aa?Ex`0|8L=d8qE=(#;qz|=4(^NE&FC_!oG7$JI z1H}D7W)T(1A(IAAGj}&94Q)*!MwSyp@NYiT-I-%zVtMYgx!`(k+^^I9Mup>hwy0^- zv~+3vr!Bt8QHxz{il?u{#Lkx4Ung_KH_jozm-1Y0Cbnjm3Iv9^2Imrf0S?GVLP;Uq zk^RS94&Is2z*uRHz`-w{HOX;s&ePwq>*IZi`Jw7@SX*d7G^*%%!es{;&eJ@ZO!ZH{ z_eNK^lM3yP$V32>ZsPT6nBiZLh~5cd*5!CC;&b z=e<9-_eDH~l&3Y=v2XJ2KezWqF0R6C{kBMbC`rqrtg;?ui(@#u@FpsSCrJL4BN5oR zd1VqAXd!bJJ3i-RHwF_MW_NBqplmGoTfjQ-ekx{@N;5txqXf^XewRx25YIHW9YZ)! iP7p0jzZt_4S49o12~yhKOv9*?EUD%Il?w4Je1Vf0wb14O diff --git a/bsp2/Designflow/sim/beh/work/vga_control/behav.dbs b/bsp2/Designflow/sim/beh/work/vga_control/behav.dbs index 85f6dc21ff983a689603e5ffaf745d4cfb001585..ede18081ef7d93c53baa3e19258c245cd5847955 100644 GIT binary patch delta 1147 zcmeyP_D4;EvEUS+z=z9U>e^@S5(3g&d=B`_FxAc4C@RcfzhQ6SOTV>SADGA=;`??| zf4921!u7f^O^%}mvzORrcehGxxpgpd>zNG?3l4rQYSdg%b}+?qcY$SA)MMsD*VaAM z_{|jdKzG9~&-rgNz1@_`7fYFa*tYqYnvE#W?8eJ&ix)l-eo-%@TTsE^t8nL|LY2tv zS3l-?E{OhcD%jVZFEFM4{59e=^WBZLtlk#ukng_p;FkG^lfrk#s)?RjBW_W-*Tt;2 z#YFd0|~Ca5Vd&5rXtgwckjMh&AWJe9CDD?lN{!&ekSV_0mD4{pV#cq z{}VstSgU6>N?q60e5!Eb!HFG7`3zBS`{#-tc=7mzAWPP+`-^T}_EVCdaIQx4pI{@` zHY2x%JsOWLueo#UVEJA@)_RjY@ox{XT4gJKV_h(Nfq3@y!25aZC(fMH^8RfWKXqd3 z<~Y%Ve6Mc)VeH6w-s_%TdpUfEz`H(K-!sQNKVEEjqPpaI*18){3vVl(DX#w`?w1=s zhd=ShtI!i{H=fQbpQ4%TU*N|4Y0H{*A{VD?UpQra)!@?}M=|!zYmH+S8>i=W)`uQ= zknruNRQHv(zvdDZ!VU{E16z0g)w1|g#&|#B^|k5mW`Ac~r)Ma9oG&tLW8 z8?)H=>lIF8kz+ECH!u!PFiYj-KgcR5B;+gQ)1@^zQsKmvRl9oS?)OfeuDWC1!K+y( zJR}keZ%yksWA(Xa?w)Vc7kEU~uqI!(%sgCQ=;XFwT4Pnn?YlD`J%2vcWG7?Az2?s= zE8fYvOP%~HSA1thO+b>8=iPnEi%$Niby1M(J-bh7Ti202A<8mqpRVQTVSH-Qb(O!R zezQtT5XV-Fi@I0&)!p+Ja4z!KKW%OK?wYdflya#lO@EfX`<1FO>vi*b?uprtuF32b z$Sx_LJFR|hQ(6wc2=gXUbzS{-_8sp{_ix@c^Zhzeo$VWvKUl{to351iBJS7+VJDeM zfwz)wpAd}^3eNr8quXMx?cla@>AHTc^NBtazbZPu`0UcguXkvBs?80Tg#ld?>v!c^ zURs)}b5;bz%bF0gGlnbj!OXK84gC@&W}UxLbou|*Q?{y=*G delta 1147 zcmeyP_D4;WvEUTn{Ux4t{da-H+@i^9Z)cmF+$bT;U+=gsb@6i(EkSkdj^+7b_f`nk zcKSVBZe`Oylgau_#s2dfbzKd-8rvi*-Wxn~JKbRU(x9C8`NB-b zbBp83+N&-fu534Sez|#lzWahtIa7NkXH9y3hRdS*(QogtmY2^z#)(Xe*!lG7iF=#O zFW9eo{7>@9y@?CT>wnK7Ui1HRnZ=j%4{fX|{+yx9U{sj=`OE$;>;1|_7gTN^pSOWw z&(>?+65s!=%#pk9@%4kH;P2Rbm-cmYS3kcaVB02LUF|o^#KZrSq)=t}scWw%fqh<2 zs@G5LJty*S%JE1NTzxq2rJ?rQBdcCccQ%?7v?L2T$m>ZCbK|s0_HMv1pZRIo38pup zyN)i}F?*8U+?EYh7jIu_68!z)qvy?|COz?zAO1wI{j93D@!iblyBiYkdL)N;$o*c* z#={}HUHHI^X~hvQd}0mj>qL(g8H({KcI3|r?3faJ$nTt9t#MOo_|{!7m_%(jq?~*e zyO$Tc@e1ux@)Nl+E$_LkU}s@HUu9eVg*=7H7xH=izQ&)vXkD~BxGCpE?DH=Na%Dm{ zIiCste){5-d%WA`#JKRR%GQqEt1#PclfSjX!>VZ2d(TDMpU*n7ub?IBaQ&ai`iW1^ z1}OPT@~G$-?Ye0{!)fE^kj=|^Q%$FyEb>hIyMFHq^Nj}>KD&H4uUF8REh`bxQBZ08 zwfzO>S6;K)Z!6a@R(lBfOwr0R>-?+9 zyE!1~eZq@JS5N-E9QE=`mvtCtUP0D&ksyD=rUSXEr!Vo(T+cF5_`^4z12xm6`xXeC z`#n+Xbj zOzb)Q*dcPe>K5r^79~6kHimVUzqOxoWL*flv-7R|g!>np^`|=C4shOg`#w+HUYx;T##^#E5<+LSC4 c8|{Zy3(A5@j6a;r_!GU$JZro3@rDTt0B=4&k^lez diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat index e7c03ecf4d066d11ef89d2869d42a37efdc0c1dd..9ee61d0f750f34704342fad6e7ed10e2d56eddb4 100644 GIT binary patch literal 875 zcmV-x1C;y$aLNw7a`KF8i3+NOT?^R=(s!8SK*514J_TJCQ{TQEkx)@=sJuvT`AzEi zmmzT2#Lydob`io)&0ieIS41A>He9MWyC*8oE1Izm3lSiQYvpP~HNqxzb_%v>iE^=E)+T&gq>Q`_Dt0?oNm zC!Vb!U$hnt;P#NfJUpY}ad2teT0$rz_vX%oBBi%4SxKic}cK zA#Lf@VOo|FAFA7N+FqCzJJJ+{lrdsm`Be|ke}GMaVQa=f+}Vq3&AE3%^(Abbt8v^t zuVqvJ_`YFGDEgnRs$tRKaF%20;PrbUYC?IuvJ+r=Qs{Iz8m@iFmInh?_}{9Q@B~p- BlzadH literal 875 zcmV-x1C;y$aLNvYo?!L&4Hki(VD)^^6RdypK~I$rdV=?IkvqM$LZ%~U0A_RSIg(TP zJOJO$JN^Ov;tnk3(A5D3(7Xizg<6=q0>!wEkKE`%f)`EasdDU0DUyyRR9100LM)A&e-6C z&<$t_Rg^113-S|=EDoZ{*_i$=LS3*@ck%@`2h_woS%002opp5_1m007l^-H%xEi1-=X ziD92=@(bW6rfnJ`+tRTC0000H4HUxw0001WAlU^QQo8&b{>nM6;MWLKf4BO4b{vpq z-fNn<2>X~lfY9C@R_w6HeSZjn_LXN^q#pW2c1;u02wgn=309rw-k>wXr=-;YI{ImaICgapXi6^2{w*w#^Z>7uPRX-)39iNq;L#MD}!lSoWaDINkX4%Gkx zcS2Er%s}jy8asiNwF=Q)6$GL)6p5lvTYsz}3A_oUAi;t53Z;-_HU=?5}1z`&sIn;D0)1kzkT8JhN%HB&zlf+&?Xqj2E{_f3&m} z8t`%&>Va2F!vI<3k=KJs3CQg9iAmsnuE(_k60V=Vzky~Ok;5vri@;>2T?QMBkanQM z&XI*)A}OJga>(~We6^DA0DVic^%KjREVq+pPmJ?zq=WZ|2Ku9*8Hw~gw)Fygi8?Y8 BnuGuV diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs index ca854a2ff13e83b7f0a87c5acb6a98f996433d8e..3d2e193586af0069d9f040da5131d70c295a9057 100644 GIT binary patch delta 750 zcmZ3-w~kMYvEUTn-lB~^?icI_l7~N^I=VMpPi&*OKTEyf!YMnwf4q0pxHXA;@#Mbc zkJ43}RKA;@ooagS-<)#4tFjLp5?l-BY~7-j@N)Y_ov%6x6@OkAl||{zdUJ;BNBz@> z>A!jfm-U=~VI%n5ZqsM^XJpnrW`9`R^zz8Vqe*p>UPcuAXK%QaQS(A>`b0-5 zfl6U%k01P-4_p$G_{FHa*L+6bUH^&8w=4C?pP93L`#!m;M}r>Q-Ek^gcA-i=9OChM z5`CUl$nEkm_3l?5ZYHs)yZm=2xlTCi@F1o4@deMMqRdn0X8L|NNZ%Il;ewCA9sZ*m zJaaoQdc64Q`{VR|#rl(SY$=^<6k}{AWu9xyh*)_ceJ4l#4({-JL+vnK&BH}yZ1Otm zPbs|K;koc*JX8HvzIko2VS8mhAGP$pFze^>dF>1*gc>c9B3P|b{v&km z8~fRbbww37QdG4no+eKGIXmay7Ox*WpUXb~vc!F9qR*MRyVG={*WEgGD{1GlSMDWq ze!u8#W*7U+v?AF?y1#yr=D`}-Nn0K4Ta;N&$1g~KcQ@8<=QD)^5m`Hy@4G*neY^T3 zyMuRryznwQSa_Lb#W{iCnkkC+6Mwu)%}lILEIr#0YjsFg(@i_!c6CSQeXgI^Y&OYE zXL=d%#X>aFF(Lj^jc#KxKWEa*rK|7q^}6y*{7{;CB{A;yWqw=kgId4O`iU(*?5&)2 zF?Y=y{so5f`}a(-K4P)pvc^2aw1`{xZ5V#O2^8txnzWQ(X7B3|K~K^5(iTtB(NsB-;fmG}O8 z9i#rTMwsd6t$6F%6r20@#nH4s3*#>@%ry>M_*vx5m!g1$N%7ZKeN=nj;Q#SbJ+sR1 zBgaE8?9u;Zusc^xMeyA5C)ciRUEuxjxYqCXt*`5f4;8V_x&$KR}}H;D6P&0m;raOi%2TE6wp10hom{(4Sssy>{C)7!2HUU}*Xa)LR+I>MTu5y9Qn>U` zSJfhB*;f|Hx;F6zNwNWtEdtey%`6wYDA*U@Xxo3NP(0edhn8>#SZ?Rk#JbTYUO)DPz{` z*M~oUKKWphYD3kZ_e)m&-6Xmy-caefjEG*v6|tTz#pN+=G3(E%ulVz+W~1-*oe5>X z->zM=&EKeE+hf0F=4>+i?+6*$^6b06Oipd_5tC~fUh5CexIX)!)~~BGyn?-zO{Plb doshaO%H|q%rDi&>__j%LrIDPyCmyCQ2LPdBik1KX diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat index 3220ade9911ac34a6c462e4bcb7c4ad7bed4c4c8..d19560ee5a3a8e8309515588b4d3cfff7c836317 100644 GIT binary patch literal 5559 zcmW;OWmFRk7YFdsvC*9a#)#337`ZXVkPwhYQbIr)L{eaMONj_dBMk=9NT`IAbR#J# zEuz%>?EQ4V{LVf9d(XM&TmtWJ)WY9YT_Urq_C)@x5+w6vrZtQ%D`lw5$XN@5Z6OYV zxAXuj7`6)GYLgA9aIb?;gN#A3 zYM+5rejWXj1ONb_$;x-`zXD*0O|ictM12xdV!+&|;YUL(JBJ31i^eZg_3s1~;APPk z*aa_p)8=T1u4%Q#=s5tPLOpb2kQ52f%fIkMs-R*oe!Ltq{T7Co8P^%}&6V^Qxx|GY z!`G7xF?zlKKzy~4-Bey|gwOGk4VBM;mvchGsT6CB2fVIK2WQkl%|9ytGL7UttpjEL zObcd4SIorW^<9stUc9ys&H2hcLmGH8o=Ewi%?@lfmE&sQZ20JojyaeF`{uhA%Ks z|HlbWk!aE^cqf7B`I~Qgj)b$pF}!D{Q&0H-i;<)LvH(NKJ>Cd1KARw~{K|kqV6j)g zEx*V))Ef*Wl10*}7H&eVEN#odb&nm@7*9$Umj4psOpk9TkzRVaVk{!Y%4tpq6~d@?e{+m-N<-bgEXd31E>PS0ypsJli8*#9(g?r zJ=43>tEHDO{9&^~3-m3Iw4MrjTuFyVRg@LYc+`~F-JFsPD;?-bXDiYj33+~KC{if# zd>h0PKc6AGBkC}W*TCL3U%XCK6t8lw736?6iIMu9^MNG9jgLh^j7W7n>V|NMeKyAY9m4 zJsAl(_@!1*1)S2g{pK-~In42J$%rgEX-3wbL?CZBAP_ojD5D~Z83Tiv!flFS!S%A& z=UPv}qf_2yau@ZDwl{?QBU5RPlub|GbNA;<)>>xAm?X` zo6ONxor8EZSlwQSN7w({Ys`9KB#x6hL{+?Q>@t@a#a%tb6==981gUg;PUw!;;P1!( zzW_n;vz13z#Wp z^1d2OxZRRp!n$AvCVZo#+VV+%XBP*gLLQHufl!1agQsFADmi0WlhY=5)0jw+oOW6C zYRbYJ8AvV()pc|fT$?9(fGig!ROAX!uOR*BTXP~(4>M@{)z}u?TaEGQcsa#sx?Phq zmh?qr;f_p-80~nhxEd6VoeiMyZTclKK!~dW@5!gSZ!CSA@+~`PEc>cKY3co*UOGxz zJY1MATEq$!0;~#_YE-OqSruPo;qk(%p1f9?aTH^_i|k?poG=;KVwlg?)T^Z8X55{3 z+uYhkw)&FYHE;XlUnG`^4Vcp>i#T>oFrihf42NjQ*9+hfF++HrtY(8{f5RJ`0=ZpU z1cjJM5=xgtr!6f=F3IDKfrt9G^j8AiJDBbl%&wtIQ^<3}BxTg~fLyXNtcOFi6f`z1 zUARktTm|d?QtON9dA{`XQ81EwahOP=k2TkVfn-&Ie`ru~8{(s>vZPz|2SsXy z&?xkX+ye*6OZJ*FPG!V5f)YhdsY{NRzFkV^^}9#WpA7^-70N{s8I;=L72fe;+KF#% zIs97b9-%&*33hoyx+wtpOzwtPPig_*I(4qDIhW?Y5ZfS0R-1@Wa*C;Mg^DODvhw2i zoTv+UPmmUnJ^?k5`(8J42mEv&-PA|p@Z(zk2TJhdwWRtU#YpVVJHab%yPLI5eeIZ1 zjE2@?w!Z!B&Wp(KGr=59K#-70`V_m5-CZV8P3@;qY>yJ}eSCF)!!g*ZeEf#@MQ4`y zO02Gh7wQk!g8)JSWdW$SARCboG8d`3Ig&4OAh5meB#QOutqq%%7y9)ybX4rD$&|L3q-u&Ok@;N7V-aAO! z)H5@`O6>RLUKPzBL9cA5;3mJyK&%scLKPhvu)&(*{w~&)9C2knF}xvW$N)&W?+FwV z$!*R@0p%IJ=gYvqD$cMuZxg+h}JP4 z)mVXsB{%`BrK|LdqEIFl2*QVq!2l*D!nF@}-8(O4mbThwogoO56C>@;11OVj)#+XB zkLfT$HdDjehfOo*SE{+I)r)z3p_vwZ#vg2C80C+mYCWf3#Kcb+(u|b?!uZKSOiVmtNM^z zA&^=%z43l1a?u((idH^(r=;}6{{f1axx!cd15D$C*^WHxb3RlzK`{AJ9A+fcex!OQ z*R0{8cB6ckW0EdnZ$K&?zU+C-MnZ2xE;>2>QFVEvFG8RD_Sm0sG9;D8X4$-BgHTH~ z291()B&pMoFSZ=56zF`dJGJyu9mg{FF;k9E@$-8uh3M{VnGr%paqWGd7+t?J4)cH| z?QtCTe%X6%iicMA@@f1xmI~vYCYzx-pcsL)dn-V+%cskm57G!*5&w_!^ro%8=qea* znOuSYzA-z3zpT$t9wOGZRKQ#xLRNWRfR>g~()C1?Z(M6Dq}I7_?X?}$fS3H2!(i_| zZr>rrX)bB?=J*iN_n=bNm0B!TGUi1;&0=zpL@~4Ks2=6?9>B(Ht7~G$yGWYvr3`Aa zir&RYQ-Ra~bY!4KT6;fr0;)Zok3yX_<5Hm$Ema^A(4r@v?dh=rl;Ho=vxXKId$d#w zpUyY&p~!SH@&-}ITQ@tUymy;btNgYw`56LHt zhVMzVHfjd)!((Et{g~=>4xHghVaRQ%%1BOVce13jJU}o>r@WWR{8uAY7y3{w?a(G&)~He zCW%&{QnWs$!b#*02u4lW`yKs(&Q(!{(jJ4V{mb$ynkL(8N0frR!spL z{Q1j;m)2XaF1ufiXDSUP6UxH`)$N!-#2?IMOyR-~+|qW< zJT$};DXyc=o0k^rhGQaj;T@6RApVlph6Yd!vGK6}1TWN@>%rG9Osy?8|I)L~tK~!o zuGabd%#!rZ!LzsUa&fvFud5NDOx>6LM+E)Ep;j9G{#y1i!s;vvU%s)TeaRm@j(zEr ztn0NP)zmcVzn^YQc=tlCC0fjqxNwC5tBL$`er(4)u0qA@2C!$0G{JgU7-m)JX)%_F zwm8@*N`3n86QoKcdKo~Cbda6S%307vSD~7bdG7UW}l2p=A4JWYvjC3didl|$iIdl zkdxx%THuF+>O6zr9M>wGVZtu*Td-4R0$TyYqY@j7s8S0w`mG$)|3gbE(hsYvuKP_JT9M?YPYUROyV`KA31IB$#q~i_Mk+W!(8|LA_){b6!7aa3?hHa{DKn z*1wEOe{>Xt59Iod%%RCGnWso@j1U)yJbI&v{oZ}aEqKRcN07+66yp3*bZNX4`c zbM5MXEEnp!KgAd)!Dxy}*_+WpEu42ydM*RdGyG)2s{yE}`8po|r^-MR5=SXUpFs1< zJMS=>5X$eg%ae3WK%7flHBMb60&%^xsR~z>d73ie%-3xdlutF-TQnpi)wooib`CEAFmp zrM+e`E75x`$`{9%O>I4Zy`aaOx3R8oDBX%rNfpxW!G=31Dtnzpk|wZ?rczvqS;}3~ zx+HuT?PEG-3?bntjCh0tDEOW_q_0EZB;@vC()A>+cAAK6ujSgWMV zgQRWurSo!~g=B;Q=0%k~Ti}3`4DKq$)Wjz!wfRWeK?q~f*)2!F$SC|HGBBu2z&fW~ zN<7wzLX$<0i}FDJP2GZZ=4e)$)blZys>1?ha^^UOBZbgp87A%~P9S8lhD^um8G&9A z7(T@=K6in7CDtj$-@NgvFlUl>-EfEe!BcuLy$9^nn=&|2sV*C!D2$0U8t#OgdUZ~(^UQBjeK(7}lsyaCR2_G;aYvt-ugtwk$?aLN&S6Y|YNF@(BI^*h)QsjU z+^;z0G>^6U0A+Tc$$;DguBgx<8io*QMp&-#hl_UA=)CV)T=7mSTgl+YkI~V-L$NPJ z6JD_xe`lb+n)VYZA`)FbvwINVrf`@2HB`Q-cA16i?$)K*Q;ug0zH^_wiT>nnog0gx znU+Z`%sV+FuF{RcF@XbTG2fm~$384($pW0wyKTyijfaX~U;6lbKWzBwq{vAakYy6` zw4Lksa4!XkyB?YMe44SQAghiEw^x_DMJ3%;*Wz#T$iVeglhNHQNHHr2Y7*ai3U_jn zA9V6`&TBD$w6A+Pyg}=`G6dKBh4b#9sGwmY1poAycJ?L|o3fphwDFGx(L+&2)SISG zhBE3Z(~i!>>@wLj!r7!Iw!ckmR!ASZ_nA?Q_zZtcoY5(ytUJ7(4vbQ$tr|>qr<*o7 zew?!_2Y0NR|J^-Q^+?twx2^MtNY=6-h#3q{i6?zlJInIXnam8z(*VF1TS e_YTC2Ril|$oe(xl*=D`!Jz|TD{v-K!#Qy+LWz5e2 literal 5559 zcmW;LWmFRm7Y1-r1qMisk^v3|NRDR2#uy`n0h2C8QYk4xq*X$?5fB7KNona+Lb|&~ zcPU-(fA6Q~%kSLt+_?J)JmlG4mx#>m2Ip-egoSQ z*tqS;#63BeBUtE{y&=3_q7qmg93#9l*g#6~!8oO!sJ$jKdUiuGNNIB|mH@*31xJi# zt*lnHCus(@nanW1QBEKMDT9%u{$MU~O{}@F_jtf1CwZ9qteWtX}D!pFmG9;IMC99#fj0~3P6oEasy6_n_%!VtPw&}+Ye^Tf?E%)5!CjugWNqKo1KJ z3ZQ^1dpcM{RKbR=EVdXi%W2;3uk!Hw>r-oixOg70z1O3JhyRqi#0j}TZ4>Q6hFmr8 z*!kGAVlqkd62`Q+Nw0c`*%Ji&hfzF^xaQmfbI8rMOEJ63_^tg9*G6HUz>AM{fX#gQ zNXmrapO5g}jOtUr&dxy@-e?AasxO*-AE~Y^kG(b6!V0LACK6wY-rCQkFK3`R{q$%PE+hvZsjLu5Jdu> zKYS-4;B91-fY(`iG^%(l7-K@9Kwjy@z9(3;Xvzc#ea~qkpjT1!|HEB+S2yC3Qg_+6 zbgQ)YTN*+q0~fpO$Mf?m+`=b*4ZlBOgj+X)GT2=b_=g0KzM9=mIc7d8IrVdqy=~}h%!t~9Rcsc90Z!9~ie&YAb35?*rFY){ zKcLd2zt$l>?Q*$6&URpR1wf-!xg>k{(YqWxy36YmhaY(%$?Hfq_lK>0N}l{Pw2fWk zp^9+qGTo+y?}z>YqGdW=7X=VKOsN8r;sx&sHP1xk{c|u93`uT%eK%_duuj}82e0qO z)hJp=d9K5GUMIXl@{#R+JhwELN7Ro#F;XH*)K;Z1S5-S=s-Pk0DT{ z)?R3=uD*%?a*HbK?_BmTg3~rvq1S>T?dZpbztd4Pf?)c@ClxTgX6|E@))N=W7&}2! zhnXe~2*}^`jGbAfJ@z39N2Z|Dku8K@-WKr;d6)F;X|5$=hm{xTAIJE^OOBb;5S=mU>~aBT4Z%a- zLS3@P1`XklBi1Gqnf$UA;Yt+4-;iiWw%!{6xDVktBE_YIQi2Oyf?#v|?mKzESIr;o zp(>aAWt`%BFXwW`7GYA9ha++-3jemPUGItqKUtM>t?=T0g$h;up**O1FNHcB(%H&& z#HZ$!p}T5;m;=hkJB&`lsEWu(Ae&I``^bUH4M#Mym3${65rlrW=@Es6$zgIa%0vb# zR#Yg|jiN2v_0$R0Q{-v4#CI6AE19Tz9>+Ot?UFGcraujsZBI=Vwqz~P9ncJ>&$_XpC(Ex#f-#*JM4agMT#659)}qyd#jZx+=Z98vdJJ~V=h^E&wj|_vgSii zzUBrS!Ue)I0u?ivhf?555fkIc`;Oj!f{nixAlM3}a+DOsUI?VK^5oY34WDdSof6JK zEiLEE0oxy^7tf5@@`(C=AK~SYjc8nA@YDz%T~y(!VCT3;OD#MvKR7_SVH6JEQCv?H z&>-j<3F48Si-jaT2%6?`$ahI0QzvP#q6{2`XsO)~MvGf0P%Js|s_cy)e>88qGVVoH z{hmIF?5avOh*4pr8*;`~YU-F)pF|qS>9;~q=EpO}3)AdJQ%armS5~soW zw$3;MB^JnVFNwi2Oi#y_bA={Xzr@Hf^(R@|x*SzUJl)qU{zsx}ln6z`^`19LBEG$x z{W>4uO%pneEP%hrZBbVAGX2p3FSEbQM~-H~S0^)+_7TsI+fho68pZQdQk<8*um#M) zQ5Z+;7|7M=Ycf*(El<6hv$+20i1ogf(Jp#$^u5-=>1^3N(b55@B30rFm{L_QTAvq0 z_#suB@Xe#PtG*80RbvMT<8+raVcjsVlSz_p16lIC#dpBS(;+ zWuj=sh_wuca0{gw@75)5Y&YXZQ#6edlaD=Te^kX8;7+7=Fw z5hZZi)<=S^!`wo=ls*z6bmyydYv3#!XVRQ*4)Y z2`if0JN&+Mt!Tgd&q<4ndQ`v*K7M`LHRDuP4Uru4+73%)vLG9dAd6fklu5W;-G-Cu z4$nyYpcb|0wOP-!9k?eFI;*4Dmd?NH9%33c!(83l!23sMC$2%PZ;hkEp}YslUngE{ z2U1?)AyCF4X}E<1FNXcWkFVuMv2n;^$i??l$H3lCovoFZYS_8V!o|i3iTbxv^=NQ; zwV=x#u)A%$=M!pQ?}claY{8T992Sn;SqX{m(Hu0poM%7w(eig5JAd01Iy9QuC-wo@ zW4x&q;v5ZV*6kaFU*^9e#bnXTf}A?AM!KVVgYZP4Hsbuq#uhnYfNxAN;G z7Hh<44tT_YCh@Da51GA<_vSb!2ear9r#Kgk59sH`i`S4d8)|2C_F*wYWHQVb+dq=T z>HJ$L1x@?{?Hv1>8E(w-O|Q%1gwV1Lq6e9@M$eh*x5;cMBn5cBwSJenU+0sxJgw8P zJEo%}Jy{zYOO>%?LFLi4U23pO9eLF{S2+MDzfi6~FiIpNrA2rvBKd)WepkW-*Kov; z{#`{z4-;+p8cDP%GN}s~iD|EZnywa}& z9=cciQZG+AUrTFPqGVsbxqc5et?A1Kx_PxEged7Ad#LC=Ul7_6qRTmVH|)Zg>=hq) zF-!I*k@!oI9@Y^<)?f=?)>}4d8<%NiBt2tN8PKUlgMrj7(isHGOywb}&j0?QOfax@ zL7!{t&PDCk0Y<3;U)?SluMbCBWTJWxA;etMSY(@^&Tsk@=|_PAv{HfK0DdGvZFpv^ z1Ob||QM!8YnnEh9iDq58VS31%%6iMoJ|k~USDFA5x+pV2b{jXego0PC54%$YY|y@y zmz&(hEMLKAUe}O&Px?Iv8M&=5deA@K%Z7gyF5X0>r4d!b8l?sd6e`x?-rm=hir=)< zFX2~JT$)JkVFB2x^XDr>a93!mQhAVyWGa6{N1t6gllw-Q0!11gV=~kSvl*8@VtdRo5Y3@HOIB4b+R0dOq3Xh1N;QdiFYBK2sf16IU&~GA;mkb~(oxN{HxnMN!=P?JUh}e0N2cz;WKn%DDZqNR<(_^R8E3{aDBhHL|GDU1D z^2@x5>9$gx<%^+s6My-L?iLRb8`F&r)O+W?)5|gsHYv(+aaSgPaF8%Rx7Z{WAZVI7 zKJZTFgQbtypLA&V*FCqk|*^vlBJU&(~8`|V89UryY$M$721(nx|V6vW=H z!EH^7T-CVSKiz}{h3n;7rDP;nqS*^$bN2cCV;82onxi(K#{&*D7x+so*8k?nK^EF^ z(EDt43ssVxo}O8fbc`VuIpm48Xi$pPyB?VaMfvZny#g+eqA6-JW@gRC#PIWeDD2EOg6S*gm z^IS}Vs3jI3@FMjMfZ9&Vve{xSr zc!^U>$lzhw07r?!?a_Z1#ijJLrY=6ca=0Q>&x9Nu7i*7CINtHa4N9s~-@SBkbaI{e z;VD%9wy3fv*?H23tWUmUXqi#6G>(LhyE z{F|PI;4+yZ&uYbefuO@)s?n0F9J^Mv^R+U`M*|#A<4av1J{5~7wU~y^2fR_y0|n=k z<Eu2XIr18aNGEMHieOL4HCZM|NPV~-Vr|OoyFr9W+Wx+mW zpjmYI;oOJDp4btpNZckGyu2^&p1(lkz2x?Ieop`WWABC0ta*w>g|x<<;yW6&th>`E zx)SQ{z7Z{YR-%GL50WgcpBuP)Z9C35O>kjK<-drhR5At2{l)y+4jm*vdeEF28?&Ox zz#p^A?7hWT*jLV~a4$I9sK&M+`BJWa+~C|@OGGlXZD!i)NA@@Kj1M+j2r?|)%-^m( zc%PX8-4_K1p-uCY0^~{-l4bT6b#l`c&@^7A_cq?}39<9V&6wna2e(CCqb@ct(}o6p z*w$dhACnpM!U`@MlJw+T#_YGg==x;W#T8(-J@`uZz=IlU`I|Yu$Fz#=_N%7JC~!es zZaJ@J-j_Y7px%h?pu+Qh+(JiGA>vuLBKC_ED<>~$DYa~ve%_LM)wD=%Xq$4IU#(wA^{(theNd;^K>l>j`cv!2y#?`=v6DZO88SJD#&~hu zBhy(^xy_}An4;D>N$&Zn{fq_IPM5LgE$Z4&eBunh5*r#_olzJUQH^Fh^7Ut_ZJ$5Q zI+8M|FftRhqyDq@$hkl@bE4_vD_$Bv{bU_@y6C=qSgd+`a%M$2kUceVMu(03Q%_Sl zM($k>U*0JV_q1A4r3-?pf^2IOGiR(hma5u$+X4F4G?YoBEU>jb8Xo$(OsB_LlueTN z752G@l&ag-CMqFnPRy-q?o(23-P*ePK+6q_^^0c~t={qhX0nrwke~d|rY(4Fgvrk* z-e}&uiJHk4;|si(aUMVe>*0j#(A{=MXFT!>I8s^1*aU%Z#%gC!K%uo_5sZDH+jlMZ zqTi9Y^J#tQKixB*=6^ z`AL>y3Vp>PxUMZXxstArg{36wC6~3I%AZ(GYCjtlr6JD|gXM@l7t}Rj=?BnkyNwoE>&rAN#f@dy{N7k#q!W-|T{H}&5dt!YIP`@*{9i%s~$l4Y|OGR$VFD>nb zJp#X$)w(02=~+9Zwrv2^ABW64B`l3Yf+jWM@2XBMk;N}IS55udg3@}!-9iTBHW2yZHUZj9wd_5!2Snr2rWtg diff --git a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs index 297e5f73bb3204b0cfab9cb33aca339a2d8f5d16..e674d6c2f5787ff180ce4490b9d2eadd50e8648b 100644 GIT binary patch delta 2591 zcmb8rc{~#g0|#)P%$Xs_++*Y>qeOGRa+{IIu?m~q=i_KoX>K7jNx-|buv10SX za{wAo3y7|VOG(Uj0@e>s^9=;RzmjCrj|+UMg8pJtsHpPyKzA2K&$haq8<9cVYo~UG zYtIHh1MGOMfG;Y?kh^vb9a`n8h*;ooN=5;!F~Tqo+{lB3rljS{Cf_-Ot0nV6R%(hB zW(hCDF#`Hg2iO)>KGikdYfJFypmE_Jg60WmFL7M-|6ewtXH>vibYfg9BKe_vY`KmojPd!ZvA%u&f37_iX{*O5B7s;LL-7%DZ z$RD)K@=$ua+_a3{5&3cYPW*`ui`-ACOe42j)(ShA^P)b`Jn0FMyh*gBQ?shq%sJEP zK@wxHFvB`ssV}^g;`jBw<_!7TF7AnW*z>HcPh0ATY4fo*olgnEhjja&NmD(dUXf^# zj96tuuXg^iQ;nba?Fo9ZGetZtv{hq!kqX~Mk9g$=13t~jX!)P1cw zR9dLn^{!z@ZyJOG$ek7X>X7Jng4*@O%I-A0s^PH}aFbk~YH#dBrADPk7O`6j-d)f? zc5qW%sjIFp@Nqna9=w$!*>+7RAk9ScT(7r{H2OuV7H=1Ftx!VI`LVX$oo23Sj)dUP zi(HbS1Wb$4)wogQP;$?J25waYzaF6O1SsJ8>x6fPcSdm$K@Zoq?M{xY)FZCAtnG3{ zTJDpfZv2Z}3lR&}&I{Vz0O zTW=ylLiDG-#m9aaLL52o1xmYizjZD7ba_(T*oZ4mX3F00X>&;e9QPj9^I(Y1ymVDb zu$=wHfAAjt;b) zkJq>S}{5nE(rvs5CWVTwzl%X9G$zPlm>I2siIMkM}o*BW+G+&B zh>K{8dF~vN`w8t~L^5;qcir#1lFjWJk)d9@qv}Le3GN2>l&comsAQ=ueyug4z~f}2tK3o=K6h?| zSH=g=x*Q9)r%Xg`RVcsr%vA90?M!H4ykciE^)_)JkxguUwXzyKX6j$Poy1e>`@fxO zmfuu}=8aHEw3FR&hGt?n%)&lcTB){G511&^p1+RBLU+deUb8I;igx6Od9g7YO9?yH zxMyE3n}Wk;-a_nA$phzG$@AbV-f`$U+&*#^CvQSe?bjAbnX)1yTf&-hWE6LO_;p2m z`NuelOHVio5!jymZfeqgaT^Ey@)HlTcHzYQ5wGYhlx2g8Q9p=?+RQ!E5eTwnPWDz$ zvaK+9k3`hOf{|R?_aj9dXNp!f1CCQD*Lb~o=dEXk_~V#aV?(K|)sX&BuZ6Y3TBj2b zys4JzUqI*p<-m_Wy&1L@{s}6V+$N9F9jf!rCva8eI zP(Pn`jx4dHFwi3JjMf*pyu!@tA%jA>Qv8sQuQ2-aX|*rmEQr%-!uY-U_~rPjd&tmK z(qMz^NPzU%clugLNO8VjZ**Yvmdtk+Q(2qkBWC%zE(V*B=-gBQg2rV{_ESDTR^q|C zFcJ!G7{2ifu>joi4MFWMn5h z&vzz_2pocm#;vww^A$6N^HRm?NAh*R!=$i0MQv}uby=NSpUlu z{=5_4b(WqldxlGT$PtE)gdE$MJiU>=9R^4euktM++ueTAursJ0y4BU55!GwnpA*L(_3AO z-b?7Qp=Xzcz6nepsg0hGHqVz2Q!k5{@4Em9-seajmcL!d%FQ8us5=`$Eb}44s2LU8IR%>o!2o#X%z~{B*0k5g_}6 zjP{c&T$3tIRQARhJ5GnyCzd$$;nYW02`iJdF_{li&jp)E#^B;tm6^k$tEwHq(kjm* zpwggdLS0c$h8Eoe4^KboYp*nH>ouR%d-)-wlwBQ_Vw6{gUuMbOrzS;|F6&afX$rT$ z+U{MN2J53mNppR+#&nl_EozJ%^N%-VTKsyNz+kOa3+nzrzM={%`E}S%tR4%@w{}R1 d4uj=)@RTj<3gy0aPTB4o;+jj!2zk|R_HW49_E7); delta 2591 zcmb7=X*kr20>)8JGLf;wV6tY(KK7-Asq99U#0;mrgvyrP*s_L9 zQr0oHEF;p`2HCgnd7k^}e!J(>`{jL~=l%T_f)|2$8GSyp$K*NhUKK+}{SzKHLZZWl zxXNqz=3&54i+U^`z(>M@t477?7HxX=r_6S03SK4aM$stj`p=IZCk0NU1z2U^AbrOmc?7%Xsa*@|$THQc5nRK+FF>_L|nVE^-SMek4K`^k{zVrbLe1 zQ7$X>p`cf&ou;4wG*5|p2H!dtK$|Sraf^UiBonHeO(4;Ppi>u zj-#cm#S9gCkcyax**MJj!A>}->|WHpsjob>E>#0@f4w&*C0p@N<889Qhda&e{S(4r?-Kd`DQ)cvYJFv?>j*wD zMJ)5;7TuYUC5HUwiS8d%8930C1I#2kxf4Y zt3Uv+BNKJ&7R*BN71smTDst$i=O1UxH-)hKrfHAS(Ilue+m;kPOP^RQVD5MB(rf~J zbb^L8;9_YRZ`h)|NZW&Z3SM;W!SNgY!$Ve&Sdc~jRm!q-rrz&gb`Jf+XvrdowrA+W|hI8a-z+u zd-syx!AGUqvVZMNhIB2t*ZCZe&_cHeYj0BS#8|ic-8fVi1c^;k@TGCS$Tx}X3|`Xt zi)^wbb`2YU((F|mIVpSo!ode-CQdK!F1si(dQB-C>%0s5kw9eT8wISNX_>X&FdIC< zOs{L?F3<;(5QUP!eyw6iUAJMP+I>X`0#bK@ z*U)G74gN>vjN;um#UUkpYa120;5GM(R3D=cI*Xc>gICm2CG$!>bUTWeXn&-}7uoA$ z#RZevMf(pxNh8!0KpDjy_?LTC0pb!TtFp|jaQbP-O-f#Dx=+^h!bhpar!yB38vYK! zMmeY=W{hf`rlpL-8Ly;@k<|!BqdM@4WoF`w)+56nA~ff?Q#PfQmp>gpBD#_TKVNf4 zq2jHOnr29I-D!tP*?g2-$(KK1onYlnPe^rkv{NS1SYJF3m?Q;?p@hKqPc{RXpf>eZ zYnxVTI=c!48rMV&t@KM*2&vE+FHhDb5*E9MSS)zBd!1rJG0CP<-)huvCfe1|Ws|SM zsNz-i6*%1@_Tde69mw5Acx5Ft(zr@TQX9rAc=)g)mvir}r=&_-(sA3x4j0YiXmY#k z8JA5AA{*%YY`FZh{+mEh{pa4?|SOj-YK&$%qJ7k&z@J$PNU@!Z|z zoh9e?6&0f{bLsG`%L9p*`!z%IM>rhk5n5esw5tF+UBY3lD-b+Tg=QzqhJj_2Hdzd2 zvMyG%x#QrS%kpU&qk7Z6KlqjOoyw6yuVm^J3S7}qJqp(l=*Rot*P9+tv2kCnu$V_= z(ZAmNbsW=;m?o32HfEhQ{FcWNAC;x#BeD&DybVhWrF)CZJYhl-Zq2=nz?<%ZNVO{t zy%8rLW3`vUqkEuj2CUM?*!jZ~!76M5xe$(7xApv%FDK>mX)@;h2qJ7LgN>S7>q#hh z^z-%6?_PS+!Om`YED2a0j0}47icb9~$~TKY2G~l#93(%er3tdRSn{GJ0N3~+QUCw| diff --git a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat index 4c6afed64f5f3579ab32ac2961c3ade69071dc36..df099690f46f0c378c35187af0dffbd94c2ca183 100644 GIT binary patch literal 2315 zcmajddpy(oAHeZZ#C)-_uS;Yo8WI(axnGu6VVdiyL$TbJLN139i%=+xsa#5knrLE` z5E4hiTv865lH6uZbT&t)`1zjm(_cLv{qy-e9`E<-kM|!>k zA-IJg-jq#H*>tsD&AqFN-3ws3vn*+W+OyS7Fc>W2W9#c(Hl|3KHaV81G<6PBv2kt6 z^fNVXE`Bj9d#%W-TbglyNk@5YO1i}6N2;93J?NXOjX(ts(4`3$Qtj}yO`(gOg8c2x zbmX$3^cLxQPK%1<+EnMR%9|q9rEWRx=7mqvXJsR&p*GAvFEG>|gO~q}dSDrxS$b8{ER4&dGWNhtHvZ3c$2`?6${4JCzraXD1uL^wBWA!_t z`J5sKs-3e}#G#sVww7Nvx7n&8u8I%us#59fnd$|1&f~`siXiPURO*|IWkKb#s@99% zHdTf`cQ~VT4!4D06UGBwJ9tq@+UbdZpi;J4un3i>EPW;e+|*zmX$jTFZJy|uOsQw! zHNo^1mAWyWTn>5O@|uTIQsMz3D~pj*Gc{)!%W zvA%YPnyzTAzOP1y64_-ZOmW7~px4TOh5IqKe(`#$hg+=ShO(Q7L5iMIInYX+k%g{e z@s*aLtC|vzNzhYvSqCPiBO(n*yYK8V!hn+JaC?B6-3j_o+1<%H1(kYVL`ztRJwb5P z?ck#+?MCJVcN(aNl6L_T5Mmpl(wDd$1(gJnI`&Gq@e1Zt;?r(K^Iq?F5sIK_RPq@> z%(RLQm4E5to1l{NOwvu3h)ovhRCHs7E1JYb{Td18xvu;IR8`|oa=RMvq`1gLy@{)6`z-|R7OPdj)iX2QH}@4d6& zK5pv+z%xE}7%GdRM<`HPQ{~uoAlG69k34M7%BTOuJ0~*DgT1(EhL8&^Y)@sLZl~?Ai>lYUKK-EfQ=~7yoY; zXlFM6XLqi!1}guvYlo-5G=tpQe|HP~*e!nD?Y{4BfgihdSa-YsyIbJLZVBsd4}5nE z{MhZmHMg^nr9(fn1URl*DVo$X31sU(&3+3BCT1H|5hq}gII6uaN0liL^8oe zJS8f^^J+RX&+yHMK(h^|m_4RRQ_-w(7FNz5+)e4MuYF&W+B}a~Mnhwm5B1bE~M&U3#@eCrLW5zx; zVUo!)87CI!4SL!p96!czJ);3bpargB(N-sGnPXc%pK)SOxd&y5>c5@FTUI9|CZ5(| zzZ3d=Hagl>ymY8cN`u^*U~H=LRAxZS)t!1zmg7s&9pRvIC{>ZBJ^cQdY$T$WTvRfdtITY;0QT9uEncd z-O_@`I9+ze-v7S1X->Gp<~DRpTo1gEZiMjTCEW_sB$=g6H@@9DNNH~TR_^&g;T9@e zOM>P&PJOF; z{5n#Qg#SX$+1j!PcSAoT4+~DoEM(-gO~^f!{Ij}& z5qm4Rsrycy*ZCOU%ENC;_ALLUsOId0`I>#zMYAyKcH|)VIMrxF(0EK)oA6F?=qA~{t<{|9mnOBf2Lt-;P)myzY)&>Pctv-@pF&TH#k<6)f&tL0)1Z>x5> zU1MYg+-(j|Slf*WRmhrbv&qIv`A#kP$mk^IX2gc~)>p=gEE7xG(73cG^}-mMGa@P{J`1keRp25hS*>S zImv|06{h!0gxus(>>RkI?OnJ@@FUh(vM5BSBwja51^^e@w{W AWdHyG literal 2315 zcmajddpy(oAHea=Wn(s%_>$aa?zKW$Gr0}NHDPW^Nt;S8xkM^=Hah6!a+0}KVk#DL zL^V`L79nzCtR)>MajZ1=OZD5g^V45F9{uzAJRa}&>+yMf{&+$oyQN=KZD{yc!sA7W z`;B0?2pjKn@(4V9fD2D`UahKz$i&CDPnuQLKr|lgs({90j%!EzTn#?pkPr#E08@__ zsjc54c{5cFNH6`+3%NShH6=Pw_UN_3dPjFCX8a>cXUt3NhAZ=7lVT7?kS<~&er7;c z9CqB}y&{|zF}g&nKaG$uW9*88dC7Bel6MX=J3eKaBqEewKz6~78_mEZpG1tzHO?wY zN^Q;EB@1&GEy2MX_Lop|soE|`GY2avQ2FoIa8&YF5iR3}MXLOURnje2FMofKEgRH+Lj%Qy*pS zOp;4@>xDz7hx|Lxs`zJ!T` z4yr9NaJzsDe-5jKChB(powkn+<-$H2zXVG?+eozVg5VW<1D%lS{3i!IGB^%d8t5vz zH83b~xm?cp{ZtBAURU3<43-)BoNcPD==%ON2o_59iAz1ZNwZt;=E>bFn63Ga##%jq zwwt!-97jv%nfc){-KP2WUlua-6i?Cw`YHx{3M|aCr5pGL`?%8#=|oJ`K(pjlqvDzR zZJ9D9|6=D_`b)Pv_tVNk(~^IZ{Bzz3yz}RBJd7 z{MGx;tOW2^1tS-Vi8qXcX)r&hL#;zQsUaix0dDQ4*U0cUy5eBzXLAJ)mYu=<7wMmO z&2U^(mq%`tnGA5Lb%5wfVLDRR^l~a#?v?D$0Lzj@iBYwyxN69{I6wb0c6UzS!Brr$ zlIM@S%u4G9OXZf!1z>5bpOfix2Cqn++Sj6Wm0;>s!l?#&62iuiX-mWLU}?v6>jX=7 zEc@`}IzjX~>+3(e&xD!vcNQcAiOXkyM-JO(odnC$enZrEI6*TYhNgAKFpsX94 zm#AabfZ#@~4l>J=v-gO*^2ctS zHrzUYcZ>Y7TNj~QG0@W9pILI^u= z{k2&!J=)cC`}3JW{X*-|+1%tf4WrqJC8D^uU!Ke)$tG0W!u{m7yWVy-7!!Yny=X!} zk(iJ@jtG;xpB&xq~p2kaR6t?v-02E2Jn>l$gs()&0u+I4i?{zFNy~Hp(Mc>imw?fg7@u=Di%E z4LkIo8BAlV>lk0gszSZ!55Bj!uG;n5Y41_uW)D5Rq{aXON9{@QEbI^q9vT$s6FO-x zw@G2!DYvb8Hke2gbWr(=7<=3?#6a(atmtvq7Fu?Sx5wUP{^PGt;OACq@0X_N>W@D( z9ld6klwS9!*lmrx<;(P(`{=)D)%97an^cFS&x3@TKGKJs24t0bNt&HK#h^f{&ttf% zH-KA@U~!ucPZgN9z;qwc7F?di;dtUoX+6RrI?Vq=*(?%_v=o zDTkgtdND6+UZG}qBIda+!>7QX>;$zXKjkZpFHZ;Jn2qDHy)@_|qRb!+k^ic)lZ=mgE33Eq zMvky!vixyzYJVv&nuatOb69U3LInF+r7Sqh>_ro*^4#BgjTpow!wi+;GeuW)wBz5z zpeNtMCvEUS6>Vx|8H>=WtAdxu@P1ZWgBCOAx;bfriI&bFaX7i<+rFlKymbvQlc|&qaw(JI zjy*FbooS6fwe&g5A-2vh%I{*DHkALqr+i%Yx=ib-E2oaFd+~1BSM`sjlO+GnWRr37 zO5(nhWxKw1ce!$4VBdtEm2nHJ9&JpV*Lc74=Us>5ZOp&(Q&;_Z#yi(<@>bL7^)p1b zRDMd@m?@CMoD=MyHC-szca6`Y-_sQHS1{Hz?LL=So%`jCk*j=u`!^2n8~nX*H^!%G z3WrY7^7@>j!nEsds4f30bDv4V!G&FSoV+RyxqVT$iJN+VO3RiNyUs0aDBf6b-??&4 zus09Goug}434fWN<6`>xcd}*9o?Pja%RB1do#SZ;_*s=6R`lqpa{@`bo`{mrU* z%Z-ygw(GZV-@5wHzK2KbcJ;QkSw4=~decw;$d2cW<1x85y|I?bTk~x5ts`~f9Zmutvg#+VU#_zHh{zV!8QU9cM6H!-fpRIu-f7Is z6=E$bA2GzO<-Y48W-l&RV{u)bxga>)y?sTm=&C~+?=C)>q`v8 zZ6W7&-&M2z?3FifRhXQ+FvVh3fq44rV-Xi>n13ew1j=c2&9+}Jv)$uL#peNtMKvEUTny%wj|4R?S1Hj3@%s;`SLKEB*UQ}3GXo9FqV4W`QdHLkrdZtejJD=UMJaMi3g3VN+7qv_7 zO`6~K_6J07Ju#XO*w>3s(m&YOn>t-XmzlSrbo!S4oh*K)ViQzu{K#LH@@MPS5_Ps0 zXLaPRl(RgG4g4MVPkBOw~ahQ*LAJk;1j!Oao`mfb;D-AG=94aS?}|^EuO8O zvxIro)r&J?uy`M3;rRm#myO~xwq5;2;9lZ2;>BaiWEekx4_X~eecz36NbM~>It%?n~Vtx!p zc}qkU6+*S^l(zJc6VWr#WQgtZpA&z^wcldXjR&_5uejUR z9JW3?u%x9+(?{22ch_PJdervO-R=M7!PK>K&O~gf@9PbmuQ>P5V zOx9J+STo(KcFX0Q$@ewTYCvZvej_nr5%GDmx8;)#fa@Q>6-273UElff1 zdV+#ETX6R2vIYNpqJCZHU4P-uy$^TSpI>$1RGz5nv6L2P9?xUlXP<~kct$(Bzr7K0 gqW*@M;Lp=jypI$_KD?FQ>{mJcse|N)(jzfX0skP5%m4rY diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat b/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat index 33a5ab950f031e05f53c825b3977da2c0f2c03d4..07178b1fecae0432fa54ed5066931898c12a9543 100644 GIT binary patch literal 224 zcmV<603ZJWaLNwS#xsu|BVo|SGmlf+c;*RDY2$oK8_ym$de0vP-}v>800-{)@7FS? zC;&E#rl0{U3vaRU29RJ_#8p557z9pI0;DCGS(%9+ma43K&j9fD!F&T!&GFV2f9?AJ zHu-CWCzE{yf7mya1v)}{Afy+=afPA05E7MQQwDZ6MtyJNx}i*6x7G$OYHXoQp!026 z?6q?8e1LwOv}V5figY^5Q6SYMb86XFz8$W5q>bL(K}|Oe?Tg4k(Eo?zMTo%kWY!pD a8=SKYmkV@?R5=A%5AO6Q0!a_8jP$4Yx@wdF literal 224 zcmV<603ZJWaLNw8U}x{2ykKYV-xuucJ%3-Y{riHQpN8rW$4SlL+z$Yr{A0#utG}}V zw>q@A0RoElqiQc9`9NRP@c;@OXVU^bHv(`d5C;t_9ckA9*>_lO1Igq-PY&d4OKF8ti1(`+hV&VrqOB5s4(3-6#GF2?H~8#uRHdrRK_-E20U^_AQCC~3k|B- zw32z%D%#kf-u&Mne5aQ{&~Ya$wUOQ!`!3?)7`#LnV@rWes6>UU!H9$C#i1~ebfSBi a=2+2Zvg%Q6Q6FO{lp>#cPK04{HD2 zI%hU)JkNP=`_l4vB|rVy6avq7T&WdqI9HhvBeGx0VZ|T+jSp_k`SCAWUF+ZHi7FyI zT%ii{yX0o@UjXW@CsMPc;#_;Nyqo-!+xM@@k?xr{eZRrKiLC+G?L<-!R2I7URD3!6 zb(sX$(-rY&zDco^Uft!EVC!}Kyw#ti|0hq~w@Ua>dVr;SGt-)Bi`#@&bs{`nMS`#S z{#;!pn9x1GfO6 zct~lgd}6p@-;aN>kHjq(wlU@3iJSOEhv~4iFvnIcrl0`L0Cg>q85iq)c4@3C?or@9 zQzPCgB4=o=yr#5u{T5kxtBS_O;3CsKt!Uk$Tz>79M5@vD2^gx8CYe?|5lOeC# z?UV8sznwRIt95tf_BAt(^R8X7_<`(McK#DL-*5(>Z#^i!qrq@;TF<^4S5+tfb}_jq ioho$yeyXn3H^0}1nNyXX?^X8XTCG-lIH2fK+ByIPYrbCq diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat index b206255ba46a745903ca85179c42b91d357ec50e..c8a5665cbb3f27908dcaec1bc440777d09aebe5d 100644 GIT binary patch literal 2525 zcmXBTXH-*J7Y5*jmYdKLl9GNKO-;Csw z)ZL6eq5Ayqh2;UsmCSrtl_S@Rn*sO?&3<1rhDVC8{%7Fnun? zMLr^*h}uj5>&d^^SNDmk6epF5g-YU*#g*-nAdVsc=IJ2)ogPwy=)8cUVBAffq5uim zy4iBFMC?_3$2TWnjuE>!@IQ1~qsP>rWdK*IrA+!6X8CNb$o?R=_1ocZ0plu%*ZW`>AgE zT^Yha&KTCrIZ{tF=NRfy+x4u@~A zN4C3Z5bC^}Opa+ze?si1K?GM? z#cGyrxr&>!h6@0PpvRp1zJsC2hCP)&6gBslJg_KHEr0Y)?_~+mV0sVGteokXl6=e) zz4`hI4d{I~=he7%LmY$re&;%Nf$}gDd=u@Q-iL?qnGvr+^)>Q$A5eXdp1l&CI^K1p z7U!K*Zh((+U#`<_m^+s1J6L9V;6~N31t50LAqAX%| zq89=iR#WXQr)V?K@^iUJ-VE4_ov==pcHfBo?WO!u=VS(UvY#}o5VrWA5*P|)?k|C% zXN>vHtcAbF+8BxvYnAOvD4VnjVB~1aZS!}s=ajRNK2iXG&7*6#3@w6G410G>fK5Ws z0((05k?PeKj(KV@^Bf0tJ4#+5AQ?@}s?ZD>I{fFhlD`Hq_p;H6!)4W)Cj*D7wH^eA zjXB>reos4{Pmc+ezTWIv$krDICB7aye#H75LP)4DcoNkC*SX1HFXLgTEdK@A2yP~P za2}o|lJf$7DvgwG7>^L)cIqNtBRCbc3&LC}lO|!if4S~tFA*uyoSw*I8R_1R3{lcc z<2F$XgJd_iNU@hrJsx7A15y(e9^y_Mtt#LWcs`8v_pi_u~+&nGJnWEIw+=<+I>ti$mN%+3+RBFe=Htnig`CLBc+bF(fpSM@+Oe&*nPY1s{Gz z=AzkJlsgl;#YgF_fvW5a!{)j6(xY%Q>RVl5BKVZ{=W`GgyZhe1VXm%MzPEvKA5X69 zcMT*=!G5{_BlTEIeBl!FM(3G6e{62tZt>`G(?CYmkM6qEc3XZ%)zw$$1oNi>KQ$*pXuxF*H%lul%8FgP{4u=y84%*H?H-4($sY6 zxK2A^*xJ=pigCh272rDg}O z$sNw+qZjtd=L7s36r++Z`DrHxL`bmU^NguSSAFtL=D8Z2(%n%I!Cm+2-8s zsSg5LN99JfY&KG|OYa)=V1^{*`fAvT8!1{@>0`;!PZjrMtpd8Ilm99T_}0&^{Zg{u z)iZBc0x`dH#kJyXkq>_aA*IeRvn!bUOw15clJ=&gIZg&aQ?UGd+9Qk5{LA-`3S&(= zJtIs-`h($ literal 2525 zcmXBTc{Ei00|xN1-(idlGYrPQjWCvBkX;kmiLq3aC0o`(iVDe^%3GF_rDW};Vx(j& zDQ0Yiu|_3J)}OLgwx9dXU*Geb`#kr2&OP7D64nKG;~a60%5UmFeRwK%e`{w1C|enI1Z*hmHdfpK8H zg+Lgp;-}Pw0GkN6qj~-U6RF~NayJ1bSD8#hKEc|xT`V;pS=30XVue5;ZWKWh3UY3X zjpZ=7;!=Jb9uV6Aubj$&JaeI*7?~pB!1XwMtr-xd$EYCMqoEv@j$b8_jWGDANKJwS z8r-!f7{S3^>)H*~x*ytQqMBYyQr#lR&FbGhV)VX?t6=HRT;Wx)#Gv)uA+9?tU`Y29 zN#f*yxok0a;6X8l^J|ktMb9Z3F##!v{BA1ghr786?z}AI?}Iz{Qz`_{s|SEooeU&1-?Zop4N~ryR(;$~H`(Dk%)qNan$h zj?8Z*`m8Bq6u8K|c$FI_Z-lbSRBinYlArnksMwX}FPgZw`XThfe}ERnn56WFY8#dL z1-+BVoXPiH>a5kD20^2t%KzFx;{YG^!2VClLuX&SdAhf>W^6x3Ci<;LE!P!kBQ2oJ zaZ1kgUZgq{je?T_iaK4eEx{PgeKI@NoM$b%D-O2VOYa4`CRGM+9pvxvZja_Zf@{$z zclr3alj;C|-Ft=SWY3r#NyC#*^M|~;90~ND2=#up^Q{HpdLZ%xSPOd$vFof17w8sM zt^u;93$zoS+Smvt4z*Y!DOJlA;GNhiT3AN)f1KL~)$aTMZiDKLpkAuepv3RuBq0;4 z?Q&t6Yo$K{D9@1=7k$N6^aPs=4r#DKwik)zwDSRXJx-k-;Lc)?eYh{QUL7hf+BTIA zN3JYf%M>det_}c8E9v7+U@3co(cNAfG_@pv*)mF47B{6gB?EC|`qwgKP!_WKtU zTpkq+D`xxGKg4oWwblZsRW!!~Lw2W?3Jt?|j#|CK2MJvBpHUt$Ukp|Gdm#H$@FV|* zs+n;K3OFB>{f6BMMa1dZ6Zio|1YD&a!}&$cV9J&S`5ftZQr-7{H4!v^x0L_y12_!k z5LP3a2-Jn?^3n_Y2m;l4U4sWN@5l+kSsC1Iy&}r}rqqVZV7$15%jDRf#{3BAICh`_ zdVbzRT-};8)4-ToA<9`>_Q``Cr z5h9k`fl&8f%T!<$5R-0@63`Iot!A(YN@MH?1dU}^EJw&f7=x02p=kY!IMXQsIwhD+DbOj!bjpBE8KzSfbjmWFa-dU=>68bZ@=T`! z=u}`j6+x#W(}@M0Sf*16bSg2O%Aix3=~M-ss!S&ibmEv!HPER>_Gp;hv)49Me%_y> zdGexJ2kTWeOyS2xSuVP*$TI$ED~;nzmOemEV7-ho_W^$>cV}sLh3j0xYj7#875=mQ zH%H?On#Wlys!mmcj|Gw%Y(3>^m)^u^($mr9Qavt|ta)wA)Trd(D?ZV>?;qe^?-4r2 z=`A{adl7xQUUN@;;m0>KJKF7jS)ufP`Mf&|UZvok`gR?TV`?=d)z+WVP_FQMyK?wd zPsRZkusbk#EJmM$cEx7-LxHtRheL&9&hrp6p*p7-?*aK$tWX_p1Da>bi`5#>l0!Hi z5P~OqDmF`O>n~g$->JgK@E20!h2q*H+Ma#wVhrOXl*E@hag!Nu^YJ~T}yAfpC-f@wwThHG8 z>6P-|e&IFe8u)!gI`nar%#zugitG#WhoQr>9)rONAl#V-1S zv(1Z3<=AaLS)NPS=xD3B4aqAPhVo~gJvNk5>S-vJiPAMYow7bT9@@u&=s!84IBktO z&NJp2_=wo}^iD4x>Ze*sTQlR)T!v^>?qce@XIa)vNp)v-Ekbu8hO7aBJHN~&f-($s zT^mm`3SNs-Z$koyi*t{67BJ#9=>4+#By2@Mr#{JHEPZ+ZOr-Wz_t_=hDrxV(o`r z(JTo>*0x9kgapS;G%@*EI#En#B4fE6hG`xe3qQf?LNz|7`FYy*1E&g`ePQEYyQD~o zlt#Z4_|^XRn*NV1iO{(ds#z8eA0mYa)pVF$0-0;?*lT+ zb3?(X^{Izx_4C@#!vt-5^%_iL>)#HOSk(;J+!_4|HpMDt!RF4bv^Na76u)`4lf|AH zvE=Ud%DzN#6%S20I{LeVmovU8{jQ$$L`F~UOy1ki8OzOu1)Dd4zRcevTj#wiyf5be EAJ9cy{r~^~ diff --git a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs index 503520dddcfcc80a3ae59aed6e886a8ae616760e..5e847868aff43d106136fa23cc58d64ef454d01d 100644 GIT binary patch delta 1053 zcmZ2sw8BV~vEUS+n!);y`&59$>b?Ve?yx*F-6$p_T3@hfMd7*CHx;a(MfH7H`hLs3 z^$RWvO;h_AyJ7B$zbQqsGd68w4D$&wcrL^Et6OsAGAYLF1Iiywq{J6GmcCsnv9e(6 z2KL;1^)}r;>sbbK%J$gr+asJ1-KQm|yu9P`^R||?Wg-WhZn_kziCwuOoFM0aX7+#M z(`Ox%CT5%Y0rl1ssrlTcB@2IVFlLO1Ty*|*z2-`+a+S*y@R{~fnZpW*df_R76<)_if}6PGLQZi+LHomjR` z^>F=-Cw&**Eqv(p^5oy;OG`MOZ%saDab6>a=Ud;xcN+t}Zy(>4A-aF!)x=+K%ys8X z^4a`jM=gU_Er+A7?ab^atZWP=Gv2-ppZ&1v(z}YSH*02}o~GY%t0Fw|xY?Fy)w^pJ zvprck@sP=dHkRv-la8g#Y+iD(;zPkj*3=$7 z`rkUv$n`9GhShp|X2;KPxqMx8=As)9E=L*FOlyu@&o=op>&oxznzo1;eu}$!cCFYN zt1B0n-_2hvGvUSUGq1j|FDzbWadq#yYc~5WJW5sWuU+iy&T6siUxO-d%&7}A@-{l= lFZ-Rj@=-ul`|3B{M-{3kKhA5rxy^6_JL_32+yw@qs&^q%N#|yw|d#=lkQpkeM*|>`u%7W`FBVlrAq% z@;Ky@w3sVz)x!!gC%4ketd=#$4PqZ_=&oNXQa|71fW@oS({YWDmz7FfHN02HKcm)r z-NGdQvjSVS-^T3OCOxYtkK4dF;&=92oy{K3(Ipk>mKhhrj6?OTKK#1u;dili(RWw& ziVLYzY8X%7?+9b5@eWHocOf)fNsPa-{>sgDY26!-`*yVQ10|hnH-&H3Iwm6!WrGAb>W)FA*a84AGB`E6)AXJx?CwCICfQ`Q9_=|l`5q( z=PkB%y=RCxR6qOhySBgyk5s27-#D_VOyiQ@#M@f)tNcG4_blOxxc#>w#g^~v%+H@c zFQ|W5(iXb8ys5bIV8yRual`Vx&nCZHBY1|T_;Pt~$3f?~SvlM~`ZEJ;bo%-BP7xPO zo!$7BC6Tog-|f7dYWZYB#)ifpH(uS!R!!dJ%6(Y4C~l|Y@j$2SBnuheC2G+| zr&a{ngx+w zk>d23`_*#A>f|azj*V4Tvy^XdU;8+Fqt}sXDmOcq^Uv^yqt i=fZT}HBTnhG&|I;{c!7FU(D<0pY5g|-BiDN-ev&!TNUsC diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a997b99684f758d7674b1eb9858a12a28ad1af14 GIT binary patch literal 2303 zcma*p`8Sm99|!Q6sUBmAlrRc2%2t@M4B46?M5(b)W6P3|Dcjg;jI3h|DN8X(DoZsX z+ayaNMP$v8Y%%t2l6^e)^L?H_;CtQYb>8>qoa^($=Q`&)_bmVdfnM=~K~BJ<47}U8 z`T2W#--2r@q2T%fp2TZ#WmOe8N(HHcMyjAtnsCbtaH9Ys5&qvsZ6eSFEC}@9q=Em< zz5nW9@VvukzX|n2eZgh2X}TFdbiUgwxOJNBja?{GuzObBa{t9irlsa)&KChwj`U_8 zS+C!m!L2vyrPFQk;04SnUM8GE-Y>~$G=yI-XA*PT{8UBxI1d5{jtU102thO9MBS7y zZ{kI@J^I_4*L(LXgfYFCR3U%mP3N_q@!BtKlF^3#?_b7^D}pc4JJUrTuuDGqQooKC zO2vmbRP{}uOOfs+%)vz`_N5A_tY3oc>Q0^(S?Uub(^y=F^&PK)QMwjTex)RECi8K03rz*i;*5v{$}&f`7%(-zZ;18)_5SoAM>`Bg(+JTTX``m4qQrxGL$l__s# z{=RX2y=*fueJDv`$tr;Gs_BX>v0Vjh~68Tf8 zK628e88zRIn*D-WA`7!S+?9do0b+q9JlI98dl7k2&Sct`FHUT>=p`2iSXxq8Nwb4XP!}aDiYmt(p+QZVL+L0Ipd;0)_t*wAypFfOXQ_mpS>j_bO z@0us}Wvl;~jp3@;%sg8l2n~eiIihzZNfj39_XC`4;^~o+ojzoOv=C*9h)Dlhf*q=*O%wy`6X;xS5 zKZ7q95?HHufHO!A&u){XX=c=qwAL(di1(W#p_#SjU~$H`jjs88Xq$h*^z^vvLwkf46eq`jUQ>2%||u` zti+8U=@J=dY#6M>P4oIXkjIrf^?zJ*C5a&u>byJ5SPQaD=y}#4Xiz+Utq( zHuoDT1(Huj&ekxt7X3u!^+sZ&qrZ&_cHpwmna z`ncaqYcD&FN5f~b~(sbd>oRU748MTJT-w?v|gpUW6V;XH)E zBiFd@Mn~CZ!Q3B4<1Xhr_`Mn6K2OR;Nq$k0Fxg%(Q%g4FM!yn4x1Y_Y>1LUqq&<6- zfVdaiLs5b{!#zPcw-M0=E0lXFYPD|!Q;k&B^}9zu8ySny`=KTi6JiKY9mf+V59V7N zFRLu)_MCj}Xa$2*xIrJLBg_afSZ5d{04UNBX4WxSa~K5O2hQHO8C*6etz9)C=%?MU zTs1+M3hb4ydfHthK6K%{og)I9X`lk4JWW}WDOVp=%bC&b$VX;oHOmZG9@)mYZ)c`jtO8P5FFKjeZ zmn5K+J-<2Ci)agp%aBHgsOT<*{YQm$n`y2f5HAV$B<_B~bs5>xzt{PV;srtifS4%)Fpk*i8GKlSu&?}R*Ih+Mu(JC&p8hV7nO zEPBgQ?P3L%SQ1%vL+I7CKa+KZ9OhKXR!sKha;RJ69`LQ++Y1ICc5wwk0^!1tai^gA zV9fVJlAWU|!T9==yw;dy3@j0Tl#kyjH9VZ#IP#&^yEDyP@3dp8Kd4#NnR}0cPS5ID zoQlrxWsYdT&o*G7HyHj_Tac7KK0XN0^W=yzd!KUZ3`gnp5gVjq1GmjscmaO_*OLxR z6S_6%0q2&mO-u%s`$(ZaZ{D2w(c2M1gG7gG?H|m*Rs|qyXxXDaN$~>_;^W%NiBT;PQvwcmqa`8I5-T_PRKen6k h2pt5uSe?L*jW2QWtyt0UbY_DyACJL5zbSire*+|RV4DB{ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..9a1ebd5587a6be0a7ef0e1b2986d2f34ce65072e GIT binary patch literal 1549 zcmV+o2J-n2000233jqLN0AK(y00011WpZV?f6#xJL0000H1poj50001Z0RR910000D1^@s60001Z z0qmGdjuSBuhQs^)el8=iVSz$--ot8+F;WzT$)ssUgbYeDgV=Edj=%{x2S-4h0#=CU zP`}I7?dp^>ED^#QPgi{|yKT2!F6Z#@@UTYd9_3#o|1J3}_2(z`XI)0WUsC=-cK`Fg zIS1eU`oy=!nwX59E?&)c&XIVKZ$H|dEtVG`znD&)lAb4Ryth4{jCQ8aPLndv7TJ=k z9-!(iVv`ZE(azp<|M`p_`?F;>zfth%?kp2}v!L$1tJt+E_@uL*^CRcS82zJekFweJ zc$%?x;I)g~{BnCqZ=62EuqLDBUY<|0GbGQG(Tm-glhQ(Jij)_nc~P1drQ@P>>`E~) zJO*kK3iq589;2R(!N$C5L2sb2MCBX+*;`pQ}d|nRB+-H} zwHkUOiF?Lh+{bEC^f`zQxo5$`5TmeXFj6xlMwh&Rj)lP`bRA%Vs2~%d2sELTF{A3V zE>eLAihS${u?+nR&4qA<=7K!YI1DT_U8d@BNC-<63`$jw6Q!8@PMZl}W!?aTc)V0y zHK_b~^}+*RT^yjXs2pW>WR4PJ(Uy2+@^v5%LmiBR_|Ux+#}HRmeF^z3ZYuy*0(zh@ zsE2N?d+@K6u`~OW-yg5l-kzw{w&;m2;hy7H=%(!64|G?5OnH;?DQ}a8Mt4csqDJ3R zeoq-4DXvDLA*S&@HH!=xt+{JcXp~UlYs&8^LoUCHn&_~~Cwi&ZYmmz-YW0UzHpQNv zkZBIcEzRjak{kOQ8ZVTO)FNcJ_uOR%l#;W;I3z)KR&FyE8e}#xGj`Os*`{Z%ri^d))z!y%DX+Zs(S?w$j%Sq z%8VlwkdkXo=Jo*r$Z&YdtJ3+~Xe2|zNU9D1>cGeO^9G308Z)=T*vdA$O@(yhR~P!h z#!-D>M;)G1gZ`h@S^fE7UGMlxggW87*41ElsCG$wIB*`AQm&+S<7%bEzZMV3I0?#M zCqOBgpMovrmkTyz%eq(afXtgVhf2w8j7`RDVVi_kb01+g8eMZy$qaCnz$FioSQR*G zOd1~nu6_Ihv#vvY-f_UP&h8Es%C9&QOVK4#Ru<05M2u zfNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAtfjpS`zOKQ+j_$4yrLOU7mV-=W zVBi3g3=C>OS`>&u=DP>^hX%xlL=79s;2#tc@9F2}&&|C~ffcM+0ICIKod^*72D>Bt8tm-h>g)KyvR)tJ4rJHxLlt|v z0Db4_2DIaEi>3xB$QT%49t0T*3MY`eKnAaxH&n6Xx=E%$hkqGH2)2J$At!Xp`egZ{PRE&`j?{EQ~t|6QIAY~tLgi7 zx=xQbYiVeQc9r}QbT6bVPmSDDqP6UBxcbiGFqotqG`CJV4_EMFZohThzSXbz)j0ek zhK}xO0cRmsdaO1b(@PyKdjqB^ZCpdH5>52qi9uu+R&-=NIJYi$@U;D`*S_96FkR_z<`1BXu+dr-#g8%5&MdywZJzCPWftrwaK z%%QPYeJd~8w(F$oh+G*{maw(y)Cm_vMMT02)nY)jTt4N*wV#8awe*D7L&VFC7{WJ0 z#MT2zoP+h)LZK}+4XNZtktP<98VD=fP{|eR@!<(ov5>;haI=(JKy2Z-QgH^W+s+=; z9oiafsN39wErq}G{|6hLv9gZ5_BHFGuvDhL znkTp<9_GTBCyK&r@U|V1Eky(Ho8je4<7d+9FIIdV+t)mTPt?i{enSNe4vvr9+=E00 za}dr=l!+wkxwykPrw5o;!5%$87%Ry`q zf)3tNZ{fl&WUu$&SsQ<8BuA zRc!!t3<01U0D~`q4BL*eu!|Z4Nsn2m)xjKO8PGqz9sym0y4sxw&j5f6fQ1Ku2Y}rN zfDeG{vk}OmxOESf%<1nj-Xm%ryxT#Q-g~azyTClO)eI?t;sWx*(}Qch{Q;7vjLbgz z$Ofr2^WJSft2z=sR#_iVLB0tvM}PSfGCb35ZC70wWWlABM6?^Ubnf z3WE>}m4W5i3x7duL4z7X)IP~CUn7EfF^Aq6jPTVbZejBq5PWAG<}Vw`Hkg(TQ8KA9 zww92Tawal{dgs(H1_4bb!2eg^FKIJ810|>w(DEcC{^Xv8W)P4xi^eEVlPm4;Xv;U- zIeKB-1TFP|0zDedgg|DKPC6$;#!FAiiOfpFmzs7 z^EZ0MjGyJzb@BN-rwmw6o?v|oofCBI92GetY+F?iSnluXGwc4L3{eb!Q)Z$XECCFn!wQg^F{ zhr$pOLY;E`YrP<@bD`BE}m-!xC zhsTO%@hT?QC6z;VYxEx-1WtZNA^oA(%a}5Chu|ge+=SrmEZ?&~UIPo4!hig<@w>9j zl*ts(>8z)>n465{TQ2#&Qf==YeYW0ycEFg@87eHbeKoJa?mguZG<;l{Z;Y`1piH@K z&Nq@_&zREDA|_SmWAP7PAkaLa-#e~LDcr!jAmU%w;kz7JfAzH3uL_(;-7y`|!6vrP zcB0Bp&l_>b7E}ItN7DCp$o>Vh@^VdSi;%)t+TyIw+>m|zl;daBG0>;kc3yry0nE}C zdVanMe69BosD0M!+Vt5O$4J$&guvN$R(`$(tkM=S;DM`ofg2<&ilfvUxYv`@D_^Ep zsC1d>Ng?y@Y-WmX%7wH9KWHCpLUVaJr`(69Tj^UamnKszBJ zp{U{3n(esOz}jb7j7z4iZc_L}4{n=l`XgbqXyrUIH(hR_>mE{4^yJhm!*NDlnlHLC z=B`acmErXUv{h9cT(;l0o2d%934f4|p@uW!pgGtk&qNWQFQ@iQxccji`OEy=bTyy* zrTQZ3=Xp$HBfo5ZZ$`zgQy1C5&ZoS4FQeFnTNzX)_RRA=LV$=ZFIUXGIhyJ~98wVL1 zwIm_k8}tB^4~UQ>1&fiC*uvtbJVF@L*WSn;`lBDp>GSifU{I~v2`}EsIjCY$%$0=8 zV5IxGg%vSKM=x2bPw$_s@*%^Wb1%*faVq=DzwL%FDx6}csy=%OZT(nMxMUoZ?%SGd z-S%Sks4CPp*%u)~PMz!};%%1_FGJdYXBC@1CA&@Vs1&@$dblNNFDC%G&!^$bH+uBj z6zHn3@8D1v3l|rihcWuSW8DE9J^x3el(bj# zkvLx)b6?6mg8STvdFssi%CA>Q&lXJFjJ{gZkV4*vpDqoK6XNaniq|PRnK`l#lfW&* zyq35vE8ouQ**f9R@wRsEhG?kfldDwX0c7u61F*YDPfUo)>|p1&6QTCc*Km-@z<@Rl zZ^e?LDU;F;U<>WB&t-|r%pAqxsYe03SQL4QHYLhFg>^sxGh>(A}w6*>i%2|qEZzx-*clOLJfNdfpy zP45QkM6(;-6r1WWP_a#s2F^F)XLv+RcO)DVT^1u}JJ8}63=RY1m$UbXFYi(4?zp3kI6AqQ-OuR?8=Rb|cBKSy2s9WPoq0dY zp@N7{t2$ZwIGO0TC!LtN7au`1uT01=hG*0*G|}IEu2mG6pJJr8Cr1}12Xm({^>?tq z`)B=X3%IB`>kak3c_7l%!d^3x{T5$YUFIxKFw%~9m>u*5?OaJ+`*9+}1H} zj@I~leZD|dG(F{es>n8_&}FTljTjNd*U>^ce|}=E0n1JngMQwGucgU}S*O*#4rR2Z z9Ub^HR&J?{b7sqiq2ZWWu<|dZCRpe0lzl61giZ^R?PG++-e1IudQdnv%sf-hIGdF{ z$(HFwja(6LM%XsY^Z}^^kf!NHarcO+LM}=*{5y)mD7fd0Me0*t_E*KfoNJA@0Ga81 zjmy*e8rSGXFCSq@k9FADzFZV$2M#(g6(8ba*)S_fI^&F1^3>uWbA7FHN-Tr`h(3AZ z87GFa=YeT+e`8ocTwI=_xgehSs?YXoDFvN+BKAHlZcqDX6QmwY+J*K=!Xl3gyoA=z zFZ{*6PIt23`f(&x;wH|6wHj8X%&zEYb!Oynx}GMAYkY0@sZMu$8aRij0wdI-^ZqQB znk4BJj~TxmyIJeDsH^m@PXBoyI0r?^wa2up4Offg$78Odl8DwL$QdZd(%70qFF8Lz zo%Q^QV&CqC!uM9p|9O>lNs^!^C6#+BvCxr(e&D&P|3GFy@nM*p?p4% z3F_d)RMvj|Sj=_gs%g`X^dibl@s!IItL9tZb#=1{r6<-BB&CwlWUb0@!x~ty#^)<3 z1dB|B{A2(9pnNhB%E8G9(B;|CdzzHFx$rEaHCap1suGcnTb z{L>ZsO4jbBZ#xAp0|yqwkOQkO>d5oYU-aKJ70L@XGY;^ElG)7J! ziLxVHi}DYX=ZhsmM?8cQ7=$ZS+@TH1eNuf=P;WxehAj;PybL`Y<%R!u?8G1zbJ~YC zfSIUBOUu3`V~iGtVlJYPuInDIAEqDXKwwUbZkr>q6uile1~5BA*1iB4p!mTKh+ik> zizxf4+Y@45Xb?7>UWQ`6639yH${YJ6#f64Q0wb0J6>OEcAq|YqT$cnaH-?fhmNqka z9x?w@9{$H`j|f+wbXwa$KuJ zt2qFEjRDlr`;>sClg=r4FHu-a%WNjiWsxvxf>JXk_d@40rt2m*KHbBNFKP0lczm!Q z>PQj5DuhTV?)ocPNoxa%8hDl4hGL!(EmKB;_r1@GLg8UXc?mhN&98@*D)sxNJ$W0f0U|}2WlTbdAPRF^P%t&!PtixKl?{ zY4>I%RAn3<;%GiIxvt5N=ZiJIOH-7Mm33Mbk3-sIc!kgdH^h1()DMZQ-@FR$ z>qkTc^7PC6Xx*RJ-aoH?w|`#59AlFW7GD>)dE+pa1N*ec<33>bZHsN~VnC1K({9@r zbxxiyObJpwp-YMo!-FB(?$Mr7VxAvk(JgEdaPTZM7kbLCR}y3m;v$@DTE&#lki5G5 zmbiq-(b(s6<5YogID@-&8aPIC)g|HRhzN`>cQAp?6ET5p2^NShE%e;WgxIuZmY7;k zYP<>#iUcn$QKq6F8Leo2N|P)j=5a0!1^)9-Z>y4~>5 zD;LuOq>d0DMBXe+3({Elb8*v!EEz^qI>j!QX5sQ%fj>Rnp z?d%gnzRwH!;BrQN0=(0n5}&N@W1Hia6@O?owT{(#2*bcH`i;*Frd^oRv>{=QI3q-o z-+p+!*}aGh9k!YANTY#Mgf*2UZ0>T*E}TzpK*eV!1mV?LdRy0EZo_XoJf0Yhid7(9 zXI2&r?zbbJdA^8IM-fpp&jELKPq?U*(*o8Ue(-G>2PzJK@GS;UAP}xJt%X1GmAP+9N>qk{_po6sveF9oZ0p6AHJBY2Y}FiV%0~<#v%T*^ z?v@PtLyErp8O|_%_DwdCPQ%O|6~MCx&XvwwxALnVazAap-3b6It^}+GyY(K=%uO_q zNEN16tvR@LJQzH9M4DDM#-o>H5~7S#6n-)#n1R>=CqjMw52K<#PIGAazb@^L=;1%QI^~gf)n%Tntf=@MH z1Pc9e&{x8G@^&%_rp<4Pf{d z7hY!T2xv$FEg}5w$i!gH0D`mKU2yfS2{iFJj?gCG=4{qU?fsGv!69h%t}~23&pS5L zb-3)W#G1{;b*J#p*{m|CxL4@KlMgE~wbUIJnVoY7N74pv6n-mb7jt4UzEtc>F`Z0j z`ToK;e!X2uM|y?cwrlSN=cVZfGeXucSyR-nneWQZ5X)7#km+N)%q@nE!_N1#9~r31 z+U_;FC9NL&SwgB%WY^c99?M_%{yu=p?K9v$2R2pp?c+tS>~#l+g+t!C{i`XfbS1cH zk%Q!$n#VPd9YE<3s$r_OMw8kPH!drmip-yEt@P>o|Auv?qP|)E6EEu5$sBpE!g|L& zTQPd9;?4o{js#tNb@g!K*k>CZ8}o=S?0Da5Fg_EW8LxozINT41Rxpg{12Z@J3y)mR zHA2hUv1FMi1lqdFhO=#fZB;We4vH{G#-N%*;ZW!ce4xzj+JqgOFQ8!F^rvTydRGDrRV zBFPurK)RZ08*t~*?vE8s1n#9klV16;&hlUC(SE{TuHD08Fx}?+cHQg zGmPihZ{sXp9uxP*DECw-Pr&P`xo&&@S)8URHG9+95pbt+r&;G3`L^DmMHY!fteW@P z>pF6c^RqOC;io4V_3@4kv%RQs+2&?&oJ2OS?)-@!aTx#qK@ZX|*WBl}m8bKr-o}TV zBF*Dzk9@eFJq`z9n*UiIn1QCfy5li62Zc3S4~QchkRa)R5r_MqnG>e^pS{p(?|RYf zR!qP9L6kRAA_X`;!zqLDHr0x!3xDvb<-At0p9*STDAhg?$XvHfokd&UV!2^lZ7J4p zcxByN@a*#sXn)Jjb;oS7VZH8L%k&yFKNGUyq0`*+OHL~1Ec|b!gsBN$=~8OEfo_0A z5|2+ICR*cc52hdPm!%9bg5Ez0xs|mI(9%c1-ICW2gJiY_A!Kdgv(CBiEzjO^I*OZS zL(B}Esxxrx+8R2K7X6*1UUxOE)Y#mL^n~0Q=JjF%29!|ZisMOp_{=_>-LTqaD%hKs zy|sF0K$di1p?NQl)ZQ32kL7RYWbGH$7^yKUB>Il)~_Uu>=w z`_18;T{T>9?8;Ta8>36W(z^88or&`DN$=9$$!=S>`{r`8tE~Qq#r9=vw(N5xAwuY@ z&N#+OQ)nHWppgU51`>GuzIW*@UZXmJ%`JVkm}us)VYM$*a7C@!*Hmz&!t@rt0Ua@I zD^EY(whh*)?x;wQHr!YV4td82aVvW&`>Wy^$rP&KDD!5nAVq z3MIw2@g|0f>x^CDIxC+&?GyQB+12X)Jm*Qw41UEwpH* zy+t}-err=EW=)j3Xhcx_7z`;Z&>Yl}HdH0CZCuT)Psb|6`eJW;vui3M7TAbGxT<7; zMaW(7JROG|v9yjsNRC(VC^j7J6A8`Fgw?~3Yr-@=BDd!jmx2|=lczIhtwFq+zbVPd zjH5%^K^=|tyERi;t(1D-@}GuvP&z2X?FjaN{@O5<6qcI7J)!&l7wgh;lG;BDEh6bs zNA!EnJt%P#&FhLnK)KW@&=KWMU87Y?a-w_d8Ivp$D}VhvB!^t`FXg3=h9UK!10-U{ zz4=tl7xhv~qqX7qg@)JX%*x-B4sTQZH`hSr%^;`=q?Y z#G^u%)`A72*iHf%)umXD=O?KS&?Ww)N8mbsL`Ip`9rAI+y>eWKhvX@02j1mdjgD;g z1)o;qk*Qtbz&ombzjSlBzF63w42koh{sYw<0{>2;OLd8#E}wOk^rug+T{_z&|DwPc z_OK+LOx{X5q26)s;ZMf+ujAgJ?5XBZqjwNp#z;D$_>%6uV5~@8!}lVvB?aQR$hi*a ze0157<1O)#^m0K0i6soW@tZWs`G|c}o^vbd@+oAx#XMZ&7^@IA(`7X@f3H0VLh6Zcv7UNeu{lE_XX4-}8WLQ5)A)dZ#|nXo!fk^6 zSZyL9%{{!@otjP?s4NGPTFk+Dvr9+;n%7^%vF%&mYu});SDT}jB&*Sk#pLx*Ap6~V z4*Ef!-OQpn2bHLlkER2igFl8rVozV)t+66-n+(XvRB~`C0`8@C_8x25*15%TfdWx9 zv``gu$!7b`hD;~U6s|qr<*c1&b)vo&2dTba0uKjFztGsGi69SyuTgH@!P|}D()0Ab z{M+awXcbuK8X5tg^oYZV@Polr`&3U)_a{DXTyXG*XyxE&lmw{Amt3B~@LX|SuflwU zJx;A(VQPr(p>WynNk^}+7&gYBQwRx3(C2)SO5NK3SnhpFXDpqs!=sU<%rQ*wJFkZL=~Z2 zDvDZ_q9s}}TS3+Bea`vk-sidR`^WG5zUO=YfBBi1n3{M1On$$u`FnN?ii!@2xDA4+ zX@JboAz^_aO|TY7LrYytM_o%p0|Iik1zDlP!a)B#+Gzg{{T7MyZ^i=t<_oUBi2-~o zwTTGS5g@bh9`|fPIf*ypZ1lM#gSElSTD*d9K*)A^nj0*Oz=zVG7@b)j%X!etK~2+> z0R;G_oc<#1c9b{xSTAcknVg+#_ML6_ldmIRNuB)keNjvwdDp}k zcRF6)m`O@7`RB@Lm|HtL!_Oe4&LZf0w4XA*-q{ZZ?Of>A)k1cQ*EeiJ1ZlDqnPCTST%?{s59AI<26^u@W(Sk^ zubRC!DB+A?w#*Dx|E+U)7~d5B3CsOp@9#K7fEQnd0Ah~61Ex#xT`JG4(^?QHNzorbg^0?3 zscZH3ExPJLTb_EFvCp;~U(&K8F}0ACB|`aeQ5t7g?9kSPV;j0vsw1<}z)3mRe%{AD z4!R<8nN+#H+Q#3sCfp>%aYH-mVqP~EpH-|zI;cNhr%c&NzdU42Q^sk%IUA8tQg?Xl z*!OUl%|)kGH7w?Ej>G-uc&AER%Wbl?_lqk3uVIi#IBN`8v@Z(waS`ocLpIu&pV~1h zy8&=J#^c9)_dBnFoB1CUH+O#yn~w1R3pgF_FNH@Rcz(FeGaurg3hY|!^ZZE(-?8f+ zP+tU+7)hAdrH_pyHs^xLRxA?X^T8ul2@>DK-gll|fmk&@a3R3_N&OzwSN9M;?c-+a zA~kAT;=2TyEs5Pr<~`v<97Tq++h7BHR9lCV$bUgv?=I@w8-1Vx8ku~-#9;Zgpxln) zj-VA1iK>XrVbC|Unfh)TzU7y=w@Bz1YPN~7*U}j7vgxv?=-Q0S!V6H!PDJ^m3fbk< z{CoVi7mO7%8UMSmSioWyjvc8=u6L;y>Me|}M{;`i(>=sL z%f3J)T4U1cUNF5l9hXhF6gmah&I^S9kCyV*g(4%=GP=ClV5^R_8r& zi!H1tC96vJ?b;NpaXR!DRTub@J=PWQp4ZI0VpmhTmoKYsl-!IRi;)uAM5U=jq*4@5 z;K*vAYoJLr7H3zz{_zsqX~S&oRXkB5T<7?MBDT-=pguu8-anxX`%*0(%lyT$d1q>$ zwFvdJB6{p#xd5a%eTX0R2HD3Yco`0LcBFb7?TZPYJ4>7DbQ&7E<*)H~ALQtj|%q!pWeW>jpx}E5^getIhQ95ai7}2+XS}tRzj&QhUI&&4} zQOKp`D0V%6Im(_FNbhpxsU0!K{ZIzvScraXq(SdxZPy_Y7;_wRf^yb+_yh+U!C9l1>r6@?uR#=EG`OT z5p2?p)Oi&K_vzt?>B|$9pKC(#o0~Kej#au8U-A*GS%wHW8tX@uWzrx*lSuiRC42ks zM?@ckkP8CM%b8;13f`QcX`#e#R)}X;5ZXQn$?mart+I)E{S}Lca@GRuYb}1?8KtUH z<^|O^g42fG1-2Ed|St%#OOfIOdZrBp# zdSk9gRy4WE<-#5Htf=oEg<0cOs0>RQG%Jc*_3dznV(nrTuV|Lmdnk|YQDETaaDGjX za>z!*{{tl-w=E2FFhX+OUR9*ovQP@xpIkfxH4)FdtquAs_=^5S(tag?X4dR2H&4cZ zk#X9}O_PtWz1}aJg(L(8#Etat7iZEmg(ea5H8U88l{Ivyxl=#1$s!VqJA9Z{XW`42 zpdxGOX&QZao5-6+bs+_OiCN8HLBra#!pg*jvkFL_OZFft=@EjSR-`a`! zBu3vYE^0WxQbGp*9BeQi*d5%K@?}i%Fdxo|OPA$YGsH~g`1nF6QnIN=C0vG|s!iODa6qaAI}Fq{C6);c zTuI&+`EJyD##v}7m6@>ZG^|_PbwcRMyf|R@cuM{7zU(7&4u*WMbFRYIB->I?D_J{} zeroH@?X+f+UHGw5lViQpn`&_wL~mY3QxJRrEc#ZB{GhSxrD?6o2ZTP$;GQNLnrjNC zpo2D3(UOrNjWd05mli5-0i-|U@oOh0zY;GRkwnXh^w?ABua{vx#6#)d{o?c#0}u?j z!NeQ`0x~cA-63J56A@$qSR&{RSZ@`z)qF71s!41goY&Da@25q*x(Dr zMndyy%i|66#LhjE3|Z)BcH@%~6CGoT)!0jbr>06R!ewmXD>JGbkA`}C0rE1omdRmx zhji0lm4F?YhXBVZku)AW=+8^L>~>={I>v3cX!*x9Uk7F z@9*#D-gD1nCYfY%=aq?^;36R*m0=+x8Na+-FKKOaXBUuzJ*^NsC#{ql$kvROi-((* zlbeH^kAs_&Q;1eeiB`_d)|U4F6?9uhe$hfgddv1dg4FPTED{y_|M8y4M?RRXRI+Js z#W~GHRU#6MjJ#-*6IBc|yrQJl2tNWdH;q#jY%ZAu3I?q8rlmcKcRkDB5yLKW`f}MY zY;}EkIb?iEd`hQ8Nkt7}V6UYF5sLrP?Q_dv$@$l;13+75`*mhU`TgPIw1I(<&olh~ zproMWPUYpX{dZceU2^$qWbqD}YYq`eRyKqEaBO?BR(&aG)^kpr>GZRn2jsop3Z9>f zzuHK$>DA^CDr&QypSZG3Al3TLPW48M;?(7SHrSKm@;_2M{6DUE`2RSno!zSnEX|DF z{}GX;(PJZ$U+zKB#SXQ+Dp@-O~UGfia&Kq2jVRGPu!FssU)*}%*(gBjDb^> zUnkN(d>N?b%biGmq>OD%WS!TZy4b0OkevyW=7fRS0B3;ykK^ln1ELUV=>!&h>1fo}!x##H~*+PNk$ z+tn}UE6o<$e=cD07Wh2@DbDx;BAHjUc7JE1o}SdM)1v$*zOE&o8TkL7sI7xXSvy;J zPsdN&^>wF|iH=J4@|nJ`8IL==*MfZ;wMmg{CEP2wtBEj7=J_mGLJ+)c@%xJIHmWzGTvidoQyl=#Pju*^lxrwQ)&aHsj&B)_Ur=QTqx)XIc5bRDsT{U;0n= z^Wjx2*+#7oY|D6x7&Rs`6zIJb>8YeCHrX94=jL}7`jD*^OhfDuHI@`aJFa z_bq2hI?F0?M9wrlM`{x0&iU<4kzh`=^#6qOm) z7AJBBw^Iy#W_eCGJb=&r9rep%D$7B~vXFG+6y8E*#3YzzfZx)a(pIGQ_-Pz*tCpEo zXqU}9Ul^Ui`zgY*aGhw<)G!KJu_}fE3ehw7R3Gl+=TiqP7n&@IsDX3A9`9Apo8G4h zNWvn)*4Xj<(GTtVv107X6CrdobfGsyX}4Eh_lIxY-uzeL)7TyiKDu7oDjwv(2LVx?-^w3b-U`;2{>t z%}p`Y^Y6HUy%4Bk3#nyCD=>tlP*KSFsZ5?HKU6&xOoyFF0on&Q*3PBz7vDmV^JG~0yCG1t+0@G}` zqWf!z_$2irMK8K0zeedATd(G~H&qkT>EbPzqxrWyijzNfNwXf5(|egn8B|UEhX36# zsO|>pQ>HhI#sQVuF^40x@xC07O*wz3&cb!!<9{GLt5Q?;%1Hdzs)V}}%BIh7L}Y~GY|$t1N>-q{9mmJ6-?;1o_T=EWw@?|ODJa6ZL+X@u=@~Hb z8i&ow`gBnp)7c6Cgbu6+uAHe#eEdm7iV^s}JNl`z>D!;CD(B^euQA~hHna=Xo z*nYygcMwe{7kP_XH7awA zt)LeS^6)_eMwt5Q3$|=8m@3bd*~ip=YjgXT?iR5SVWeDW(Hf!i;X{0S7b$$_U!6vF z3{RbI&n26&36zuBbS~Rmlp*y&~WZQPZdepw=h9qPosL{2LqJIR!h)W( zI}=cfLGH|)_Pg?Lp~M@3&fFepOUbitY=%Vb0EXLaSK~~X&4|RFp^f9Dr#@(MMRo?bb_d@T zn`{tBrJJPl0ni)a7iTE_!xfNRdnige?lK(VWZv3x^MKojp)4iSCyH^}jb=jDw_7sy z%@jZE6z9|pmx26ak*rqhN3L?GsWERPE>$hy^|{q4Z6X?swtlWvrssSTY?vwg!({ig+^Atk>?;laaq zT!jZ+RVbpieW>R5VCsnbCovy3K|yGI@Fr{BX(QF)=CuI++cptYuG37CP--|2jk%k! z=iJ-A0Ho;GjTN_7n*o6?tw6zA08YeZ=LFf{dZSoQjN95e`&Ubgt2G1DPl2Wky{A=T z#evn-l#lJ~E#z8)?PUln$mB-ACXM9#3PAkKmBxuWd_sQZDds%wwMMQ%7W#^B{I9^2 z!X*;@fK4qi$2~*oUoi>V__Dy30QG~3zRADcScH(4gD&WH&*}ARM~2JIYtyfe#*{m+ z4otBwoMhf~+!rieU!FF+7N_T%B+(>W^evx$0`#!S@0+Rg$&z+W6FF6B)utw13F}A0wzZ|}D`V-8W{pQq{ zg!P!jN(qc{r@LmA@=vgT!GdZsu`EyBbNY85$I*eIM_$jYGQ!j5@%8~O64bex12X4#Et=0-xy(m=Pudb?-p1i zU4kC$3Vr21hMvo99pxP99MeFH)uExk{-WIJlllHRCQRx5sND6?f;8lvGf1UW9RG*7 z#wVueGi^;$f(!l$CG3G;_Hpq2?P`VJxXO^M=(sn#i4D>Kn?$v;ECZZ9Xe*2q^GDxH zl={j{+k~Sq2S53mCAI%6;Z#ZiCnk=Rws4n?yZl#z0}5tyXHsly8(zE_d-byd`)h)$ zYbkEHo4TvBAss{RxQ4i^Ggs`99{#|BltTQI;j`NrfYwV*mZ9VgU7D7bm=K8qPI1`Q zk(7d*jZ`&}0?zNSpmV0?Zgkjym3sWR0pB5a79Jgehn^8C!zema3&~OS1cgGBuL~W+ zfakp-tAnvZGk1OOXup7ROczu9!+@{*d^B=AiGPA2ggKF2A^A#TquoJa;U=SMETA)^ zsA7zp8kyn!ySPjshN6MpgNy$b;-`WjQ~FnR{j90!Uie!E`L8l(AyNtl)|*vhKCQE@ z7RAwf9jlfYlaPck2DRlK45T@v+-7MuCfvERY5FNzhf;$bZGLcj6in-^{Z{kCvl zn$W;d6koXEIIzHXN*nS-9edzu^iu6~H`J9o_E#0}y3($6`3pWFE_q|o=c_C~bYJs+ zM3K~4udh{$C-)llLb?d`?FY=>Q>Eg=Wvz7h#8U}L`qpI2vXxBZ_6K7r9>QzX@SU67 zzGa)NojzFsbW0tw%ZgP3hO)u)qXiUt6F8$>$jZ0uudL`jxE@bckrk+YMt-;#TS{fU zxpIct{(&XVk=h&Aew&3i&gq`!p|^q`>bBSwjPNq`|JKV80^Qwcm7IyCdN?vIVcePc zIvK#9Jb`aMiNN~E1gKsuU}}t^(;2UZ{V>?|zfvLUpWVk1efl^+??=y5eKnRPHhB2D z-vnc#Ls~C0?BsQ)`Y7r?87MYB#N}L%AY^G>87u2aJ6cu_S#B7SsTCF{wNZ~vTp!~08PN`(N?{8`ZmnCib2ND z5s-G=#g++Uow-1dC|O4<>_{6*@XovAxEsE`r=;YyTyZ>GpP6YLm+CT`si}0P?x<1~KhJ?PaaH}eqku0^rzi@F?Z9@f$ z7kkf?qvMUbBaEbnFt%NLu73(+)N9$)x4G(@csGp8;18|`T)&z5ZR)3drG2u{z0$+( zMZ5?*!^pvk!NUd5EXgJ%ejP05LX3!O4r*!@5`pa5OSkZ(hKFVUUJMY0B1)(|Wj_gA zIbtl#4b@KF$uyTcZM$KR5(xU=2_d(x#0PBdWD2$$A<0kJ+ArZ%*R%?*?BwjJY-i#? znlORi{6w9`<+T}ek;}-W89jXQ25#z8>C!Q!d_$dEP_+!Cn^;vWc;&}w8LliS9)9LE zQ59lnWuZ3r)vH8hA5c!(rPHfClFkH!`F%Q<|6UlnLRN6Z{b5V(^f57>)YgL_CTKgt z%7bnLd@V5lGF`4l3;YFxD;n*Sjy@STSX35O;v+>M5u&G|ueqF~FjNk2eq9q28L)j; zHrlX6Te;8eu24^V+8Cc4Ii$0E)xb3(GWZwgF6Rk?;peNV1%EIOqj zcj(W&HgVzJGQK#CgmLF%N7S_@C$)B>TA5VplDi_7buWt)x*T?uK(T@F&Vt#Dpr^dV zfePV4FW4$lSiLeA42!9)*ULh&kRO^+sg0Aq|60ct<(L^Y3hlNHK(YPwaoCBnvkZR7 z!sqzy)fawb6$f}`}8wj4I}oH<3^c5GFM5&wK9PZb}&jnFWw0{7zcue2I} zDZI6}Myo#Gts>{IXLEOCJxCf^kWa8Q)H$x#igukye#3EwVPgW}^?R@*E0^9orKqz* zBy%>k&XmY_`Wg&lqiHAcz$=`>E%}yH|>DEd`;_uT^{c73moL!h)|_u!YKFg z$D#&!Oft+U>N{MK>+sh$+ED<(sH_)y=V+rd9t_w?-O`E-w+YVyme72;cjaGuYUl;1 z8xmy z5<>UHbq@J^jD+4_p+KamZHS(Vt1s#+(hm4uPT5#He|?M`ZNO+uBM$n^yQ{9&m);VD zO`C{%{n0su*CUim8A5YYk3v3VnhfSkv~duN?DpQJ}%*o{uFM z$*m9>1jFO<7K=j`UlIM<(?-+Wnq&o!)a#*Rn)5xTBNBPBBASlcMXc5L#vjqy?V=yw zYvG(Yj+2jW|6LcElkd?$?K~vy9-_+{ljK=b9K7BE6Qc5bQSUXWBzvc7lr$4{UHY|AE zA?9CQ!{kix2g4VEwMD7sI?XIdc{HFzCv$y*h(Fh#M`SbEdp|olrXQcw~u@ zQ_8fa$7$fZhbecDw1ZA&JU0HuN1F#Y^uw&6K6I0U3S&1G@b|WZ%rT(uL)w1n%+-T% zPKM7t(g&Dw(cXu&tx^l?+}AGZC#ad~B-5(Z1P_rs1k#^&$4_wfEH=gu#Of=|{S?Pl zQHs*-1xIsH4~J>)kapobYp)JfO{}I}33WNa9yEW!pHNkP+7k$9*b(CH9Aru?FD;-8 zLOF1lYB^rL%hfn13ve-|Su<<$Cpx~!6Tk~_aiduSS2wy3jR=^sZa?T^jLhC6?QU#1 z##i~LLY~*axwwu1ZA!JhNfp_{Xz;6GDY8D>XpGQZ`45?&;uVU-PA*P$)yP-v{^0%g zgw>!i9RnIR_ww!1to8}AYI)p{Nx^%{=>940Umje5S0Hid$U#2a=gc)ars3eVLOU3D zw>)cEj!zm$ax>RT-859+_@oF(AC}lKio?M=imiL9Wq*nbJ)pNG_i7&<*g2^Ga6g53 zKb)#Yiz{I&dEB{3y0~_y)8{X!fy;XAxPo zrFZQ$o5}iOiAnSIN8&zS_71iw&DL8Ww)$EhlZlZXyDgx8w#bjm$8@rAQHOs(5j>6P zN?PtK^TySrtFP0u))#m)-P{$Uw8t-)S_|!<0_kOZC@6(!$6f8&jNyw1lMS2EbZWM0 zt(aYlkDhHw4G~cOmQZts?heGxw%tOk;_jgJ~zJ`fMl4h+HNO)SK( zEame6H|`;qg)_5u>_CAc?~cBdU1T|n+NiVz31i$*p5wvc%82SqcfEw&aJlTFS4ZbG zw38yVut!vogGRlc_KfU865Nl3^)w6zNj&h&DteQFyJfRoX~5(KT^riC0gZu{@Jg! z%i;h{|7jD*lf@ulCp^b=RbUeo*FN^9%ZI5ft}ZF@eF}YjL{mhgk%Ur|X!qI0BG)|; zeY+2Y@azFC`3^xQuDZ*@Vbf6VcoGf|Ram?xR6)gc(v9(u8Dghf(5k~tm7aJlcZN3# zyg}e-G3}0glbL}Al%8e_-m#4|b5`yo&T;@bWS~UKEgNTGpwF%QqZrhAA}tL1Pa-KvjsLFqoJFydsW zhOF|&Ugk>EA3nbZ()dC8#RS#0#b3GXIeFy*XgV$x41ZqS$`xtMJc$;TmYIqFsNPcC z9pF+{$W}q6k!~rBdQe7e-v1;{4zAZTv-RYu zRNa}2tvi$O|EA(bUoCItjz91eHx zfTP~LtOPcTKxurB!YolQ#tc{sJUT6{jCCtlsv$mSQh4cp5AB)E%c5v7y%b3d2So0NuHTZRS1>y_MfZVDUw8@eXWn&*WQ~Jox*j&{PoXKlK{M z_Y+ed|EvGg*^1$AiS&%@RW~(OMk8S}RLs~LzvgJ+nvetinTFeCuwC>NIJoC-oHsfl7TUQEasGS_dNLoFY_O@1>dUY7rHmNi2J|%8oKWpHywx!?oz=dJi=yK$wcDf{ zyT1}dvxByCjBZA8G@@9p0_v!%Ck7YnflVa z17W<7E~WP`zqk6q-n2#gbM#QU*!=gV8`F5gsa6or6Bex0XjA-gq&Dud{?nnw1IbJa zwd-1VKOk6vs(mS|-U(*Y{v5ABBkXjscFk8k69{_z5B6{6vw@Gzy#R_dNtEceDXuHK zqteE#TgcdF35F6Xn}?jFFJ`7;X1ASkGyk+XHq(zy;CL`zd30>MuwQv> zQAahb@1kRYh+9wfe_s@Qml1<2DffF7f3$xvBH^g0lzaCf@>#%dagZpzx zdT(~p42RefGPP0UCdrzM@E+X@7S9K0zSzY20DZ^jK3uLB8RVZO%?8fY9%5MtE7~tq z&Y(k#m;+QQaHm3jM`G)UgDcRpv<-0=f@^=_=GeDSA%vC>yKzQF^BR6tFt=Qp55wug zTYc{H?HS8jse`1z(HBWZ*=iIscaE{YaW7ihOO3=Oj=RyOg69PbmGAMai<;1{%l)X? z`+TbSjO1VDc!mY!lPYfRUFF9-0D>ZJN^);;yp<#O|5ZBedZFwvQh6&R zH{K$9kKrt>ZMTX#;2enZ5g$i8puAB8$x?K(5{R}eUbX&33#hU_$z}fxcP@c$P zt@3sQU;M{{B54x&HN>Pl&sF%n0Z3Oq%BXrG?7xKopwi*ate^V}%}U}pz$(2x+Ev#} ztnv6_^zWuCx%kbG8^vDIb&RPb13&RHzysdCs>rd<_k26NPr~Dh=a;mmbsiFh!i0OW z&mq!#ccA}u3Njt)k=i`a)V@Clc=#%J??`s==CB*f(QH7NrOfDuvG0D(+GW(_7hD00 zn}R&Q`{zy1E5AKt7h*1s$tpl5n(c-uxaEo5b!v}p5q@2JCthntWWO2~82 z-NAoJ=l-r8iuc^<(s9tuM|QdXt`N|Q+CdomPgz@6d~yHW!%B9`ytxiHX8k&QB($`{ z_AV&JVK#2hB0np-HgH@{3(iy=^;&^^7cS`d&{tdGd3!_Qbe5r`k|L3QMDrZ#waa$x zWkJ4r%Ern2?NFu%`ZLh<9RgddmcBQFa;{^lndBB>Zze_4NR_Kjif(L|<$ku06=TmK zczbg-7w=ZGXwxgVQ$JfS>|pEUeLBczT9T4E*=zM@y7{y(C$Lg0GpI8p?HQ>@~e#`6k0eQ1ss{ zGl{a}qAdSoNvQyGC5N6>#b8wsSG0|@cGmrRK|)-$z5ZmZp!n(S(J0Bfs?6XWaA`*?W*shR6X;X1pr!NZKPR6nQ}Mp{=icJ(ABz!H z!}C*uWev&>)=RRo)-h&Ui#P)yT+_Nfbl-p0#bPl+d=U4KgXZb5r*{_&| zda#&CNbdTMq;AnX1Vk4$t5!Gh0W+xk4yw7h<|IG(;ys z;(DzqIT|yQk5fkte|iZD;#R{);E(fDH*=GcWnM!VYOku*9ZsJ}dhT@`PETH)?tpEJ zR)PT`QZ9hxnlUPGlI8-qf;Zwyxt}$3NiFc=c8(>IxRna&Gs)B2m`bmNzO8l-0Ku0F zQ_f(Gx!9O=Q8WV0u*jy+Y_a<$aFD#NCsJ@Voo}wD_M3^x)Be%iQjwb925+pJ^M~ET za^T$5`xL(A9GQ*hsqqwrhI%KJA5NNMQp;P$a**uNs&Pr%?O}Z}%nBdVT=FYu2IR{G zg$=^SbK44Njl~>cWEf7hRd;SRqm{U*PpILg?^jAxss8+JgqBvk>%QaPh-)v85`diI zb+66aS>`vqT-4bv*{vTZdBIOB5?XXgn1{2o*mUxlN@Y5VJ!2tfyo9Yq`TLD5kRMxi z4=u4t3(C1(1!Xxo0IO8m!9v??`arfMg@KTNqjO=;gvlS{TDg>aBa3d_unT+!#k+7* z!>DU|HLTp7kt|0LbHRr3k%+v>O__1xX=SG(o?6+qOeiUSGn+C&{h7z2V+eHrIqRz?q&pGT7z%fM^RNNJ6O8?Hy)XryUe()dUb1> z9I#RN6fq<_4okda~-tgCxi z`f>jAs=sxFn9#XjOj04tacdiI{EiDY>4DJQZkne zT9m*^fIbiZPk-RGngSVxTd&R5Q&G6f&oE}o^GI6)3%Yni>Ja&?E`-^|=D;5PZk)de z04!Hv=&VlW`X&$iiT5M-UgWYBdPe-)8GN16ZXDrYO|*N}UIs~Ok-f;ZkAV);EP-m@ z6FYwg(xZCxH2#Ulwi08OVK!V1<8o_hsUd%+NotJ{5q|sXbO8B^H<4(ek7H=e!YHKo zQ9k^cS<@jnUP%6~xxQ2RPkUxT7%q#r(3d-Mp`k~Fly|v8(%lcDN*sQg8d7GR^WoS& z@FWV2-&iF=@9lVSw|R)Szi;H$PGci)F$<^b(-h}{wb|6`>Fm7kX^-jn@V7~r(fyTr z?Ijz3o2mG0Ry3?(q1%yF74j{7W|b<#XOS8iv_vEZS=h><|6U1g@3ceJm!E#T`Qlzb zza+x&P9?FMC*zY(7NL|~O+C6_+L)iVFR#K4BfQ9X;-MQ&T5TncjZx+fVrTFR_>u8E z*us)hHRyr#m9vbX(iiB_w;x&YI$he+SQ)|>SzkqDI^+x1fAHU_Dawpibeu)zwXefi z@kXb7EWeVD8)PCsLvt{^XrBhMI&E*Iry^ zU(=j5ZOC1}7X?eHZL;oR|CF(8IAgdU88rg6hdXCI?I-VFvU~gyfPxOqm&azchAE;T zc4~Rzb~p9<)|&UfI9ArvUpuG4@s{ieRyE6f?F?B)mz;`9B*>OD2KcTMC5t!}Sbp4^ z)v9`#jqP)4ph16sL(A&=7}$XQ6I*y0ZE&sihxyp2NN&y!6EZqOqi5b2s>bC+p(Ha2 z&i@=w({J{ND#8E69ax0CTFhGBm@&zT2mP$0R&j{NE8)wZcy93|(~?(4!^j=IEy}PE zPx5|*m$0Mu2x;>ERp_hK-v%o|Irk=8#p{!^E5&`3O^4fm@=ngCIw%29y5fridWZ32 zJjnb|dd}fm*0<=2fa|2<(z%FEHyQ%w5ie^>TRkZaG9T&OuR(hWDzA{t$Z~=r01L_SlxOD$!>#!GM-*j=L zzhjD^hM;8$2r*?gUkFpM}whTf-5^$wHGPxAcxTZcpmEs|D?j^9Pu66ugwCOpAv z4XX#ehA;F!C2<*+3e#W?-vI>f-p0T$N!vx-*H1gk^5YbVeN#LZ)E{rU1Hq9UdOb9R zP5(;A0VhsLO@zzTmFzu>6t`av1-c?*S7E2c554lCeuSHFg7W>xITg|BcMHN7^#s;43X1z7*T+!!gSx!AIsv`HQx8Yh;sc(rk zX`J(KoIo|_-##Qf9%Mbtm0F(hX6EY-HN1!l%+F?*dNPSIz+p&H*U0Ua>RA!^?cj1*(DR9ROVZ`QEi>Hm)*I@Z zvN_+;>(a8BvzT`z`vgdip{3Fy8h5}R7GHnh*N6WM?C6&7>y`GLoP~Bi$~#d8S$gSz z&;Ez=7{+(0P01P~?%02>?)b9PjUssSubb+#>i7 zy+tIXv5WUhyQahXE0zPv&x0|4rdI#CbshY}YW&MAnsYFM3PL7wd!j3sY|psxsHx=E zN7c1L`C8l?P(DVOKW1@AZ%Q1(A))?J;+-5a6+IdsmniofkLZNh3W=3RYmtNB=&01B zzI%v8PA$e4p|A}!6HAXiPhTdEZ@aN>icnQadTeY7mQJKC2Rw>=^mPwh^;z0JTFaRJ zBA07>3lpT*NjP|UXn0evy=7rnRsP4r$js}qTz!+z+Se;0L)g(0<4Ck}Z}}~Kjf%+F UBXk5wC91Yjd;Yt;{rvp@0b=!%g#Z8m literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..78ab6a683a7416d6d1f9cb16676caea51e105e7a GIT binary patch literal 3633 zcmV-14$kop000233jqLN0AK(y00011WpZV?-PXGV_0000r4FCWD0001a0RR910000n4gdfE0001Z z0qk4*j~qu4Heka0{S*&z5QGp2duL{!znx?tASKQZA(1!h7A|RRS`~jeYjcSJl;3)z#hAU3*WTK7D$Np6A|k>(;;N^UcqV z4{l=MCI-?N_yDaB|Dv_Q`_c8|pTu+XbK`@X7`TanGzR|B=NMQgK0`m}XY12&a&fiZ zoIVbhXAi=piZ)fWt)g8OomSCV6`fbnMHO9soUC}`{HZQHY(Y;h9!T9B{y>wZ8%ENmJHF)lYq>(tk9%ah%{?NYj+qfRtF^HYTf38 z#DY#P9=%Tee0_(0zC=8tp{%alzU>u3A1Sd5XV+muf5HR&d)$Qy<~3>A*$@i6o)F$< z_yRhaU8`bwAArH|VHND{g3j!joZ)#D@nZT&Ca4`k_VG_GJ{nJe6 zts%B-0Lr8o*m-d#MW>k)mM}mfPMl7D(+e&JhUjEdK!+Kxl7Q5;;1j!UU5pjU686NbbhTjdqZF$x7f3d~!QX`kH#pc>lb8 zl7X{q|YYo=d7XntAFViQ|$61s6L2d0I#gNHy z9qepS18?5tV24)MLK8_?3p=HlMGlm?OPNJm%IP}|>iK*@QJwP<>9*`vt!ym81ft8GLRZ$-Y^e2SVI57L}3v8IBx6|AdZ(+W1LVDk#L zs9?(qwqneTcW;Nq#14yz9TpQiEGBkXOzg0j*kLiT!(w8G#a7|-G#=SDj7`QREWH*B zk1+QLGmkL!2%Sf0JwoFVCgBq?5@kNj84>>oB0MS56g=~QNmNXRWgTABVMoZU&ONQe zT^(-ga8rkSlhcj=h#h4RB!80fh9i9L_k89|-8C!RH7$^?Kw3u9T}|Yqh{#D1k&_~& z92vfpXA(FON{+ZD_X{USoCqa!lco?Ic`Vk$RBERc&DCDkNZ-=Q8~{PF!C zgnKW)djBVqYxB04MB^eKwxeFLy<1RBs zadm%`q)cF+A)9?ON=*&PbAf4{El&-m-y>;z_%Ru~UVVF*qmeY+*5S+o$FPjyig~Xl0Ja*pbt89Pk zt5FcqAH&PDaers0ZPISsL8k?JqYY3#*Uty^VauJTvc6Y8(Er;G=E|yFQIAjItZecn zN~zP(vRc=g{Joc9wtE~e8<*0$lnxY>tkU~(U(nPQv~Vdimol$)&i3U+G~a-w%1Bqi zC^!XK_gpY5mxIwMX)+!4O2ODX1=)R&nSxPfs#4@k!D>hBfLtb9gp4pt91#p-w5rzZ zGe;P!<P1_+skTbLMtvgRIq!$1qPh>O^Q)917VX!etvZTN#Gf)dV;4~`BXx2X8}TQcyYl1 z8oVX}q|QyMph@HWOvsBgeU80m!;{xKOirR@R|ZLMdg{~lH13YnDyOj~y~OND z3W=B@MOefVD62Zo!txJ9hZ!plw=8U^cIHcpSq6L2%)bz~XQJg7 z3he!Hb6JCa`I;0b37WgSiN*3^Hi0RhqOYeUBbkkHNaH4_v37k-Wg{8V2*_!??`x^k zgd3Mfp^Z|Ft3YLITq;`6wbi6+qYoA>H3J}q+iELxr@_acGq!?yQo;+A1k=kEs@`D@|f`1T?K9>$}#HwN>hB zF*J9z*qEbYUXF@oK-0~Akei}|+!P(;rf6O65E?13_=(feq^`^xgr>`M$qoBC9Zh)h z+B0}|t3RilsYh-0g9~}(Ou6cHXmIXR*yLLSJ|fDlJrwF{nHXxo=wX}-wdCzws7-@Q z>(kmI9Tn?|RIbmZI^Lmp+M`S)$FF)RI?KtRX`L}k!oaw5-CCJVu3lrx z6EsdZ>#TXc#;p6+vY|TSM@CQ&Gj^mUGN443N;6wl(}qAK$hEpQXgk%mRY-AG?4$I6 zI~c8Tt6?%pw;5K}1gl3;^gDJy?$v{<%JQ=&$f^tH*SoQDnq6njW`kT6@5agspbRa# zZmogCrFF^KOq0b6l6RUuavt2BeUIrg;^X{EAkMUM#|=-UKE0iY=L5WlC6%n{Ea#Og1gT6Mt2JYdtt01k0$ zuaBh|HuIuiD?qzl+o1ZSec3iJOeHd<&So?)FE}yP%{FZQ%O6rF`fLT|ZFO2mgE#S5 z?`Wu$lpO^awvUjQ=<8plFTW%opfHYyH9*Ya;TIf+@$k!U`(Uz)q90YZq-boH(pWA; z|C;{!y^8(~eZWB;Pbm$>Lp)5;*e+l6D<(`)Kk$p0OvWAr6CP$Wk@!2+4U&wj3|pLy zV5257>+xbb^<&2fFfYc5B#<9g^e<^YhN3GBvl(f=21bDC)OgfqGvQ*`OjO|)=RsxN zd^X=W<9MT}KiZ}r|HZe|v@!OW0XA&7)W*Y>{>(csT%n?I#5CKCUs6wIC2 z1{szT`&bu|Ch*%m0m{&$1JBx4< z05M2ufNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAffM&qV_jL^pc64`zD0Pir zvm9h10|N(`geVmSvO(s%2l>3g8;prOW z8072`86V&u6cX?0=jPANy-tA@tXKf51!SEF5c>wZBm5fd?BVL`_`$MXAL0&V*YHCX zd%6I9=jjHt<8OV@t#sB~S0001p5&!@I0002D0RR910001)6951J0001Z z0qk9Cj3q@C?wMT#e4wBL@>U=sD9+68%+b4%s-~)@yQX_)?%l3Q zPgi$UojTvCb51?FZg;!gZtuY{97WM?oO|s6tUNs5A4Prm+b_G*hx0CA=J`dOaf+8@ zX|Kh3~v2}EG%%Q)OQW?oP=ml%AgI=%>JLm=Lor7Mmt~lroOWm!Y zJ*w81U~P8b4fZMydcnQf!FKx?40iA?g25KzA{cBUE`q@};vyJqBrbx%R^lQ!5^8oX zg28s;A{cBaE`n8C%23soGE}vt3{`C@LseVKP_U)E0t$S2Yt#7p4d~!8ZBzQp8D5!g za6o2p-sL#@IbVG;P2yvHW}1sn@-F$5<+A%ZKFOz9pG-6H zN$e6I@4IBpG$~J9=5k@043d|Z7}(7=l6=Z?W*b2#wKj6`X_hmw%lagCmXkEia=V3o zn&o60ndMw^sf$mEk1{(9xD*d2KBZ?&m-u8mFzp3_ooV}IG|fJTX~?d|yOETO)N8Yx z(ra}e^z)#2xd~X9E@`qZmQ`sIdsD8F3zf*GFPXkYHhocPzH+`a=_9FwOQE0pW;S8k z(LbQmcV&8=D?B1Bhf`ulzd^dJPuUUqRQ96E@}4Ybvm;wMWh*XTSVpBGE<<8}D$OQO zmDZF?#b(NSzU6{`Cl8Vr-jurg5cF<;v^)E6raf=;0n}aUg{gy5ckd0+#2vi(%s7NIHel_}?wndIg9ie&bjp@WZuF2_-( z4nB(eP=)0F!)e`AjU?cSb>g!qm)Xjha-r|VW3n0L$Onig_n$NBV}90UtIsTF)HkJk zy~*cJPkppmSAAwVS>NkW&Q%{Vx$t50ud_b0oUD($xay<6xawnH>cVH1ll2|q!3Ujp z)|bs+tnFu(llA@2gAZ$2&iXjEcHuM2$@;Km$=QC;A7_0WpSbEX%gOq7c<^DJ)k z&va2okY!1VKQ}#Q0ZS%nG82%&)$Kn5}>sG(N z^}e&tS-oyeYkbqTiPq%!a7%3r8JpNT+)B3TJo#i=WZwwPMxdK88TC!$VycY>$-`Uo zSSP{`sB_f;cWwrb0#{DI2*@Jf-hc{c1D9;aIf$Z0fEPk23oXx|lBh}Q0- zarcr8s*z3tG6|u?&`VdB%Fm68#??ckT0c= zMX{M4e>n5_(ezx9{KA4{=bo9a@M+6Ea&8{Vciag{$k8HnARj4Wrlq!{%Aa};EpJg% zruXoFsMak{3+Ml-b&pwif7o)*#3Ebfrye|*Aw{FDr2AF%hPk?DEse1p6@Lo{hxLlV z0bHxN@2nc*W)JhO4f;u2Y##+j2=LoR!1q05ZCE>7qNxwWeSQ8$O1n8QiYug9c^c%As z%C%jO{AKZ^&Y*hhc@}-jHw`?`lf}9oR;n_k#C3 z3_N$2#PgW|JgGmxDnJtU#UL>a)WEo4=cE0+4dv8m`Wo;r?PoT-QR&Klr0rxfvyIdE zDvp8VZ*(vlcU}+EV+b4S@RwdPC_GnWc*TRC~2bDY~gr=<(@>T;;LORNtu$m zhB|C|rp*UZKRvoBqMn^^;r(38J%vG@6`m;%9?l0Yt71N&mhV#mq|ARD zjQ$RlOQxB}zh^0K8v8;?kvE zQnJO)E8k*6NsCQo(^6vwSFqQi^i4RIg7u#pa4s$h=L6;8q>BTJnba9nF@qZi>$e$j zK2j16tYS(kA-K$)o5P+)lGdpn&P8DTM+ThHl5oy04`*dfa4rPv-!tHBED2|w2aaPY z3co;CO~Z3_+IBX0|A~R;%#wKcFwmuKEJK<1W(b?|tX1looz-w*_wKYW zWq3HFm<5Tv+hNt-P%Zv{8LEtla|EuMmnTOX3clp?&%*ofE%$tQ-s!=^;r51XxZO{6GsmYz2XC(N>94`89K6{W zwcS&VA)Nu^;5Q#09FB|0@gZ|anrxm)JE84oBMX8)f-8#Y*|fft1pX@{x;?{G7FQ8W zC!n5UMucZ&QM3(@HyCs;Q54`4C`l?RD1f)t2=Gm)tQf%b1ztzLJ>@RUN4Op~ey2vY zEP*iDK=X(1&jq1tZ^k!jY{OEXK6xDeS+2Gqgtg+W7CpTg_)N!fljWY7hu6vwkM(#) z$7paUUC_^-fCnxV!EtDTcK1aK@1L{W^Wixv0FQ074iTk(p>Mj-u0r{vfxm$V2@ak~ zUdHmmkb{=Cv#q6SX}dI%k(S0zL4;VuBW!n(A(j{a)?J~N_F`KDp`~3QiJ)_mml^^i z?UZEyW}e$DCyQ!n>jidX($aJYdRim1RMw5mQaSKHWD%ri`)TG7#e9;ls5W-1B%#}1 zW4Cs>gzBK#uVApA>!4I>)Ec9|k5%oBekw_HWWqZ>{WR@8r+`Q!&s-XD9z(<@&!(Tw zB~@5vrVjF_9EwV#gGv$|nedKJ2TgfmaV7VkjXcZC)9jBhr;8O8vp-Vzd2VE#OC!!> zi1>8Zl=Z)a?wYc0^g5NQZ=7|Z=#Y?4YX?)FvW@&{TB=5KhnT~Ho|e|@^Y=#9xdv(N zu4#**6QOG*n*;TFhiC2SaAWSvhZ0;zd=y8&Hl5b>dI8p#q(}?PdOlS>2aA|33*W`UXF8B zGTXj@GApx|K+MF>AP0lE%x@((vAh6w313TV)?ac-X>ySQLk=x1 zbp54~_58`&^b>i_i1^ypm*)2q9lwMs;!AOS7`x+H?;OSql4wj?Dm447lq7W9d~p%& zO`ET^L%tZU%C*A>7jSGkA2K@{@~V36P=0!(7w3&<)gZGU^thhArv{l}?|0It(EIhG zo}E^M%x>#(nXRcoW_R|u%vRSRGrRz=r)~3;8f3<|8K{xmeEYM7o+IGrPL+>Ce!GD3 z^sRM?Wg9kktlFICz4^Bo&6+^232`1n#5WJGYW+n%>oM!&EBO^aW*T1pl=Et)$-VXd z^#VmbIp_3v)t}tT|HXL>k#1R6^!WmFzoNuv%2TH81#99Gek`%e1l-rse6lv5F}zol z-3#O?MX1RP@{}Ug(#pv5ZH+t=8HdW#^aZ|s;_^35jqc{E9jv?POY%Ohk*8gr&G^hN z7wJ5aL({>k#%FoWi1_OBr)jAgpL_GZ`$O?rBNg~=$lKuy@W!1)K1_PL-IeS9@wYt8ncuYfg^A@n(rUn>AAu;cEtQfoxAT z)N}zha?|Az)uSP!nUWiosmsHVjMwZ=ap7Ctvz%d~Q$Li;MHlNgbib5idnJ{ zs|e4i%MoIEe`i}>$+(t{uMDz>Sl$+u!dgvG$yef-opRuTD39p=VoV6?EG0rL;@H|J zuVh@y77A(R*Wp+N;XHztLNuwRdm$w+wNjAQcV8>P9`(<|5eoyGh;9kdnAcs^M4ER< z-W^8>gfxng4x&7|3)0MQ!4U!>O{W`?VqSMiEAc&UljGKJz!3#eaSJ=5F|WG>)j?o& z1}gH;Kun+PKIO|W&HUz@!u09x(>@y}%}GplUptw8Jb4kJY9s0%;yk*=mdL6K8T;z8 zClIze^{Pe7^%2lRr#0&4xR?~%%l6{6M=#rp*C4%YFJ6n3 zE*9}vUf1TCIlmB}yHXz{Q+2b`<}1~>?9F>!GQV)G#t%tccZvSGOK>UndG6Bjl!SnI zEU#U`acc`4J!)5eT>-CO458|QW*^tfHLe%aNXf_bNLO;ba?x__9VZQRC)>I`E+)h|5DE?i=A#DeWo_ zZhTX8_^T<#k3=00R9J_iZ=RQmx|y~a^{Q{Rnt8kVnr@lf?zpE8-8fZwvso7 z{0H$@AwHKg>EpYC2_5b$1lsdaO#Rt1PhPc8sRK8Jf;RGw{K~l<(s?^`bb;9b!3%I~4JfP}2 zfwTaMI{y&YAm2^;yIEKn41m^~Kowd5*{BLVK?-fQE;43eP+?|ZP=G2_V_{$rgPIr~ z8SEJz=^pP3v^(C@H^Ap-p=mBVgAq_#1gacFaRaflyJNh+lb5S=$hxG@rXUdp2B1k` z0P;784bp?~yN9Q1kYkXuN95DFdp9#NfPzW~h*1Lw>VmzZW&7B`_T~VU@S>RG>3^$x j?;U0aQ=n)75Tgd0v%jy?43|YL9AFE4fbswU00960tV>gCIA2c000181^@s60001a0RR91000142LJ#70001Z z0qk1aZX87rH34x4iTj-gE)ofnJ=1gBeVdR(2mz5W0wlk*?8RCvHfwO!R`SLV@EyGH zT|6OyKnQU)Rn^nu>DfBHvnUc0Nr&C-u2a)pb-JdyOXl?S^mK#TSM>Rt`gcCJF9+u+ z2L7TL;16{rCjO-UozLyd!5>X5a6CLkpUL6u_}bh~4v*)H{Udw*U}_5>Pk=lH@(jpx zAU8lh2J#V*S3q7qLH^DT=KF!Si7HK1sZpgPRhp^NLY3yKv{I!dd$%~8PG>zofLwM* z(HFKAx$K%Emt9lj5-o}x*(tlD=*w;@^6}HUziEPi6afYS83J+y6bL90P$6K10OYwr zo*U%3L7p4rxyc_P+E48Me0PrmjncwPG(MiE3B5f1j4@l3Hf8&TMKQe3Zm{RFTv3CQ)zdOl{0!|E{+7J;l$ z%(}wSi|*;Z6=W(+lXf>bu-?RWuBGF?)E|!j1e$GK!t!30R;kb1Y`&>2uKl-SWbzZ{IbKTY|qNi zk^7n2oetT-`#;$^PwH>I_s-RKuWY+)L^(*0^>n<3uDq5(ezTLES81)w+ZR8vAAa!B z#qBHYdST9cMb`@6`)bfs1=0!=!|VEjOX&j`7UYxhn``iCi#G;qS3_1Wfl|O-_8cwR ziJ0~%Gqgo(nV)k)?QU{LGh7(%lFfm=VK#q_W>mr^aEcBBcNFOdabhD!`&~3YH}-}b zk5`@QqDWsqG?fnhoT@kJr^L@;`1XoKIG}lY+uDaT?PXBuAW&TRYS7erfn=M1lO_satg>o}# z*;cTYZ3S!DR1!P=-$uy&UetlcFAYj;V(WS78QAr)c}D9j*Gs6n7`gFqn% zfx->~g&qV7KL`|p5Ex^~#27L$hD?kh6Jy8-L+2=zCGxp*#KFy-J??}xA4?*sp5axg zopnIb#*kWmG2p6;;8c=r(CQlj`jt?ByMvpW5E!r4Wb0PdGqOm09@*u1VaNXV&6izN zN-Qx;BSB2zLvy*P1AKOt2(JjN4~o9Cse+4|ygsYQlGs~S0P+Hzw<*}Q0|q;n?`~~E z6=Dp{qNs_YX%tOjC{>LStI|;vt)gfdMT;mJ<%(|VF`J`YQB@gx)^XL@-tG=MssQMi z0-z%bfQ}~sI+_6JSOTCU34o3x06K~Q=oso(q0DJhiTW;8qrOWOX^-^at(geAMztv^ zW(|GGaQ)(?pyGwCLPcX(rLrcdUY3u5K~qXPM4Dm6%8L)JS|f%A2~jv)tNas#*Qj8J zS|X`=2)A+^#Hv|daV?i5;r9cBt6H9Et;#my?DEkyu)^gz<5eze{6?RzAKuttO>VWX zZ6YzHH2Y$x? z&H~54zvO^Vgzr7^-WQ$G2bzWI5q0>D<05M2ufNM}NP?4)&NPKWekf)z}Jdha_nH*`{!~#|hAsHAt zfjpS`zOKQ+j_$4yrLOU7mV-=WVBi3g5T&9(HpqPUApg*S_>jl|sA?7VikZw{brMk3 ztUy`{h@BjRT_fT>JY9nvgPc7g;{*JILgGFB-2Az@*C~J$F)#>#Nr(qTfNbAjcZ6Sq zojqKA9Y0vs>qFdu>>7TkVow*K?>yarcKmJ8)Bpt;0|U&1AU}Y@NfL-b28XytgrJ-5 T(^s;PjiCXk0%Sb^00960O0#rk literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..63b1001883346fcba7c0c50648c50873c7d0f394 GIT binary patch literal 1159 zcmV;21bF)o000233jqLN0AK(y00011WpZV>73IG5A0001Y0{{R30002d0RR910001o1ONa40001Z z0qj=GZqzUoO+mcHOCVqYXcjD}*vxb~t;8x7OG+yNT~#Pb6SuXRal*vYHVfbb_!7i7 zz)!K@RT$239!}C}rLthf9XYn|J@@UzGnq^#zcEfZj`IsM2bLARKkYa(_?<5}Gnfy6 z;rz3}0%GVKo>B$TPPbrSqHdFpvp`EhrCn;m&Ezs9>3GB2lOwUX-hD-zoSc=y5)IK|D-iC6uPv6znP%-{JFb2MyiBU=II!&^L)EiFs`JD%_Ky z-u85sh%?|Ut-V}*gFLTc&6DPZW1V?H7DR%58Fa@GUcf3!hDM2|@>)^tdZ{)XM_MLP z=51s&JDBeesL29Lwd+SR^rAS}@d}(2BlN;CnA-+poJ*CAwYNDEug5|K*;z>X-EOx} zQ*^cEYmwVY<(BdzhPYzlXLfn~a#x0;2x9?MCV(a%%K8?xjts6x&8jY14uuzr$j=yf zw@f}wM#2wm$VN!TJS#0!C_?D%%0PIV{!m64U;P()hH)rZ;+56s z&z6=;FFch(rOZBH8Cl{d%TKE)Oy7O^Ce^V3PVsDB^pQwK`6+av=J4LAY!~+iN;^f?Gk3~#+1xR*enZAjkI8wx zY40NVd4fj^nqF!eO&2x6e8YE5FlY!^8XRME3=5}Bkz9mS6onFyTQid1vL)PRmh*W> zc6ZiiTQg_?oM16eouq$+fHc!vy1DR{csE3hgw&@LRQ;y$$u@JF z2}pyKI=eW<2fI6lxWY74zEN-C1S{%6QYww2)Y;!RAU?n`$k7*Ke2>e93n0507V@03jhEB0000n0{{R30002I0ssI20002k1ONa40001Z z0qj;wZxb;Pc6mR9#7hEhZlx;aK)PuX67`fq3k5~3)P^)FLaR+;m8IKFwYyE>7jopE zaN+$7^VxASSz3zJLpWf?`|W)GX2v_V?DTrQ-h>e1C*ftnAB4y0=P1T^it(pT5`kRb zNOzq6mHfX@$NXN-Y%#j)BaRjE05*$3V`&rhyFuRRhZg?qm=G2^xckgSQ+Wn+I@OC&rSQ zW<(9uN9$JyTauaMsED49-ar4uME;jxjJtDu#UEQ{eKI*0Y44k3%k{(L5?S$H)7|$Y zRe0(=<93N`sLf#s^#!JOxHRuJyL>RujRIE|Q_3P&mbg*Af|=?|Wq6z5;xKoD`5Nw^ z&qEp?oIH5vf;vY-T3!;uFm*#VsFwsAq( zlIDUj)=h##uHg^#$^28X*l7+64(_1BgCE^GmKkmjFno><2%Z%6O^fnrqLB7Nz0#s$ znkc1-G6=It@HX5yu-;RDWh+Qnc})ZwxXLL$GFVQGyy;7{%<8p)h}Nxww6<0F3jVFZ z7j@Lniet&X!zW ztjbO#>%jfK$V;U4ohju>+-bg0twc_lzduvbi(`K)RBh?Wt{3bn+1yKHsJbeWk@DI# zN_a&4>AA^xau|3zN9K`nuTxwzg^~G5qD3Ji#5CbXeeFTRt(!s$+@V#8G76UKIg`~uj zZrPK<_ZswMY~vu1L_r)0h<=Ei&S^y&t^;}KmLtgV=|v9$B-HJNeG(!d-P{s2#9MXs z9?uEMsF@J_>VpU<&t*c{u(Osuk0~U480#Btf<=omof$=6swXipTf5Y*-~>rV2((HZHQJc>q&w=$hS}f|0v0gBDMAU!sZOx@mDtHi$$TN zm526N1<3VhcPfKDCnW3;CWkepA%fzmQ?^?i{r;T3NvQm@fDAP;oiW{S;qG3p(p^dx zpVpcj8uLDT^cZhypgWk>^6SLcQ$&?mgQJngv7i+&Kl~Yk`bzujhni|Q8t@AM0RR8G C;!H#U literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..82c6ea4e9447dc9c6f97595c9ee1557491c7d929 GIT binary patch literal 1816 zcmV+z2j}V@UEdT%j0002p1poj50000Q0ssI20000O2LJ#70001Z z0qk1Oj~g`<Zu|=z;85rh3Uq1sKF@gk>||y$PP$vRd6CEVd(WSrpP%jdk;!B-d5m;9 z2!el+ALoQ2R`kV*AXvliRLNOGzK`)Ue}tTDs3}nY0=P&4@-xmgEMZof&E% zy{Z(onHBp!|jc{0k9eiG-_9@B24ssZ$mNiY!mIePeVvaVPDj)nZx4$+<3#L%Hb|fG3}Q zBQgmdw?2J|;2%NS2LF)J2>vmm5&RD-jo^Pu@z5-3XNlq%xllGL==48(@p#I4soI&d$G;ym3+;{Grer**q1 zp(XH0f0$)%&u+cW62?1CNW2eOj)jLT&&T1|`{unmW`~0XkLvyw%fahZMV64kOPA0b*Z>Wdl zeXpOGSuun)7MfaZ>Df|~eyec_9kNmr&S~Kg(WsaUsYAXK_ZYnYaLlZ#O%u41Rc^8hE5BIos4B{vS7c=>SO*zymaP49^_!dRmG9pbf0ID007 zuwgEiBD-sMycA3u(jLR3btC;7e!<&aee=0Z`{8c{Rzm7WZq7OKv>o;x}DPTkzYAF;6LjLH*Z|uym({bhF3wGkow6d!!y3*E0C(RUl2_PD|OFyntRslHV3@ohSF^eo!F* zP_-bR^m&o#Yp4s%*@K`^l!GrTM8&maTT&6$%4!p)uguxtt^Pi4u(PSZJ15pEF$xx@ z9FHi6KNY@h()|iseNU;PAFSy4}`!j zT53DHMLkf{q7~F6( zfZ+4ira>`s>KY4GO3R&FjYFh)ftbNz(O@;roFhfwN$B5&N4z!Lul00960 zcmWb(V*mmUAQl4RV0XWGKi3c+&)|@FSHF;;$cFGg8H^wm3=UwDfq@A~gOobEIK>CM zJBGNzG*rG(Z{Y?j>OoQ}kD@d@GT1Xb(mg)XJt#iF$0y#?H^9ef!HO_W29PBl%utO$ ziXTOvpTCRigw=}|@PHL~K$S%SX#o^<{vobGzMJ%Sv#>Jw0VPauD)a;?wAs4In1vw( zs7L`xp%{=2@*K>qzCgRd4*gkZn#%!ps0dU!hyr=p+1)YT-^t6>Ib>bZXH#CVEDM-~ zgcmE24bp=MGY?PKAjcqQkI1KU_ikna8>$0TAcdj`<^pJF{RpkN#RgVd091w=2O&Y8 z@lK(^=Zs$5VFxP#g%QXh2rE7PZ*}jz!^~g-6b%4k)DU*|_jQ`#vWSI?0Tc;7Knwr? G0RR8x#8nIc literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c338c256193ca0b072c301f876246206d6056592 GIT binary patch literal 981 zcmV;`11kIv000233jqLN0AK(y00011WpZV>?2mk;80002m0ssI20001a0RR910002h0{{R30001Z z0nAlBkJK;}AK?w~7E!u6bN<%@1XedvP?c7=GOQOWPdj)@kpNK?32||1>Gmc;4 zEJusZlC^KqRpGA>lf##--ft?nQ~qTjlpPe`lI_BKR8WguV#L6X|DFo0X2d#$+X-6@3Xc0x+~PU6lg z!-KeB+qqyRVN_6$k!Vj;Z*{Y-gYA0jtq%8uUALy$)?6J$eX#9)0NvD;J4R9kOI($w z?$qhh8P{E7-mh5sP;cs?REA}v$kN;86fSs}(!p)4_KjXKgY~}Yd#)s94K=KvB{%CZ zcF@$R8@~d*82A`3$D$2HEOMipW@Ecf)yMY1fY-H21TU#7vByK66gm~$*%2=35R;Gi z?W;F0506mSBK3C}BnK~5#?+}W^rgfpiw7VKx01o^@VtcK`zSG+8E;?6{C6~&kooHK zFIkjVB)~5#LdV~6w78Wqk4Nu=Pf4)aHae6jvm@c$l4Rt*Xv-Yan#A0Hv}Z2heG{MG z0W*76mEA_WlGwMbaJg;CO?My&O~bcDlVO*0xFFo~uqcv`3Ay*+WzlDTCMC~^cAfCx z>pdJRnE9K6eUFp-%jYCVuM^D8O=jjb5-c5^z_@~juNP5z8yJoj6xHxCBl?E<8a}&< zoj8j3AUGn(4Oq;8=%Y;Dxc>?c(4ZV#eBozZsunhu^E8*y3^IxjNl&7f^>ZK^X1?aK zCwb;`o|%DXx$I*uqnBh9v&=jEn~s^1ABnWCkbv1D|85nJWC1gul0M3K`*F}k$_M!3 zMKIML00030|9AlsVq*XTb|4l4;$U~bcpraf#}H3{Aj2~_0b<`^cZ6SqojqKA9Y0vs>qFdu>>7Tk zVow*K?>yarcKmJ8)Bpt;0|U&1AVWdnBniYIgF{>+LeNe3=_^^t#-IvR0kR$d00960 D_2S2} literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg new file mode 100644 index 0000000..045182e --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:37:16 2009 " "Info: Processing started: Tue Nov 3 17:37:16 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" { } { } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:37:36 2009 " "Info: Processing ended: Tue Nov 3 17:37:36 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:20 " "Info: Elapsed time: 00:00:20" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml b/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml new file mode 100644 index 0000000..0c82b90 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..bdd86ce83b61ee224db5a785414df5db7408b5fc GIT binary patch literal 55738 zcmXVXc{~(O_y~RbIo7>y z*0oqH7K^>_Z{OedH}iVGX67|Bf6Tm|nP;BoJ&*XVUAsy}ckgoemo@&?EnWQl-F>{z z>8V{gcQer4)A^i+mgc!Dn(CU@)HSbM(K}~ua_(-Rr{}r5_WE=EtJl1Y3Y9D+&iQ18_0{ZnMl#G}F+(z-PSBoIP?##vA-E8Rrh3 zCSiSa_pqKp&SrCtyZq=#Yl`od&egbYf9=B29pZ*kSu-zI)VtxYA6$lKZ?&{eA$YaQzy2Gbfe7z6*5=66)u!I<$Hu=~^bf^u5;$>t!s zg;xf7h<@4OW9i3tU(Co@=jA&#(w2)XvnkN4eFTvYTSfEg&7MI6Yk$>N-jr$0T|Ao^PZr0EaI+S?om z_9ccRUKhPI<_2NE0kDFF@p*n-z+{bw6+{7CZweueB$FeS>mrRC|1CR#qstIM#Y&KT z|9j?J5@BqF)ih%_iPfn$DK}io-eCq+3#@p`p`2E&*WK7k!zJn;Fgw3o6aLA{Lb4qF zl4fBw4xDVOkg*?0NFWN`b6f<(TLmpYG>%plTpgxFKyo)X=Fz}KgU)Jl*H56L)rw>#u{V!1K zZro?TlIVT+KqLPB`?F8T5(*PUuS@v-I6oOjf%oA4ix)?->GQe?05=sG(iTNxX$Ee0`(D?ahu_*$tzV@pq}Yc==fOYz z_= zAEq5UIvS-ioGar`Wsdqus3h6ur4RV?Vc^ zAAx>+Byf~2f;mjO>x7mrPyjHFU*z5?h+IGf0QEk;TM}}&Q6Z6)gFPQUr(OR-pqu7y zkEz|=I_cYdlaYizb2MO7E|Yh8I6~-R@EC)YA%3nK6Vuw9s*@4$TnRzeZtvdK?5#A< zx!S0+oT$w@ZM#bx;pxAbTAy=l>apZ@DY;V*xD^yRp@zNYgRa7{G5t50Q*0^14ubuYLe<Te=cy?(_7*tud3=0ds&r4ph-vkc*RFp{eBtPg_ zY{<$>dTN=M6N~FX)ByD?trN%hb=^$0GrMx2P;F#;HwZ273ng`i)_)VNFINV<3y=A) z^;o={68~`M*Rz#as|t8z8z4>n?C`-d-zr<8L+uw5KrtW#7(^a;q}E*?gLd`t@@g1~ zBl_DpvLl0zYx&@U*MHusEyJDY^0A-;0@5#&ccw!93%{G#!NWK8hcRlHnctWiz)I-f zszxcm@*&a%_@9)bu3AA|9F#KY0T%zeBR9-A2-pQ z$>-TbaAT~pGZg!SQS8 ze>?It{!{%*qsLjKq;s5vv(m!;gT(J*HcX3C=GM90q$;#$&@ZWB#b?zN4KI_Rhfmkm zt4AGSIDD$i&-#*^pajX~N=gwZ@z!Spzp*DL{qet)5IfD7JT=5!HFccJcPYPeS^D&d zdQf1~j|xBIvfxR#T(yvV-Vo)jBzDdswb*U!w&?Q)@3S7U*|Ao}n_gc#eE5Nn>X4Oe5aAE&K za2m&QdY@WgHl!>eIv9hOfS*17!Z(|HXDDz7d;bq4OI8D=DvG&ogu3sfFm|Zg-Rit+ zcgUdRtYN$3-S9_o5_YE?6*`zFEo(Uq8eT)@rj~*NZE?E~s--KB?-Kz{15x@|FUAcA zi~V*Nj|ruT23yBEidyCmuHN+AYh@6RKfuH{ov~If-qL#g;nJ_d+@~8zRrTCQ*x3AO z`){5c7&o3^HS&=W=>NS+`$w&d3ff63*&B_>e8LFFTOtsn&MlOJ3mT`x6MO7tD(`dy zYzyy?nWgsWXt}pig2u8Mx$Z)-jeyXJzW%1oxHd~26ZH4(q9sy_^n9-x$v?a!Cj zu$L=F+F9x2&!$I~N}lGuW4k0W0;rZmp(f%bF#= zZP)g`EBzTBM0L?l-Mt)6rA78)N$Y+9z{ji+u|!czeidHN@jS4D20`$Q;`=6*cjx^?Rmy4ZOuF5&H@P#x=5e`me;XVXp4>tV`L?GiPpX;xE0 zXRNTnpp9A?XmIejtM@@QLo;cla;cu?p1={E>T4q z8y|)}?JdW31ni&e=#Y!Uk{2822GQL91}~18TPC`Sy^ATc`Z@IuU)Llk-stxAwn{O4 zx3R_=DF{{Fx}{B~9LfI?$mfLbDD;U3ZZk-S`o#C1^ilkGuNUC#R>#M~%J_F-`i$j- zsr*q?@xr_a8oaByh-1yQXfMuiM&z_FL<=o8g1xJPxQ{byrn2@<`wV7Q78K|(G)^qc zAlYem)hq)U5gD9*@M zmy~ilv9^31k$L15J=7sN+4Ff(&)!tD+lGj;cpH4_BpSl5*fUf>?)aS`l%0Y(oG8v8 zb}!KpjuV~Zx_0ARzcM3WLt6PtWY4R$jeU*^*_0a> zSZz#NC$s1YR^_!_X}*2odW*V)Z*1+7lYxDu&1P<;{lFPQQ*|xis=Z6IAi0gi8dKp# z_A!PhZpq5SBXF`$f4z@Wu&qMv5^rMlCmw$tf50698V1bFw-kT6I}qc92)#3V(572k zfM|LKKP;8ZC9o=AtW_!7ZygGe7TO|ehd> zx40^@R+SdiNm@zm&td+B=5gq&FPA}fgm53ge_PG!70YP+__Q!33lU^gAii63hPn&-~Spph-~|} zmora#o(?D!hwqMYs=f@E+CKa6pP)P06a;bJ!#8O9#nsqj0mDulqZLb;sqLc57XxPW z7>b4Ap0W6G%{+~@Gj!D3g_B`&Q;*lkUoH=8x!{Qs*EHW&juvidCNSIs>s2yu0v6}1 zFb0O4*z2(6cLVa1SUuHA{F0U6Ib`eb+3F+us}($>{^AgNu(zRg(>riymTV7!$is{l zW19Xvcz}lxdp>MkFVJsB_ho@zCMR6lK!*%^m($kNQ3DDA_Y$_7V_VsE^zr>U!GD3i z|4ptYQrs_5O~@=D3TMm)R_%x}x3=*np&~%Q>Mgr^R1~~Wb&hT^*)_Crzxh=MZr_JA4ytAqN694dVm={@qeTYEjhzWTxH zPs3r#T;399-XcFW2OAu+Wn=^n{cf%-Lii`;gSG1RBTVcEIge2d2V#s>y4k=#)3!%9 zt3Z3P2^97=b?6A^(tlZgY)Hrrl|1B*Ds{f8w>VaUS#6vn9yYfh`A@O9JlhCqPphgw zPYc*67=%wQ%6_j9nYzYk;9d)s@i;MoN;IG{2991GmNRg3le)LIsl#43Dn>P89dVTF zr2^R)|0zRqz}&eFX6)_VCpT+`h{tAS-fV0NblCo{edO;ps~e~m=Q4L^ zecNcMEA-i)2foo=MOml07CnSl4MB$}s(3$>R14dacxMi8?n!RHk=dGjz3g<|F(yMC zMsWO88uwJq=A6XEsYy!i2(z1mi8&hhsPGl$xK87**vokR6^M2(YkY=T_2*o(7EzfH zA$?g-eH8lS$r5Au#KBA#E&fD~??v)4ARxGT^;qadt7jX3k%i#qGR8nZiToQU_xi1K zI}(cM8`ty?Vcv5&q&MIO?c4+E4$BXMgPI#o*1qcfb^5vg$9CLtaU00$ao){Q+}w7w z5YMzZ9}VtGt|R4WZAMuodBzgPttF9lWgB}A)+-AZvJVEZ+Je=v6_+pe(pmF)?^HCgwDGuOR!ykRk`Dt{4zMA2cB;)KOTn=50C4t ztvGy|P$9xB@hDC08*{1MXCIjGs?qH(w%45>AuJToKyNGirlze7eKWbA#-)A=wt2V< zrH66v?Kk)l{=B?fK{%{y!Bx_3X4oT-2MCy~(`I~K8cr_c{`sB+Lo}8ZsOJd>KS?0$ z?{=L{_IwZe1iTSnx5)H`f0rAL)K4}Hre-tvmjYpWlRM9iB3VYyxQbAu6?b!MXxuX* z16`X!4rADiji;$(7cu;cFIP}BT|o>Ua&1q-Knr`w{ol-IU&W`gx ztjB+4YR9LghnSILmRN#9Y%gNrW(Jgs{fSUrqMNgM>1ji-K0W@OrJk1l+fgD zSkYIKPjjt0MP-in2=*@gqBe~!LIxQPe;yxBaN`W=KC%i0@9%cWkZ!T7xEP?aE50tL#rc<yaT)7ZSmN?*8!h zbKh9Q!wEroYKrFzrdRa#>yO`)Egd~LZf*A_T|iy1b&QwaptA@ znsd#w(i&Lr0g7_haqgu~`A6FAlVd(TL3WQDjU52&g{~7e3Lz#E^UIoPUZ6QU zez;=vCS*$PjK^3q-=?RGRjJbo1Z^=Axb_NOvJV`+_m5TyvIdk+vO>cN2)$?Av!9BgkN}diY1$B^ ze-*OsadXiLdP6ZpZf`>qI;H)p|73{qo_c)-PoR}LN-R|dd9V3@X~+t<%ghRY{0|+w zu)Ax&bq3V~q9eG|W)o3@;yp*GVkJDm@&7V^zYxBqZM6h{3R=*v|@DN+A}?+<;iaK^r@?vP_treW??od zfRl|@I=2&-D#3XW!hfHWlwJkJ`ESZJ;5?V^_ku;pH#{eURwD>LRx+f{53#M{^S!h5 z+_Y|T=l%*a4^=NGN#8Hs#^G-(4^1_ZN!=(_v7kbC5F|5L7Pn&_LuH$64?7C~9D249zhrolRnf1HBOI~rkKStWkBUl@cbaqWV3^-1t!TxLUJPcEcZ0X$StL4QKl0+`ob| zFf~>zxRLcJG2vuV{cLct&t($d+j!=7yqO)xp{nsI_Sw5o5t4BzMjnKH{=P|u0@I^D zCqB}VtY69d{LKZ-3jFjz44)Wao!r1PSjs6qeF*UeutC`gA808mqP$8%`bf<&58i>> z4+BaHAi%GS*hoWA{KP0n!M}UeB^>%bhqcE}i5^Uvk1FCpGq~S9qwv`R)Mgd$o+mnE zO9?CbbPBZmIQ+FGQ*c9&gD0-QyM9+=2g~ww8*od;LEF9Y4;MQ9gI?g+S!dbJ>dtZq z3$^HJ1tX5d8pmz1R0ZtaKCR`g5^&Jw{10=Rw%_SaST|uZf9G88b+;))P%n>fCX zSX&X5J=IRQCX2YFJ{hShtw#YD5>I;74eZv1Ea4El7+C54EEJ`8m{ zhLf{R_Up_olJ8eAs|#^64iURV7{3zbcS0}EP3uCrM@`kL^kmj8d5TWXEj)9l<|_K- z<_jVBiBxPi*M9NJwXU+}J3@=)VXR9xZU%tAB826=%^5K|##)X>GDQg99HFG)if@*Hk60z=z)rChJxY!?;!l51L-q6|TjyNq%k#R9q(pDG^{uz-ozzE^ z-`UYRImO?_cpp>Aj4Pm#4P#Z-&p7Ps`y1DV!$1Vn6$n-#5m%5J%m}x}p)w!zS6bg0 zLsvo3Z|eys*Wo9<13vT7Wwg;-My{O&zB87FnQU6CHk;O=%??Pqv1UtBgpp4&^*As5 z3sYe8z|x&AR)1>iv=VFcPS-ml`ZYjnKx^`5BW3sf4i5xi|1TM_;hYJxV! zPHbKv=5CNPw72L zX`#bT2Wrrd2y#jfvlofU)8Z5Q9nE$o@N-tfvIZImP#gdAW{f6*Sz})Naq31>7~bZa zC%VQqNR9iJ6Ov<2P?;h#@gHGv`$y?LOG9J0$aqjsN8j5FQ8}qQp-QPqP`(dyFC&+t z86VgHDB`H;619-tnKV1cJqS5@*j|i4%cgigWbML%v0hh3%`;R*3w8TPa4l57enDYb zpV(wyf3a@A|E`U_H>tDfI|WM}F9 zEHnL9TgY|DN4>Ub(YnRpZ1>qe+WO9uTh?cfUVUJ8yU8oNwi!>!|%r6-NYpJ^O$c!OU`W~+}Wa}F5?I0>s)Ltv`{9TuC z{ypDkk)4+zjerU&UgBAkS`D%*`l@Zb*GvJ53f<7eo4dM|57qP>^g)ns=8H6V7BdEs z2qN7{=(TMoJzeJEKF5EcaWuS2W!A;_QJoHV(j_Ir-D(u6#vs@h#YCjLYJ)T-3ttI+ zGYQeq-+cygse^w$dIrMLn?|ohW^p?8>08vJcpYB(SMK~%jO$H%zBPQ{S-w=gs|A9; z=wUQ`19;%5%7^NcdKKQ47n4s`UD9}>62nwHyb}i9Imcp|}#h(&lrKPCqjO+O(&}Hh< zdUsus|N8Gky-FhWvMxvm6`Cv(s7I-`1Od&r_aw-V5S*SvL1%P|J%QXk%Mw8aMQg!K zg0OI^E^OOXcZ^xpe89cGsjEy;gn|ay1?gIAJ3NH8l9Yy56}%meHo6tdO8J(lrf;Wj zUg@VvM*>%QU9e=MYPsgz2IN}_FTbDK!by5n9IUJ$6ztS+L9pVs$3>~+1yG&qERVR$ zsGfSb%dguE_yJWEE`Kw%xu87j$w_4OL*k!{HG*5MtBZg1?S8ap3k16BWtUzu6Zo=6 z0@6*81aF_Tl2u@kO(^9A_v9XzI0IFn2T7{cOM0J5vJ`Sipl-01nd)b>?Hieh({S|p zR3BIpxo~#>!6n8Xmu=kuJE5L?+LG$el2^hd`f^W0mhL7U?Z5CnB0#*e1-qo!&5U%r z=Xl)qMN}OpY|q)Yt9!oq{aBY!r1oaTYGokwp=jzw*DuwTw8FF z(?--Mht9nFF%8-xu)drWd?jt_*sK-6y5xi@5kz=bG$ks#L64l)g`GRs)Zo0hy_%gFqx z9wziCXAJWtw_b%CAs%LO3(a2o8*N!djHG%Sb$I@viZzKp@XgqL!%C)-tMe-!=Vqj+ zXoL!e4#^^%tm3nn1taaZX?e{)?t>=S^UlZko*9>(ZFApYDPvvM{%tLdp7Rn=Bu`#~AVIlSuNwp4u%?ACJEir*iT6p!!kWR6U&oAA>fL+|Bpn|Ibo z%P6pD8Sojn5K4%!<9`wdFS!R}z^+ZP?Shewh zFxZebB)dzi;Oy=l>b(StsS$d6)syqWDBX{(N;_GmK24{98-5+fz+ylsb7VYL6S zgJAXT2Nh*RH&bS%LLq+9M=VMyR?}|F-2%dC-N=7n*N-nT?bq}93}r~+^qPn(Pgd(uShZRu zcwN}c*t-(CBl2d(9a$VYqc7_V=k~A1C=^|R19SMwwTZPEEC5X4pnp5Ha!ESQu`K;p zW>X#)vE$~S)VIXK>rO&Me;I}SF<8_cVK$rFeKUp4`L2U6k)L=|-ycCnS}VdMtj>Up z#6$O5E}smF2`fzQ0jm-gDtfDS_ezawXHt?aRjv9I2;0_WJz(SYnP51l!4!VBWIGU& z5Xg`mX5(XW5VdR7+eZwH&KVp@iu?WH0yS(u+; ze)NoR8?IVQzdz^^B6jOE2sM?WHDwh|hfR*7rQ6U!h@tU(Ci+9{npC~%hj7R(dpogi z`a=|Kn#kO%BD7k@#=w1G6>9l8BYW!x@_+NG6piI}?w7SS{gsfwbiT|lU<$5qD3H;6Y66sh(C06H!)5$aN;{b`b z;Os^4GIDEhB9U8Smh#Oi@Fz^!!zRVg%=TfD+RBx2!x`?-;F>Y*+S{rgaZh^9u_C}G zERprXOfw1BHAFuG>)S|)d-g31Mhz|yrr<0-`^!-P199vYf5}Y9+5)#+ThISJ3c0o% z01+R$HbPL)^UrT1)pdz|xoqHad{_dMH3!#))C!#UP+PBZYk1|x#&EBCTSbre0I{hY ztwymY*L2`r2oH3Bd>v(qIYI4`N;$Z;t~)^aN0MZa7Y_In^^!uOL&DwRRT7Uk%9~at zWPQl|9*K-^qQyp3t)rWd_iqM6>I z{@f${1$iY&77t`Y>4<-1P|dP2CMjtg1{ORj6f3w6Axc*@Y@fNLw;KLMxh|n6D{dlVeS`~7n@H7T ztfypKk2~JlQV#~j(#uQ7pg)h*H1#U{@~*8eQ0VT8ZVoy3dZ;5+#2WqU4N5JFh|%J zYv=n!0fGtb>(4i*iW`x#QZHbc72au+^YYgA>-5xFd?RK?G0Q@kBL#0BopLfBJCj?c zxj!wbElZzHO+jWN*LW=UaZB-JWf0ET`04KAA~@B()JXo01z+E5xlKv@3(_tQsyf(7k(G)-q;OYnp%l&X=?$fe#i#c&wglGkNvHRk zq0a3674?DGQt9QcbD-BZlWyt^v(jj;$A0zv+Lej-zW=b1cVuBhdYm5YX8VxH-WW~M zKDb|u0Gh`7Nj)E8sDyEA%ru?mlei`u`@8REIa0M&tlCF(yvAN+R!7&*@0IrVMfRw8 z-23MkPS?F3w9JM4qzrjS&Cvg-m8-#4rkIU@a`|og52n{$1kF4}g8$%M*ilcef~W zTV(_}jSck9W`g;xg(n9koow_@q4nLGAt4oDTFdn_I|l5}(pw$?t90S*_}f-vZLx{JH~4w z>78*vVPPiL9_{u3h|~Ev`4NrZlsVuOq_Kb5JhK`$5jJry?hb%!-DVgZo^Xh!+rypJ z1mgDBIz7RBMECEPfi&+am0yu!;aN=9m>ju(*cE}xNaHVSG>P=D@1 zE9U)bO3S`dyM!$wAMxn(q4U5S((q@0n75;OMVu-1az-GW!#+p1YnHG-KB*Pks09BQ zvMTMQ=X!;+eB(Z0`cmT3F6L_5Cv<2D?<~v*`_m_dn^ThQrGI<&@eN`?&Tr(faK5YK zjqmo$!Mr1^`C$>A_3-D?L$1$x*j))R8sfaEwR>K`8LbN9_AFDI*G+Q(_8YB6g%Zvs z6x!5+H349!)bNo)#5o(MA*+2JO_$CR=p6OU%4@EvbBk>{S?~h1zW_SK?1uk9$*#f@ z2DQpaf0Z_q@f(n_;5E)z6FdoSM|kGr=m8p_cCt34{pM~&1H^(rSu5~A@F)d(V}lH) z@FTOz`N8tp2|vPxS*O^iNxiT!>6nkqk%TZ8H^mfusO0IrCBC0l?l#?u;8dW?iZU^( z0p&lpz6=>_zpWDAzg`TS&9>D!Rn}NogQ8NCym(Dsq&b!iUr~J>3(pq>G+_;xPaJ#1 z`8sK*Jr)FV3x>@IeykQa7JH{R`LH5=^xfKnk!n?TuJ>S}3C zO3UhXa!r&Qd*`di5s-$0Z=!^iBTSIL?NNzW8T?@`(0@4%l;^)(Kh>K~d8OqBzX7tp zUzn+dxYpeM)XrPi_ru$`^v=Q5GH*XkH(>upEUXD|jgV*;A8ltC{5-8h1N=1H^I&`2 zw0J{pvZS9O<^0^@9`^Yaig^{NI${{q#f*9>jC14|*4zQ^XjC+&CLCL5S}v_f*k9Yd z#@U2=aW)S`e9eVLCu3UYV=v4a%*2ZaSvR#Ao{)0v>1!73ILn5`;cks~HD0sodY_U< zebj-@`3d?A5cr-PIeWw}g5zSA$qXt+k(gIJiQK}uwzFEzQS)_S2fsEnNH@3St%cf8 zGui3i($R~0ZN;Kaw?{CAcSp2-Sk(}MgQpCfO3I1|UCfTP1&4Q1rI4te8r-fvpnQcJJibwu7^R?R>x5ofuBrVk;X zjmM7kRhDbPB5<#)tizYpEj$KrN>vlaQzQK`2K*%$Zodcc(yYGgqxY#|c)USfnaj@& zVs(m%j+F9d%ZzR-@t~b0LCw~D+EDo##wAk>eBkDdk6ET!K{!*2dxJxZs7An_lG6v_Xcc%QF3Q*}?~w_L)QTu|Glv;x#*G-AP#>DZOHc@??MG~@043l_d1$p@W!)thp`a3*U$*bS?qeV~sgj)b%2`S4VxMJ= zs=D>>ZUU`K*`-E}?GIDU%17;^OUqYFjTiH~#ut2NWSUMt2%@GP_g1&PDNJ1IJw?l{ zEGpX`A5zjk<49+d7Dr^z3x&JujWEL%i zY&$U5>`*<=eZ!}B0~d49e_c6OAkH~jQV z1FQhJEx@&jt(-qpbqC~)ua{P5&40cSmH$sG>hTj>dcNQK#~}=mBu!TwXHx?E#kRPJXQ3?zvA+Gc&7cvo@Q;9kms z?RG;A&lY_pM)Yun$HUCP{$lZreLS$&ZrIz(RKAVg`Q{=)4dE$H;s;+&Ftr{CW52(V z0p_cnX$;ZHr1fo(^j)-+oUDpS0XY&@x_X9r9<#3!;#`nWV9r$G58-ViT8D9O8}wy@ z)-%4F0S*&&QB7Tf2*W)b7Zm2m?dUK^q=@K|)M-s8?kX z4G5bj&q9sqte7etp52QoTZ)&janjb4lc^fkTOZksN`m&@of4M-nak~822#Z1X%v+>`^_(b*Jit$-?R@Gy5zS)Kwnc1nfvPI;~R>>sef1Jc}WPuG= zA2d^k85O5ZoA}2x%@r^uKrj4xTPUWNccg3~0R7rP?EcvCq!oU0_1n1%n^DP^pZm)S zkMUR3W$aN##*b@#Qwh9y!BM?{VBGgPs81ICBwUGkZ{y^lw&(gjgggo8zk8&SUL!{) z5xWDu{MLd0Q1lNo|L|#VmeXMJapq3b1rO*v+}ZvnqV*J5h+h`D9k}>l4$=S+$KY1B z5ROcFI=QnSW4_s7unN}tm81V!++1e-qP;(8*VG;UMIP_lFeLHt$>j{6#suwSIq;x! z61tf#Wn<6H<%Xf&hk-O~pIAX4-~U5uug+V!6%Y}cHDFJu3c}sZ+QjI6JYlgx^8Xab z4@4InD8K+$g7PszzmettEVfb_#S&pk|HuuJH0oMx16HKA2X_n;x&WCW2vh8{nd4XO zNz)o*n=4M2^;nM*4mrz-6YJLhT8hP|C8uUK2o{eINB;MDO+S+KAynY3@!i@JdY<#Q z|C{)cm1&IqaVj!{sc;dQSEjqFh~x3oUFtKXfY4Ubjcmc8%tJzkucK&(mL z`(EGXN5zv2RY%N?z>in}?7HDjI3m8e`Xr(vp9nmXki1?Xi@1Fz=x{?@8QM)L#B7(n z1!2?f*JGT(*n<1m-v&C~P70#_tryrg$pp5Zpa<%0tilRqSS-Uq!nKEH4@gHRo~>8a z6UzGCWkvBvaqjND17=9W4_M>g+x?ULt5s)+6M|3azsCb!EM>W!+$+k~P3hhv{45vW zIaXF31KBxnwSlHLKxFP|SEpKVnE6psB4eqEgwraHAif?l;)}3of&6RfGJ1_6ZOI^< zI`_b`1`@7M1X(_@9EIrg?s)wBoT7Qd&CKB&mAD(N)H3aU7?*IR!&2Ybcm|(gkQbJ< z>YwQw{MO4~iXVHOd1hxf$<}?^@Q$y>)$}RpdH4^(^Z6qu`3VV&y_+|rmqKr+;Igb3 zwG^58jjzI_e#CcB2C{s4K@G&b@}=ry1VPn_AH_H((p&Md-6j%qza41Xriwis?k_jR zD~`dhfZUKTG`J52U&z+S^a;<7r`uI#+l_lDkfq+;FL-#>-*y^BxC9E7mnwdV`B8>F z-w)Y`v}hOt2w&&5j|9HL8L$VEz!N*EQP0fOr~Hl~wG0Ci@W&ev7TnWFTG7lpQy=*k z%PSJdXy0D`zqyjnIw)||v?4y{$NC92Qk{^#_Q0XYJk3X;s!C;u zo!z`0%>r`E{m$=fb9>N$-$&Dak?`YnZTp-;+uG$5Z2r05M=yl`G=2UbEnL0gjLQLo zs{;|w+w~=na#lVcAOY))$GkWO;NE96jtAfdZZ194k` z>;}%QG5&gnZZA(xy#Ok<2ybjBXvi%%#CgObEM&9oH+(|=EE=POjl@pao}m;k;Xk|8 zRfMgh+-m&<&wZ#_8QDve=;EOSI6cX$)X2}{dH0k=G1@1+efIO>#=l1mv5{#TzVn;Z zWZ035K^sbZC66Bi&y05?3Ssj7lr84FBLTDQj<#Md`N>Xhb@#4FWIiIF(lpF2K+{8} znI8{4OXwu#o)FhS-V(=w@YmdGI3BNj7HnSPosnyqCNsY|1^k`0-GGD?die#4Y8ESE zB4r1{`OYawzX2+IHa+l;#2a>^{abvj2uGHeIu_TXZ@IjG zjnv#R>64qJEb!nOy&50yWF{Ot<@4xLouq2dzJf={%~JJmP4bUYn${Y&OO|u0k2O`q z=0J3B@u9|MPqih5kc|E~@noc7l$)r!6K*$BAxjf6rY+7DeN=JtIq@8dW5lr`fqFp} zp{5tA=HWix7WO~jU-|}P`OCAsLJV1!>XR+B@}!zk%_p0*SOdFrK+D~$1d_>Ds2jqI zexbjhw#KwJor0=xyAE*uZ?EuToRJ|IS^**6+c`YSSKe`&mrX!#GS6Yma|V^-qUc_| zJwUst>|Gtd9AO2{r*y-^JYk6aBvS-H-5!;pWLTH{k77<)p%hMxZZPm8@Y44TEhT<( z{Z$&1mq@o-DE%Y|S2_3~?;*m3UZCDaWG_A&wZ^Vrr_6`HLN(h~9O2gbgS?>N=SZva$>p4P-A z4UB`YxIzw*qV~lc=5CP^3=8Tf_yrz zM?iUyvjIg$z<*~sD<1fUh-CTvq7HxYhzp~8+Z#87Br`Tt3tpQI;uKujlKf#qk?Gh> z_1tOYoNbsno`uv0^-cvBE{J&yv+jnU&f!)i_E#uFitlTC^-=g_LW^x3#%J0Sb{9xZ3FW8 z&%1UZF$Ls$atzxIGcLaDj~bx7uVBQs7Tz?Q3O*dgko0^@^1{fMj^|!K6K+6a>+j*X z9sJ-qC*h>;EFQ1H31m#=cEDQ@esz#gU_}(oNoHt^e~LxH)^{g;k)t&}1|Ql}OcaV@ z0Ny3#Px#fa>_QtxAqm6_j--W8Z%9&CE-N!q+zY_=(&}bhL&w#kit~)sQv>_X;F5hfzY=Hi!`IU1&t86IpKZ$>Q$_;h!H&F z%3m|GdWN*H1z=U}jJ z%eQ^K0*bTy%n&I#g)(eY>-E=+Tc<=sS1*(b|13clxnsZ?aqW+M01~#Nd*tjRzL@~T zG$;Po75!XYrK13Yhu(ze3}aDN`;wnC%bDF{)W#5rufRT8@JQwU4GoXqj2+iwYsl0 zfLu~O!h=x|N(>+i@z0Di1%Ila;&kncHS7~4-2k0;pAi>1frrqM4%wrkMAlt}aouUxiVkdg?OZACI19m^xkhUnO~dKF`lcyWwiRtRz(n zO7?GGk9*Jyw=Vn_$Fn}Ktv8=d?nAq95vsPD1pJVau8v8JxP_1#;?dImFMM zLBtrj(xQ>ANnr@3u%L~ldmgx#`HXQDUCp;uIJ1t&|^fleKR zaPeS00j)vgr3;{uHvqc&9rSlq9N)TAxi|^7n%N|UypiB8PjJ1){}*D4c|HW{ zzqbNdqY0bCYoN#wF3Jwb^Yh=c_hcwS@0XiZG`e@T($O*=KKZ&4)X)V z7G9hrjLj{9*$C!@f6WyU>B7-|gbfJ{H@d~EyMnpe|C)>B*%Ao~E&+`)`q##e$4@DT zS4!QZuo7UOAHd)V!m{K1Ygy?0FX~??Ltpm`8w7g(eVhfYRG)2U7^8aOkgG~G z_Ef(x5Q1z$UgLjK5P%y6gcM;^KjIJx%v;k8Iv^C=J}8{21_$!KMAa`>c{ep?kB6R1`GYPfvMDCyT92t18Vs> zbijfeFgN;eoKlIpYb(F*aRs69z7R9YB}q#zuhfvM>L+`M{)GW=R%v{!^Y|Ua8&Ne$KK{7& z@8VbvPXp6)K#;kK>|(y^!!6%mqik_pt_|+PJOFGOEE`1X4$E=-&DidO%$-6QKxf*s z=K>IsUJaP1fhUI-q?E%i&~-xjt;^`FuDkd7(IFh>$)mxmLTJcC#uTBRhNm5O2Jq7gM?Ev4H_E=yQe+{iZ} zSANc3*q`PxWrz#=`#&UIRX`kFvkbC0!QFy81oy=uI0X0L79hAR5(w_@?gV#-;1XbQ zg1fuJ!oubI@6*1__MAEAOm$WFOpWhCHwy(6=_$wgiO^rg`N{eUt_AG3qu4F@Ja=Yu zy7}b$WUjLFsih~R$idW0e~aY|L|nNs+29*CSAn(@#XhO+m&>)b~M`O98;(WFL$1!FgOEjX3 z$)dD4_G3M$iMyz;QtW<`fANi_1%0P7`Aq|o(fxQhEZW08Gf=rq5-m~~tj*Op zJ!3{Zm{&BG8}Qx%L%yYE{+9I4M@`xE!MQ}RR^m^L)q|@QX=WRA>-vg0K#@_&QoT+q zE{I^E16i%pgy23>Y=gsv?9|584!kb@C4bJ$aK0dx??VGKOO#qQ>G z`TGJ6i`C|txr<_ae-Rq*KP&aBywM@@t@PN7W$|f%zvHoTLHIg!Khc0(M1NKZ+Ktij zE>>vn{-VL~IW&2iI=M#1A|_ z^Qv zZet=X%>F;BWKYUF$)At4CeQ6y*?9z`wVI!{8Y^>4$^2lb(d_aHUgLA=x`b+)tpG|T zLl*-(pTWjQ(AyCo_wcuxEdOk%S$1gh*Jp~oTmQ82_i9QUYGd6=OCWgm16y{~Y%IuU zL|Ey$=uU6ly+W?pjpDj)GTMvyYHKlvWEFhzaBuPO*p&a<*YprvUwe1)`|+!iyL!Ef zK@-@&Sdg>$ch{dKZo~PfJ(zj5x5x4!3iiSVZ?4OI;9J*$z7L$j*~ZZsbUqfnUQ81T zF0Fe}y*F-rD|Pr#I5&ZFzQR{SUh5U8=E(gqUM%TgZ0HhE!)DlfqLg( z6TXnZs~kRf3Ei(p&P$XAGHR6BGE}G8l`Hn=A*DW*-lobURpr<$YlY1St%BEXg_Q3= z1?^bjJ}sRibdWR{Cs*H6ZH@LHbu9*To&`^Mx$sV9$HO37hrbyG?=irzb!jBn|$VcOqrssCrw^oK{1ixU? zPXn(#*P2HET!68ZD)tAQdOk!l9J&(KMfD>`>}VS-xYRU8v#H1%#R(ZHW2uHDb}ck9H`u_<^Hq{^q5e6hAJI0moUgA;aq`UZ2+nxf>E zPWl9V&r1CK_uZo|)7D4%_Aezl1JT!tyrW3g%F(YGC$6YjtV=SnLWpv)I+>sM z&riHRiQbTIgTglYBf2WKpU=b-)Xl^kRKB(RF_YQ_Zm2@_ zeCG{{6wXrRa(ao*+`qAo=|oN7|C@w38ItSlBQ&@ek`pdjPPRioP3U@j=GuKakE3B5 zh1>0f6K(PdZ8Ec`%CyXRu1ANa^EI+Qix)L?wKO-08@{br#N{Y?t?%Td@l#E6ZF|=~ zQ+R2!D}is=+&_Y1TIWs;@%aAT1k*VDzFxW%uP^mffMb@08k>TD(ID1^Ymnl3EiHwM zR4jCt(%dWV@NI8*zaMn-cQK|P2juNH*~~w5swDb5;pX8NP3fH_s3o`g-5cR7AzODR zj_b85^s-L$s)EszZ-YqRRM==Idf}y%$uD;L7iCfaIbsVp@6+23HYjw%jFo4~S!&%x z7$_J|J+$Kzd$MxTfu7KX8|p>r$brv=4}0kw_?>HGr+iFb;;$CP+BHb?McJEX4q^SG z{go0O#fSe)d%Cl}mabZl0x`dEz^YB#DF1f=|1o zg?k{;lFjzSt_vaMpNxaX;Xz)Z90~>3lO=ndAjEVbb9Yt1A0Z-byPyZO`|q_Oa1*!HRD^?x4%!7@%jWp zKxPWhl_5a^+z5XHSLugEL?wR_`a?VAE%qlP($>uI>W^~ao>6vLT>JKxxI-a*zF@}H zMD@oOniVlswXtER+kpgWJI)To9Y;u1Qc~&x^<=6osH@XckIe%qFU_G=68pftQB#Uq z;o{AjJ1)Fs&kdj96HX7ZbA2mvfQnhJ5$D7w2F|V`4BmFi*h-JN`!A!75&={xeqy-( zIzLTC&N(0f`g)~I*^tYSjF+M(_V4YX`e3HGT;nwRf<5EByo6xmGu+YkAW-XQ97oSL zTFj0~>;4gq#?JeH&aBZ0Z*R|)99r1l>VDDouC+bn%jWp0K5Z>3wF%x-NJtCR;@LZ< zzTzC3fjJux8=4Cayv03*Hd}?4dkvMQKx2@ zj@*NW!0mts{hM$ZI6pxnbt^brbb96@!CpA&hH_?KQ*>>pa*6+wDAlZRpwX$8G4I7} zcA%fJDp>+H=aH@J0xXUV-9i%c@Q`A8n<7TdJe_W#xy@=QVkdY;imUhBK0}wxC+}dC_sw=61~OoB zeYUI=>Bj32-)%m(xF?xo+njR~zMMl_#@5D-RPRtxE2q87s_DFTaKL9g1xjt@VL%|CBlldfxD8_Df(jWMJE>Y~)5G+R2K-v?Sa& zKN!Ix`d7BZE~w*Y9x8ACO!pO{_?BhLk@Ywmki>SsoXNMHSi8cnaNkRI2O*n)=jjhm zop6D>7v;XEx80CsP}H%PtkyJh4kzUySEEx+{ovSt>tXC_RD{k*BP3Smqn~3zI^$Uq zXCssE)-02_Fg0}c+ql7NeY&-Sz`oK}Pco6SKGe-cEig^pCO`hn(jAj7UL=|2N^16` zk^X=seRULe{D<3!CvX=%mLii`kq*T?b%8;VJY8doPdat{kKxj6q^TO6T)KkME}NnA z`QSKw(l~dvOLI#!S@c$_4o_5k=xxMq;M2r4CZZ!q$6l#aeI(wUeYc^y%uP`wcx!PbBs~jd2dby8YnsHbn9g$ovd1r%bT1%a&`?w}& zCCxb2t5fS)m5R+2l!t0vtrT;SLQ96UE5@x9;aoyzLr{1%eq*ci!J+1Y2|t>J&n&GJ z?3IS|?zT==Cj5LH=%J<0zoLJ< zImxv;#vmMj_zLH=A5D>n66s^j^@ZiO`R~Io%NS3? z^uobkj=iBCoM>2pieQWidxoG;EBxHU(qRR4(8aV<-!^bAIfDoV#s8_k6jVpY^J%OX zeXV3kB>j=4pbjsV=%GuBa;O7v&HLjmI?rR@pwTX2r~5slG9Dg%47M$-%LaC!c+0>< zD0yJv5=r>A&We5{C=r>EU57m>1;`PhzR|2|qN*|+Hw~>N%KPQi@S&XgozkGJpa^Q? zM7#*0K&+g${Z#s;{R~~tfIXiWuN|2W0RV>8W-Q!MiC58x=O6uJX|d#GkiyIZvL^u% z%nfS{Y5qe*yqq-xarVQ~NWrxu9Q_42FXIbL8|R?QXjq6nEy@Z~gdld zLAr+(zH7$Z+2ODyJWm@h@35sV?~5fQ`h08AUzxKK4I1_{@qgicUs!teAmV$AUGMeb z7AqK(;Y2{TR7Td^96Xd4Gq?&cufUE2{fB4~x>X$Lclyi7ku#he0QI9hM1LRi0^a)+ z^dt^IJwlT6DX^TCZ#t<(=_3tTbeMtp&Klx4FCKB$WQITcu0Dv*USOu520>@u!Od?n%p>}nJKs}K!GO@3Oc;tr z)u)^L0yhVki18k5S1LJ=blWIc;8QrmciKZeB?itKro*nGwGm#z^d^gWUt<`QHHtR0 z{89I9m$X{^5zr8U2+8l9u4;Y2^SiswR75FPcIU@0-ObDE8bA92jGwYqIj_yT)Wojw zqynIOcbTd*#zs@JsGL-7MtuLEI`83%4G|K3W?r;i9eFFGT6=R_xWPi#F4g4gtUAmN zdDp7qmfX6^oT92f`(-pH_KHw8SzAEcqr&ST(BX+ zthp-~V=y$U>>bpd*+DUj|6O+1*I1;|Vq^^&0&|(f{DMK3R>(0R#sztqG5x}RVKXsV zO1wv?>cAI{LP-nP)$5%<0l2+XPulQMR1bll7*-_`1qKfSq;NXPdsctZWx15nq}WO? z3I|TEB*B!756VO=Yy+%I^EwH7Bst$y&Gsw`%*`xP88&e!9~0@UIaBl0A&)D>%m=u) zZi!f~c+PkiCw_$=qt-eXpwp{&g`8}L@;+j-f4u+((pbz}lB0)k zTfM7tf{@Ed<)w=Z)!SLS$9DQ;y1_bZW3!ggReIHzB1SXq^E;LQZo;;8ja894hNdBT zKTst<6BSqCwo{k+y7p8J?G68YIpn|-wBKRF{;~uHA5WrMJ!T*gdEme3U-a zS{2)y3>>$v|80KHM|&6rJ6sG;M$K9>U5dw76W$jx6I5D>9zpj)PS=A~U1N0FYb_2X zs31dGQwubmxP)094gWn;S`m;cGJNvBC{|TVnp5yh>~}Lo3XM7MdAc<(&sZ+<0*`x( z&yp{W?g5v3D>aqiuy|Ay-mvyV@VQH%Y0DwOWjb&gz6Lxcd|~}#Qav0M*-~wy9l(@} zZ$3n?9=0I#KL1v@w+c2KEx>kBX*1fkV;bo*$jt6E9T51GdT5$Ycw{XEQqQPH$jK`7 zT941YS$`@9=nIujEWc_@8}U3uec1;boohhB18STW2o|A_mQ`mxapq!#6ZSL*9=oYn zIcIZG=WPo1UX#cy%)(wARYlJR_9+VofmuQ)Zu{<+PEur3r}$Tt`ugxTMH(wQHSCFY zLA$Zx?61XVb^@X_{u)!pxC~TJcTFAGmGnVVo?N9L9z?_YVvAKuPhx)Do3w~3- z`ugY#=O%s7A36XQla)139h%=MvP9q)vc;-4Pf%?wwxhjFyw-%XE@bjsoJ!BSx)}P% zBF4*&;uJeICt8iE4%q>B9(PC>C=X$Q@A?Uv$U3klV-;PtJt^-d?bPycJmqe4He5{~ zQ4=2fgmjJ(M)mB%nxOOAsK*+gB#^vi_Eah*j`7o*X30V0ajh1Zy3x;xz-V-#FB1E) z8f}$cZ~TwPC}l{6haEEUv)jW#=hA4qk-~3$tv3o8f;6-*E*U>``0kD06A@x6Ff{oakthDH~oScqOd)Xvt16(7EeVgjW_+;YCmeP z(9bWNOG`hjz>Aa;Jdk-iVXUd(pTia8l`uV$ZPewGa0sNgO8U>jK12q&)!ulCIAT1Y z0tQpxary5B-FaPwtwwJPy4I<`+1z{{p`m|(fEA{8F&zev1kq*9UJP~ z#u2a)wXxNsLa#7idt~{N)SpZb#+8@bBHGz)r`;fnaP2MpJ}_90^x2-%~SFt$Gi z8sM`1$XxadteoPPpR#iaPm*(a?qO?}Me#0^j25&@l>~@XexUuj+wCKRwZq04KRA+~ zAl%@qQwwAtiIt_tVbeYJnn#;(O5P#{p=gH|4RoQs)PHu|XyhSt7x>b8fC_n_!>#&= zdrN%fuj)+5rbqVa@CxuWZA&4p!XP$n>nJXYt+coNu5smPj~vky4h*9cHF~uF$0$JC zhU@m0dXjr~YFIGr)*|`V9K_=Vy689X6PoZANyschAq%^LFV?Qp-WXds^bCI740;!A zhZF-8qQtmW9yqrwiF2cccQpW!jt|l&+3?avzF-|>rB8AgoGjTMd(+n||1l2bg@wox z?5g}ed0F_bIHB$tD2+Lr_s*(-@45bxcx!;g@KlEIji0(`WsILcn$s= z0jl0Ri3_GHPn12W0(6zVpTi`O%F!BHOhe|NL!^hJB+CbOD;7V9!ly@FST_7QR@v3) zXV^IM*^IVftKcrBZ=RAdpGV}af{snkk!{rl0IftGT+BpGcpC7+Tu6`_ZBvNUm}Y4N1(EE)r-1zoI{w~TQ z71h#_-Go(|xoca3wIC0?F`rVG4k|m>A~^{TMdf?n>yRn4QvB-aK`uL_)F^H*oCshA zs<)N6^R2GtR0oRct**2xO~XiLV?M>V)9&&2G>@@S7^!-9Gy*1Kts$ty^HSB-(g@lE zK*_OK&*kaJ(V}_|VchZGBt;?n<9$5shY!?S37`^32_b-?+*Ig$g57Wq1RDG-*uVft zbIv-tg8LIjWi#KYY}g4ll;7$VO5eLbmu92usumq4YG+GX|I~fOS};huRZ8ZqXG~Fr zuh~$?@N=3epQ0-cuYOv(2Oyow!exaM;fIO@@iCE->3M?p&3|V)7pM@krkExm=i2&Q zDRXR`P{w~mT$}hlx$~t@rqD?@c73g^Vnzs=DbOfyLWE)EAutBhwZ>ot_hDdF3ucS( z=BNIE^hNo2<;NoH6hd*yN) z3|=A@qEO{AB)~rR4kSJ9L~9SrtNHQ@MwtQtno_Prcj@UuP!_+3y=>sHnW6D=FkFLK z4yyzI>1lvd{K*FuRh*AOEI#V0oTWf9OLrxQ{d-N!fwx{o zx+8p7*CDivp4FX4!IbXISolhMGlSw8BEsK@F; z*mL}kMV#A*f^SpGJkP3A7Bn_aJU0P(T)4YdA3@#&~61ICs=1^B1GjlA)bTmn~^#5tS7yZ>=P>63$Z06)_;kJ_E>koi61rA@9htIM4I--R$* z;Z)*nsXnQ!OPpN?E~q0rx{bahne!SnzIOaTr+*DyxJBra`sXwaA$niY!yTn}C8UBn zX6QXQkLDDBw$;Np=(|v|Yluz-UoI_{8}pL2wDS_!q{Zcrw~!V{Cv`T^r?2GP$sn*l zVwX;~LH$3F#oX%bsBg;fl4`yEmh;NZkRi-+7(th)ZgT%fAg;|Mb~OhLq|!a)i#LaS z?fR_6#r``7nom3b{+E0_6@ZWz*_2h(zMb&dXqQyg{5V0M{ni!hEu}jVpMC{V&Q6hI z_i|7H*f6kKD~{CnmErIhzyMjLWJpK{dTZ%$vfAnp#fM%H{BI#wEdsjq;t`X}K}QdD z)=;ULF3O_;d4T^k+;!r9&r~b}RIF7db)-YO^;Sa0^jE>gMBr<|yMi)gKlFZ&dKHD9 zAPt6yaXwimzRUUi4aGSR;5+o0k*C-mAttAzK&Bhk@vAgx*SH~_mAuUvUJ|Xp73B|Q zPdQ^9j)rdpZd<^as<+Qwd6~G};yuiOwZ?ZiWrmmLyxBO+X`z{o{ZE#uK&sz2MIv|K z0b8Uo%6*fJzkbzPmDfaP*DVR7Ud0!|IvdQw5{hnwnRlqJ8(*`~`t|03!`2no5s0>2 z-d`2i$LiBJ>nm#aU#-CR?0>a_Y#iZ4;ZPO}k!>n9O5P~8w<~0A+{GVCikAHAG|)fR z$!W!|2e;fgdr5Im!qXN*$miq&N$F=u;&-!JVs}JqH;v}|lVM~u^benx$5S*fXxVVU zuq$0Vp(yeTYWnP+=OxQT%aq%%372iC1C}eO;jlN#T3HCvbPIjVawTc@8_emZ&Gu2; z9;%9^Sv^NY1vgY$!n76_s6Vi7=!I(`>-)%vC)vmErqhwW@3~RHXsTTCZ&dmk;gR<4 z4dSRll4X{IER#aN+mG7)DOvNbKLHPyLk_J00S?r_=2s^TJv#?m4?ziJn{Fo?BZqUu zTHgJM7wa=eD{Y}1pA2=?)>&EjuL0X~GZ*=R?*#!vWmnzcBj((f-^ywy$N(P3vQiBj zGVs~=ja}sZ5(uVO50hB@zJWNs!*w#v@n7lgR0W*Jf^cF_al2E`Zvj+BWNZ01EzGS>Q;~6 zx|1_c*19Ljh>fb?Exxc`&HU0~@Nw979PysTlei*#m3gvAn%pXd_G`M=$2XI|lnG`U z;E1kHINtSexArDF$jD@BWXHCQy_6Dl!gjXaT+5mvM!9;wtLLR$-JE;I>M?V$y3x^cnv<6DgJj2nY))dW53?W!B@;BcZYeFjils*BR2fd1-AId&Ap-g?f$5I9uGM0@E;i8 zhftiN(PY%IIASGV5q3rq}VgH)LXj zGM%H2bv2#G7k7oYjrZ!@<3}K_yUYV|X5pkUq_+{ZtyNy}zuN9#0T&|~(f(iB3&#J! zr4!hATWNqt{JcWzSc|Z**bClY?}*vf>x&?qXQCDA5HQ6qT(S8cB|=&l;Q9hf5Bh|# zB|3EipIyP|Vzo1G#Aya7LSE4U<(Z2M*}Z~&Fev-qM$ZE#g@|izuSK!NI;{U*zR`xO zv?QtML;bDK`!DE$7HuhCfUc{YyJuiINdM=K(d6!Kre)ZfWcfeD7LEl7)(uCa@!tg;zk-(jX9onK^Swc= zVHn2O7`HC2o7d8IIo_}g8qA#IH8I-ts9}TdZr$}mIg-I*Z}MZa_@<Nl|mN4`Rh5F zLCHFX0?XY2Q6}~rht2g zX#swEHVvvsYg5UJZw|&kLhti0B4X|ycc-)24gs9m!aZh3M?YJLv#2I5wS~!4g!$q8 zbuX{m)R7~2_{8I^Tf3O<+k^NpZ_rIkPPkQO*R%|GYilEMQN1&)#mK=3&-iU{x-9b zXlw3sq~&57`QJ7?1|PP=){=#G{3(T#^@@h=^8vZGH{EO}`U4cD=YF`47+Rh(a>yT>Wnb!#+z*(I3iBUkZMiFWSqbrOT>jO81m zxv1l(oZZbyRLvu<#@;SmFb`OWIPyNB&_g(u<pBu+qd&+Z`8eRxgI;EoyJ(8R=WMYsU1 zHTt^dYy4iJ=mD`8`ErHgwCSYjcovFL9GW^L$2v#9dz@c?Gf4Dj9KOKCt#Q##?>^@G zeL(&cCJ;1{3_r2Rys9~)8|KYTLNBE9-Zlt*W+nfA?<*3^J|S#nZapO8>t8;tIHCC) z0=r{z=%}-WsKxdU#MqaW>vI!V6bv(dEYP;?!8W`fqS&{wN;X0y6>`f~88he;px<}& zdhWYK#SF5^joIOi?keObnCDwjKwIoMaj!yDHA~D_uJZI@}0RthtAO*$mVJgf?R&0;EN75Pw<*aL_Sx?hs^V1JpSgx(}W7ro1D2>PkJk zyYQ_-Sl*^5u9^Ww%Nr6^cwj_jx90=AttZjCt+$lb2Kum`hR7z`h=sjOx174_)#t~5 z`*Sw1Ycyi}{LOHh$P2?`4n6JyFHWeGz|IjjjOovVn1uH}!TSo5M50!Vl*%_;9W}gG zNqB>JEz9|ExoKx>n91^(vODucJy;Bk{{SGUTjcSFqMfO)nmfvG!WEuOPb}ta5SVnY znfnN%8G9z1ZCx^TKzdv1K&}$_a>)Uj(B|)cZp>Y>Q$31D)a>btXVccr#BoOeIP414 zdT5}=aU}f@60A>iEjRowW_F{|B;Z33c#Y{V91Jhhh1XkEIahr70;0QxD}-65Pmld< z7JB>^M4>%ZUu+P9}QO`OPM{dGHc#nk~Wn67=2Mllt} zW}sg2^L_F$MRXL9vGGr9)6WS}SBi)0iR>=d3x(iOM}}*`W6$UWE8D%E@8ILNwmy;W zSa8LFRXQ7mE_-KPtrIbbDB7PcVtgoPmMnTuIJw#cS6hx}|ofc9;uzC^cgj~Q>pcCG-LO@ZYYY3_xWbh7wMJMJISj< z(OL@sZNwThKpxNze_Dc5T)XPXC&KOy+(nQLA;CxZu}y{7EQ_>wV};P1I|x6XOc%_(8|I>b1gBDm%@ z=~m;v2^E$_Hz7cq3}Khn^nvfE-c*m2jLIDD7sWcw?zzgsrG_n~JX5#WHoUg2%rq!T z-KZ$yZzs>Uj@PhFW*oB4?4H^f#+{p(YLy-q@f7U*I>iv#vt;FD8Ntr!#gn~NX5@;s z;JdxWlKN9=JKNAAs!L>=$1{iJ|-Y+Z~cBj2S-a#U1y_~wqaQK5nU!nu4&n>C8!flppIZE*Du zdU3>~Uj-1Jel&*ko9?yKI1g+nIUWILj*_p|k?-L^e>+7D@`x)3{I5oziQftj7;-fj z@dFlMZ0j<3U4AStP+mw=*lBcXYyg`&WTM7olONU@A=3J5LUlG2__%-Zi}4urm5`CW zPAXH~-wYpkefoPSP;HB|lyp3A%t=G2b}oZLJINBP&zr2w8)i*w|0rEJtkxDep!pg?l3&LxuOl6HsnH8me@efBDSe z)ZpC3x7>F8-U4trTUYi`P?JcP4fINIG$2b>_U&f5(x{66P_0|raM&ldo0Kd@BrhwB z^HXe&h-dmXu`e70D-eFFHFUA_u0rV}aZVy7KLtYL_(j0X2a#klsD{fnvYzpq!-KMw zqNbj5(XgmsRg&OMqrYf3qm}Pw-@S$pyc57W^wHXm_~g6y=Z=&q%d-K*WWQ=|I`O(7 zH1(sTq`X0FqqRi4(e!2K5|%oH47B-dI7^FzL#%Iq0NG}>##!`HrE4M_SAAgRL&N4< zYwE5a1+(&UZzx`-n%U7VY@R8?o(lG~&M|%bFJY<{zvko^m;*T5Wbm&3Z&k)j)}QYgWy30EofPAhEOe}oA}}-Z-0rn!8XHKMEz;yui{RD6F{j`x z$FN;UD7)!L!3)okLcR`VNOke;*9wwB-yV~gi}JA!hLLDhwa~Kh_>;g=L6>d64yIzn z(%_dRX|W_gjYm9SN~!^;na2T4O7ldubMknY&tEdJ%3?h^BwX?7h}6qYS#VQ6rOwG> zBqz1j1KpD>?hNmic0;DVED6YFwWL@(AZ2I|7NNP78RWrgr;ti+0*Gp=Z|s~e2l5fw ziMPT2lmDWKVs+FI#o5YZ9gwtv``?lm@3NoJBsbB|$gi+W4t?V%<(v)pf@o?qq-IW{ zv#8 zJp<<2PP5&L%vTIn<;d)x-Ak|;@BUQSf8Ja=|aQ*-bg%2oe_f!gwV7=VzOK z>0IZ;;8DeVa)p=Wo6+G&>Om;Q*S!^aa=Q7MWQI7-IT?Pv_>-)ZfW(#MsLi3i?#*;A z+Lz!V3&D^uBhy`P&WDiut8K!q6J1wXuzbh*CwnHtgt04$Y^&`Dlg`b(Gk*L=qCOFr z1VUQk?Pd|i3G%u=%0ArP1PGx>pMs%RbyuY4Ie(7IIhIglg;8&|j+dW3l9voE3XQK` zMaMSj^j^Dsh4o(yF`Fh+@c6el%1ik7_8;Z)cK@pVu#Y0bW298iUhv%?qUg^xv+ZPw zjf@y8dN2z*?Ff^LU$ztX%7+=EkFo-|^dzL!GbA?kR=Lzu(pCa)tmXUyAg^(eRaU?G z4iUQzgNvW3+&=Q@=nvj6fz1rAGIJfZL@I0ROR$A;XIR*8*f-w50yLnsEr-Sy>~ar zv`Qm(la7?A)Z6>~>mYm!6VW5weeOhaP#f9tRpShsza~P?sYYusTN~vLbs>kLazO#q z7!|RKpOM>v!@Z>@{v@Fc-+zm$os$JJJ$Fk=RaK@qr0DVu3ck(1{ti{?8}ia9&~Y1@ zNLEqn(E%z_;Ht|==a(9PiyIxQrG_F)p0?YWCVad(SUk9@j7oSn>ARUBfuLV0#xE($ zwBCj+lZ*v4B%;MX+Lv3-zR9Rm1z-otB_q^uE4QnMp6IM2wHo=zOo2|n_oy7Qw##+G z2;dybav+Z*J@v&Th3jn)>ZLp>J)iDac>}~RB4Crom4XK7wef}xi>9-eV~J;ZX6ez< zla=MNy|T-dX#CBIzlAS_#gQY$O~3`DCJXRmjcsC{%sI6CG$a5AxSXD>j)9a)On8YB zL5dSa#$+Dkgj$*7q(yHGWn!6;Nxp$0m>Q(Q{Ft@^${8}ZA5*ba^vWMAl&Hu;Hu00}xun7ob$@6#U4~*gh;fOV5`E;5@V*)+7RO+r zyG8ypc`-Mxq+T}A#0#5hB~R_F-pVfVl>nx5hNP_2XEaUGUp3)0hdA#X8%`Kz3(Vmk zBa>H*=mkqNSS-~0^Wy{iuGCL@7H*E~CSnWa`?6Gm#ngA#hPe$Nu|D9xA^9nXW-4r7c(4~{c0f?#C!>n#Nv#527kE$YR&i>^3*MNAmJ2t3sjhss9HWivHE}l%34r)C zU3rfUC#3TaTNh$mn{t4eoWzW$!bRFS6!#})u4(kLI$6_O>&Si=alOWT z*C)-w?PH%0ARi?jvXM4obmlr!@)4Y)`)Gtv!_~of%L+z+?osxxX3uBVH z?;?zUod2Xw{Gkr+olbQZG^5Zhxd90*K<_@B%ixhWhWp+4HZuVrN|uY>1};7S=r+B7 zbqRb#TvfLNB7x=CoTITmD1Ytp56XiTigS$XR$?^cmq%H?*1J`eTJ(QN)4lx0{_DhL zeu=9J8*SOmcEYdwFJIM1LBH8b-WY)SZ&pB7otX?*xkUPm2YlU(xZcmoMj;q@JSl43 zFB!jP2OhH_Z9fp8UprBwzI3{8u%`7Uj>sCTe%TpDOSnh~R7tFAN7{l%4f?yFewf^< zmb*Y=m1oNKlq5L$^U;^40I1}C)?pF`>v+N7zsm(5E-e%8V-O=v$Ga(GigyJ=RtiUQ zZ29k!J7hHgSYD&Hm^eHstYcU&K( zR$M_-#VPSk*8f;MX9zHhg1#ZbIf0N%hed#xOV`0W%B!3HaPTk3r92jlXb>~HYnTK{ zk6?b#HphNji3^C1X}u%Uu9^d7A0$bCQ$lKVbpKhGJpOJwdwk}UtjRHYJ}tA0wuicqsKM$gS-r>|zTH*u>X%nDsi&0~!5+a6giYs(*LZy`drX-o(BF~cj) zQntR`5HYG+)wpu13vJB4@69*WWJ&Bnnz7dUck3};KE~p0!ZD1cToA$6@WwEj`qmuTkrpETI2|w?{Y|N zY@Aop-6`Y$9!9d!D^G)8EotBmIeKBpcSeQ5kt~xf#*#cpW>Y8fg1;;>$H)P!Mzm{p zF`1c#?Zo!;tjI=x?X~_;=Gk?S?o5us|FAX7=idcnr%7Y!Uqhe%Y`fa5Xe8eu+e-Nu z4>w>w6hS)Jm~%;hq4&4dxN*iMcqe8yxHt2$D&S9zh({~~UY4%pi zx;Khk)pfZC3_w97{ol=&!me!yO$9k%G2TD3a~Z~>tt$IE)FefX1;%4g=m-Gvn|I zBH4ZmdN=2(&5Dqn%5WNqIxsp|>pNj!??ABV`Wc&5>Ds<*HFa73Fx(p7h2U`I1s^hH z0Y;ks`w7fC79aEszzfy)!esp>aVOwQikK(dw~Cf~%KpbUj#7_KLn(wInVj2J)#JRa zX)IHOLL5JpvV@3wu3a&ic}~2pe4M4tD%FtRQb&&B&_1y3D(ro@?c@P7`i_PbKy`98 z^>HhUsI)6Jv$O@r>jeJ%47=DrNX7Zr1$M;by~3ty9U;4NH(^VcNE8AFTe=TnM}&O` zST1K+gjPcxLkYyxuRB=L&>nw@rZ41mfigo*sO-)MIaPxDXah(Wk?=B*S91#vomXXc zG?*#E+h4u)$$#z6OQSl2IAxOVAWmK9=Ap;3zpPSrW@0m+d?{Og#JuaCIZejZ@O-i)H>zlmq&%u}EJOy6t$4Fer<4b=a@ zC)GVBJ0@RS&y>8g)+RX|yCJpxAGlDV`yFg5}`?UDybd6%YV&s9^#=}8x%HBkofn8?$_ zenX;JVl5xPxF+3ErMQZckzVTyCc=r;;YSG_6)|;33uucQ7wK#KUGF2;Dsl!RKTDCzmwB536LImE-ZEeYUpYs*hoGO0sB_8IO!z0bUfxbWkbXdla|{iJLi^z__M_ zAc*5>d~+h|i~fmLO#jH^hDG;))VR&~d7?In5EigTSnZkq3&+G$@@1IXoKHAVxdVxu zBeP%T`!peS0beUUzk}D=ULq*yK5eG-{Nwy3M-b(w5!TOP9DQ(f?^$iXfpIvp*LkbY z2eH?=o(M0kx{{=b? zmhaWRj}PJJ{qBuYxlR5d_RIlgUYq%vkh%nNj`<)9_vps`GglokiKD9`+$y+%fu^H%!KCJ#VQzqLqhvp9zfTT(m??TeK2ekBw zoGcQ(;M1zhazW>NyGhb%ZjxxT=$Bd8;0}a7dre4M5K>Ulo?d59)%v{AJUZiu^?%;H8rBq!0 ztx&}~@DPm1q{+}*I}){uM`j)tMu0x8i_}!^H84(MDusOs(oIR0B!rc218lB}1hNG} zm(j$@Mz6flI52E2!<VPgId?3{+ zd431;j}^2n!{MuM%wOIS*0SiYuXX;%Km$XHOkvaCAwTtQDies^Rwo^c*W(P$?6&+v zMU=%)iT{tK?~Z5d|NgJiqV}pyQKR;(P3_vV_Ex*78JnU;&8odZZEEisYSrF*#Euyw z2qOIQ{`|iG<&oUn`+D8i>pY*&bMCq4RB!&K*mkxUz2fcX@KNz`5Q^ZShXn$p@Gr4= zSMYj;&-GKnf%)$}6M3sD)J%p}m^4xw`wc4A2>ES}5W6msQ(T8ztY+Aq2Sngt2fGM{ zW(eRh%v6WIP)W;}iqU+?vy|5_PQ=0#lz&AUGi@E+%2#-rjo*@wrSfENGlm*g8W{HF`6MAV|n@Y^0iMDkK9#KZZ{k-dzT8kg1%6;Yaasqams2TP*pG^~vGYwf^ zVF}s&;L*K^E)#h*cDyVRWL zM10m{tYI!B_XK>?KJrQ@!I@{YUE|cS+v4AP-SCcIky;xo&k%DKD`A3rfks|gs*=rVLP{@BdR##*V2D(TdzIoiXoE117f%LtMlwIB|sU)PhMSf0(FbhILFX+1svC^W#_^@31%av~8#BrIdTK7Px58*ZwvULjf4g zK>YViN7gYbuRA~P_($hB?PnOB#E>LZWWodJdtLc8au^}sU3e!FN0hZ_lyQXXR|Usj zwW??j6x3KE*m?K&nQKIe`)?YO&NE9k;n!YlV6*Thxd*P>wd)wj$cc^9jfI;DJmwZ? zvajx*)5HI4Wn3S4UZN3ajGrI}WwQkycwSMG ztjI!H!WoYL_4-o<(O0Ph6V*AT7lp}lcwsYG$kzK7CX%R8tcUJtL_}7@3^R7LW_Md~ zBDQ+vu~$mOUy2A6L>5YIi%#&LlYI<}`HsFoN?DnZAmAK99{XT3$MeXF5&0J@!)C2m zE4VH<`>(QSjjOoeX|6jEm;>^dO}Sj~YoplJ5b~+L*?zCsSy>x}=7h zy=0wNB8hz^tvLh0SKUyPa8gf=Jw2VYT>QE}Z zHxKvn__b^3x3YPxdc@c-^nOpk8H0^+Sd=MuqRwh1v=PN02f6P<@xN9=Rb4wVYDK;qw9oIF)DAtCxVxp|Oo5RWE`Ml!Ts^GcI? zf@oosEdM6wvm{Xo-cThIugJ_;yMPdzdevxkEFrP7i>)~n^l!_5=^CTeh1Z+ugYs)t z9@#v~`v^6I@*gP$N6-Net}!|$wG!UJ-)HijYAuh?=@;GOPp^0Rcm>~4x@S+QY90(3 z$tjdpN?LFd1=VssmlHLlv#pNw2!lckf0z{ReEgQ~cIqY7|K#?X*U(4N>y73jF=Nf# z?BrBofG!`FAo3V(4OE&Rz>q7R_~{fRXh>HZ;o9x}eI6UAl0&Q7^TOFuJSR|1bTx^9 z=e{qQim}V{<4J+#*P$M>$Fj!hbrKRyq_ z6Nn^znt>1aw?I(#OazU=)Y_Kzy}{)HVSG}?r<3=gna{j>e#>>>F|sewV9pU@zoO z7uPQpIDo+|)#uT3E_W4gQ{_u2w?(GEV3aQV#bp6wS@t5iJgpx&vyX4B>e{l!B?0#z zZab$WF|-WHy^HH^8$4@0y)2lE&I#W!bCCVyFCsi7$Iit` ziEZeUQ4B3&J%4!oo`YoxBE-S+P!5Vy%=g-l|C-f$2{gXD0#X-bC|D=Be-giy_G!}B zpF@T$;LbISVQM48-^O?k$^rDFL{@?A$C|7*&)K;dkpRBw16 zda7doEFxlp!z`Kq>IQr2o$!<9NC(58G3Y3zt?S#NLYA$|GJ_Amk5-colk01TZQHH& z@_uFqBo}Do|LyqBGL?PmOI|T8f6X6VQpCdV2A&3%G-mP_y>ILq8}~`K7(U7bzCCQ$-LD|f6l&Gz^v6eP_1uewSV`=icT zdBBtG#FreyGGm$U1zJyjz=}K#!Rv;?G%Y|6E`9@OkVPXz?=_|F1eJI~#>cw1i5UW` zd(1k zKr08^Rgq1C+PGiZIX)1z^-+h3$6+|f!8P+wr0q-guk7rWOj8sT>={@ra@;T7J))k{ z#A(Ra7^*Awx%RCDGKcUzNm#F)*S^5Da7|T{vV@R-_Q|(V@S1cywC|Qy^A5@omF@ezfn(-jpW-HH*u2=N5JQ z!62K(lHmdf9UkjI7dQ^7oZTmQ30IS>rvvX`z8b+PAQ21hL2k! zeWw2%8})I=0IIDNg@BITlRG6Omh+htu@E|eP>PEMaGN7UInH^YL8m9NM;qOIkfaPL zbW@)p@07?3plpMu8%m4Fdyqeo-T+55kuK}3zlq*(dak{!?%k(yq{QS68(UmYEnNBE zE{q)|tjQ0&c#`mQrrbn7BB8(aU1!~1v&zHeO>OIoE|2n21xNd(6m1wC#|Hg%{{1WB z-(l?Q_X z#eetg=Ytrj7^bWI^Nd3ATZwo>OW!j!p6VrLjZkdKWvC>TFAh0Gv^U=U8UFZ-TILmC zA^DZlAXES+OMl>PJ}&MV);F}ho?fh77e&JB|C2NIto`(R6YFb^95KyW`nQkYye*?U zl3^tj6rW=-46U1=qvK{}iSyBYr8vR&1y8H+Xj%GBo`2f{jk{^L#yz<}wYC`qT5-rd z($=k}LW0nS@Ou2HMey5pQWOtDM3Xfcr3|?19yE`nv@s&*SpTYCi3oEg5?^6osQ%PM zY02Z^5t^1$;gvb@{vN_q*nI|17LjQ-@US1aquimAqD)zlN7)zs3fWxJ!~2IQfBj~3 zw+#o^gt0m+2$VSYje*K?gP4NCT32)bwc&EX35j{0XZr-R$|^hWNvj2F6?DhlSAh#W z1rPh0|3Y&DfcZFWIR9x(I~aG~PUkOt7R%beetxn4aG4VkL0vdV5%_)yYmKASuCLs_ zHl@#ioe@Rve4+UHG}@Y^?Yh6;Go|K`p*9{A~*r|;SpP^1HO2G1y= zes`XjE(5HeM+&JCZ@l-1U+Nm^DUbel|MxZe%qb_4-d|+}IR%%~L=QsEMVl z2Oax-pEPe4wRjXAN%NqMy^BkX^O+~YE7fmWwUw(eWgH`d*RDSmfy*>a-!`pb%~b2~ zVY7w!G*5oy9>Qw(Oey;PLuW+A;jd@TEoJO&fL;yC8Hh17$m=Nh{ZoYrg`lL|X|H&+ zCk&X@vFeqI8Hu$t-bfehC+0m%SWk`%)&4lmYfA~Y%-KoU;q2SK^F@!XklRI^;e9Kh zU@d7xl~Z}vXmbC&?_F|M&sTjcT#s0lfwzM(mwg6K`+4P8pVg(yG*9Yr)cE_sg%j%{ z-^2s;q37kbcdvmClO|!MGfP}Ik6(svY$$2{`6yXk_52e{W(crmUp4`Xt#tq^ge5cN z!=ZZ9V_|}3J)&s6exo6z>lgmb%Cg0?) ziS0HZc(dQ{c{hsh65EXUWzeRlv9}XQER=>gN1x>6$Mihkvr#c*>_#vC{m$sQ|1&!2 z)xMIbZ~b4R`!+?ezk%6k3-{*rr7Pqj#MDrO*UgbIdRh4Dd!{A{9TsK;8j7~nTCO%l zCuGH~{K!J=1%w%%?`x7m%$T=9=2{(cM2xSGFGp}w>f5l1LI`X4iVuBoW$`~CCgq1d z_Mh+yr+v8OW9H<`6%MgmA@+pt6YO{EI0C*$f9hk+C%r;BbL|Xap6HLUqNNl`gfjBl z(`+&SxdGhU-{kHbey5b`To7%neUEN>yYiFRHZXgI7P`u?P5tBA#wi=I&nf$(RFof9 zUX?nS@<_rd^zGnY-V`t*qMN13wcdNl>4;!^F$#G4dLHMVhU01yV^_eInts#gpwPm#fX0khM94Qlz6e@YB!|j~A|l;XqM| zk-Vvqx_yDnkfFD3#;#F6p8bf@i#ub_1PSTfJ+fQffeX4A4`oA#f0FF(tp6EHEKC%i zUu10j>Yl~uvl=I*czO|0U)2XG;k2nQ_2RLuZajj`y3xMoSVTv>sc~{nuZU`Xn|>fq zCy!57jL>M!|AR4tN>SL*`LVOXQ=YVeiPe781}xqS`VQIFkSgF?-3cIWb)f z+P_DRpRvksyl{Vbgv%y;n!kTVWPz_KIA`_W)hmIuW0G?>*nlu)N;0LSi%TqD@s`|sd=HVjyrwx@M;oFw8IHI)!W5v;& z@GAeUX3a=RRb|K=>VVwMqj76LCkG5@c_a~`V6>(lgQE9aSQ{nl<|BWdnbP>o;=9P7Z z;zRZS;}qKWJChB1K)atm0A#J<+eHL*n`oQdrvHrcKHwpC<}+zIH@T_R0!>7G;};V9HCdWTvIZrnd1A&9d1}SSTtC%(ae0FbBYKsSTDNpwz4H^v4e2g0eW=s zJq5m5i;9=@>*>&Nu`G%V)K&xn;?qBjl?+Zn7D^8jl z*$`gQUylF4M$ZZ3;)R1SNzJ-&Rb4*)kY;t%x8YKq*1pAg3O796caC}}vfjmCH2mc! zaLgYco3E=cOG1Z=M4^_2PMjQkX`T0W(+`wqjn^^woVM3Yl!fRD$KyYji&>!`J?gTF zr1(n&$BeOkHKPChj2%JD)3D@`m8V#Mw&yf!ApRlpxidccFL;cA!oK`<3;_SBO8rsw z)d$a+elLb)FO=~zg(?O07fQADtx*0V^c&dw|GK2*xZFK_&hXSeJiaFgOlHvi=H6hh zdsopI~|^fp|FE(uW!gD=EKSE32I7 z!hPQ-ALQ|v+l6CqqmO0xUA$U^R9zqb|LLFpF)B2v^dFVYxnge9oc|E=rar7j{s@eN zP{1w4(>O0>Z$e5_JV^^U?I-Ww%Tb%KHagY>(jnv?Cm_O|(Z5$houZ_^EP5d`rtKFc zpKU`&r;d0@VlcQmQ0>9cq2iPswa#h-#8W#gDFmnis+mdOqrB$-{qkP| z!+AoVpGso#m5s{mHTFTw7%F$+j246=a6l(&lP zej~@FoBSuZ>`L6*RdVX^9s_~h{&sI2s4nV^{?szlwjrgM$H^e9s;RLGr77ujY1)Ff zF9b`n4Ozs*oQgbPPRDM@{&M9SM4@WxB%*EffAk8=3WUiwC4HIf5-9EEre2pw!P0(gziF6n%NEXcibg|;#D&s(f=0kE;8T6j2tr9jMT~JsFpjZ)KCnuS_trk z{smk4FqJeyAIw+KZN!F%nPv}YQ7JLpzz^1V)Fh3$jb{n8vlrM0GJwMdjIM3_EQT(H z5{h3hZ{-7fzUk}6WK8Yk6^|0f(RRFB&;Mni8qSw%6LT0xt7_k$vVGY5@)WC;xrBr@ zAAP-elr@QBxGqBM<0A3QZymCCy?XwbSFMhRO&C;h%Hf9zw5p}d4xKy9_Z%q=*GFj? z37QfbjiJSptiG)m%3R+wG2`BCk<3ymXwD!R8%T|1r}t15oj&eh3?nnReDY-w#fdljA@}2x0 zmD-VOFGx4G$c;9qM7V#UocSGJM~jzOND`%M-Jl*{#(m&mnFdGg)L6Z3)83u^K#FNH zFFgZiHn3xZcU{|%-G{9WQxwD?N7hFQ7`tc z6tko5*jn?MSik7n#%7g_GR8NnAE?&XV*L~vQa4zyfW?RhElG9#B&!uErVnZu)KflkdEHW&T1}d!wyotv>h;v-MX%k3 zmN7|jsAnN08jrPndc^0vxYlcG_$vk7LgKG0yVGFJAS44~zXuISC|-Cyr=5SQv8?i#enl-Jia< zhPznu-`nm!YATF|s4&-%7{n{3ax4Et2<-V2;L3lu^6K5CdD9WZ3$NsFs4RkM?plzw z*oJN(KHsU=Ke~Q6X-!<1(k!dO*dLwSw`lMYei6Z2*Kjl#z_&=Gt}J~N$SJMsPfn!P zH+ro#HaeWN5WtYiSLPB@BG=1E{?k1=C-RBvN%W4 z{^!Vqx68B*7dW$r?vqt&wQPYqA+yrna0fn(+?sg>DSHD`ut-&ABse z*WSaDOP-$EzOLf?)onk%&@aQ? z`vC&ua1D)Fte-+tod(A7drNbo+_MrIf@GbnQq$q)w$t|suYkE-w#8I!c(Z&GJSUWF z;*k)~P)Cr-8_#M$N7PL-VmlS~JySAs)RESN`BU|YxLC>FNCmw^oGx`@Sb)6#6_#0u zs&{9+RTqic{+l1E*aBLwJw*TVmv(@3dbS(UJmn49>5}(>QGe$+;tF|E^j`q1*;I+p z1^sIukW;~9g%QT0=KOBguG0^`(ky~5l-9TNM}O!1+Czf5-*#wajMaKz552iQxGj|HrIfAG&(&8Pe364P^%0 z2jaQ33lQU%B5)9Gg@|wC?c{6Zg2#`?1>WEaV8@LPN7tI3@~{rz;N?1y1)Aj*uk#tq zK9euhE1?#iWizu+uSX?h@ygk-Q~o(d*@E+egXvYI^LT{?>Rl2flFE$Lg8igTMgX4O zAJn?ARs@! zr-jJ6hVHg1P|XGpt3{;4fjftjZCgG|S%XuJ9aNee0>&Q*nh%B=I$D8JH=#A;pBX9$ z1U*6WIZ?2rp_q=BuQ2^F+fB}*CGnvQ{>KULp$5?b2kw^5@+(SkPl!v?Yv`1>%=c@V z!;LAhQGi4HLB>~e3rA^K@e~;N)_R5Il~z#Ma^7N0URsWZE}+q|(c07b{Z;Fpt>!!G z{Ch(Iz+?9M*Q&eP;DDK|fExtyTI_;ES*CagOJCD|c)z4PFT6N6YCG6h0C4jZxf2Wu zUN%=pM8d$oIAgh2ClW-NUaPcnHY_!08bstd&ZOm{VIy(_=H1co+GyQJzVzXbmb zMdKUq4cjZY`^r2lNHy)n?XlPCs%!Or>^Ry}2aJ{#tUto1*!uGi^3G*M`6cgD z$;L&0u-c~qy3$HitG{5c`p-1g^k&nbJ~>>YauE3$vv^dleJglo3>me(fj|`>c1Z)zx~~%K_tI>iwn(Etwj5i_!-pzMSV>x`OTa@QLvsnBoJQ| zktc$wsqaV)R~-m?yPl5!)m|`A3j{^Ph$BEk9^au^giJCyNL0EsuZN7TTa~`SvXmhO zo<#+<9Pad|CjSP-6NlIBa_Qn9#W4R({o}4;TZU~12PCKz5>iH()io$h z|1s07p7<$yIzN^J#R*1aJLEhE?4T!pB(yA$;JQ}K_*z22#mRs)vGHQWihA=x%%ZUSrKj$7LBl=YPoXgvuTL&H0VV^;|EA>Y zC}?^nQrL#-UfiZcAgV*R4YC}|sVEileEQMaa5Qd6v+6%|IKCD#Gl_I?Cvd?SSI=sr zXPW5QUDI@iW)HzVr4`reg7M}x#@B}WGyUXxiWIyvlZhC_n}Fg!Z#s}`i6j6Qe}%03 z5)a)XNfkr9pEnJec7ghjEXuV%bnxa^vxLNS1aY9~g8VNSa9%Slrr!(Qw+qf(aelat zw1Y;)ifa`%-_5*3-b5hM5p5xrAR&v{F(l5mgsJnR;aVToaXbCSBvKr?2A&&3P8GBP z*J=>TKcZi8rQ(tYTrg}0>$qs{1||&pnDi~`Pw=4PE!@uwj0 z%?lgQzeg0ce+7Y1b$|XGZw5P;7-&uoRNm!O%vgyX1dF-ha7u~)k9Xr!q z`2HR!ZE=d?JG?2vGO;9W0ru!hh?(%FOC=13-3(4nvI$pW7bT#$_Jl};JR8J+o{0i2J zJ$-RqWf@$voOebHCrtO6%=e+6$TNVi3N+d^LIRgzFPflV!L{qh-3HDWC5INvqN(gh zye$mE&s1Z%4b zGry!gN9-n#ljtXd!(XIiZ8~wPm24AWWh3&HKXbK{=P?q;HC}FC3Y+d%Kk_(!Q~0qq z9Uww*XB9#%Gx|m`(t?Bk%NCg^|D1uOJzg5vKq|x~tw|vH=kP+(9=*=0uCV^A@>Hjf zL^tya=VH8PmO-Bd(b>URetJCW*MD3)Fvn)UUzgrfWnF(tTs$o|e|TueSCdpcoslO) zTP~P!*fWP_btXI-Kw;S9_$SFL@hP~QkJRo@HbER2{u;X+2mSg@Q!5s0O`j8x2ax(? zkh9+GfhDt~j#sGNLAJTt#K_gGckeS%cxuk~sM=s~D`*|WA-Z_jq%&SHbjd?BK6>1q z9ANhAzP*CB=OI)7zIl?c>MGbBRJ5oPOzs!`o}LVT?eu%9QzflMgxJxXJ&S-|rO;ae z<|ZWVuz;E~Z*?Xs!Srwc6xOiKOjuGC8&s3(2f}4LmmY}8*Y z`L`?{<7IX%tPp8!$@3VaSbbqW7Bc59<>Zyg@?L=_I22W+Obw0_yXJ@I+xJxQjbx_f z5u`HIdV38qhO~inqBYgW`F`3BInqCK(&5Iz-`5r^?;pJsJHCr%R9E0Ux8nmo8BVT~ z5r4&0hCEx2M=~wOI*abKwp9#{2RYZwcFj}H?rluBZ$$8YWA_Lbam}lChcTP~lzdw~ z@t<{nuZNI3iB4yW)MT+Uc_&)u`7l&H8*|OokadNG(em@oWcvllF+7~n}H<1?g#bi;&O{PJzB}sA-nhIO+5tTNc z6_) zO!bVzPlzf#&a`+VVtV_rs^Fxpq4i9Q+NSyBuFQ^O3karF(RgT}*l{Wkm?)Xgx|ja~ z5X8NC_`dsagW5r69^HS^?3+)FJP!_)n11|-T7WTIed57s7~!RQ)G@UAsdCH)! z?T`Mmq}k7tTGW~fMPJm11^oS=ew^V~X*D0FdRoNS;!QSXa&)(odvaA3RgZB1{~=e~ zd3Ci+ok#}@)0N={OkZB|?yar^;=?4*Snohfqw8mk1cGNoIvY9lE{P zvZ7-4W4Z*7EAs?W%E^vqB{wy2V95FhXV}A_db~BHOsIuMr4TZFs99OutAfdnG6zRG z=B)3jzM3CC7Gd$*2NJ&;H>6!TKv}7m8D@zOs^r9_^0s*+0@iomRWiM-3gN&nM$9{n z=kkWUYy~sLLr>3W5wQrLE z?Fbp$1d_%U*)T!?dkh@5S-BrPKZyp_)s*4AI`E%y40A6pN>|G%8L2S+nZOK34$MA- zT5lbnYHW6A+i_Y{2(h113a*$7q_JMjc-<>>f2&r0IrR{8vdlX;Za@ht!^uE_+2&(n zK&>5zUF$K8m1Jo*w@`(%4gy!{*Q81^?#n4X!mKyy3BzYEyQN4=;sg&Ufpv`3-Sm!0 zYQUhnY}V;A3Ow{7U-o2dmQqpb4C9p&O-c0$xXO$Mm_YQqMeGX(Nngv zQTdk7)LmW-SWu>96p$zWQ>d;EsQafs<%5O%7t0CKzc~0>tmG`OH$+)%SUAd$tGGg~ z_F;)g?@Y_s0(nbJy(iJL@vA~Scha_)Js{wp3hc9DJz&d8{mEN9o}ErgXbPQmhwIlR z>ppG6(Ys`v?sO_JmD_Lhf-bkwt9IOz2#cI|?cb6&V_q?cm0x}eN}=7^snKJgJ0w4A z2Z^VD=20rgNt|JM;miKUyJ&&U58K~BbW5^x6RD}(XL)oh?pRd-Q~6b)Qj@ALylF#Mb7cYOkCUx$S`;cczuzh50Ycj|6i=dSZ<0Ibib(>QgSUdauY zHXQjD1EcBORCRT?U92v($qTN+(;a#~1(CUnq_f5RQ2_Jmht|XocGZ?MEO$5Z<=19 zCgN+>LBWpZ+f}8#(nm7-PIZU%;44~;*LlIj8Mj^Q_n3U?yBAkBEWj+k6k+0v7oPx% zobLE>+4Q@W_l8H6EkSRUOU3lDjkzt#&o)ZVRwHzEq-y%sD#AIUs{niIp1mCh>@WfTXYbx={C$IA?-JpMZ)1GBblUi~B>IF^l)Y z0c&6UhT*)hbX|4s%y-iq7gvg`S}x3D5@d6+ZNtIL8?j?R5+9M2;P#d<;j8B=Vr1Ea z7pImNL0RWK?7NDmvV>~S}Yy4KTo?>(qW;@A5v$;^Cu zsuh}kPTsi`;xhiL8}FR&V&289qyN$QNxvdYF=B>WX{GfZWB7*Q;yMG>(W@&4P4AEb zzuqEh_x#Q5j_lUab=1h{=wnAZ>8yo!+SNRWh`Ot~*>ke>+zJNbs0vn(#V*bjwn+*t zJzpSJrza;AkIg$0&(3_>L+yx_y}tKnsLbmNG=!NI75a|It?iB5_rfX!d+su-*ypYN z=|Mom=YYoKzv@oH`xEYu52OvTKR7-rBU3!-wqCw_)kRc%jM-lqWh61c?OYKw8>kfF z<))$m8?VQ?n&Y0|NrlDg9v%7G9w(l}Int?)STn95Q`ewpL zh}G;5$=~qXZmX-u!0m+?FNtb`Sty%cs@-tx%vbU+tE{HY&)1LY{^4;?Dvq*OnB^279HTgHJ*9ugU)+1l7Wt7hG?gQzoJhFC4Vvkby3-a#W8>ckegk;%m;^N^$Brw2Ey+ zcmXl6^j`zyh&sAmjwnbb@W(iR=BMtv#y^4H(dQY?Z2E6kNFfz*a0MaKE3Z#6pX#xN z3_mT3*%?X#4bJ#GOIw!wx>>TP5Gf93+{>M2SPi0ccK!qgL(kpvh=Xg>FML9L%<^nc zZydLB-*tMAogJpXJxeF2*ienbcjgv}QBui4ue2{TIAo+532yt=R73YdzcjXHl4SJa zRCAw)9Gk%7K;C@tLY)g5Y;^iW3`0Agn)Ti@_7u$*(^meif2b0rLlDo^sD3Tjx7GL+2_Ufxu_o zuc$WZbO%2dgy@Sqi(0C0UsWLG-MIGtJF`^K3)Tx-vV=*Y9U~hSL<`KAVvCnJLc)&r0 zO=nDD_;V?~U*=v_n6MPUdp8QoYbw&W~Qy*L_wpVUPape<289k z+-(f18}f#=|3mr!+Q_prdZxR2m|{GRSk(!?T?#el?DEikTe>gway|Q1`$gI1y%^?f zL4Y|bKGeOtmCGojObPX&{$xIrGnqj5+c>wV6>h($`95Sx7FzJxeFsc3y4Tw!rYBK(M?!LcQ{6Vd0A$yHXK0H2VTr8Y} zuXCN693fZ>OYd&}G@cE}-NNp-Pkn6>?cI;2mFi%mr^4QKUi!Vc&1uI62}c`$y(WyE>}SZsq_OiP`O7%GGe2E&MjZivAAhG&lfxRUNn$PI9Z&4cH3Yl;@hrCjx4y{qk#|L@{`(U`fI5l_spI55RinHSdEWDDAMh8bA%u)_*@-_nMV? zpZtAr0>2gqQk;xEgf{o(7w2mJ)@sZv_d0(j4z9qw-yFYcO)ZO*%a*nf>hpO-{bxf@ z?2LiB13A*_ZW>)0^)L9W>4xp}K{z`R^zrIe)+<~3$(IiS;~CfNR4${ytuRPRY}cf55_}>2a#3 zxrVANoMm4~7SpjUl07-Yl1++g@-FlfI79M&dB2#nq~r(!RgO<+@+}XJPZUdsjBZD+ z`Uy379!{3pzNlP`rE@PE2R;#=NI1}#>WOQ<2j?Dozd-I3<-29)8W~tJE_3Y_=Sn-f z_kl*-)m7I-#`mpEq9;gR_Q(HZ*ctq?ybQTMz-4nSYAl1r$3`~7X2-e>8gF5ka)FB6 zA=M`LFp_douKna`&C$C-RFb$fqEiZ0RY&|-bVlp(!54*(d&SbA=5t7_$%7vW3<8On z$U=V*t>&-}7?Tei^x@%^%-R+Rx{+vzt+7v=m3(^$?14M#3yHZPAGqXJ_C!UB9O|kK zO?=$Di=T2SZU|mhwUn$(lEA+vnNcm0g=B5{Q6PTtYXcq}VoNL4ts!TmKHd2PPgjo^ zNeg5T2EUu#kAGM~GOs{m-BQiVcRT`LAPuN#u-HLh#@mO&v3I=)n|R zPU6$lg3_(_Y1@u=7WAtp4~vw|FiN=q^;l}7s5cqs*NzjgG_BSH8!77q`cbxoDd!QUQ(26kX!a{O;alQ!AoG;2EP}Py_r6Vq^tO*`JaACIbIRJ@_1g~ zAO%^M3wg3k>h3JvoZM4=3TPWHjnY@Fia4H1%w-D+E&KZr0%z zDnW}>I$!~l^OdXlGa99N*7mcYro&k2Sg#gP>b*bW{x+>~rr-*qgnKwSlm(K|m+)3i zKU2FEsL$oj+I^I=^6Aik;J%8d@E4M3QFDc{I z{6UzqkR53?pCLJ+{#}q_>BLO4tKuZ>`_;ZU6gZ7Xoj^*Bq=7tQw`J0BZe$Nwsa@Om z%01{k!!m04O0xzxe@rnibu5r{yvxknU(JtD^`l0GHHCy~Tm`#Pq~d=Ypc{ULjP<=V zrMnZ{xatgPYC1gLK@<5rZwnV6!ocmrZBsVKr%|9p4cR(~h$SJ1&LN>8A)IxcxNKhIm)z6kKfj>Tc>y zkPQvbwb}C3ClGWbGOk$K6PSN_*LBvC<}1$ojl)4(>jtLDo*}dq-xxOwQ~32LZWd&~ zA2bR-?r)Lvn1X%GR~h=sb}iC7`2BcqGJLQKASFU{SZFZOC&ktAuLPBIBq)z~EJ>=P zDFxKseOvPuyq6KxsM*+_Ebb1V-jMut&~cG;|0sCCfzVv(4gt!8g|oYjBXQ>p`Ya2d zQtyx8LfCHaue!AOyC<&RA$aIDU+ej=b5%*tq~gbc{x}A9yB7?@?Fwz4?)a|3w+I;| zp(R_8h0~QQVOPj<9zo}*FIh-bBq$8M3{O{uT&xU;_DL*KZuV@dXSHk!4i)vUF7ZBR z+j$&eIi9Xxn7$+evib*{I9ziypJbakJ_1@D*0~WEbZ?w&(jRmws;?fBpBJW1oM=6A ze+?)cI(wekva^_v@06(YvNO{mQR#)h%jwq6x8r&gk;mSf9*7(c`-&O`oYP?D1(GCm z#)W_c5wtA+58bP$QD)WwYYN|{RqTD(R&AoD=%%?U8EU6m%y)(N=vFX1xp+W7L1oOjE# zIqHo5T)Z3MtOkdipltgq(Movt@zu*~f(7FQCE+nMB1dwUhfS&JvVYmzWIOZeuMk8K z<%!iDi7h(UXhJQ0o=yW!CrJQZ`XH=)+5<4%xrVcW4e8QT{u`S(!vM)xS>>|At4 zczXm`dcDE0v&Va(5XgS}*!R%(B{6n97&B%ge4ftb;t?D>MHkDop+xPuu|PMOyLDsP znc~7q8v?dIy=Y0hIY%xf#%x@ouh=YIzy}IKIEPQS5E~o8v#0cN>5W6jpXD5y2M{er z0da@9BIhH%A(6{xOutJ3{Ys!Or}~2kvZy%tMF*K%$`=hctgYz@MEG6x z{nCQ8#`_M@eXrFW_xgssAcN8+s`4tyiMksRnVU!eWeuv^c)^~B0#cxA-GLyv?~F9u zdt==oZJhl`MPm4c7r5`rPbmhz`sIqy?)#ryH95ySz>HrGh3e8K(mj!4Fa)fGvgs)5TYl@bzi#`_bVA z*E3@72sgnXRm8gGugUan+I{!jHke$mhU@1vj>!q!v!&rcQnmHITlTlfOvl>! zCS^e*um)ZS=1{0P73Z4{mSA=7l)33kcnJ? z1(?Nl?>*j@{*jE3^3R+Zw*$#M{+0U2H5kGlL|Zcl$HjO}8xpe361&sXE!v&)Cj1*p zO0%-RjHfoQa!cUhh`6oKI(I$kQ}q(lH24r{{@;D1FMAT+j>nDWNhATsj{&^_nt_xp zw_)874#|;ol^_t^RhNz!53iAG5Iy~s0uJKII%sG57wTa{kp0L#vB?Bqh~q4P=hqWo zi5n%s0&n*p$*FKS|FCmV+mTlSzvM)VzxC@q<~+D3y+se2N+;7?QW!gJU%?f6H|9!2 z=_>V5CaehZsG_81O`cczLoq$1t>)ot!zct7@^*BZ3CaN!`i!{mzUm;-P=VUNO+z zIr!XXddH;51u;UMfpm)9ZSVkEy=cHWyCc*L%9SXSe0j>jqY}`6ZDN9gmF`Ql`t|&v zmu`u06Y-px8M<_%fD;yf6OJ|{Z^#;a8ZZ}%nuL{BfP4q1ZbjY{ zuNbfXtFYg4!X;%jJKgU!?{9QYu)Hnazh3mV5B7sjj4$hD!j+19po}m6o(J)MaoDd% zI9yNY{uff1cL9^82hk&>6Z%i|^4|Y|tiv`}2pbo;@AP%l7c^WW84Oc2e^A zQnrWoDS#>+!=Y>s{ZAK3lHapk9PuTHmQLH+<7YG69`6-B*|v3G+1uklv*GcUm+f(l z#g|u!-fIT5$J#@-$0|!ndt5NX?eXp*+v5|~4qvjojQ9L=YW$hL!5HlQqm1`k4A~x! zT}s-6->=5LG~IZ$r?rRXEEROy;?==ce?&`r@Gq-%d-ZaYZ%50^_SkI5_K5KcxpZ4a zK4^ZbZz+yGMSBw9!G`28mGPHx^?7C&fB#w9HSTYmXL9jvCU}*8`Xciy??>}&|Fo1( z>$YS+m)G-heeU018<%`nXfN!3_J1kL{j2z0=X>tX?>0lT+`rPEM%wTEiPrFZ-{)=C zZb&1My5rXOZGZ9-)jw3Y4>rE6<4OL0*hQxAa<(4;Z}{Q|B!>fs)Yrd%aHRO&A)3pI zH#7$6%d>OGYt#4sqQ^T<3rt_Vr{DAc2%c&0>9cm*Say+nC%)zPcX!Z&QMXo7C;i?O z-_dp7?-I0Mn((NLp!fdMzngSleTD6VyxizG?sWV4H%)%mvR5jo zE;D~F^?knV>k4UZ)&|K-s?fZbZV{Q+U)nlz5M{{hUKYhZxS@GPot)`f3Q5 z?>v(Yyz+a)>zn<+-AwX<1C;bG$;Ihg%{)KKm;HFln;yGPq6fc^g69!t50694`+V*P zkJlo2=Yk8NZ>Uw?l^t@)MU zFYm}oRnHY)eM)=O*Kehrf8DZQSL*R&!+XOF>v6QThs<7Ku+r~WQpfl9z?Xghr}X>H z%7%4i5p01N^lqK-*E%~+QW&7@A?R%`z zalGmF%U7FCkP(`tKQE&Nx7!69I$o*oXZ0gQ-JbMij&q06EA`E<)n{9{4&P6{bz6h-Ir3j(7~RqROpNiy}aamuZ?f2ufSnnhaB_gCg7^m8ME=t z>9O{vytLnYES|zsp`rVKGE2Ui_tDmg26P-s|MTD5f2+XVMJ7~QpW3+8dVu-WbA(HY&o^>yeU#mh zxnduQ@y+{D>3;`U{PX<@(2Z4NE-r?oBj z0Q=jx`exDEQn)`;o+^sNOLZ+C)oYdfT@X;p_V}kJwJJ{kj}aeelTZJT5vZVv9>fj` zNjZ0zGlN$)~yH)YBH+VD2X0#%H?_)6Ql z2fK?$`aSfuqB){-ZY||oUKN657wr5u;Zi_xuHve@=1bqTT_C*Q8(yi0{4ViTvy76` z%{r8PH8%tjUv$ow{q6C2vkS7Vqtu4?zFd*UHmxCB>0Kdu*oF4s`P&&rvy2-Ds8fJP zOe6PrRr=q)77yeOqw|H=7XMB)J-{Q0U(%(t-&-kA9ejy@ZNNrVt zSK2v~)B8hK4-Qb$)BjnVP;qy@#BVyA@K&Qxc;8Sx^BmvjD(U@ANGhw)bzP-%bNFF@CEO`W&B$Op+yJ(Lq6Uu zprF)O_Dc3szpIF+=-q^;x}-02&g%^ayt3V%Nukj}-*lxz$@iL|Z(JXhcO7aZ-QD-**z8zgJMU+Ydz#JYo=g!1yXMmhJXdskiG$_Id|7jB?Izw;qkgaF64We@J>)pWvTB;Vg?@V5$6%5 z9cJ}eD)c462U$pM3{9f`>KK<`;pd07Yl@2A|dS;h%#go|4HZFX? z;yAWLkIx$aQr|BGeR;nghd(!6D4HjU-exV&Q+{skOAJ3>>5alg#!?SG?@03PC%#|N^MDPNz$@k3 zNA%F+2I$!{2=Gez^nd1({fI02U-F~cx30h|`ToZ2Of1!J>XU3uc)qTfFM7{3*_!a& z|8^BU)<$l>ay+`g_~OUJgr}Nc$!|L(2NtwCul(Bc@_EJfHsA2)f{wR~R90H#b@wik z4O(i)ioD$C`sE6_JyeCL-QRVT?Qx9)5IDyax|Hp)zxok)JWHlcMhw5iyG}^p5!cuQ z@i$gAUeO*gmY4J%Ab|uGv}j-A3>dT@Xe?@)^y zZxUahTY*=~r~kDHROuKFdM-JkIY9S0#Fpj<9_Jl(x_+>m+0EC}r5~(o`}ed5wsE_Z z&r^P2{Sq59mbbO4tv!yPVLg~5!uJNt zOMl^aW5`cp-s$aD#*Md|9zOqaT}plTFgUcgtW_A7C@Ar^x3~cw zdk5Y(OTY5>@N|E*K&fq=cfIJr7k;eq{5|fH?@^{Ne2GQg-%32$H^nt*Ds?>m$-mQ> zNg41J6(AdFJtf_i+NHGfHs;UprOkZpQ}Xq7S?Mn&-WN>Y*NE1*@kE{y@1R_k+Jk!b ze#lEb&Kb#is4D5e+7Y}`kCmhVIK&rhO^gArc& z{a$ANJk!quUS{p;eo)4jjpYEcRa%oVPJ2Hu{RIb+%4xj9hQ5X??Yt%hs!zvoDE;Mi zMo;@}$?qI>y8iMOU*^YHS{I@N^|VhY`$CSdxtiJBva}`?gPkJ>MOLaU3A*j zrSz*mseix|n(Vi?&9D9f6V(%6*k5Z~*DCfTz8SqfmUhZ-uoamaa20zJl|je;JfU0$JpCe-x1G46BFz1-i0ubRI+Sv)lrY_%Wz ztb(*3@Bg!ff|A}lRG_~KKY6$0JJD#WIeW(6uUY%LzE`*C`*ib{$BEtt0zG&~__5j- zzR)ATvW4E(>ZsKJLn+>0+V6Us7q2dQ{J#Xp)xACT+feib)#U}f63>4ZxPs_i6l5#$ z^ncBgeCWHs_@2;WzxM|Fp^yI`m?TX&9ANfi-67JISC#tSrU0+H>R$l|+)};+&0n54 ziCvz=jiSZ#$p0On@5_aU{OEf?Xy4LbK5TYze~C6sob1591@yF^p8nsM`(MQ2{0y_7 zPAf~w`s2%2%nz`ikE!6H9?9Wsi!aQtelb8_?WY0N^|H&LapvtJjvnAXO?L5phSDzE zn;zKjUO1c zW(SIMRWA6Q`PCyt4;va*0FA@Eu@1dgi zjuyPf7+>9z<_J5_ec6M*pUj0#i`dIVlGG1LLB=Cr( z@I_dl^wSpwzP=|9Udi|HfM@(c>%-e@NW4q)y~&sFlpYUn(c?Q7U+72o2yfYzxbb0& zFW@~u?dIR3O+tplDb{~42{xg+w9BgI_wvK^-WP2GUTGKqp4(Xky%O)i7I!xmJ=Rcd zez3sw6|3a;#5ees{^#S{xs&kyl<_@XHhI2M+xKKXD}iZOb;jg-K4zBh<$elbgu}iN z>n-{n1*N`^4}LH*0B-|sw0h#C>s!*h%GwPazHjS(ApD;B?m=e1GeuKXT`2c~c>t@* zN*%ptzq91Kp2>&4zSjxS5>N3d*-y)XQT)`uds)i2vdK3Jp3==q&@26KEh(zv>HsB; z5#7C&lJEa=v+D8tRh3%()aWJo#Pd4iThe3Qt+GT%#ZOgcIuJxlAh~7_(7Ppr4^n0D8FKtWxpY3-~rTxBc^S>V%-$&Z- zs7gOQ)bxPwA4TgQEqZ(fW}~?%jU~|dYYFe zJ3mA8t~Z^*E%|ON2NfjwTs8_yypNmzJzuoeS6f_TG)w#4q7G?vs(V9wlz#AD1zY%@ zs_nST^+18P5KGV`3pE3K1eQFQv zjVPs^kGB2`o^DC=Mes@(QvvOvEXCAYgAb~#2ORIAlFj3I4}vbXHUHCT8mC>p67Sn8 zaC)DX4fibUU z^8~hbSWOR>!27Y%2BLSR(YsT>!_^#$zLP-T8wAqxc;+sQhZGQYa_Heo-|y8u=*hlg zE@?ilW_CVDw)>irUXp0^gV*a}8uGCY;CHEO7yjzh<)0n+?rZIEbBkU6X7O3~C0)43 zX~g^jUBFja`aRQM2k7H?%wdFYpwa+q>fG@d8~iy~KuM?13V8Ua&tuhWJ*dPDr^oZl zHhp~LBdBOvi=L^`5{la(a`g-*f?1>LyE5(-zKhblVw6=JNaH%J) zrX>HYPE=AjNbhWbi|=vo1C%2Ra|P(^q2%`x&yX)(gG)I%_|!QbW#E(ioSb(Tk04h% zQs>maOF8pZ?j=Eoa;L>L_r6kza%_qGMpr8ZH{-UIj2U-6~YbWZz6 zd9;t5+77uqfkS-WFqC=Spq%;GnM&*GK4hRDA`iZapCK>u;D@~bz&ad1nr@Ch><12# zjk?ps??uXyBjRFb+HOoa@_t$g*?CXn4NBM=yEE6kP-!ETy+=9ELcXnhXXW@OavZMw zt;(U#HF~2jZ2=AJ@(JaX@1;b}{uO-*+xVJ(H(ej8Ys#R-eb6IOwv+0As+@WH70S6E zd7+0NEvswp`GOMq?W}aQ&M#GdzSXU#Yx>ZOmA_i)6rID%_pENyHTZ$t9Ou5;Z8{zyyV)NFaiGDD1s^?!BG7QqLSLLo&e!NgXu5QJ1VN=UC* zii(Yec77D1m4_11N->3vpfhvt9e2sXdvBNd&CLJJ%zo(weDb)C;1bn}5j7PVJY|@~ zsZsSPczgTb*w!&1(Ymv5KkAkrey5JqLw<3^!`j~KEq^R z2VcbZeL9}p*;%Au06n||(AN+yLcK)SjS4U7M^|=FkFipRok2m97K`;#qC(#Y9s077 zC>@%*m&9s$vwZ$RAG^)j=yV*jZXDfLRilMiio8&y=obChZoWRmKEoY8PEjn4t}IHC zkfL!0oT3~ugEn+16BFvHy!`W9|6!(_0MhM(5v3Cu*I(ZKdyC2maFlm|4|_00Shjd= zr3Du9Bzq+mZ42pI$f7U7`ETO$i6lIHo;Eg`_yuic)YVV3d z1ZQfNe?J}IOdl}{b*e3?rTOvl#>x}Jb&qN*n4*&rF|5&!4ivR!s literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2c80b3d9b606aec2bb04ca6ad1f9eac129852cc GIT binary patch literal 23185 zcmagFWmFu&*03Agf&~liu0eupLLj)iYjAf95(w_D!QE|ef(;&AgAWpX(1BsNbI$wy z_}+EbU3X^f+Eq_gS9e$M>fT*lh5P2sn>s9nH)inv2Y6h^+TFv>#hFH!i-$(W%g)h? z<^vxu4G%9juK+hM505a7rUK0uFGok3|5MPJ4GF&0n>Xt^|5a}y(Edj!8~l& zFr$)Pd(CyHXUi<2dpAK_l-47>{zZNJPq`C=vSN<=&!?1{|Hg>_Nvrp`;7by@jGW%| zNl3a#$@Zkr-f*LDk!%&^O}{>DtgYo|=UZ-fe9bKtJ-4|RKFYQ&$j;Bt|Lfxp|1l>Z zDU{8(-@PnNmz3a+o*+pr`AgN_qEkHYydQIu^rWdF^xKW1!?_QX^u;6FmAD=6kwbdC zM0te)*D5}UZ6YPv3u(M{Cd+-l&9AW7_u2elM;P!d$82%DljZj<&}!pK=ww2Qe$5ip z=n(abf=R2?y`W+O<76UQJ&i!D(Nis&B^ySW$$dtjkyZKq?&X~rGPA-9gMk5)JzW#p z=u~C>=X1F<#2VCrd{OiY)IUliZ^DR?e$J3d)tbLiuSSTldymEBkO$P1Uh)AVF=-u# zkO|_B0jvw^&V{>em;A&D{ne^4LNs5uF~(26@97mi>ASHRGG1V}ZiLO#rz>?643)<< zoOAehgf<^F|2YwIc_bm^Gw?HdQiDoAF}uDF&=&1oDqX+X_%XIk8C7}&%4WS|JInIN zyVS3^)OS?W1b^&lsB?l2pJPBB zn>5P4{hbocF5MdV)B!$$JX|s#lA>&Vj?vTA|2$mi6AvSHqheY2 zQTQu-nm%3To8*-YsddR?t$h>3t1V~Jav)&mlbJi#SJgaTA=fr^kjlRY0!;a!C0K)4 z#}3vuc6)+r(r0gL(zvhaR2;66f@~ER)nf}z4l*rEI5R;P$^gd(fQId|+_G%Jq)4@} zpUu0ombTW*acp-=v-VZTrxoq~-uQ;A@Si(t>la+zPQIpw8;{W&1dnSLM+IP2b?0^4 zhtGk;u!ikd8Qzyuu=PZ80bpGOglFsbs8mq(-C;@EL79|%<{%qqb&*xf?sYPNNVII= zf)%&%#olg6-1=zCsJ<=;yk~!%t6afm`sZa|cek(y=C@J0N?7zo*I#1e1(-8+FG6^K z6c6Heo^DCPy%`>NJiu7$F1j>uB!aO+Z4(68jE2Xi5)!M(7CxQlRpcclgh{km+SPa9 zTCU#58IH`MjeO_`9Ps9zH+mQt+RsEf^cRnPBKqG~>fRZ*^1(i!gE?khwG8dRKt4HE zphkQbP5)4<-QkymbAXuz81W2E=zTf`nUJN`d+kd}t ze^9Rq*heFQiRE^~=}=?dvse`U4E;}}QUF!xh(+Un%)+|{?LKH(Onobs>Z(_u(a?zv zll}iToBx*`l`kP7;Yz*qq3z&$W8J#wOSUIkYVTlS|4xwH-L-X_lAu;{AS9Z=`mg=* zjEP<87~K?4Qhl6x`LHD3%Gk;zmf9PQ z`@DLj-2ig4DE%*Q#;9$GLvCKFC*o`7kq0gAl8?y0PUeZc1b_K_dnMqF!Kof@KS%$v z(YjuZkvQ}&L%YF9{Nn{D?*d5kpBLlPvg}5_Z(ID5yEfFbpk>NX{_ss8*0<$)MWg|M;PgRXr~KC#LCX$=n@-*S1TDJUkCS2=^mHmJzZryx z7BPm9y(P7yc~3~E1yt3frdzEHD^_w7LYI1-M4Y=PwE2t6pq<98%!e5D)^zi+HZ%mWVOpYqgMT=Q`5|xzLEGxwVpVW(Xp{O#TdQG z=zPn$kw|9Y_QXtw=@#>q`a?gs(N7sYdfvrtX8ssST9S4KB@kjt{kS3jnLGXCXGrAd z#H85h=)eQz=+9htDnus#_-<||P0?h_E=4WR2xpSEx;XBqvG$C-1KUv-#_7Vhv%HOT zME(^DS>L>h%e;JIixIZ)Mp1ZAr-4~!%TTK3ud_p+DI22EP-h**FZqmDc#NNi5fXMp@ntS`=jeUN9_xp~nWsVR?Yzg&{c{OiP@_8!jRw0I z_9#i~fD3yG@qNGbe($BeUgfR42YKJ>4ZG^QucAJ>0p}Os@@|vv8j0?jypwy~U#9&R zGejXuPJ!UQy?Q;yo5*=%(TWw9vU$?t??Rbst@1`)yItdyN28xE$ARPuM@(3a%_v^a zhhysu+K0cMoyG+X!BtKV>qpehP=lMbz3pu0iW48ZhG9kD!^1rZhl2afFt^I>kg~?% z8i*i_4`3<1*=8Er^Q+YiS5i_wAcZ0`qE|DKgp>V+@9wE$17>hHoAES5EP7P^>QI+? zU^^p#A#73YTR`HwK;NaS^y<1vKNG3Th|>FhtkkFC)L*>@)>~(6f2S%DOuEt{n0aT_ z=cR6U6Fyw09}VgG)`HFI#x2?EIdY0Y_t&4fkX-&}4;_XI#!?~)nYVG`WC3>(efe^k zZE=XrWE~NA!Nyq^l`g=cB*yx#`=24Z$+rTDmod5Bl%-4XhSu)okQckt`E9Mv3w18& z+56qH(F^66G7t)45(GOx9wkKk*ACL{F zI$Z2qQr>=A{@AAfO`NXg<~Mi&h4VhfQ=V$>@H2l(wQOry@Z9o~?8ZM5<1E#*0JEs- z;-z+_Th+IUM_WU0yxXuI&TzElWB!|hX_T8p`8M}8&)AW|Sjm4YGIsMYZZY0;h)eMY+3dD`J#66yv zdF98YI!k(j{^eC}MV;BoFr=Jj(E9J!FqTbV0OhS~UNMIC-^h$_X@m=ezqA~gv^v|`o|ud{XBbja^mcx=RX}6?qgX3?YqZr=+Hkr#!}zx* zBTv~g$J5(ckono2*PBau&9}V8U6ECPC~rPeKvBd$!~52&)?njElf&uwjMi2&c)2+- z{khieBITmx1}t#2$Sf#3cGi`f=5FZm&*A2paZ9)#S9|;@|L2mSgKKA9Xm?aIS~Dj%`y22S7C1?i?VEf z$zXvB3%cBNSZzMJdSy+ZZy9mmc4NWEX7AEPng67&X2X(_-qqN{0^p~{Y1cRzoHK=t zvFG5du^`iz>V&80^7pDOa^#p4*b^&r#|XrhXYS?5E(lvp7b}0=U;UgY%??;^>vbL< z@lq`RrB%}glLs#?P|Hhv4WWQAyqF)P-pVDb&QpJm|B1Zq>h?=AiOL4I^k9!WN3)Ol zjSWMT(wd;TE0BMMc0nUb$$^sB$qUF)`^iR~k~j7=$?jo%$Ls6HcMTOpT6;gsJRb}{ z`a#A6Y?RC-L1H7BEi+dPzmop|G&rDwgYT{wO9c4Scb`9dh~v*0sea~PfhV_q?o==O z_g-FoWK*_!ze0Ko!6QWteA{yp&3%+r01--55#E<=`j)Z2X|Pl_Pi}~wW~9LxN$3)= zaFAkOuUKuAsii5d0lM!hB_`LfC{ueH+KmU(ePYYRoy5Xs6)!fjZd+os9{tkSJhcjB zhDRh=`96ZbW;gv(6h;;2<9El%PEJ+?zKt`Ifpxkrj#Crk(1vIUML?x@@xWsdpMccw z;NbHW>?5Uhjp~w|Qtx(i6Y6h{ee;aFVB)fxo0%Tgr;a`&%G;bV41fj^YuSo?KYBi9 za!XgY|IEc%-IrJl!?B4&IwX$w`KwwV4^g^oeLV^dTb&8w^uKU2y)W*EWO=F6X zm3&j||7lWNBCxR(<1bqAO_{piWXICna!dM`J%5VHhFt7=*B3%fJ;D97O&i|;TwV3N zPpPz3r@G&`Ao&`2Dt}1Y5jJnPq%YWz|^5QcH#pTI4*9??LGkUqD`&?5l)5 zraeW5@c@|MV`KEzG})~rWMAI#q#RP%$VzgupA!jJFy8x;sj$CEj2TEVwvpj@z@~Uh z=YD&=Oq(V_j3=|ljrlX>6H5@LdbrxtpAytxx1@^LGAH!;WD)bs@&f2(xV83p3WbS) zi011O{`%Dt=Fdys&FDYKSLg_xjbhb0-8f}-N8A24fQ>qR4i_F>`i9rf6ZFqMHonN$ zpz9pLUQMj7NqN%)WnIM0oGn&_?gU{SJ+5QV4r|H8lWj80flDO5ay?eX`jk!N9HEUQ ze_~nNbKTN`TO_@%=GZf$s`prHS?AVG%3w%IsWYuEtv!X@c>X%#Gkyor#U=HLo@1&w zE!(<)_=hpn50Y~MdQ{l3UE0LR*353o<7!#gB%r0#`pPLU4N*e}(L9xDCPI~OFKX#a z9~Y9wwLi9eK!`DF>E0b#QUZb(X;RFJgVcKFe@b_d{y}HBbUgR@a{L)qC)D!_+-zs; zIR6+>_ijt>TnEEaK!!TM_T85D`F9$Cf|5;xsgjM$r|hXMJ-+NzHDU>1mx|x>kY|3O@VAy~025zW ze6c-_|0ipl_M(Y1Cu!$8zR0}euf;G&nTMiJqlQRParg&-iEEuL^@NUOO5UwQ58&dy z!==z3%a~}2kX4+mWLE=3A|lw-kiL7RxYpaHtmVw4-)5~>In(;#i(JNE^6&cIE^)SN zy?5H9JhN+7w zv}fVPZeYa4t(qH0cj&xkgTUD|UvjfgB&0LQ3+DR#54wB51c7JVJa1&qwsE8yYs$M= zMJ3r~Z>3Vo*xY%fTRjP7Caom_BBs8LGg?JjanbT!cwn65*Jw>JS|$AH!s|z%`Qque zaQ+|wknO>^Xmn2BaOtbsaKYd<$p%sJG2B%f$WDDgEOZG0-2(@6RaISchOAU*v@0>=w}?S z^S){P%jUD{oG$9vF=3qh7q-LaaFQsx^{#SAv$d`p&;?3~H{>4j;Jd_ecP4b7_{V1v zggPy=^snQy=yGoLrgD64s@X3UebZm@ENO{o+PjtQ*7}C~-ip*HBR5sylTY+xo`M_D-s%&3wJAE$VMY z7vqVLUdRYtcqZv9yT7|=aR{z>dHr?dZ`6_t6xdC*Si<)gjWo&I8I?%%d_mc7N))_( zK?%sDgBFh#M^QcAUV`n{^vdRXXEuVt5q~c(g8b|+lW_olIddv{#pnCNNCx08nOB1` z{Oyx}O%%_Q+|pRZhj#-HDJ$r1lyFIOCh%vyo`>{o%D-j-_SAw6io_q+8qp^By^az< znKhGWG1>M-qf%WNZty{A#ya{^eWtL;?j3LDbVtGut7eo!a=;3U-dlpE5D((B%sH%j zYx$4M%6Ip7*yE8!&ImpUvDG!nKS*?1QE)8rb+`?E^SA+zR$#)|mwysO%N9ty{!JAn z?E<&9mszC!b{3a2k)_Tk+@=;%DY1D^C>()A*Yc+&-%WVPH{N#?r{o^Itv15OE+0Em z+vN)#e78NBwy$q_r7YKnpP773^m|vMyP>` zMyx4oWo-5jAwFOtA;kZi?5ga5wn<)OjtGiE!kPTgqbZhrS@xVF@n%a5LI zPv|8$y3fO(1ZGSgLCQ&<{U2Ui5>gRJdq+JzJM}C_b87THF{w66H@q_-&b~WQl(6ou>7w!zN z8G(grGF`QR{5H3U0^ZVpoSRv^Adbx>c-chb!_x7M`RJiG`;~jDjZRltx$Yu5QUN1Z>$cyj(y@Z&%HX>;b(MEu{%umLufDi(2y|G7GMgt-;%HcgRn zCbgZbKtP0^EH<|h*e?d)iN(4-Tye++if6WKe=qc7ZF=xd$F7|g#FvYr|MAX>X&)_| zTN!%6@nY%SR{fgYt~vFJKF4Y!@nx}O5v~+A1?%3=I_-~Dlx3lYmt+e4UzoP$U&$pB|Eh`DrAW^X z>mEZQw32nV&PwK?P)D|Hu4#;?!imbGhae zHK)plkVc^qXwx3yO;n7gQes-_-M3C2|FZ0w;@VHt zfa6c-q)in1xedzMVtpU2!H?@{KVe-dE#T4VhkYhB*agC|@#$If)Gu zPj{qn(;n`tP^F-VRKFMDz1)w${5lfxdcc=0WoPkA$`lA1Ff+;U6a zz)?RGC#b)3v4&K3mqPO=7(thPT&%BByD4DYR~a?}mF(3&0Xd3ns*YBZ69nY?Rboxa z>HnXRL3IT~j;Xkh{*FJpMHNWdB@u-C-juv{(nSqbOO{f|z<#=;Ly#tD4-%Q9k|gus z;2Ru-kHY~Y9Hb4WvyV$m*VC6ABw%TXXDYH-J>2?9DmX72M zqXU`7df(aTYDoNKzZY#BS+F9y4gt-x?Y-8PX*0Z}l%D7M>m=W9Bm!jT8w3uCzxFp| z88W=kSvV)(l1Bn$p{mLd|741gJrtg@>ygcvpUc2i#3qP1@FnR!Y^MWVEp%v z(7;JqQwIRgIi7`OI9_T#kZ$J^mpF8hVME>wU;Z>tlg7(lCA4+P9kbLtS(7HdI}Z_- zFz_66u=|VRC1=6^X4KF~qa^h>(RkF<3*M{l;hhcXjMwY2C@&aq`|z8CFo^%~X7X`p z%OpV(4DW?I9h0SQN&OOD!_t5RA(j<I!_-JR z_#x-fx9;zZ07j#;#TCYoQQS=2ZZQj z5hiG_O5dGoZ=T1#!(B;aAh^QGtj0A%(rG^sYWEhjubL}F-QWMC<^GvmX!6pzfq^M@RJDzI$98C1TCJY;+GUa z)c!KlawVj>a-HR*E6KJ_<~I;J_fS_SMCmU_>7xd61R8xujqgXpJ4|b6c@`R37_cfV zO-$!Q@2-Ivn>n0$>kS`Y;;Q4i)W@_>AyatW%6=oX>oV&9 znfp>BdwOPQCIm8{(3em?8RWReU9%IMC)pL|Q@wn@d&;D&VenQ;SCMCeavt=#OTQV* zu8D=-4d>+WbCUkUg#PqmZ%n@1}481VA@ znY_-(^N(k){>k^u{R7h_RmY7SngWoJV@DZ0j4(3x;+ZjQiP6Qt1D_ zZqQc6*HI(im2#%Uxp|#@?a3Yep^W>Sc9(A%)T;CZ>di129c?5HN>rfccxUK{zfb;}%C((R*{Hv#Img!UgL7-*QH$YkM;Yvw zX!hqe23n09MuzJzHG(odLm_(!It_BYBJV<4{f)`aen+d$OW$2)I1?nzWUsCR;$>83oEYvY|dE3-} zZCb4EDhHU7gl=kv6pgBGfNBpfKV^@N<{z;+nGBka^Hvs7geyJhnh>HVO1C`q?Fa-A z<*J5{ggZDc&MBLQ#LwW5zI2QRV|H-(cU8lmx0<)$SXV9AGc>}o67|SET*%PD0TPhj zSTa<;xW;(mljGPQ>9TpK9<}$c@^YOm+}-ZVH>}0OM%^Sy?H9sW-c{?4i=tp*d~E!( z2^wBEAB-_cj#1u8tgCaBY&N+`z5^m*7=a+kn>zQqPK8uq4X>qlo>V9G6Vu0q$EwBL ztzq-;TXcdkFgu#x)l)QRz{)gm5J9f29_U?rre#z8JQowFHB)FH)f9JjmifL33pE%A zt6&YUqE@zQWtwz4m{u+2Deim9;t^Y09{ZAedw9xQ92W!-$$Xes5e4(rwB!6RW=)iV(QjUX6vg|GLG&#BsUO(78C@6rt>-7)klai2P(4~@KtSqrdbGN1^eM7Ww#@_~F(7q` zxj3>%B_kUl^~CR&)r7%%EGJ4e1KzP#&*-Zval>||1!UTiQJG3fH90W%77w$@j zI0hO%)3VJ<4V|hqa;ap>>SolmgBaL{blzHxdSnoOb6$-Uq}TJ3kqu;!4t7*a$>>H+ zD+TFP^9ok+!e7MW*+9`n3@sIfVJTAu_Xv#FgS+nq2`)Fi9u5w^&i~t&f&f~dREvNY z`hFZN<9I=QEX?xREm8pOiOr&k+iG5FM365lAL4|Q#v!PPp}qHQ+HgBbSc>oMQV|2y z-%9&{{%R++bVvkSi$>XIsYXUk+GTZGvjQYu!4CO{X^&O)Cd!11br6n*HO`<-=TNTTBdX)a^vq)>g4ufVjL zWp5OI;PQZp+|(Z5gv;QgwTz%;m3_u~3E5Fg$UQ#V@ugSsYn$lf>o=2bhKO`oH+)wt z$5in>0rogJVfHd*47?3WIg(?Mxt{F8B4)_lkrlqS!t_|xKshp!5!jX!h-IxhMG`tH zQ*%B5dDk>W?_Oi&8Y+LNMzf7xzBbbOx*_Cg-py*&kb>u~b#keclG-ag4Oqbt9y3qU9$dGkYpQW^R% zrf??Vi|$Tj@W`{1gtEZ%*m*V~klC@f!<~TqV_?8o{=j;zJ^UfH;^5B`3RRZaSMnrT zVao&&{X~U0W~Z)sjkM72x$%zOB5}V7fW%?jQhw*Yn><-my69zIo*2?kYFZHn81mwl5=ZSrmo`O7gO%+Ivc-{d4_bUr!%gCyS|fq8w>eW{h% zp@3Kvu9a$H@^;K^tX%8CtD6NDDVf5Gc;k)2J^55^3YJgR=eSy9PqJ5hMuSPtM*`d_ zhFAVg3CNMSHEyH_Jx1I#RqX(k-H>J|_I9ZMwLvj+=x$aCb7(fE*jdkdnQcg~niS&x zo)4sA01NkwRkCw^GE(lfYc8 zvA-1{CRja2YB*#BT8Et!Xu*O$+&PA}()nTGs{Zs@simb+4nA4w(=OTvm{LDAn?Qog ziaE4k=CM>945O@Y)6m6WNbs{7C*lfT2^ucQ!By1;B>2fR+p79k{%U=F5i6{Ae@H9n zxx@a;+;vOn3qR5jRw%ug+9NGkihn!9G7dN*bas^(E6Hav^3rkmTuU~YfBuBkKeF< z-Hf=6p7I~Xy{M1aRy1-m$87Wcs?ZBZY# zQ`=M88eQ+q@9%M6c?i~<_^X}-3s4P<>6?}B0T`%U3<_N|9<+|R&o=?H3wK}fiz?&- zPOD6}Qz_HG^==P~D@2Svkfpth{{GF{voLA(QUncb%P6ZSpv0GAg`Z&TULb&0T$sS&uh z&5uV1DUOe2^$wYLc0}WC_lhL?G$AiS{iwp*6Eqk06q#dzzC?EJ=wr;TwQmx9!D?uQ z>>&h46g3zRJ4NDwXlQE(1iP`gyMdfD+p&30PdEoJzy|3)D##L0kS>G>%n39N3ls$( z(Qk)Bg@L9&1KGh3^tOLzKYb5*|KUYg3v=h7Nl0bnI`qBsh#x)9#YE{#;N&eg5F*2K z`oeP1|0P%qY)T_Z3YkrkB!=K73(~$)xnI1qdZ9{$k^+SzLx>f0rB05LxaDAe2a`!5 z2!71&Px=KR_?=|~K^TyC2c}GME@?dC7=62Fn*E_Yq!7X6%;Z-*h-Fd>Zp!7&cU9Ct zEbxBPD=LIp>RDRJHt<7T{BBfu@SDdA*_pntj1ROM)DUAJt~5;WAd3E#9t;lzz;g8c zM387;NE~!JY2@VK^4F0}9v=>Vb#^%DCJUF8*oS5P2Mmh#@2Mgr+M|f+7n8>uBF13d zpS;`QQ;3fzDhFuvuOGmgN!zi3rUxA~g^76$YL^igrU-o!_eTwSZ{Beiw1$6NJ7ZK? zhtj-X#x%)wY7(i=g9_t}BAyEqfkIG)H(*CVRjC>83n`f5!5G~uCYYJ#RUBNB9D)Q% z2X_4Cx$m#}rFviDvlA$eDN6idS|Wh*xY@-$vF0q`^0)AF{<`Y(_YLZ?eaoP;@Ut76 zkRIfx{vXZKIaU;T3Hu=ySw~U3l~VVUz)bpA(}TZ2$!I9W0kw267Z{hO9}m(7od4?^KLT)Wa$zX+HK`?kO$7{%8py}lOiYr*5f195 zbKWR1f6W}&K&)2hNV2TolXDdqF zzajKIu!5h^-tQ-tPwCscd!5qIDA!;z_h5R$a#@#83oEw-yV4XJZ(K%07rs8lNAGXk zgl_!D<}mu-LdxKlmuCqg0v1L=*MO!GdHyB|(02z7(le*;Ub?7+U&Ovc&w;`#R-$={ zdFRdW#*Mb*nY@%ydCs7Fr2{k5_ag|8@tTOfpWXfvjQXEb3(K?I2|Vba4Iy;zi}EFO zzZg7>;oX+D?hZy34r-y6fVp2vGBcCVzlwmvlU~Uo*}(oV=pgVlI*%F+!V4ZsdL;rV zO}+^szM2EReuuIGCF7y*5BjCI!lC=X#81JZV3PytFTsf5{khkZPyf1*#{7gj+I9)P z%dw>OMd%ex*Cq9mHv6royHgKSo6N#O^Q@*0 zx4O1WRcU@aS76o;L>c}{++Tab5KH|JUg9!1g(D9rK_rZC8GlWL%1g=8_@9Y8dmI*4 z3|WPuU-O+x%855Bs|G*X+jDRK$pnoSlI*_0TT3e|JI^gEi-!v*T&8o%%ADYWJ+WmQ z_?yuy|3}vHZyBW;bK3H|M!ba>y`Of<9G_jZ=e^tI%cnUIU5wiQ4$&2^_GhO=Hr+We zGsG6ru0aE1xbh$u7~@%=e~GuwM&QK31ld(oT(mA| zCa#}UX`bJ_&bhWXpNI)xF7v1y$i+1`3{~9?sy>v1%Pz~Th%H4T_W_>)3USjM@uLQOu z17E$X4FbwymYWWJ&+Ds&+P%Db->GA_1zS zA#e;uh@n!-XVdxM7|8F|AjieU%#Zf}l*t9|D&lke7r!V6J>iv!sN-g)@6O7c=sJDH zr}~3R|Mi`6f(8irIs5fP1;RDIcby)ZD2Zuo;Xi5Dyh64 zC}X@ga^2?>8EuL^4LOtUBll~NPWQG$P3Sa3P!n$^gq`H5>R`g?uwiOx@9z^HTdZw; zLhD~xR(jjn%+f43P*8}=;eMG9<4Xvx%39AftAlHM2WpDLk4!~k0G%mH#*sr92-4Fo zRw$Zr)UCtufST+%=H4LsuHJ~G$r>h~+Gm_GOCAJ4Z6$)B&Rqm<0hg9C1HwhG_3Mj_ zFDSp2)msBHT&7HZ?JP^`>?9rsP`f)(ByQSh+G_C5R~150*h`x2+y;&<-C+4E_>LKz@AM= z31|yJnCzB>a@CjtVZ8*Ea91z|`S6v8y);34G6SH;6@dt!z`chg?jV9_MYhq@?vkF4 z0HhxzU^!-Je8k$r?n>k<6=kM5B=ttMQ_m>;vfkf zxrFIPruQ&U{SK0YxjzzSBOFukN+3tl5BzDV_OX`p*-z1C*Y)x8x%C2czY6~LcAG`x z7L}=L1AK>i*H-ovjgNryc_w`Zf0K!LL1~tENpFHFZ6Mfs(0UlB5Ka`W!;0re?^?UF zbECfY?vjqCN#QRr97MSa#F_wI&dYN>Ky!_)AAS8PS0*o?W~&~@miw8Y6Tr3BRLVUCJ<8GAR*(JHzgYUzAmH{|NBjw9 zl4|dK2)sNCSss3A$mzU9_A!I@vrmC9c_zipGXjP<9zsF*aoqy(HOu#PCL1fAtsSRE z-szxTcv>i6@Wp%yH$vY#tgO6#no>{z!xg>eYY_sX9A=c~-5=_pUgHW&rQ=i2r!c<=?K(c92+*3<9~9eN4=^~ zchLO^-z!_A*`N9I>rz;wD?(KGNXU{fBE{gi?^H`^Nrz_3X9Ox#s;yxT!=-NIm4=Ip z(Z2eN8G-mCue-W$M$2mz?VSB@kAn=FNgv`cIKMMph&(Mv~HzBq0)6N3jnO%3BivCvEBDI0g=m`P# z>%8`x>m?Q-?_hY3tEahSW9{;J*&*-6cE3K#St$4ALJ|*mAn$z<&9AR{CYgV86k@g* zp%Guy9G{;ZUl@dMnRk8py%Od17taQwkOitS&uI9e@BFqUP<+Z=GYdp+p5YRy-$!Hv zfiIX5HP5&V8`&0prO-*ry$VbrRLZ~=&bFy$+LG@m>^OWa7w=_Z)~2Hj^)B&Cq=`G>aQ>8o7tjz zFg_-}a{IPemhAUK4nluw6VrU0)=-I~xY<2+K6u66L za>+bnCHx0{vPV3)f8hHofC z9g9jAqn&cM$pS^2XE2A3xSXdBDToaGUh{AM{=>sTguT|mFUwj$@3GQ%lEquyg|aaN z`9Rogh&&*rPK3Ap$Lvt8opI;lQIx(32C?%Jw;=a7(QyNuq=t#1TYDZzE=XXfh``@B zbM5a5+j*PWP{{WFo*>F(7ZdRMkY;RSP&(k5OK-XjC$snREt|jYRI+m+uUD@q(2Y~* zYWpZ17k-0ds6D7K&nOK4p$lHBS-#a4KSc?7TjS4@QG2o{K(PNpK%Z&nJophAgBrhO zL=ul%Sb9^uC#^qZwGr$C(+|v5?jT>m)nZwwq zad<&|@Z1NiEuFA}B3zH--a|!!upLY@1s*XI4kVIPn_2nIAsDIe?A`(lh`S8^(WlyB zjr3>!0RbW${03*a0x&L&*2QRZ&7rtWW&_}yau3J?tvC~(q;GfXOTm{aT(8Xi)R?>+ zY^KK9&N-lT7AX2`M-|Ct@_TlK;QT^+vc|Q=Lp#9q%3XGMlSr|9!!RQ7o;!p^*lM+8 zV85~uzP>{4@%g+YoMP-ptQ|5|os)z6*vfTNj?Kg8px{3l1i#y_YDj5kuO0Y;JFcGj zgA+7=m*TMls~VzuN^+34fh>~gn91#Tcy^&dTA7fEflN9Y@bgIZC9iBW|g zof^K^#ad=uv;{S9WDEC)4PPUWyJXZGhcO@L_p&QfVMt2UUSODK@C|XOzHJf1;K;i* z*O;4Y8Gbpt8yAMK2}4BO!TIT_E?-sp%69hSlOLo#Y*nl$2S~h##VAtZ9S$cwuC6>B zX~k{B$F@&&P=)<15|*u^n(WT2vr5X=O67gMlJ@h=SbIN=(H&`7D<_rUQuN5Vl68I5 zQTKK>lMvLIV>`7uJQ`u!tkFF}6Xrs97ZU&us%Anqf*F93MdXao7M1wN_$N;9@gjZX9+$zbp_DyeGo%jN~@|?U`9+X9d7sN)DKB_eY}{_=DyW ztEESB3cPKefgApV4}3A>A=;f!KRPxzV$Ur!M1jr#mE0|sK*~^~hB(%aE+9kM7(}C^ zK(XeQ^+>V(v<{bkp-kwUDdtBhO-0nTS zdtbR6q5qh#8j?Q)-w1AMi#(GH1Mlp|D~@*jzTgztuja+NSDv6HZU-PqCk)bz;Rzvf zic{F=li27}+pOW)_%Z20RRBV@R{WI*Ez$|ID8drd2c0Bv!`-H~5ypg}e-p;%2yV!K zrvnyhbcG7H*urPoryh6>PN~k0w9$~PkODe$+}*Afxy?^=J>1$3_WVgY5zoOcjXV8E zA3z~t6h3Ie-%z$Q^wxfmSUxIfcxfjF*tUN_Q=sqdh-Ud%}|;^MlC(E+c4jkIIP z=@jG#zMe_@oiuXvLWmNg2g(hnAEW)RWH}db%|ppU&QE){;mMPwKH3z zhxcOwdwxGWC?gUFs`~z_;if71>_<%II`pm@(g*;@hx_qcQ$tLbYKXp z^3{6r4$%4W`=sPf0jm3>`(I2h5uoOCJI~~Ap2%@^D4J%0HhCr?X1ggErnmpZ zLNG=t`<{YybXEChe>3tl_^T1U$)kVIwTmu-*R z5n|B%jovpuhE*MBGBPS-zUJ%OggN*(3D~IS3?&sc>EBkER_2ehpiM?XNll+7x4gG; zF58hD+@v!{7~2T=W$DDs+kX*?%5ai|3Dd|0UFOg+c?5LXyZHH!33XegVo7xQ8M)FI z_Uwts*kfw6?Pg5sIxS|+C5+Q{Xa2;vH%++j{3|XC`{W^4btP8^TSX0(+x^DP&DGJ? z+WN7L(@4$P*>JJP)f~{xe5h`Mo#u>g>#FTW}Nfjgv&;K0nE zS4d?nw9sn`D#3Bcgn?6`uHkkleAk0xG$`Z2dj)?2jcZYwj@xaRvv?3C_#7OdopLcd z19vXNb7E$Y_i9iJ`f2@3!uAV}a|yFNJYjuo%`9%2JRuJJs3m9@+uCOJ;$WXj#vlY0 zXPuof1lTXcLe#8&4|a&^;J7N$qt(ExYN#r5U@YP+8Wan~8JWY>|F_-AoY3QQgP83e z<+uxBmSTIq=1vsSM;9d2(14oXNIXw;)FGcTj@rr*(4{=V=aKNwHG0drZ^bS_(MK+$ zbc*xpLP+*0{Uy_+!o^umbQ{l8%_j+)rO0Gy*y}SJ zD9sj|jikzcj}(G5(EcLoz8u;QnQY&GoN5PMt~O8q%=B*RM~Iu>6{<)Siu|yAb9^8K z+ZNK=a5}Qw30;3@di{$UVmLi@DL3OlaCg;FK`Pn<`(tu=Mt|^3Gvnm1yjeKlU}ETa z<$m0M$?gNsOt`- zd*j{g_HN&&&_qNiGfJ?~jwH(!J;JL>D1?eR39DiF(qHGeH>@wlrXDv15XA_y;5z7c16k+!PEeEjMcF|1ilW4apt3<94A;XuZ-W0P?HCg0 zaIZD^HUekPcljzC*d{+^*s~S9#GBV;piBRSH^#rf zu!q-(zuy$*Mt2H{1L$2fuq5|bG~#tj-w6yBY?XZ$HNRf?=k4NgAM#~!2Jft z14e!4$M|~4>$B&3>;9C|7GA$jPbV`__JdCmks>$V*C{b{=q01sL4AGdw4%V zym`;Vw~C4Xmcic$e%AMX1AkD=f470>9EI(20CXWs66Y+$A86n?FCl(k1J8L1@%tJ0 zgMqsp$595J^FX#cE5S^rV{pRuJ;K2AUV-={4Ls*^tnW^vUJnND_c#tQ_&Jv${=o+R zc;Kk#K0^=ZW{d}})+0+2=l{3ic#wgA5OCE05Ci`(;8r_$@ zrqlx$K^vN6@|{JyOsQX|QrQ5Mz0GK!e&F72;GPMbc@3|{4)dPTlwASD{~BegJj(&7 z_n<0`=gV+>&Li)-x0QO9;^Ye+dD}c*$};D?EQXl0MAx6Z-V6FiJoIxw|EPz)8uX8O=&L~gxQE^Y`X@Z} zm7sspL+=LtQyx0+?|<*1bA99w9y-?n{^+6ezU@yQI`7l|?4d{ZtsXkpGydYC9}fCo zJ#^kj|II_^ItrgDAhRah+NsL;H~{84s!Bf%z>hupPX+xa9=dJ)Rn`9(06+7{+tzAT z`I7+r+#`P?=(l+2CxC9Q)u{UC1NenUp6d$#^3V?f{Z0>^>jQUr=m&%TZx5a8V*l~b z4+NdhaFAKk0l?nlq3;j6xyGm};yUDg9{GJi=W`iVMm;|l0H0B*IP;uqJ?e8|lTP?D z9537j22{ly;oI?%82(C2|}u6Y5KB(A$a z+!<@bpugEe9|HX?9{M2YZ}reeK!1aWz5(<%dg$vxf0Ks}a8%)>{$^sDXQ*cH;j>qm%ZSLwV)C_FIBJ+K4zV|t(2Z#B!SRhYjAJs_WV$>&ybkI6-_=^cnQxsD;%L*%-HT)*f5 z-Pn~^sm|330&J8u_uve~G03k){xsyDM7|RFXOMRx|2*^0S z23(94LOg@eK>v_SPAf6YUaJOqV+dnuMIE$f&$bk^2EN zGFEeme4w&k9FSILH|gPTUTZX81vDRGIj z8JHAtK3v34FXB^!gCXfiRF~!wkd)ps(LXWlDw%8kEL|y?%YH-E(UGy?P{CBn1tmpT z)Oupm`t_qhaq==L;-c2u^3eMGP{ydWtO^Sc-!z;b<6Pj z>gJI#m#_H@he@gL2>|&UfrFdICdN07R=19gOjLF6Rkw_+cWI>2Op3<&0I6|kd}Q13 zxKOAEp--X3soWJ{Dy%mwxkJIO%iCNJWShFtqDc)|UnS`+CdF&EO6H0}#sib0%T~!8 zF{xIP?W%+Y7mc$)!BBM$NYp^vXqTal%lKQzn$0j9!}#h|$;Zb`RLLdzv>`cGY9Zm( zBZE1sho0LyR@TNIHe{6@#9h~WV3e$@ZkVX5Md9G4jRPKyZWABjGSO$CdQH;}JT6k# zjOwYEiE7*yUK{B?rmP!f1KX9l{YW#JvKraIT6gfahuS9&pd^MPOi*gMAUagkc~Ml1 zsO!S0$k%sqR20;EfmBq~d685!)ODdWBxz~WPXcKI43Cc|bsvs}Cvc#6^z&4kxv_O?rt!;G)a*oO55^Qq`hOBMd1#1_% z3ROFo&9{qPf~+1BbE$;9Y}M1(T+oBl%C9ki&>fd<8oyX7=ZqL+Isb_#$QW*RsN7&m zl4Lb5U7%|PB>XsGVN9}680q9?qcWv8LOyP3j6QVZ#>i>DbfD5@;FcYHAS-<%3=n@= zcsF*RMfX}{JPwcBWvP{ap#wz<;quC_uu13+u+aaNPvZ~Ug1Z%VEW#f?rROrw4(5kH z?e9-v&OXTPXA2gbf&W{^2hWHH*kjl-~^8EJY?c!sl9!$WnfXu!0^KMfr0*o z{jKdo!$a$a`rF!D+S`VQB`b5r0_P}k3ERic7$4qNEwh{j+b-ESpFGhwqNU#nbILW` z(lXq%sD1I^;)N)zziDCrx^ipN!sfxj;npEC{q@}s<(+hNd8dI&9T0O12DYFA+!w^h z*F4O0Wb6Z4Xp!HxVF>d3Hbi&FvJ+EBBaXqr!RCR%fu^>_ix&;y8+YaA)|Pcc&CRWg z@vXbX1Ca;rFzg!|NSr%3aM^;(HjY9+-W{Y)Taxy%jTm0yu6)4+8|D-|%V$?n6B}lz zeQ{HHVKdAcTGZdacwiCiYi)079$d7zdAQ7t;z{C;FsklfKe}n4f3&@60q%t7M_t6G zxxIaHOY^#+bqiZs%gsaU+R8(V+FHuZP0d4#T89_4C2Da(hw?gv??>}@(0P3qy2)hf zZUnAv-QwnQd2##Va%=nGaR2b)=7p{OO~d_dO)aQP>pIHGMCA*B$db?xi=*R2}_LrIMUc6&De=$483rCTt@lfjo*;akyG*elFkbUP$( zisxCWG86E(M0OrL&u-6u9}I%a!*1@X+2#0 zzB+{J?($1&1J86DTy?bh%2&Rx44W<=zb!7wUn{=Wa%*i@mpv|@y7*@r-sQKSS^A@^ z9euIlqt(;SwffIhzgqPa{9-~*KTMS`MB6YzN)`TL^2LO!Ek$2mK=BIyFj0@IkGt^o z)r+%Gy*7(x8X0KOu?_2&vKugs+UUf6Y{unlIV2F?{As#8=MvvT!NWb;J|HJ~1C z^~h+2PAyQ6Ic}Mpw(YWloaM|lHn-p0l)2^ucYO*U_(pQKcia@WucwRh&3VbyvV@;3 zuYBkJXoggwjV*xxSAkamzFvW!40uR^-vW40f!_*vK!M)|xL<+a4)`Jkeh1*H0>2aR zGZgq;fS<0w?*{xd1-=&Wg$n#0z}G2o1@H|Dj9)9Sd`5x00FNo~Re;wk@S6ayQ{Za= z4=eDS0dG>^*8<+Gz^?&(i2}bG@VElM3h)*MekI@u1%3tKtqS~dz?UoV^8r6sfv*Jo z90h&>;AboF3jse%fnNl8y8^!$@MQ}862O-#@Jj)2Q{a~Y{;~q&$D1pkS77|wdgY4> zyaezU6c|6)Uip#&hYD{5-&4QQ#*6{+t3Y1N=?}t^&SB zf!6_klLD^?{6+=F56D*DrNGYs{B8xt@1|F-Rp6%qevbm<*V!xYRbc#}d*yu!jNgE- zykCLwo4u89DDWb{-&Ej*fWM`{_;u*YcNMr9@Qn)mc)(v*;C8?_Dez*zpH<-Hfd5B< zp91(p3fu?yg9^MB@P8}txqv^Qz~=$}v;v;@H!aF@e!EMabJwOk=N>e9&S#ABoVzFGIrnVK zb8gL&=iG`d&w1x7&$&^M&mXz6ArEfAlyv!S1zwhk?wqmllDm(h)PTEb+ReoGA@3Ko zN|8rCD*Q0V<3a5U2>%NEEfZIl%syc9vR^ZCBdp20tFT!X#|@@Dc13#^z{_`kcGZrV zB5(Rb?l!-C4%Km{_`0y882iz!X6&`k91A#KV&a@4fSO>m%GdzS>&WG`?F5ZIQJ~pudr(1w#szfg@ z;p9{td)nT)Qa=68eoDV@8QHjX6mQ{d8Uuo<@c1;0wyMVmM*Fu+RM%}@-!B}VdYt!& zGRDmQ&HF|(kzYJ{KV&Bck&2|Jc%JEa@npZiPq!aLyJY=5-FR2MYAx5LXRhL1{t@+E zl<7x;KYDMtLLw7e+vOjZ{#x-a{kKGG1KD_U;cG3|#qZL;r|>R2rwYHP>NQ)+ohm<1 zl^&PhT>Z#}pDKHVo@lkI!ZCx1y>@E#XUBn=rr+gf(O&z|Cl&gJiM4UHqrm^s!Os;s zn;x;IKq6D>|I;&X0@vy{@jEs7{qN!z{>j=e?1?^VP>GC>?HBwXAgaPYOq3>G&@SMI z5Guqokw#s%`V};{Ul?y9=Ke-ER``dBK1*qO`;*2utHj$?hiNgU(|mGS+FWSAG(O)% zH&*zEiGE3G8#1w*()2kP<#xAU(zeaEk&jldooU^(<<+ZmF38Pwzxe7`(0EPH_J`Tx zmwNl3Z+w~Sw0eCuTjYGPJ6r0I&rV*o^=p4Vxom&;$@%(&PcEhH9y`gS`S<<(X{pDn4*Y#Z%vIiD@Fh350w?xxKapY3iteeJNjZSj@ov(rcO=}c+ScN!}k z(3#l#NyA5|wR?BpL6{-GccR+KE&)Vt2^O_^>*cbuUOZ}6VNfu!mi6j^Xaz@<3OzH|4`STdKhwWlsajKcg3!2o!iAf+wrcpthHV)KfCa?>aUf*)^cm*ciA&l{&eY| zT00M{v2U|yrhVJjPiwW`RWBFbrGM}2zkkV$t0E5X&)|jM9-g}$UH*6BUF|Ye_*%=Y zmEUFOZ0Xm+{vSv8F!+rAj;%Y>FKt)QVJmETrUEE@P{cvh6^gzvlg9%NTK0j)=cP&DSNQ?qY8$))@qmVAN6+Vc9h`oy^GF6h3f>QJn z1Vb@W6h7dXP{cvugCY*-fg%nHA4FkL`k@6au@e9J4Q^RoEjIOl_f4tIh4I zSwpGQA?zy^{$WZZqyXlgL+Xr>A}Ho^$Iyae96^73{K-s7s3)HXkI6&IOIYR-Z_6bQ zbCqtwDs5NKWtqFGN6Jr!t+m+rezfHum3EM_Q<>wf!%ABNzSoWCz*DJrrt5m(fwaC2 z_;&eJ+IuT>p9G!EsrQ7O`o9DIHih^5ijDjgnNt8R z+rz$QtB0(QE5}ZBs~u8*-W=Z{of17}c`iRasmAhd+(kd(8>Qa*;rpImx>+w5d|zzm zSL6Jjao!c{k3S_H{fZFVn|+75)F z|2YG{ESAT2`zladioY368*4iFZD)Mx+~x9GJbiXez2x_tjL z=j^N^4V*d4p5Oe>cW#j-_KNu@{Vx}@3WC1j zrJiN9%a4M*LwwGS0^vtlLl{lR+HNkD)O^KWrd{(v!SzMt7&edSO6dRX{8Y6@>ZcTs zZ80tAPvX-f4^JpjRm@a6_M5GhN$r9qtJF zRg5xjwx?_C$I&^`ke%SwQ%k!vB>oJSyy!i)b*4N%qo9SfYcR_Li((QwmiU~Wc(q9) z+Z8y6cEC_{JsIyk+#ISsJ^)W*5$tbhX}@H!;MZpB%WcFlP8;@T^i>1xWd=_2t9`t+ zxmvnZ9*KJOg%Q9~8!>>q+qLD|5~#BE@*T@-YZJIO_}N<}MNv6;U`8G7FvCDW7=Av4 zZZOuH{+a^9Hp@3-hyYTPMBw_Hl?(RgrCTWG14tv1k z@}+EE4DVm9l%N)b7-}*$u;jHMJGtP^gL79%T>A}OL?W?~0wlPfGfX~w@O#G=N$ZgA zpiV-mgBCp=3j6!x@fq`Eh;$F&3&?8dBs)A2pXnOfL8m&Gw&6u?jxJSwh!ViXTOm`b zYUm-Cc94&Xu4%|}3d{nxjc=Xj+S>l&(4w(M+rj#;kvxRYjEnw5@vtrT(Xg|Q?ztDmr5WWEQi+golmp93P28EpRj21#=uDC z^x+NC0Vrq{3^+M)5~x)M1AtcHPH2~@G_wJvP#W99x14+#vYr_VDK$2Yo#c)d8JhAt zGA_;K1X#x&P^JLrUQ-=Eaig_kV{926>gt)UgCf&9^5;%pqii6!@_#r0yqaN>0LJJ+ o^W=GUYPYqAzv`Q0#$X@v3sCTGF_kP{IW@SFy;q+60{{U3|2aR?g#Z8m literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt new file mode 100644 index 0000000..77fe779 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..a0fb61aa038cdf4f566225f333e1f06bf6a1396e GIT binary patch literal 40526 zcmdqHg>^^qViLk)A|lf4Mw;xZz8)Uz|HqIDav!@22Pfy#e*_NV-hZWT;eTZS z?qLU!t)rT^ltPlI?YrwI1D`0i9mp!PU;7*h5r}7~S}e8-r1&kqU{o#PaYeYO7COwo zMfDRF~$lnNydBP3o_p=LvdKYpD_!Utx~K!Q8p zQEb^ee7CT&t_%)Vt3Ayiq~H!V3=Jjh@cDXdcDCjMB_7WkewSv#Y*K4*)-jT}eUdE! zTCAlr8@1^$#_Q8kGuIg@W?vB0{M3C-v#~yv=SSOCF)j#dcwzRm|FpvY zc+I{=-%Vipq8|Lv0wGzEJ?j=0THs~UrRCjG92AjYP1oS<)oEHun|R#{I}|h{PVt3V z<)ZJ|)FqqF?L1A`T5mA8aBrL{HFFn`UlpF|cy~azOOR+)E4m${8Q8+Rr2mOuVuDC- zjh0bBsdrE4S&mzbn|D+-M~B5ls9OkR?)F(Lo%MF`wq^%zDL$!?%KCLmiecS*Api9v zg_f9*7t<~-5dY%@`%tn9{DmL`$5le6Q(usge;CzY0z1QZ)%PM<$jsvz`&*japa;r( z5+He?SJiKl3st&StEHK@`ejkX>oR8hkv=4{LsDHDjRE5Oo#vHhNm?mEP>W@F;5#lr z8bKwRSU`CnX{Dvi!7Sc7RbKy7@b)64&UhItl#ik5mmSc`rdfOG5GoH`ov|qfu*E0I zzj@yW?(O~qgZvau=#v(hEbPNWRLaG1NhbWM|u8IQ<2pfx)UDuQXCBh;~#F_NBZxl3{^7?<1z z0A@WDC+3Z^EF0U3bG~6%N$ih&!J1~$n=67}6L}Tta)}GH>90B$WBe3vZEzL~rqW3{ z`$7@jFSSifuM00G3Y(8KIK4dBuUu!|9$b^vmX-b8%Y7%YLm{oJ|0pe_u53@a(frD? zu&OFx(Cn-w#c=q5@6YHviQ#Pf4pt3zKQ(cn<+}HW3ptHHw4rSwk-Ds3wF4z`h4-{R zHrflC@#RXUXrgvdx)M;Dq@-UZuCsamG0opzsiGrdrQ3kdB^`VN>?z2`vlfl$F`)+l z*-AA@@F~lu$;`ksh68op`~{@roQ5{d6XlO0p=FrPzP|E(pX%LO4nf+W{g{33pqYoF zW&8VjpHE(uDheg=D)Hebg?#^1X%C>o;x#^ctT&(^3SJ|n4;rTw0S@6yxTCo0Nh<%()N zCgUQ}-aLwHcYK#0o+5r8N#xzPCy*b{JPst)5wfQ>yZnVGE4xiJGcly|ZCvTX;bhae zw;f2MA~1Ja*_p~EWG(lQQ`gKRewpa!iFSC z%B#VJ&CaUJed zO%OxGpE;X2YE|#P9M)kt_@(lo$)IPLWBvZEag*XnWn9aX*%xm1{?+k@_Kn|~S|CBu z&g{?3hz8@lI^hXK-rg0N$9|C1A<`l7+Mc*+ac2Xh)%>G`H%VLJvf$E)B*PWG(=K1> z&YwF5D;McJAH?p>vWRc-X6`U^Go%{+c!UG!_6fuFDcvpYFtrHyb>6Hdj__9f5&)-l zVTRfz)9F4Q$IJN8cr;iA!lWEDnrOaNWS03{U+^0hRMeeP3W!85lA^t3mS@sWavv~< zYrT0KU)DV^82F9+XIw2OtSGRU_2EZW=#Fbh$t%k`1wSh`kL_>v6*QALM*P=YRo_4K z+lG1FDfvQQSIW~=8jex^C?y`Ys#_6HQhE7-Fe4%wB@|`Z;hyaan`@gX7up%^7*$u) zB0~>x#RcWMvEX$$w41j_(*0OVNpXX}-BE5a9u~g(oBpQ6yi}VC?2;X;E`DIqwZ(6m z#VQCd9xAFdUcUSCY%5eQ5%9ox=DjSp`#@HjE!pxUr(o8n>$QX-+yEzE!@{g?P4=8x z(XWhWT7gwVLc-f0a{MT!Bdd%r7`t!c>I-t-k4${*eQVT8BSs;+s;IK`MV1}!2}O6D zhQBT2(8sPhzkP#j>nWZOYKa(+b2= zxrefzw1&_uTrRD>Y3g{_Ikm;7WAkXLf>q+%uH)y}fb0JE0uAKFp#?Jx7O5M5UN~vW zihB6})OvP~kVWc&*J9jn(mD?&Qp1_!DP`_ahoeDSFLWC5_0LprZeXCc4{Ox=XInR% zi3#`nMXXjd({spZAac6#k)VFHdj)S;B!M!r8eF22yOPT_?FyHHaS zd`%9HZd{R#N z|6c6zysPs|A_|}Xop^2%kG21I@hm02?3`5I`e=SqCP>%7k~ofqIpiBr}Ad`ruSloN@@twc@N4%pSZ#~_=!H*uZCnn0g{5w&q&V<(= zB*Rq6Zi*Xe{gA|-uFsTG_8ymlw?MoJrKRHG18;$iAj^m3+iw`-dY?ZW*c2g;Gqg)q ziw;nZ|9>v`7e7#@JvfPfbE6i$%VlNp0Sd6_QStDy=;;?0IXM+bRh82EVJ;@2)zk&W z6CCsB?$i2l-Wk|2_om%1Orr3x`1{;ZquK2Twk7wPAl4j(?YKH>#~6jC=mlzfEs>8V z_WsY6j=NY2bf<>YICxX4pM9Ph(kLliyRX7h_)YPzDQSGjGujtOP!d&xzISqw6i#N_ z@*|0(g9ZBW_kw+iX>@F98Yg+Q6XukJ_FR3ME}m&(e(Gph2MjJ1gcJcGwy$4x71GNwXrmOCw6 z=gficTqJ~YDn!p|uQjwKAEj(f{xMEdt;_AV;kMxhB}}n1J$eDRshjZ)3!8>NjSi#f zMu*~~lx_Z8(fu)dWdTlj1$nExvJ95JqM>SktLY38rk!WuLPzMfJ#O7)`|%5Qapup< z3)hgNZDw6@J4xusVSC@SGnf|1a-AM8=dJho2!7*xW3uYKm1@XXa6aig4fk$P@Wf36 zJ*yO+Q>&slU@)|Q_oHpM3$PSP>HqlNY&%R7W}OVtt>&29BJ3d^XA|%wZeiPcFH3Sb z2h`eu&{$SQ*#5aPJHb=4MC;=D9xV(#K-Qeq72OY|MRRL7;d(Rz->jWFe$rY+H(S07aJ3Bh1 zCwE4{DC}{XCRvgl$nEphY?CdjgiWe*1~vDzk@3Y}gYJXCNGa>DTRc6p!t+*|AwZe7 z`nP--+Ebao6eo<7%)g^qFm_90bkk?7~t##o(CMWO~iH}lzNV;Vk zZeb-i8(+rkU04+xwZO79#y(E^e=oYpVUpAwYhiKyAA{{99KGBsRFk+a*B>oys3&bV zpYf9kI$%#D4L#!UdHxi)?kjrtYZC$eXLIvsvpRpTPKK*=>~Vk}7^+=Cg+!SrxxX^P zs@{v2P&U2#aqoyeUjX#%@7lvKf;GEeKJFBrO_P!#{tEtV#rIp}&ffq-#w7o;(i-rn zST!gme#>U0S!LQz^kuHH>-THrIN_K4y71-879HXKb9Kv|N3tS5!T$-gPQ&*=UZ{Pc z#6B%ieq@JfbuCGr|FV3cr1jzvgUz8qom&5+ozrA5c>g21VBFd;5WJ9ngqToWwj{9Wi! zTVA^SEl6X|<=P&(j@=28#rO>DZBjNecv4i-^kSXV7-dy${d+4CP#m)K8RU zp{SY~j$7C)h$=%W;i4Id3UyEHRqn%?GM4-3sVtQ}s9p<~%4hV|P_?NZGS|5;h&~Qs z9gKgj+9&!Xm1^^cEjZ0V`60&^Bh}yoS?=iCZS0%>Y9BHj!o8E@|Eskdj7IqW*9@zT z-j2MJPo?@l+QU4`&eZ?AN&FkDZ?M6Cd;il$v(og;|8EnjRFcc9|8r;W&xpI`q!4DNP6iHhhZc&o54 z^h8ujVn^^l&Ghp-!Z$=ri{b)EA#}NdhjCHe7Ut0#koluam>@60nYSv9WdCkiwPO|< zH<{jX6j>2pJCJ9p|%@T`3#A z5qepvYVjrs)OVvV&6JN{6%~5+HYAzhz;|K29a#CS0)O4Iib1RY>D6%n6<{FQskWi_X-tIe3;)O(Q*ypWmmIJM@#!}J%wvV-)gzjtM-nol(ZX(A! z_K$(i^ZEfcPW_eqVQ%L!Rp7>el{hvJuW!8qG$0?#Yn_MyoD!Q(W4_xhvsT3&m2A}x zRiOt#p3Ajnf3FR%JbU@hejh!xp(+Hr3tWhpePQ0|bytCXsHLBiTr`7|-N9QPU=})C zY34;IF}c3PQTA7zlxz-Re6anLnjO3FBXQVvxLhcc>x!WqAa!r>1odNDo#B6I$M(Xm z=TR0F;plVofo?JKoTDwg)&I5SziuQldG|10@JUnr!jq;B0vaA;f1ctglH;_lk=}>& z^WW(}4Ut@+;0}8^;K1jC#xZhpbQ>G`F3@6XZluVQvV=~c)Kqiro*(6JEs41E`;Boq z|K=QQFc1m6Snm{e1(UlT5U6OKsGH8D-XU$d7;J1H}_xu8eg z^Njbtzb$`tujlIVMDs&T=*jP4%PUS7rm*54YrMmyAMjzi&)k1!%}+Sie@8w8KU@Z1 z%17@6V&Sgz#x6l&Gq>(8rl}!?p$_=-D=xWtga7*^Q$dD=`#3MI4PT7iRyHV--zM+g z`Fhk@UJfvu9M|ZqSsPc0vdsY+m`)m)3U>>Z2}d8czry1Z5vU3#@ikYewh@>)Ci-!} zkowd7ko))@^R*7At-tL%wxzM$zbp$w&vI*b=R=nsm8M88Q19yGxL<^{Y%HUuE?SWA zhW#Jam7LUXxSS#~o#OS&vqlm2i*ZYKPe}t0@|`isZ(ek$$+Y3H8SPUY20@?a@m;rP z&QhUVv|2t+gO;h#*ylK7v) z09p*JKF-8)L--{>qjcZ*E!(CP<)0sTL7b1HsC|^gaSsf73NB?~7Efb2|3Bqj$54&+oeiLG; z0K#CLO$kx)+`9~q3i7;eS&ElCI<&+Ix(E+#z%83x2~1hdpnHFKUeZX!{@2kJ4LH=}mI!qcUWA<97Qy}ah`?R4%6_w^6xf~ca?+LV2^eYF*0J;TRI8% z_kQW-#nTq}Mpsv7_vT~$Lz>8Eqz!5G&w4rf@cOEH9+=aaJ;~#=jpuz%p1?5~MXTjw zh|BahVUg@Zd4*6$e(6wnf@F0t)=M(hxWqS zRd!W5i&yKsBc!G>jhQ+IYuS?U7kuuYy#O!al0={OgsT-+kILZOp@^?h~?#eW1W>?UPnD3 zVrk|)!0TZKi4@KPFWujdw^|2Jmy1=`Ubg=fdTp6klFx0gO2y&%RHI(a{@d#cMteDB z54*QP-uwjxp9&0aK1&1IWVq4t`_!d2fuPzaWX+r$o@H`waW1cU%EVh@CZ7?7NFH-_ zkVQwlfw%m4LRB`cHa~Ttio8Iyjv?C!T1&~4FE^^EAJ>we_H3H?yFXA zpKMVn{e9HG@uF79lkv$P{`%2pTj#H<&6R$I)BKXPG&YeY)VsMMpTrdF6VD9a#b;Xx z&TWV7dk2n=sUGV2DQmO77l{_xs@>ihs7U$v(vp=~=U(nJabl-AktmaGiln#i#G#$z zR_DdVB#K0;`#+JsL78K+7J@yco_;rmtc%5xyvVu{&vr%9QwygXas5^HrPA^R$9sFz zDIs>%=9eA4*%loh1m}zRPF%FlPx?7=94!VUk@&5;@><8H!rY<{^p*4CpseHbEzh!E z=w+U*`PlMGMeq4kw@Jv*JEfRHRMid_iYJE~4u1Zjb!qSpRQXLy;cyrMsisZ47jZus zp`6_DhB1fgi-?%SCgHH1H{__yjDO8f7fP%DtHDvvhee2CB_~=#5kRc>^=54C38-pH zc3ECs0mVNSDg*>-agO8Bsu%=;jHEmJI8=Xb3C<`-a>qT^+=p5~XI><78S^qNz+eoR zS`(5E{+%^gLo#mo`FD+BL3{`ME|$&V9dl7w;%9gGOS_-Ii4vhhZ<>U@ZQS`b(^psS z9a<@W4Leubk}FKVcC)qo)`uH ze;-U!F2>KaUd?|Dim5+v1xvuDUi8Pk)=juikgW`IDp?CI85Cnhzb@;GejvV(9O~lSc69WH z`gM<^UAfN5!PyS+8X&j-@h~~LeH9d^N`Gv`0IF@|o#=L#(6@sd9`#y5`7LA9m@o4M zT)|eW4rtQ&h8rK66)of+Qg&@}oUSKB%e(QkE# zT@9H8yre==md|H4t7pk`vbEeBp?!eAb0gUDa-X0f^_hv>Y3jTY{HHW*=6QUhwCVHoNu$mU@|9+1`p3N= znN^kae^;6aJfyA-$F*D?`cQecnSf3;7U`2VGq_@PF-Ak#w^ML;#Z8t zY3rjcwQ)0cnc|by))dYKu89|O#4dH&1|n%Gf2xsx{-);W(mE@g>l2K8@kU4GCD~`8 zkJpb?3d@Z_lxN|URFxhc&FXO?-@kh0sYt0f$25z!_qJ99>uZUc5 zZWtfzmy}hPdv$s$4&${bMg8C*wsIw<<~EBLuMFF*O3Xsl2gi4q>%aXv zRo_Fir}T1mJWD;bSnrxNx=3t(qvYKi{hayfZTU+rIwI}z4(8PGs^Bcad==Kn&83Ex zqK@nP+Ksyh-rUw5jTvsXKk;YHT$VZcnJbPp#cB>X`b%B%4G5Z<#=m^5b?pI|jW7g| z{Ti*R+GvmmF{>fy>d-Gu%-rJKf9^(3h0fY?1{mhpC%BcHzob*3Nl@Dw{w*-?^*g#_ z-#(w_*WpUuN(zBeOS@}CKD@h)Y~jYmLTpKlfp(KD_4S{ZE3|?V{w8g=UlJ*)D45U1 zO1=+WYg_I{ssg_RxtVcugUoTwBUnwYvy?!u{EY5dO6XniM|lW6a&MNAX8HZY=2vE4 zOitq4_Qm?&LFXEOKSajZGX2>4`T3j9WH!If$R}&h4A)cU`q|hFIlb?$BAPZ?UNcXv zRC<>NO3&!Y?(=^rGc)u4Yegm`@GOZcy{IzK0D`C6(Y<8PDWUl#CC_adxpX z%@VbMbh5NbHf!hpDMijGhEnDHYWMa%BYqX=6dO6 zQ8t>jzoCA}S$^@2Cz-x7Mzzi+rbhef1Ib8}dU4^>x$vk)x!tplZ(BW_os330jOXX~ zI+PbBn#II)Mv9>rS4c9-7qSA$PVKZyL|4c|raAA(jtTJ~z1;#%98)-T9@ zAmJ8s9*2WDC2XF_=d~mUD3ro)X-dX!pM*kI71%Lt9+lkrs2Vtqx}vK z$-ey}mM|iNs`kHs8QxMkp{Qk?a>h0L?+{XH%t7z$p z%7gmPAB{t42InlJn6ESkmfwAi^-onwOcdF%tLcuOls=+>uKzp}(ecAov% zI5aHP^2R+QKg1KOTzvAXo3D7oU{{rAK;MXZ{2HJ3M+Jh+PUv{#mXQ}3rMi-=S! zmwtWADBpl8^%JLk5sqAwCU^W$60Nq$VoQgoT>l=@q> zYY<=I zZ9~(O*x2Z->pF*>^!^x!YQ16h!P5&=X51BA4{dnxjv41VknIt*o@>SP44F6gJSk*w zP5F~B2sXELe~D)!jwThS>KfGFvglopby^188mD;iE{Nq=hdK89Ef^SB&0MPAXGPi1 z5qFT|;t#!+;*e(guy2a%SF$c>u{Zgnhg>rKeaI8m~bdZa}pB&Xy z!47u*QeQ+~;D6aY<9YKWmPHpM+7A1IppT-Rl+N)$`<-+6N}bKM@|sWn{PF&h_xUrGkAiK*365)RlHxf`7q&m&89mO>o2XUeTy&HRL`EoU#`}AQSx-J% zRDLa;ShNNG=54h&F`Y~7T#@hwV&FDmHL0qm`STE; z$->~}JVdSzaBr_3mCG1}y1n7YPYLedQ{?&;(?;(B!K8Sz-E+pJV- zNQP6MB&llkJ@K?lWcnR=O`>(BOo_=tDrm=Ye`(wgl`n@B~iVCVcNFPe((b<;0 zThgfrikW7s*5abpbIU-{HU0iZpPjUUzcMiJ7(P<|blr@b8W>Pm7-7Jui)GeFU;6ID zNj%uU)(Qlt4KAIaH#hCRKpu&iMrx9i9wX*TrJf^0?F? znu@Al6v4Jhi4_8nqUxDP9p~XzYQC|Lm@U4_O?|G9r2b3&`9t%|V!j2_sYka4T{%E_ z_Y3v58g`t5f~ipxv&ow5`wfzM?{bDsJTTqrBm3=PJe?f$P`!3bLbKGzP4+Mdr+;Ub{w_7-m(>d@%Hy(MF?mZq%1h(-^ecOW zR5F9`VswE^4|@BOc2c&^$QRzIn_2ddtp_vpZRe2RlBtI*OHZRQ-1PhwX*>LqT>XTFItOO?_N-3p!Wa!niCYCg6v8>*&ovlmnhs9R22 zi6!eP2ZXw7Gkjij4m6N7ZZ(H}gQ=9!eGwb~5t_yE@g5aqnR=LOAWx3SEx0zGfHqra;#B9lQcR2V3ZTH8Q?_2eH(;P#M#h8E->%YH-q>;aQe_tfCcIuJH z5$f5^uiOoCZ)JQD{>zadQ3`67uB}$aOz2I&3Xbtk$}XXtTuoI`6(7^p3Nu$^{9OoP z!hmEGJVDejGJc2EsP{|z@NdF|=qs9n=Np}GU)_6EVLS2s2#?n6i&W}R?QOcrI8#s!H-U^i3a?%p69 z+4BuIFQbtKBqX60mYAL}#oMoO(0hMZ;&ZiGC+fEbbKm=;Gcq+V58GPRAb#_6)lMt< z^Wh`j(1Ogx62F{PE-1!UlO&x_kg<@Qd0%1VFf5<%r$rVyV_}WNROrUFMJr}DXKFrg z%GgnpO(M$%Rc5gbcPNa`kY@=j+~oU(B{Kv-eZ$!Hy8e|&-nSDp59VJq|;E>Pg9SHLH~jyV4cm}AB~7rzcHb#zDVtG0F# zhb^mL^*3d8&V0tY+v&jD(TzB#7|Z;Fy2t4uzn)qehr>;gUVNb?{lqdxH}#ue_tF}$fAq~&m-1A}=K1tX zhE?sU{RH^dD)iz&`tF&wMwP7>A+Lr&UV`@iMQoWcOk3+p^fih^P|`+Z3SSZ(r`oF5 z0Oj2NkL#f$`Zw*H`@LhCE-Ovm>)q3EmO_P9Y?4cV8aZq3$?IILwr=j<{YQP0%UF1i z1t=!RigoJTaXFwltIzUKeLmlC5#f`Pt}7;*ke`$z-!Z8!W$%HK;aJ6n^puQ?Nm@l_ z*>cT+7k}+-O7S_1CtqlU;PhpV*T2Zurt+s)NcH^Njf0btyQxDx_dEZ*KTiLzi$V3! zgPe?jBtdIu&2>q+jwN-Tblt;!nNi}fzweJ9skX-bZfr)y7N4gb3V!xpj)Dhg#*%#= zxq_59?3OGWQtx|E9Bc2#7tc5ZWUQEd`R<7#iY@CJz|0}eWn-r}$ex#so%Vc_NAqh?1!$HwJ%L7u$J`selA$5NP;tv3$evwpZ58S)JqmRN~Mx zQoUM{DGfi~ti#UW*mR*kZI&rM3{6l@tlH}~%Tbw9)6aNOfh+x(d@j=yzku(8W#2TV zkTW%@(4!DNR9yCH(r8$p`B|1D0cX}>`KhE5Up)VI>=cAW6VSDobj6;j9g^!@3%rFO z4ljVDP+;V5kIsarWKc5}&2_0Ph2a+v2l>UxEAd!E%FtSothMQ!3}gXosI1XVa`CxM z?=(+d8Q6Vuzm2@`7!+{hWvNLG@hFrrS~B|e2u0jGO_^K-H&pV%37-jzEbkX99 z0`w0NgIJhq7}q%{I%`J7meHun4DWXiGsDnjjwYx63F$)L#$=Oy^hC2t$)NM!#X3i` z3Ox*#K)mv-6Uq*3Qdu?PS=?B-SaKfJ-PKI!6K_ppi{cqOdh7IJDVHK+WWd6ahF(Dh zO8O%AnD)uHY8L(wajfDh?MugI^hLJi4G6!g+rWrtMVjl2X2~fHOJ#Ag)-2Wis)2`bXP!2ysrzu{xSJeAQsyt@4kG(7ozXcD$=@ya@yQ zR)@-4Uvmc79C`7XF|oxy3B~85u~Us6@y;hOkZq8CJ_Czp!~pzRR*kFHzK1G!m0bU` z@1pWnqwI_QLGA4$tl-h!u9kg4#QDn~w1%r`e+^o})oh4NHX88{rxx_#rUotN8qB?9@)|RNMj%=T+nPLMo+wH+3P?Q(dKf zD3(+TFC3laR}C}rT%F|$`0lbd_XRi#W0#L zR&&(`U#y`mKG==7V@khOTzOwsd!LUUS|-igF{XdhRBSAg#hFB3=)r=aQQ~`loJ$Jb ztNwFxycV00{0Zn#=n;IAS4?aEy0-XSNNL{^wp_)N-z@ht^yVNn@2IxsftuDru? z$g{Cb`48Z3ed2&qQfL}>4!tUMOxwjmQtF??y4$w7|5H^a-ymsyaq8~Z{L6E4rG5Wp!&Zh8%q+IF^ODpF^M^Q4HqjJ+ ztiaeYgO+hMAKV)vaO(R~G%lD8cCk*L) zcM)}Cam*|bM`rtNKLv%&U(=Q;DX<1Xt~zg7Htf7!_)(DNucaYyc9esinVdP-bF4*u zZlICDwjB+D>G44u5{9sEl2QIxeqJ_Mw- zKe*zawvMYQ_B42fTtB0eWeHa^14IGG;i?#7JTuku7Z+KZ@ zLvq$M`BSglWiUuGhwXZTbACqYaaa>?N7q8Y`&-H66u^Q$KeJ}Wt>)eE_#hCQqm~hD zD>^B;&gf@c7yKE%Y?FGT>0xndQF6|Su8~a^ymi_7N46dX?z}We_pY0apKRU zsc5XhqAAq?Jr62%tiA77>Qhp{?}&pQMJy|dK3dadbKxG2c= z_2p9FYpmPwL%Hvknj+eL_hcsyb25J8`>i%xY8rlTJ{!Z*c;no-cpFq1?kq9?Z!mnR zE2HQ%-^;IkO{cNGcrgZ&6*!Y~$oFrAa*9sZIQwZO#Aq#CjE!ees}$Iwpy|49jA8^B zGRtgz`Th4^*wJVZJN1qf3V1Ewk@P()*CJ~irqUcl>EFNBc_9!g;l+DbU(9*13$$bQ zJMX{};%9h4tl@yZj2*8=iiz8$mMI!bRJ6*ivZPR@Si>cKW{1X4y_lsF*RFqaB909D zvk6;>Bjn6~V5i`#rExQmilzJvbKAi(FYE+HydM3BK8{FMNGnvi4EU^8%U3JG=9y0z?=0^*1^m`F}wTd_}W@i}+tx!_!*{0Bh4e(O>I0>Z2b z5z9hP>u0pI92S22URcJg89|7tEB1*iK7XX-njyhyYCCU!5No*MFZ?VkY~kOb)!N}G zXG(f3|DVhlvs|-V#GaoVv+CWeRt@io4~eW%=a+T-iVc#tgvqB~otz}8swiAjgZ8#l zLTW9f4VKf+?udT81b9cDDO|6fQ?uD78%@cD3Pd+ElwEJSkOW<|?ryFRn4A(fg@J&q zqe`W91|A;h+oS9K|*y zOq9C;>m^n)I2ojapW6}kl_Qx*)^!s;Z)I{{K&mn2NU>E0V)>jq<$iFr4<608xQ%h7 zA}Za<&~;tttM&dL7})!qP37L5GsTL*NdnECGY?ie;YI4JTihHZv-Jrtrt%{F^3UGJ zp)h~n18oYaQCYKL&Zrj{!`E~nZIpreC3h1tOSzG?8}RQ-@Z_{B>uk(OgITi6S`Vyi z5-p{3D=dYqx7J*0PHF?G2+&t3qCR!qd|n|zVS*HQz(>P6#vy@FrTBA8l>Y$5xp1a_ zce550$UB6vW!?RCp(M3_m@f8C!BZ@B;O?GgEpLO99SPybQ_lOs z{GA@^w5W(7Y$9#yk>G@EK>I*3Ca?rkhNC58qp9}R6I2$IfCnDlAiSPnVWR6ALLCa) z!{A+4WayOAJAcc|D`hS8HaQCWgB*5D+0#2`JwvI7Na42|Ntg#TeyD&}JWMky(lCdT z{7|Q6lpF;RK@NtqdnVouH?o3LWe`AD1V{pz0VD2|UxkfDU|vOmqq=$Y=GqsoM;FOa zY%C#(AEtKQk$9P8=P>I&6p^Veeq_C2iwsGodCTW=L9;E`TOAHAZzV8564{LmZ0erC zeYuH<`|PwhF;Ax8s!|A8*qAPVdgX}bjN3bG{MQePx4Dd{F0?D>FK`nYw$%mB>z=?< zS;{>D)_C6DsS$=vZ4ja{u8L0dHT6hLJRWR)gYXPS>Oh>{{OSe_!Na%_qDQMQkL;J0 zS&>XpYzt|>DPI28F}(%7+0bJ_0Yz_UZga1{7XajYBfyp26gPUiAQ#3QXJHvkA|586 z0Kf>xC&Z5kPY%eEDf)ZC zN4cG&1d#TZ%-1jizz`T6&6aStaB{#v7DkD|jBV^Goe<)2pbt@^+g;!`Z08G;WG1h* zE6zt?$p59IPdI(O5SH>BvF?iKJ<&ooZ>t%HBmg2ogIM>O=&cb)*ACb(fKOoZ;KO9L zKftZrjb(JM-ygU&`JD!e3Vn1U%iq}t=x!dYEk{zrqQT4mg1F>3QNu2smmt4h-+m9= zO2cL+cN`gk=0ZQ{lt(LcPomec{fp#4ULt3iuznBIoI?u03R9D42Ax|)^piMY;+WgV z&T|byej8ZV@a{;^xdxt^oQJ3*MRF8~5mkg{KVYjr2hFpMOCgQ3vyoSVQJ5rKqhq4Z zhrjJWb3b5sm^K1H9!&jCYYXNH*keYqhoN)3DYnvn!>GYWNG3YqU*J@yC%E7Q?>6%W z8`eeAyN4)DS2yf;Z4b;E9G@tBebBMsad?4^%n(3>KDtrDQc&1e2oc5))tRP*#z>wL z{?mlCp}`7Vqrv`M_^~!^DBoqbbQ9cs+CVL<^J3gy>sVzVJvcxT&QLAG7}&k^3SpZ9h{?t zhCKhBd!mInx>*00(tmr)Vp`)$?jYEd-qa#NQbHRk(SS^3c@k`J|fZDbtsm5l^5 zd4hMRac71&LN9OsTGxm}e+lD0T|N=#@0)aPJ2csD}Ppmja68f~d3EDW&*ZzcPVMdfKn)8C;3q{wMC|&WJI6Mq>l%55RF4|au z5qscAGdx5Vc2k^EyaEK1ZZBVtIta4D2t@z$`AC0=y7> zPZ@4*$-;`j!@&FNO|Ud(R1wDTUk`GE(^0Ft04xQn(qO(Hz;V&v$uNqy0W9Vhkl%nF zm?sRkGYP{UHi8sJEpM;Kv7S;eUgL!@f#JP(pl$F_1i%r2hr#CTaDEO$$cpSG0Q`a_ zW2^t4S%uAO!GCg&h5yAm6W~2?7h@0cLnCnGP=v{-e;H1{JVNc?k)xEb3n9q>d%)_( zD3asS;f$>@^c5@}>A6SopCVr$q4@YalYvjbJ}7o{a{Z1LrlU7;9q#uBlR$o#gm%1J z7zfI30~V1mWNiZ|4O9EB0pPwwF+h}Gwl2j`PbE8DjScx zc~+$GGh_s+9;|o{ss*n6>B0aAu)vKJ-fp%AtUT&mz-$ZLu0F!jMKHQqK7_Vr1AK{O zp##o=xWbli=bPe$(ayCP4+=KcC_r0St9%F(=ICbn5;3uZO+N>J=OJ4z3NdY{Z zw}CBTH>|Y=tYHO29=!ff6aah&0K}n56Iyrb2v3Z)Hxdq)MwuZ*7o+c%(QWb}>Fby4 zN1IWv8bG)3O9ZB^A6&AP_I)SuZuu7Q`5(bAW16vEINXAbLpFi`I_zk3Jq`M89`t!D z358`v$k8Sse7SjB&p0F0WT` zCT2+yK#Jjw#WXh|LLc9xIU`|C$Ys{^UWA!ADwv}ekh;0fz=Wpk#aKHcqewC6Aw(}J zCZY)e3Nii;qY%NK6HvaGxxa8bBxf(UK@bIr{c|OP8e~HAk>A)MD;d#{C%u5!O~5QY zI_7a$So$V#9N|j{SfoVJkz#~NF%=IGxuPhTYAh^k6TrZ<=75|~iv{2B1=k6pWIJ95 z|G)#!OJB6p+#v0xoXWMC}V*Aa;y3pQ~?3iM)}ERplEH&Xow zHap}2>m5rh+yMzrCS9LEuz8VSs@0w?FFP=yGfA;DXn7<^3K+uv?;Fdfly`Te7|~cg z=|8ZI&7Y`qG1L+1I@0hdKsdxj97Wj+e!qDDbdABx2|w$_?x^^Pt_SY@>;|M8A;!Sw-39CJ0uIL_ z?IruDN&!dV;Jp$FK*kW7s2BLJcAh(XIs`TkO$e4qn9vr4A!!l0U00RbKtG?*9$eT& zSCRr`@Kh5MCU&p=Jomho1f573Ite?6J3l-Jx=#5MIrhMe@2AR4T`@2RYyxkzZkmt7 z*PkJ%e?aFV9Ps#aHb*IEVPD^tc~{1!=YdLW0;OEJlI zo3uWb0{%r$m7fwly_g-e-jggX@F!WZZ)H%9EXB%OXD_H1{0j`6`H4KbXNhd!6d=4a zC|B~)BQHGt;XeiXt;YT_C9&UyAUt&Q?2PdJZL(WFV7^bgXbc0s3Edul`2g8(T^x@id@H6QbOA(~M(j-10c z7xYI&aHiM@)IBq`ZtnzWA;*pC1P!}Dy(9(N_X%L2G8j9sO!*NW;aeE#r9nVG(aW@O ze*jI1=;`0`?Nt!IH?068yYPdf9GQy8pz!wKl!W4ij;8a@IpCW6Ml}lK(@*}J9O)$h z=$x2XP|Tk2DPW)@*yzw-WVgZMg_v)5mhFZu8QohKe%yr{>BTAA{afx^GJGAQvY=V( z%U`PdTNIob-6r|jv_g0j)etTd?0Xl8Pn-|sNpv^JCNHqipIX2Gy2E{p;0m1sg8GBM zL8kmjr~G(Fh`k1dM+W6g`~y(0nreLrUX#;p)O0(@%#&xza=v@REVpFwcbf#*$Y z4kK`xFHKRf2iRe`QSHS3G#b8Pb{%ZM^Vac3d5L8GxaQj}wBIdH`g~`&M#ug7hxFnD zETl1$?LUO%M0&|ID0%!ww*P*%`drl2AiHgCXgm8}LHuA%5N^I9KcD1;{^&#a$jtsS z%lh)_3JN*|e9we(3bSp?>}f2=5IalJ3-55<6k5LR9Bb5o9(@Zye=H&b(Jct;esSbx z;PStk3!1$_Hhr1G`2yBmEU*P4eT6(S`ypPr4}Kq-GG0?>e@_$K3eOG( zrR`l$-y~YRpd-DEv z7#Qc)y?m8|`Z7Zph}?T-|L7yQG2*x(wtRVK`_Mr6mWKZDVp{s>E!<CQF4|5;SwKA54gY+lJfh<=5UhgbEK}`cTR9xN)iNB z2{9ji8c{A%O#>xb8GWA?n2{cTI3M3C-7SjLL1KuY?6Gv;1QTTtk*E9B74uyr z^Jphdg%SMF6oPa{NZ=M|kQvOjVa}T{>h5JZIkrEqgps9xv-@)p;e#Bhw;$~-H{p#E z@{pXQbOu@wKUi-c$4Llt#L0$SD6{7ruo$@r2J)9{p50mTe#_^EKF-69iTmNt_d%52 zzQ@C#FgH({XxJa0;t!Yg!aE*w15Pm8+rYsY^an4n@Y*?KvcV0#aQ&NS*!hkQxX+Hz zuRd|$A;rW$0Ko08-GPwT?5%+()twQR2KeXeaTVdlJxa5IeD>|nD*muNX855Ext79s z5N1qLot$_uW=u<+9FHL*wEc0zoW7Bv*-x>Xr-h4Wf}5bYx4e2{KbxoOs`K#2`vstT z=@i$1?@(aWD9mf8T_L=yljO$!Kz@rXB|3av)?<1VUlAOAKUR%z03AxiUApZE>FDt7 z+zq0XFrq_i4!Mzs{$v4OBsCl`8HZFlCvES2(ky7;0e<4&(DNw)UfBPa=-()f`X)0r z^gUi~X{AIa11zVWTuyB_E>=YcBtcC|D?whSgY|PTBaTOe7CO?-nj9UjG}AJZffN| zSdo*=O9^V#t$WvSDlTZ?w$-i~Itc$!yt`scAD^;vV1eJyt;T!h^J(b%qWpbR91QxV z0|<3({O%3v)uz{++?Fq>P)K7UHkv->k}a1AS%zv(k1t3G+GO_mkHER(KBUqSf4mY~ zu0YzT+;DV0mWu{!>hT{VaF0F*hdJXThg(oC+FjZok8qc3wAE>G+h4oZIL98M44zlVRrf z6G|4ls(8)Gm(wW!!TuCdKadV_FUA0);ggke_dApq!7j z|FLPViA+oV)okOYk2R>esauvVubN@RT>9s4ULfC- zxTA5Mr9LHpdN12Z@XC+=3${}nH)=CpgeSH$6z46~mdb2QH?aCp{4y~6#MiC-$F$y* zR;JS7?X)lwyPBnK4lB00KF_{ZZ24qOq|zO6lb8oP^tV`X^2LJ7@y)6_KvK{h!VBq1 z>B4fw+rrTVnbt)=1!Wl*BO^phIOGWSSdb?d2nH0wDuS9+`eQ}WuY z)S#N84{U>j&QVh0$M7UgI==hsUmdn;L-3c9h0!LF@sAIAx$GX46NS-aCOn~^k0sZ+ zLXyoNPFp)c^4DR+UJm3hZ?W0^2yRVUBc10TCad*;Rsi>PgVrwZ{g-QLuRI+V zsnnM%&&%>BO1kP=?JPM3g~CVi9GXP})DF749F6d$ zr%m$I)-f`0zNWUG6oP+YvxdXK3JL|!9O8{{1vzuF&!qD(env6>Nw5MJYDIgX_SwPs zzEjNkux{3W)+&Z)tNu#kR(1D-tS+~Sns^IubG`k62VZSSWqH6HIgpH7a!{MQeS#;( z4;6Dqhq)J(Yl74BRmMgqiP4OP822?Vf3CCu6RNU#fm=F1oJ*fUn3NV-g*wmgYj1LQ z(gYjElF~C#VTl<3>v1~V#xl?oFQQFl65Ibxp#%wG2%cX&WuEOkxWS|B!saEsZC`dP z%gc=%!*w_~t9>wvZmpQzg2ypO%CvogSx$ z#ebz1b2Mk)mRBjT_FX>65VrMJwr$ssBZl0~W|-;KhawqorPYGTTEw(EYA8dwd;|Di zl`I=w^ne_OX7t!a&=T+LD1<;a=hiMAinRwInmtk+%!-}J6(gO=-BlgFKiv;aT0AUf zk^T!GF9$KC3&v!4vc&Yt$(G$5UnLp=0OJ8xYY{Un)M}d2V38^m164;Vdaf0;;945P zhci3HNnsxNv$=Wy-_%rr3u}Lie%%|St58=|Y#OVo9MsR(6F~9lhr-0?O5d%2msPvUb6p{po68_Nw#6(T^0JvMjrSIK zyol?gC^-(Yhg;qX$E4NgonstG#s2t@_6 z%NQo~32aNaWelYl+?A3_?r6qaPsgZLgmkGx$)Fee8iZ|F(r{QK)@JEunKmjoS|!<7 z7z7_(B$w?#eg`)|nKg?OLpMlX(Yt;nX?Y^_nK#-%$jevTZewPI1EpK4)7@WM2lVah zxQ&ESUV>*Q7~Tv!E03xvpr$d$+&-1)wHBn0j(SNLc!Qn)=$herP+ods+%`bMb>)Kb_v*qOjQbxO@6Cv9~16 zSh|*~wUn;F{sYdrH|F9P;GABp!ESeGtGw2|2`0 zWk*WMTe%J0Jp8k1%Ec{I*cHgi&h9IZgevhUztZuWG0!vho$HPF9b--C2kH!j>og;V_ zQM7jn<7+SPookpksW_vcaKNFQWZZkIj`{HD8^CJ0=KawXmXHO$w z+s2Agzscqo$s#U;m68WmIY}Z+RPqb(!Q1#Cx_aa9)YVuRR;*tR^yc523fhY zW#jhD%4}T-yMZD&xq^JD=nNgfs@q*j*?6vQk8mW&5)lLm2)r1$u8o*%7d^5i!4pZJ$)sw@q^ZfI?>VG4VK@XQ zPUz4RWt|%a%M!JrLAK^{aS} z;1??7v(%-}JkRTx0b6{x@W$ka!l2G!7&IUWNsy3(R}xjURLL!Bd8^HMqBDvBQgP(UR`t^g zwzUgWE-_@iIn=mF94o`>#xpo+D3VVKZV(Nt=wa)MfVPyVKk04S64Sm8gGN%JF4Bs+3Fe;O*qaQ+OYJ8-{R>&J@07wN>B1DTr3ZY~ zgFv-|;cUVgThjyf>Oqj~A)On+K`?KMWz>d{=u--*JG=|l_kF&xW}(}?g*wOXix~@$ zoo+t{v1XCmgA`|AKRq7sBZ|l3kow11s$p0@QH`O<1`&P$aAQE&2|$lTAhJU9P144p z&#lSFj}|b9PJ(qNR2_*S;bgLOQtg!BeGDLI)RW0Yis=YJ;WVybl&f;206;bz7)H%o zezA1`I+u{wD&hMpYkU|Xk(%uC9&5Q5(C}y)F{4xS^p<~|K}xK4Uf;V7o=HS*5AY|p zc9Tj3naU7mv>`)HBOV?dSZ}RUjTocq$&AU+6S1gt3ZM_zUZ#!R7<@euAZ#brKR> z&`}1udlQd}W0`jYC_c)BbK)`8UbInfLUsdA=v7uK5EyG+vkANocS1-w%#fMeT;04V zj%@`Ayz8QS7)G&kl_IiR$Z03hSSZp}?@DE%zdmsx?ffPqRs2F%0>h$B`HjW%35on2 z@h9u3Jpmi7y1ZLh*(*ln6udcxIN`S)wZ!aYO9G`LvMQW#W$F$2japOHy zQQAWh7z9kHm|)ACqCupH!8dVZbwM%twVIf5V?FGDFbJ$vaGaw#(#CpPh+Xsb*aff% ztTeKvFz3mGCASfS{g|9*b*IwG?0RDPQm%Ii4N2)%!vVx15t~%#TooW}hmqNh9#Bc7 zSPq!ZQsN%2rg#mjmm)iLp(`S;qX*?M^eQ}IkQv!x|8YK4u;_KPhoZe6#( zY3-B$Oi%GL8M+ETIqWl!O5*sdS4FI(FuAl8EqhwC@I?)vT*ABfOb*&LSa zpKV@oy5)&euSLfgh=)@t&M@h@G#EW z(4;jg!hTe4F)BsHDMn&%@rBbr{;5n#E#{Ow5S6mTep(uplHHP9NX%`IhAi9j#uxcV zthIDn+Q|uN1CPWAdwlt8KEQ#@#D|A1vZ8Y0ZeDLbrx}4xFqJ4j=Nc^Durw;dvQ=7u zC?7c3Y>Qp6_`zij&fF!@8jrHFDpVixY1xlPHwC5e{go8DMoFnI6zU6z4F31svS zY3HmxsgMz&H)-e9EMnOI?yAlbo#3c@NI$~xeHP3enc}gJnnYa@q*l zNGqz25M|TqEt~IK)Ess&0#M#^soRG1sCaLMSM<|=AO_AW3@pirNDt*uC1&D~WX7UE zsg6(~+*OZ3My28tXBXrZ5D;b)BhbvzHD@Pv#1?lOLOnj-9H}x!`?GH>;xE{sENvF>nq)W1bbg2f@19zMInrTH%OFYJ1Aar(r`T-X=H4((&cv z&!?2_f7=?aP}z|K1w?svjs5Bj_c}r(t|i(=BlGfx8huMlbo^N<5g0LnqFc3TrxL6d z#hIa(PaOB8DbOsNlhqn`K&^o(Tnt&Th9Rd|<~?ne`^nEGQ>^P{Cyo;cEKzcDt$3sf za;I{Yqs(nk@h!oy2!{XU2Kv~|M9bGjOxR3CDfNn_v6V9*myV&}qLm(tsc!9vD2&E& zb#xct!DU=5(UAz$lzxk((k79k$zt*(mi9-mlQ|=$B#}rWgf!fPXW?sQI4UbepMFV% zJh{??{ZDe>poodI)c0*3wCFP>DA@4sno;9!O0!lR8a;Xn?Byc^hPKfLAIbv8bT^0A zodI$cT!!ru{2fXayxk_%4*o;k7ozBJy&5C<3ZDS4ne;WUkg8HtOVpIluu{b879^Ju zrd>1`<~Ud#G&txqEyB|4J`O)=k(gHV9PwceYEfCYbfucw&+6|q{4HZP0hAH=$Y_wQ zvOwxptzuFtK~x0wHC4)>44R3wu?**b>dU$%>;%pg2q5W@3uk8!#%z$dFm;I-gE435 zn=PlWgC5HV=HH-6nu)YzO3%hs;2>k(zmSQH0D2{d9(nSR0gSiR(o2jG*_&R@#>P9l zEVnfiCaoR+aHci_Lsf>aJ6mo&Eu&gf81;+#9?S4JR;n6Q+!Du>eeo^3N1`e4nJdN*p?T-B zN4W3`NYC7mRu+>Vp%708z)|kI@29150Q~S&%hK3`ol%IYCBt%o9C{l4$y5EQvsDg) zQjbp6Veb7$8l44#go_6(?n5Nf-A(blWGzSpm%_(do_94u44I6Ck-WoQu{>Ow@hk?1 zN!2w~NNsb<1#tFHs;n9q#ZXbW$?}FXQs3Xc+CO1zD`)+{vX%BJuHK%Xp9yX&63koF z=>C?m^HtwlHzrFYCQR4CZ#@{R9TQ8h7>+X}n$J}yL&apTko^Pb6WV

Reg_OtpvjkG)SAGmV;hIrjgz{x%TUj@fzLfFCBw*&gJn_F z+K_`mEaim>&$hzQl82~zX+u!r7DVW*>;N-9e}r-UERr}uahhp`0Y?d5;w|5oXCMFU z89;_=1ED*NgjnUn$v-8+SpcJ%*#f&Tl_JtKbT;U5#(RkK+`Ku?z6u4`4J(s{9%+)! zo@eqr44sVo34YE&681;>ap;PL{Os!AX3Y#ahLcIY)3obq+z#mKHe+CMYJ@6~Zvg&%1D#f+2SS98hg*M>K{vIT z9|QzQA<<)Bfaa&IWctpR%CPu2M!}r0glG?paTyW^i8H2AyUG(abc*V2mYH(SY~k9q zXgR3-rg$4vbq-S0C>y~R&4h~_!+aN9_erkia0Ehvy|}3&xAqabFOEpxOL)TJS=>w! zY6lz6&34wZ#jBYOXDHbX>BL`!8xxJWJ)%~Q=(On^VG+Ba6B5O;(VlMvIb;^f3+E^) z?)zM#=fu1`a+#>(aBU3i~N$k=K$ zFT`q8Wu_^3GaLDDvQ^xv(}B~c&es6GPK&3q05S<{8{sJvv~ z9C$6aG~5ncL@?q=O?r%l5hiz=x6X9M!{uBBTd0D1f?CMK2l>z@7OGY}?(NMD=TrwZ zuI0bz49>KWT-NV-D*qV`nzV!!3fg7Q=^r!oUeaxNH7X^i3OsiMRPHp&ufB{^y5RTw zLjwqT8XQu&twB8_ulXS6lHGK9on%eR7lLHBwg?Y0LIVWDvo&QBb3YBvd->cId-Amq z%}{K;bO(^~7wH7;mIH;C6#IMa9E_CoD%Kh9&oCzpYJ-4hwPn9v0J}&aDk8Ar)xtC@ zP#v+KFc0auWDq(Fu)VzcYfC)(VTvtny`|OMX6BnNRzA_n4X88d5{pc7d!y)_kRA-z z%V)20XD<&y;-Sj;a};4rkuYh)-`TSRjg1F;Q6>dnv`>y>37R(J(Z6XjXFKlv^0kFc zauRZ&&X4RByx@_`w2Jvdg5M^?B8BK;p_|EBU8*}G(nP`W`F(3ez@bNP=C`8A-f?59 zjluCI<|LMz$_DnxyF60Iy*8!(;4%VGo_eD~eoZ`#A=YMF8S>Jgiha1m>i929$W zql&Id_f>NXfUj`is4GY7JN}|`gccXE&d*S%*;j5f<^MzP&^yP>g3X@~molRqKJ`3z zh$^yTL-)nxVF-!o?$L%2%*>wK5KUi;lsoD1PFmEnqACiQj7eKaKSdTHq1WPbxVqpd zjU6mdOmJ6xGZ*ZSZ_iddyS;ROzw-oBjbTHCi$$Nb6VGovA5oFjlAzwLL!yTpjluia z7|JivXD(-tyY;jzBLGclHDf&cRHF=;Vg9v&2rC=5g|DQ>*E4ZODO0N`diFPa@h8d< zZbB3BVf98OV^KS-lp<~`sYS}9)5f8QWg_4m-64;DR4k>(gu}93BD`;PI2~{CQW6-Gp960_k_j?tJ!gLo5pI zt+@ue)HRW9Fb?*o?$FDZ524!(9C#U`ze~i=nQo!iI0JfZRvz|lgesHp^Bf=f7wy+L zr_+sG7HBbpz}%=;{+@GG@;7o;zVn`)y+iUBgRu0Zof2CHmu2iYJDE&GM{^$ljtNC%P3Rr zHCRLTogFgyH#*&4mx=D#LV4@~^6ebFH9bJP+9jxS8?-LCR{ec%0_&^oxXmi~(-m&)XO79) zys?+I68&@cAI__GLdr$Qe+9oxn?GF2ACmsjUn#vy6U@6l&4`kF4{S{i z{FIhI=M};K@~VBzatNxqn6nrBG~STCRy0DwnD_njQ@HZ@%pfQ!n&1$@O{x0Sb}^c1 z(nK7Ky-1)vbgVU%%D=EVrl;dJF1uaH9X5-+N7=A?N`w%D(6WB(nb4FMGcPao@6^y< zO$(ZZ7K=h<+SD%|q1(t-_MiR@Yvhj$TR(Sm>Ppwm7h-fe$)i~a=)!2^ym(Fd{a|)> zaNn!esp?H5RlO$4_|5fAme&JzNHfx9)aZ3E>%zoZrJ9o=BLJ|jJ<2MTqbJQDaD;zsK~ zkyQTuX;zY9`Ug?9Oh5Ctr;JZLcqST!rC{Cmq*&^Ljjp(CtqFUU)x zQ1g6+bJFM2(jY4c^TVt5%Ok+m(23M$@!F>4dY?6_tw0u=BR+2FB{;xnh52Y3w9YzF872947V!FPimMSFKl?$gwnf-jWvVp?y$-zlFBATj0-fUdpqFY(vUfJe?hjsfBF?|~ z6>)^f`W6?-WzgJ3cRwGdP(q%+5VWPE^ zsNZ9BIK{;)xH5WBX0OJcR>sqdBN2xYx|o zQl9ImAtN)l5Df~q{0l?=bNWku1SWd9ak~(3O~GI-T#;QdSLA?K>My}WNP1-(906N0 z(J!Y^ZqgZ2M}?-oW&A9zcbJZ!j_yv@G1&T)Z`l!w(&&LDcKt&m?C=fVck0WH%t_Bl=qCQlwNH~#uOp!Y{bVxhrn&`{OHz|K~0ngl!M^bi)aO5 zId~8X)dZVEi&H%$7abkVV8HTfxYC4?7yJ?{YY-1+EC0CL)JJJZ^1myk<2bwC4iY91 z+5DZA;j>Q68h=)7m%!cg|0hv~5RDk!%-=1IR8xJCzzrZef{IZ8*tmsK|E7Z0SX~7g z5K2fj6sF_&%BT9O{ObwaMI~OUm7**aikhvDI7?}^v82@}`3p{gg)v;}4~nNXD>Ln) zbVIgba67c0wIY>esP3%QjGR&McXk}Eph7t-D=F$n{XMjMtWt(G6dL`7B|G<}K9CBw zUUN+9^mHI)5iTxgx+*FplA%}Cc9P*COP~{CTTtUA=<)@+1$oocjEV}3Gn~i}Yy>F6 zp36u5wM*q8qCtgt9`mD;gHAq$8M0MgD_r_+SYr`zxSwx?Nd7xMi-5nW*bnEQ1VDE% zIo{sE!>>9i_>Rw4EGE=QqZQxY9(!E=+lBFlT%|)G#8+*{j~khV1?hC<@#Z4rT9WT1 z3K<&sCc3V+7y<|J>8m(!&ju*5mI==xv=2V1kSfCOmR`VxDJx?P`S#?~#Z%5mD>FJ5 zq(r0c>QyP#zxbnxc#T_Xc z&`++YjZAzi8PM|uml+ui__c*p&}KC=2wHx8{|R_a)YHl+09%FOi+^a{@Kl;LPw6dV zx0!9t*w-!pC7kZ}D!^+EK+JxC2NKqRi)WvZqnOWP0v35EKlgHbi9te&U};f_fu_rr z%+g>ZyimfrI`@+`=f;rTO(t!HYyQC;tX6U?-{@S#*a7`V^B#(Fah%843Mhtw+R2ND z1X5XSXd%b8HK{-BHQEjDOivi0OJz(qI?cGPO+#p>YPf~WQq&a5uGnqa2_6m8k`|1z zc>z={!s=xdy+%7l4Wsvx4BRdOkaIyocmyk*fvjJQT?J)<{`=Tcw-B=T() z+&EjEeYj`Eie@{-x$a3Yz*B%n*R&k^kSejJ->GFzJVr{xsABBj-a-`r)iUic9omle zu*7h04L%^tnylFHZ*&-yJlstZ36feUF>Dn5bWHkt{BfW&3p`waC1d{qreC^6O1X+KD*#VXOu99LZ-o-CzRueK-qeby`hAfD|)y8w$ zi;9N)Q1(UNTKS%QqLyvM&{*AC`3sDs5&#c6mFk+Ef^vszEe3;ZEID1atz~_V_ECMk zl8yk>FUj!=2`ONU=;@2ZOSu$kIFnx^xPXO@qG^xT;}$@^EsH@K)V)EGsCqMUr*v5K>SIpA4mOjL31y?>Y?fp|!>KANI#tw*{jzCDpwe0<7wJutK;Z|%FhV5Ocmx{Kp0CuoMm~y$481kA^#1>L+;lj14e@&2kzFb z0GNH~>JMitVnZ3r^vD8~4j+JnnlnX&Ue`hg@m#KEd1nkv>KtKsbzfie<}f-jEELPGe$6R&foB{d7o8f@XpjA9A2^gJEs z7B|OVa#J2@{S|kM3|MqX8$Ori5E-}y6dVM8bSk(7_qy#c84w%QO!=&^2`oKaZ|5w+ zswq-=4AIVUMU^mE{V5Z%6_9jZAILNZTP2vCY-!7Q(=UvbjLr9dc0-%FoWJX!7$|(4 zY<;+lNt5i=b_nnq0j2C7PG@B<;8-c0+>eB{pztyq(~%)8`^`nc!^80vNOmFP$DPV~ zMdQ1EqXv|_Z0Fjb=)XcR5D=SHHG5(D^ODUG@=FH@<^ zBwVD|+daQXm^pIz{vljY1WB?dNd&4Hb%cyz&#Y06)Yb-a=hfny#e1*u89PbIAjLl5?@Kvz7ct8xyAR8 zS-}zzS-b~f2a|#)N`b-azS;HloUm|y1gWZ@^s5P6{+o2#`6QqWJUo02sPEmM?biRh!pqNV8%mbHkF^wvH z31}>U>^8UwH4~EDOA7iihp!q{KxjOiET(l6iKXt&+IAXI?C_bQ6#|<-9fWczhUkL*lNI;@DSv@7NYyrbCaRyn|AETkVtXt2%vSg!z1{RdO#P zVLqfeW}*_~E5kz7cY2PdxIw#Q=t0@0gWSVBEJB%tw?HlIg^#N^*|2 zPM|x-^G2WwS22|iw&*yGC{i0sr;AVwV8-cBEXJrH^g`a1ri*n8O*v1C0QJjJWNFR^ zq|zuQG80-EwT}DXS7BhlB-$h*uO6&r7nh>(MWX~H+QNi-XeGikSy;;waBPa4xFxH~ z5-mS*zb5iVe$??s_Uk0$%aYV0#bi*;S&*LpoZ+`WlS5^p{AbiLGo$xadJ$EKDVaL( z^|r1FnZa2g^vRyedLCjzxQi&X;`RIRg# zm>LmF`>};!cLh~fO+Bo;3deCeib&`!z8DmJt%e_^`3JE3^QWrK$-BV>3-gam&=4k( zXpVq{BCSwgk&qYtoQVv{5dCs8)Dhw2+0_*|a{t-%RDRZ%Vv{L|K|alD*uHTP=v14M0_iKQ`GU>GOz#DrN9#w?NO)pNQL zRrEOpkVn~sIoc$2ZbZ^}iFAdGd!ho*9|4;MkrG_q{-Avosy+V@!UP>}uF8`nKxq3J zQpqNt{fRYj|zTzIi4|?&M|8(F0ZG^;PIL0F2!+ZOulOX zN-XEB5^KFC>WbeDaZXT+s+jUxcS*a-h7|5~3K_hmnQWHSh#+`;S>C(NE|@>4pt@Y( zk6}Oy&kRp#ewlkxQV%l^@<9KS=0L!oIf6syTbTo;+rFIW%8|sU8uO(gi3|J+gZ)pDY=%VRG#LefWdcIND~5 zo2=I-mp1t2wt{I80nOX!emU{B+qVKDrlJi)JjAECTddHSSS5`x$&{gv4J*%J^(g1M z7(i*zp<&yLW0qy{s}#!wQzcdI(~T(2LAcSD z1g9RU1~8tf><@>jWh(KTL7;oKfGFMeEH^XTbd=@Mp0zBC%YzSfGzy2lpO=!F(_={3 zJ5a^)7DvXUy&@$u#~tL2v+M=_<8|@M)6VZeiRag|{k8w~#p|}?`|itw4*d%4ZnfYP z(Kw%83Si#EK6&)`#6FBsyHGQZCH%(U0$p&p2n)ZzSOy`ZVA*L1turSObp4zb3x%{@ zFH*&F%M?XGC~`gX(#31x>KV#pq0OXb=`#sWfSK7-yyC@h5CW`=Lqik$Vvz~DLjP_q zToWpPh2 zkRZ+gve>}W^9VVW7d&sZd^B3~DH3)XbMiOUj zQ7~suw4z7J5H@&wMyMIhUF80Rfx}Q8tg6*E(EULf5T`t|U`VANy&@3Tj@zxwGQKO{!E|QknJ8-lR%6L_H(Rn_Au?nqCc3XSRj5FSYxMDAt+>~Q+5T_HXuHb4K)EXEvdlPb#{_ZC>u(e$LH3+!IFh)M{U~ z)CmM5$O;CqvDX|k4SGG)uL~)d#WcqPP|{=t!K2Q`jo>45KkTMoyfJ?4N5}UPC^j7! z%z8zKhp6?9OYzlrR*y?X-Jp)I5Nm+(K)X=!e!LO{#oSk8$v3;19fm7aQpV6ltzbJq z#Z=H3MBojUVyLNO)mhh8;{gN+Bc38cGh$6tHS^q{2pX8PNyT+?nXRM#!R2Ox$b=K) zy-@{JU}6Ese`MeUgHt3(tZEUEk%OAapaOjeFygln%^55YkYjIeHE3wl^h&&xoT!zs zJlGq(AKJ+RFNZAZKk;ubLk<*quQ>F*L)A2c2!q0Kzt89}{U4!b4!rrlZrsO>@NhnY zz+BuxSd0!W<39c@cQcgo)$eU2(4(Cb)G|l)11pP}EJ%Z=Kp(N?htknyPmQ`XoKtlvC5j<@q z$ZwP>P?zH1pF>6Rc>dA$Pk}tWrkZ(pC{7f#ED!}$T39jI9d2m=BtRj}L@63l^mhzV z#Kde)QavVhAj>3ESHadE!!&ja^PV=YUsCK<(D2;7D zhvQ~M$OeXB4H5pFAfVi+mlvm!m9i(TIyH`1lz=iEa7ypl*VX*P$E9*XXswdsRsob0 zF;LMdfLb>GgWZg?P_M+1{Dr9`GMgO9tUR!KD7u`i1TAmI3-VhiIP|u`6T?(4@rTz! zC1H5g0=)Us9j2adcpRF4({JDgqm5}QcSyVv3_j!8DSASU?=S)dkI-?XvBmljtWZPW zM2O85>`)OWJdB+g(P#~#iG+r|l36VgtyKNNftoy~h65z2V#*(qy;-ApgRF!MH9#BBK;Z1hokLRuj$e&4XPXA01PI)H#cYY5HAi z+4Sh{mK}ANeNmtb`lph1@WDdgWyZx0YDUMCJEW4fUmuyqe4MLK0j_F6#JvoH+1-8~ z^+IPDss!2{SMLF=f&+!HIENDn-w)HA_mTyU!J_~{x0qv`iRYEs1OU_P zycTGqyeuFGTI!L{i;&U8R%-Ds@^sYf$?g*htYNo2?jdgkrcNhZ_p5rp_RA)V89gOYH3plw`I5rfY2XFb4r95jr;Qmg=rsQlKRt!o&T z#8~6FRE9#oT?)!@4@XR`l6Bp&;1`t|Zyv}ECNtmQ3(xV-|HDVOL9k~p zT#JdDYOht(IR=gl%)5T;0*SsJvYAVqfK^wkI&ASx1i5FfEGtrSv}TAHQJV;>_`GJB zc0X){%#;(Q55(XgI^iQ}OcAPt{HBFqKiW{F4ypvZ$WCA$+|*M9t1To_&OZboV_Zb>Y%~HfZl)!phJ8)7iiW( z{=#Dt{>E3L=xaVOO|4aE?Y1|1tljiM`I+(Q2jRMgkiUgR7$&sNHJ=%G$yJUnt~ zh8qtpklgF;W>@uy+kfPh#}fJ^<;*`$C@D^2B!jcsq%{FwZ`Hyb`YHwH{TP?4y0PJc zeOMa{80s5+vb6q&ImuEOfTb>U@N3caRu7wzAFmz!B5M?+BeM*roo9JfLFF4q?@Mw< zkdW&BT`}!lLY`_4iyvhOn7Nf;Cb0ycM?J&n*d7HgFuSO;O|kU*iDuV!OAaBPb9#qq z8T?_{B6XM8&Haf6#7^)2X(^*L9}@;@b6EXmb`A@&$AnPFzPh~l(_jo*huK|S^! zd0)yVO(?n{N%;|Jl2r+z*N!Lj>ejy){G$N7g<7{wOw|hKz?`17&6oq0?K1~#@-XRx zP8iHm?2`jB%Q$L20+RDTz~;hYCNK}L;z;64x^NI}2#EP#7V5B0WV95{yo{+wKs+@5 zm;}o>=pm;A$$|@rkHSkls0829s`c{IS~t~+%~Z}=rfdP8B%|B8*>^3Y zh>7*r@0ndOhE`uiZ(ZXRPWbAAp&$Z}R`PhXY`!YKGiyEYvm)8EZe^6_Z!n{KaoJc$ z-U9qt(~WS!Oha!bHF906D%b?UucP!>He*kyAlE|+^$vah)ZaAbepH=`Rsxj?s8akx z9(jqn;9E>~uZWnX zu*7M*W&b6E+Gv7WY`afUf}>u6XqhaYZ#FDCHcCfduj*827n0CsnQth3A>ns3^=P|t zT$P91!}R+VuSypu5y)N-_!)3kcyRa(8Z#kw0geY874;k zi|lq>ftobhe_wJe(qM;KfkV+$+7Cu(ipf%A15U_wpy2}-1%2cbIyCvSE{GDXFiTmHV0Qe( zPm{7L(pFS+b%%o6cu+1rK@ds$(mlq%pKz2_ek72Ld7xiEh|+E)2!M2mu`^rLnyX+Y zGzI=>rgEU>_J?pyqcxqzeycxh=Bz$owz9^*(Y4tAzW^y3*5wVzy-{+$69AjkfY`F5 z1X$GF=S85lsztJEj!5HqiqDt|U=^+CC-@v?802}1)a+OoLAB>as20!e0in?-@bqAJ ze2!#y1gdvNI~E~C*9+8Xw}kiE1X2KLa2eVqa(R6oKw9cLt2#|CeYt2208L+{M3-Ix zn(kg5oq+;0-8?-y0|jV$icfR~3ea>1@#qXdkgZ+UQIUuYSOmX8(Ifpz-DXth8wF@O zo*KExexm?QXZ6OWuK-Oa^~R>J08NL3W78M^spxBm*z}R~o&VHqK-4!N>**s`GeyUi zUrIn#N0q^E-ax=lA;ON^rad`$fCHTwLo8UnKJnXBqW*?>jXG3yu)%@{?BaMd0+!&{ zRR&8+6p$dU>aLtnfOBY14-eaW$J?rXwnnR6^lxgPVtN0DFIAoBx@Q8Y69E0;=zQ-G zoU>%h$&~*}n%}VSI^w#`njk8if4GO{TaUKHZdIpigLZV^k@&6ZF4EvZ)BoZ06U{B?WRD@D~Fh_e}tDde}hoV0bgR zzM1%An|6Ok|o>YG5^e6n{|DdVfTn`7`!Q==0_~HG(#=YmeI|+7yO9t-g ze}iAL4;G*J39e(XA(_0p1}}2m?~ErO-ZOukUvvh^#p_OQ;IABm(}(x^#GBzIo?v}A z9**90!2RcZy4$^c*}3>*G9KQHE^vcSJRjbFhKoCpwmTT(2*Tu|KfL&(f82fC`0)PU z5fuD zKg0FYQSVJ>^se~mFL3E#0H;r0jk^DM(;ZyAOEy0Fl&gnoh=5vh^!T4Xyf3?}lG6Vr z?miyA=?yylGCH2Xtv}2`tf78>+-uQgyBzq${HgIRd-${}8AyERVP@7gHsp`i4 zjp7ZR@8t%PXx8sHs{RhT$qpY)5T=OuPd;V*-rL;ROs>Zt-t+FGgi^FR*PndKYE#o+ z4+gzSuhaLxRzAGvgGb5RPX9*Qx+Xs!>yLcA>Yd{#kp@UEyZz3))J*i8<5?G}-BDG^ zY0L80lmUO=9ey_$Uyr(-%k+18<+=MUYjNelGQab>GrH;~*EesjlZ%_t z2(k9br+jl*-AHb>KfM2Qd^{Wuuaj53K3ppqb;mvQ)&}%_HdW>R2B7uEM)LIJ^_vgx ze^t2sqI2E3=*j&gTiHmi_r0}UEB{k>H1uA#alcxZCT0tL-5Fed*Snm&_N`YX3wk84 zxhJoOeaJw~D~X zR%%h&EKMBA(ZN0~levKz=@eG#j^1_?rXKZ);pmc~Db>R#GhTai1eYjPr$gN6B z^YooN45|}XB@-80zGNTW^iegb({Asr?=HwqY$KOdd{I4p-5Gb2^VhvsxSxvdGDQ55 z$GbO^cgfDXi+yC|@JPX@b3cC1#R{|(Ut!QMtDE;L59x=V)xFK+t9zT(2C@$L z(3Eigu~)yb@qoQ)KK)4k>i9O-D>M8n8xI~PCzqEV5DSvQz5fx{X4kwQAnLaq3Sfib zO+#{y=)1&*wqAn6VF#ZW)vfM`iJPvMoQ0?^fj}W_eS;hiC&~8PPOs0v@N5(@?Hrw) zd-YtHm)=imRV4f8i`-bbzqRO%3s9t+J|d{23^gVqzY-KJgyfZcb=nzq`u%QS8Vk4n zc9DD8^4c*?x4IR6u`z3+kQK zkKRcG?zCD{MrmwJ8Su|=>-l->@Lmn+q66?EmJzf68keV@x|^u6&buR2VYI`~r^rmx z(T76wmCy;|RO5&f$gCz#P^+@FmOQS$)58Yxpv=En-A zpA;!Fk50AHojacC*2+waPcFoiY54H@F7gyV)tzcghX66&iFbRiUUi9sya%%2XMaM+ z@N{nnNo29diBB{+9Oa&3awxGUJ@qkqE2Gw^13WhzpM$nsT6_;zOxy-}@==Af~@D#Bb z(cKCS zt?xP8T))Lol$^FFM4+O+>h^3?{|@aL^c`nf5;^AK44Hx+N{Fn+h)FoHO;`MEh>i{R{dx z&@E_eivH;GepOc^!AWcQ{oYLd%azG$|8uYX51CF)PJmKrSN$=g*fJlPR8kiXV}DsS z60ZiGc-DyE|95KLEmv!n0$FvLh|(;|?r94Uz^A3t&Sf$gCOgAXH-Tfa1DyQ{{lZ_S zKlu?oP4su}{0f)clb|3S^Yr3xc+riM?YR*XvXPtQhxFcL_Pyy%|Gwm=qD0K)t(_CE zJwELDvb>L;S8s5Y>|J6sDkp@miDBf!H@l&?<<}2eZF!LB7Khfh`J-N-!prvf}xVl?rtMl}3nXPW4ddqBe_t;xzt8?ORnXOKg zzGb$$Me;4P)h*Umjd60t-9^@hV+txl4P4l!*MAJO&^AMN1af6r1)Ka9AW4}^J|E{wn zi5=i`x5<>-uH-?o-x&ct)~& z62pS~B=c`JPcZ0m(Kd4dw~VD@e<-*J|Gxrw_N z#MFIk1=sD2{Dm?Rep?h_M!-L6SDNi!%Cp)4&uaU)>Pd6Y+J$-k?ZP~(IhIw=60E4^ zQY^9ZG;iq{YJMyxrwssOFD=dXg3{=!wKm(mqAkDC?zM+#Yi<5&9d@a-k0A+jI{t9O z2EW)>SG`fhvgZFUi%Q}Wv|njf6G7ToVI+%`>4jBk9(V-B!2Sn|i?Oqy81pmEv`Iu3 zY`w9tb7EhPg*@eE&}`>R2nus(5{V9R)dt$+FF|*kR+Nyuo8;n?t0CWY$KGg?ZX|m! zw>;W8e9FT|pvi*LJg=)w)hh02$l39TK})Q!P8t|%NRqZLtg}$-Wcw0nY%Kb8Ht(iS zFPgQpybJvp+7qt6s2o{J(i$s1Ev|{D7mcqxJQORe{JePe%Fl~KA3giM)qbMVpmhyF74GTKNivA#{A=p z4tE;*6x1qiH+6P-S|iXP-Tn}J$h{8Rx?XoCug4iG+yM5dbDi|C&G!}fJ>JFs{5Syt z`)a%0UD?-lN4xwdeAtI1lkOmSc{A>gaa;#>$LkF)dvAM}+=F!hO|zswccgI__ficK zWO&1^?tDGs9(QOToDlK0chS9v6AqwKck;lYYT3zuoOH$scmB=NCsvhR`L6mr8bnTH zaPND9+`qR4cKgY5D$8w+Ct16`B@;L(;iDX2|f%F#Bp@2N_urzFLm(e}=@Z;RM328<^3JoWouN7lfO>@ z1o77h-^za9-S4`7Tf`olZC zCNLvM&=z~pp8&!U9UdfiZ|-yMc+dLD8cN8MX5PH_W;-hS4?bb&AN zNiUxr9BrSyXzv`J?4b6M$iXq*`oM}rJ#v@-seN#K`mEL7Kim6;j%X@KapcJ=otrIDx4l%%9==2JdX*t_?2+ z>)`G`oD&-+n43WlqU*TZHn6|B-bZn9-#t9bvv?eo^i`C%lC2Qb8zEE4jrH_KvLVH- z?0qVtvz1WfTZml;#1f2%{SgwTZJYO4I3C zuiR}+yXIM`qg5YLr0U&=4O%DYLz}T|rQ=9d3U;#$CSV{5|Br9g^-?1^gEz+5hv;|NPJXOHI%GHVy4RA@TbL;p~)(Vt2kk9 z%l~wO@fBMIr4pX($vKT-=ZyZryWBNM z!BGWq0hro1kGmFZ?Y;#MbKios-8Uox|DXGYOyK3`H=@s!dlj-|uH|H@LKdp>{{#R4 z0RR7Z0c4UpNCQC-hQ~w`A2G(aA~s@Up(LOPT5WP`OuW0u-AarE4nYwF%YaymwWPAJ zNGU345rQDv7z;rKYZ0^+d?A8G3TN+j^T31uw)nsOXLfc&9>A|2)q*mVuw~Hsgu#4k zmjiX&f=2rf;KH>J#hEBsh*Hy12qP9%sYZ47b)E4x+h|a1;Bbf7z(`o768$cfZn#m& zK3uQVPlV$%!ba|nb~U`8Ooyoj(CRYpqL7QW8d`@AL88JO7$%*u2vJqaV9I{&k>NHt%kjT*FXoLM^j*(~= zRP{Aj&%t;L45L#`s5DAcG2o5Ow<|aB2bM$ebMjkYbdd?*{e0}fQwHSOcq=mrRiTE! eK2smRE*m?*40+)-h*emB^nxF4j{E@t0RR8~T;{I; literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..ea2d7e3c37ce81e41a40923f99cb6a085ac18ab9 GIT binary patch literal 45249 zcmXWBc|26_|2|G7FDWWTVMv8amaN0XR4PSC_N>`u8~ZY2BuPcc8iuKa7`rTEnX$y! z_kA1t*k%S}j2Xs!y?>wI)!FbiKCCN ztC#0BRT;T!kNjQT9j@I|kiRA;FDtJkD=#Ofdd*P#+Eagb_iO+Ez~P`1$4{}by)6F! z3Y+h#|Eo=<|5t<9H#0e=g-0g#QrVg^7e361e9`3YwhKSeG&3VO9qka$9~kK29q4-P zNi?Vl@IL9s!xNh{>F;YI=iVg7S?H=t=E;tP9Y5>JzHMUh z@-*EaO6q!jbq^@Jv8{o%O3T`zxTS!=qm_DNzV4X5ZMrp`UWT7?n-L+@Aan@9vwukH zTD{3+{~6C<44!Z$!zMXzBRjz+vZ#|%-)v^J>0P&@8Z~p^Lq{)H!<4ZAeSNwrizN%C zN)nYP#z~VUbXF#Y9;-Gq8f_3hbM0en?dkvaD!n>vY3D$ChUcW-6BV6`_Mm%}C1|

CdX z9yQZV57`utYPrh=6(IzcVUvim!r-nW`KoiOCRuw61AC^uDzOLIgjJ?F5tbLBQx zMo&C*!z|CFK%mw(JRZ`{-$C}o74($zD=IF7Mk~K~KIuUN3Af3bA`EpR?r4>$k zRA;%9+e0U&Hm1sm#V2rQCiYyKj$hf}$rG#Z+3v3Vt$oI3HEiPgk!?EX+~AXNpfnW8 zT}fj%dYYJn{9W*u!!HSc;bs1{w91T^rB8gV%!coX8z%Y9lLEv?y-ZISuHTsnb+0n6 zE|-6u3it*`sY`Rk+E1$`;@f&z2m(^&{a#>ELU^-%U&Qpvr6Zs|K9!{5_J- z-iMIQqo&_iT{oL_D><1vBEl)>nGCa9YTEu$#d9Kl0$*3K%PM6K=4kO&`NwOoC`~j! z$iK^TNA|_2o)hVNXYO^}(#-5X;+5Y;RU$nXb;RFwPYMlN;yg==-UF6VQyx+_5_{va zT}3BtZB0FuY9RtnO0w;j#I4KSF+Pog9=kse1NvBx}5~ zV5aJEPU4f1z4swm28rxe4dss=7n8TD$+sgWZ5Bj_T@Pnfs!hpo zK~c%1^`GC5M%-nB6nyUJoGCr?mIZ4pQvYnmbwOqClo{1|?T49pb|yuNX!5ygpw+Nc zZOkI0&uh}^j~~Y2@8wzLPm^u-7FUyXjV?>gYwayjL$@dTIE7~tKQ&zN4$^-IX5Ixr zw23dSOfrJsIz+e@bybN^er$c5{lfT;m~rxXOBCYk>LZJyy?@V=J+Gd+Gm>0LxYblQ zPVIb!tE}rcVZVjSf2wXf)#(DApAHzMJg6CwEEiZ}NE2fS^8GbKb3k@}5B@W#B zExcZR(wQ<(%Dgn)1@s09cFgWx^lBFQnyzke5zx3$y>1cAT}I`&0azwD zzATl6PN2nth00m)<+W1{Z_odshS8aPTScbu*S#(Uf0V|Hqb@P!?KmP%Q5_TYniUfZ zzn%6fo$oKqQItLMQvKs5VFvobD!nFz&q1GX>$0jE58ddg65Pje&Y8RAva`T+eFxR zygT(E85|FT7CtRH1gDpSeD1=RH@>%Q23f>X`OYtU^=(H8lOr@HZ_?~`D%ZwW$d9s= zkzrQ?ToR8|vs#|UR?`RR>1l42$e+;2z}06 z_Obci{t43N-{;lb6K+G>2kpacZ|seC{z?~pR1maSB=xI6%uIz0vJ$R?y%fx?^@-2b z^`y`BFo8SgzVsC-Cid@1?1o!ZN3fu>QX`}oDF;JZ!jM4Ic|_I)tu|3 zkSf|mYR{9~Maa|OmEN59i}ecQxyi7o8$L=!nGkT2U^9wUbxXN=SK5)Ze-ew;TPOQ|vwqIN1#hhq|K)Jp6<9*1w1 z_v*0UaP*tSP!`fXtT4ED*B)m!g?n|l*;D&Ijo*S~xIBrp`&tzYu%bpQU0CEN1wEaa zW<5YD-;rX3g~U01`u^vun!!+j=5S0l(kPN;@(cM`gu86x{?9AlKxNvmjA!+C23X;b zWEb0e)omtSt>-Oep7u?uZ9^g_0}M`Aul^aWyX`iqGqbl?)LHw8#q(hDiep&4`I|bC zu)xJ?2@>8qF+_Pm$u9U7c?Vuh0PPcrmI?XIcfO_vvo3@w=9s$Dqu=ftM}j>I+Ur#N z4xZ$wSRl$Ou5ud(vjWz1L0@-m{wg1JpnBznj#gfjPYh;ckLnAW#dI3SGN0_I-QiD; z_rLhvOO4gRM~I`$MYQ;JOV2zTYL0z<1X?LP)cf1;)Uihh+x~c4@<~@;#;vCc<6j>U zYbS$*!TGt52aTU8I*-m#*7IN*cGKDSy_d_BwU>In-&@K?5bkzg{`Shs9N2jRbgL^O zC*`H^%WpK_CC>?Q{NCl773tWr2>)gNOw{gF_WKevzw^FXHmd_>>_aPvw}_m|)3G$3 z@T7>4U$yn0neN+WZd`_eaWrF?vBuoc8LN@ysmnDMbukfP0iRKW5I)-{&!sx5E|2=Z4w;Uj@Yf*UDb<%D*=%4-5@xzj$2R*Lr&?!wNLy-J2ZLj50x&JB8!n)pQ*nPvF;Q1p!Jh=IFx!SlU%Q@bGLrrqNzBz z`thbwmHWzIRhIi3(nO-y_@vjjt_YHdA8Ddby-V84<6B+UNI~mt;N}QFw6997I;r7b zipRGMtXleIw>R(~S}T>(zR{KqgGk49EI(Sk`cl>}0Y`<476&<5rOR*o{CAPH4E%&X z56Ro|O3UaY9rqGD9Bv>IOH zwZ2av=^I_CYx>tnyhz&E>bKxaj9PwhYG>8BMeXD-ifjNCYlz~9vSt_W2F6+&mw7)^ zpU(TVIcjFD{(`^9Hy*7LRyiLC+N{TAQAA5y8`b?U24r|tcInlT$|=eqa_~EAxn-JA zj}h`~%hhp=J@L0mT~tQySd@dQmXP${izD3-pFR8hc!Xkoy0()-Rpd}g$xmj_lu1Z~ z(hXkLNU+@aT)siJidC3-(BtPotl1U6nib*2;X#}#Z)!-Ha(1@vEryJky1+yI2sc=g z*x>8g$G|58is(xR`;3Ka(ibk*H2QlP&MSTs(`u}gHH((gcN=s#uudEB*A0*^7H)U- z;R-Agy`DHGssj@ct7trpj=EuryjKQGRrXITc5OaWR`4*{Izi}e2TMOOvp>!V**vfJ z_+!e@33*+f`3E-n{c~>1>$M|ZuVz9c2IdC%NOA4PQ6Tgp-i~ zEuGoyPxz6tR|v0tOE(fN%pTzl2$n9~qweB%l2wp0R~q zp7IU%djqro^=tL_;MT|;6+Vu*a0p<1bN>cGHREvS%mZoRt&C_9VvzEs0$Ia1S_5La z9bRQDw;GZ4M%v>gi`w^n>$K}1dBVGJO;W?S6_^D^#LJ&N=b&9e~t_Oro4c&HsSc79WxKQ!{( zZCxkA9OuqTKn+nf?plCrIh!}*6j1k15kSCnHxc^M#OJQ3pd{_8a7+gkuZE61myji) zVq21xy5V~>rEhTwGc&K&+d=gfum0l+qI)q`vDSdyDK^Y$d6o5dLXTR2oCt|Wqp|n$ zyP8wv;>Ir*^C1AHD)wyQ`;MxUQHRyRoM@VusYW@xB9!;nPJsUu*S2gB>YR@fcGOw1(f+O+On+ez>0kfi09VdalyP zlb6l}Hf(oQY`Jnl^3Ncahiv3u3fubS&z_0-KD2alJpzAGf-mW7qJ;uAtk1$_p1H*cx;T}E9;I*A|D@vqzc zs(>@k%rUAVe^jC~1VIiK##pyy_(PdY= zj@OCLPB#CjA@M-fu|jGhY+7{Qc}1>MYAvs8)*6np1dmPVfGrk=yuPWt-|&LGhAMdX zuvS^k-ppH)fp7<5{O~>9-KqIdplF3=L+B>}_?Lw-h!)_^p1PuE0;X!}Qn!!{Y}0C$ zdn6$5m4@w*1IElNlL2n~kZxCAU^CTlRpAYs?JsH3TGDR)49PL7ty2mC&+ z0{jeYUS4dS8pzWD!LMaGMu~zu3Gn4R9%5A)4`lioFBwlQ)Cvz5-rr z4yZpj0Sx05ttPiU{@VW@D(ROVCVv>;R;r~R1hwjfpQDzk)mjoZ=Q6}{_Vzq^11|BJ z4LTIa5cls)HmMnO^kw|g+In7U|7vq?3Ls6qFO09htS{B7ai!0}xEP8b-OL{PV5+n`=5;z)!`ocLx;qC|lBH#434ZW4F>^ob zGt9^)pOqa{^l43T9{wx0>L=7hh_l%o4Z3|2v7*K=8M7ih?~{&bLSrf-v&0d_78t;z zaJ3Q3W-fcGJ!T^43MNvRWVCZv)YmXa?Tyt!#(M^a*S!WIF?IcX=R+HyWs|r=dbhsi zSKi+0I9yp}WA5jbu&xWHf#@1W!CGQtpiKe{Xc|V_40u9Zu>ew!dXb=<##Yz;XW{fLN+`D2#^Om*T?sQ1MFOK`c zt*@+e#NA3^nWy5sb;PPW!%BqE3)x0Y(isi9lw59y@OjrFK&ZA)4*o;WcRju$e6&|- z%jYOep}7}tQ}x{%+C(9;Rls4LrDzVoY~Lc_LR($K&n+(h8jc!$Ds4ljc9kC8Mef(6 zDt3((H@I3mdsjcoRfCp90EFJ)YR2csGG-yLFQ~{e%|2KmKvZetMf#q5)OoQSE>ib; zgw#a}(sNw{bvK((E>kE$bIInE)8tXs!D4-cDw13RP^T+Dv1jjxA)> zJhPH!?<`A{tk5i%)?3U=hCUZ!o=JO@*WtOU!tcnejk6w*DHSXJD^YUvuaioV^Th88 z$XOgpx~7y^S<(-(hwLmHh?~+i?*W{)T3(wkk#DJ}@@&!n{cN{JvrZy6UkV%bsGOOY zE@@-fn(jm|SvxL#p)xn=XaZmAGU(>$R7P*?3BKI}?!EP^>^6GqE+tv>_X(TK=~iQX zs>ElpN-r%&nafXm8qo#sLf+_&y`YD+FEiZ;8v-HPnDcFYZ?$|O#m+Qko>?DQxqwR- zv-xm|!-5)?zWFHcVj3yBa5 zv7uR;&RO#^nxiv0qBl0VOtZ{X317+Z5GFQ`Ca^xJ{u_s0B@|FFdRVEgie(Fx9pj#s zW^pD`LS=__3F80d0JYWiqMb^7cGsl%P@WGS+(XYfjEGe#&7 zCTfv#qtdsh>5_u7^}lLf`ec`N!`PF81A=Jzo6~+gsY6Rjy>ai{prtALKsR#t&j&Mc zs9Kw#G;eAV-BYL>R;goy23-d28d;yv%4mAd|XS0SJ zTE}}q9Sz**(AzqdNlWWTEt4olrU;s0o=VjzTC2Ney0K`?tQn0?Q3%)~Jy-yfXGWxrP6{M4W8m$ug+&5FM56y7s{T;9|x|N#nDS^l1U& z)<&L@p^os2&j3*%Xjiy(fd*q`!F>Q0l;V|YfdcQCM#5^S!Zas@1Tmzhx0#tWhFk?W)yeneE@jU}vp)`{(+Jgi?ENxsU*_ zsevUuY|#MOeRHPjeaYO5M1{KHxXQ1Su3P8)V47B7MNmMzS`~#*ws_lbk6iM+4Vh83 zb)Tc0YimuQ*Sbj3A)%brTu-^Q6X3&Glf?6@*b6bg+_Q0+<$MU9p~%JErkctoIFCgs zifo@FGg9@y)Cs#Yw^vOoHGXeYX?XH*taGcOo6V*p5ZDhMN5NS*S6D>(BC|XHogyZ1 zLFft{qJR77_ukF@2T(fs<{eLs{j5OXnu<<^-r$D=hI?p{Hp%N8zC65!G-lt>@MTDL zE-d>|F;$edk!EvAs|xTurd7R_zBxYdS)Uw!qDX4?KK^kVPZ3|%Str?2{=9>$GObAx zhW~(}ZS!YlPU$ATG5RpuGBrG}xDxiz@^o{5$=VE9U8vf}*=wDD|2xVltPJjj%cI-Q zovGo@w|Ui&2+w$leLXeay-gJ(`{&_T5gRj_DEx{Zm=V=xu&?d=Ho9(r?zN*#oIW6H z9@5z4l>=d4mIE{4A+$NIJbberb_CM-ZMD2)(eSMI?Oa7Pm{BscUk5ybp1*1V#8kE~ zj`7`ddc<7MeE)GspLi#=AP9YuEWM11l#BElJXLnC{51*c2X^*0JaIjDWuX2<`<^)TUyCjtVg=aOr z)$N|VmzdZE(+i8TeYw8G*!FRV8Oj3`|B3#AUj@GOodO7ZzTUAuZVvN5qasD{Xf>vh zs4-Q-HF9&$yFg=XA>}7xWd?(#ZC}T_h)i{_gj%(pu=o?hz+}D?~Kdy|46fB z!nvGac}Nl4=8Z@X8Vclo zF!@51)cT{sc_u-ueSB!%2+N_otBHJ>!$C19j?s;GJ+@RW&+hf1^*9xMgF z8%w2h(vBj_fK<+!Tt{IC|*I)Ru_;r?Fjh*g;)Zo60xU;#N zaGt#VDn>qdpRGHow+{o3xA~irtppH~)!@mBVW_V{BD;SeXHi%o=Ft))U0;K+;CJPF zJ_3%fJ$U-D`gFJS#*S~IY5PiG`n!TQjyHGpyz%Ee|64x&ONa&*$LI z0fT$n!a~?p=4OPj9%V9r`aqZbF0GgD_zII%r%}f0J_zMAD(TlBVKjh>1tm! zmNZ1r$9rH(MWDVjZgwC{1!(zG=)^Yu!j)gnLs4qe*OxAj_p=&!oSqWEBEnB+P9WRX zJem;1{X<}8)d0COwbR2-*T%I18$7t=S=REec9t>+%Tasp}^R2n~y zoS(dI3bK5IlF8%3_IUmwBU(DFCMR^%75`~LW$DWbotF`=2 z!3^V}>Kxnu3rPThcILDAL2&%;oAgyC)^+~i#|afM-BKc_qj3I5c7wpRz=t&jZINXi zyo#>nPnI%jo5M`7Qga6BWN=DU$oajDWvY2knjeF8w>k~t`gLSxG!KxwWHk-WX4th@F@3rm- z74ANvM|!14%G@t`JDmV@<6LnfCRlawv=+DaJXWpb#b(`zX>GVlhuwFZM#k(U$YKlI zSlIbPK1owkY5e00QE@tqV6@QU6?*TKwvMLuP^fKW^ewGYOjD} z;_KfHuw0u%AKG*5d}3WBx$G1I)_Fg^d){6RJDFa~p)rE%`-~E|68@vHyV+6gyXHjA zNT1WhF&zJoT%Lj+*hgdqn}ZbNZk381osKYXo7h0g%E`7*q8%(Wz6Phnc?GYYd@N1Y zFA%M+;j^oUtuARpqlNgOd5_yT^gP44_I41}W%8EmCyU@xH^z=;d8y@i1v;LCADes@ z=Eas&^hKpBP}f&a7?OBBkj*C#Qgb!4Apy#HW#sd+#RbIoN9%Ovc=O60GuZ~ef2L`@ zq}Q8q*)pNK{uC(pWVS}vy2`(vjUbtUYkyzQ>R5EqIn4NFH??8hH#G64oy3u#-vLYB z>nv`7CSq{qbUyE2hWc~sxj~lej#FVZH=y_!Na>}fSRw{Lf4Xj4mzs-iyVD!%i?CTu zET=s&=e<24IFVn7W3L2 znJ3frB44 zJ_9XoWab2n0>X< zEPWo+5m?Wr^y3d(b7OVWW8Kh zXjnmDEul&6;66-#o$4?r4gI2ESY%+sp_?VTX4zM$Go90H_E<(XmjbLGS5x` zY1wn`P!dC#I~d*$2BX3ZtXwe8%L!Ir4g!3bHSsiAoSH_5qn>e8YLbM|7VG@dC?M89 z$-PJS*1W`0Sf$cRgE(`EZwfx&$(RvnQUhskm*0oI?h5YRR)3yFtys|wyWw>ssxD&> z`aTWJ8|y>Wi%8{u^1`+Jl2&?#Ct?34g{|}SHLS`f7$$VJcBMWUstp(>TgnaDu&^M zLi!D*Kbl)Q@HZ$X2l|H^bglqLli%VDJdfQlvEb1@RhwkMbae7QPxdAffZUy$S=C}X z5F@Ojk^cIKjXe62eqcj%ao~zHzN<$~3c}DE zFyqPle(Cye#W%f1*?n)(`n?xaJ+E=56G~+}W~R0?zi8?;!QT|Y$;zSE+iXi_D@Q<0 z`~pkMEKcTdRHnf^L0DX4vmn36MbDF7->_;tRCoEcN;$9xAdQ54x!n4OuNUd@` zpU?9YaPqmfRhlLVV1HbYNMU*|Dh#zr;ep9>fyBj@-x zPULCWZ}Zel^phV#GW;7mc+^qCs|)K;nnnU7@7+|#e25CckIfE|b=K243iTx-v5`2) zL3#|S3kbI-Li;_f=6c&?r_`{2q}$)eKZ1gy?}M#PTAR}5ssdrgdj-0reh!k$W`A{} zN4<*&a7+)5`NmiIu&w3c?#u+9W7Sxv$5MCIHVU#H=xf=OC|ai1{;pQk$Lk)$`}HE! zR2&U+R)LZ>arln;>cyN{vXPJ}{tfZ`Q!<>R1D5wprPI~{*}7J{JVa)GpBnL!V=P}8 zHOYXX;g(W#vhjYUb&mW_^or^4+DTM$7YyESlcAi)MGHDdy%3`#KF!P~SpIs>S0jZy zSX&o=3MM&MklQMxw%3Bcl#1na+!2PvDXy|Bqwp&KBB@>eR~{750%6TDZkzq}`+7Ag z{xwr`I_EsuE;k{Hax^@DWyW!vG&{(K+Sn`A0B=v+({POZ@3yYQ6EKyQ;6aRs-$+*$ zz+Wi-60wl^=tzY9pN>(xH0*lEVX-jUFubm}BlDf_Z0Oszu9*LRKj;drHY=6~w#~R7 zp{Pz?IODe1uz_oR*@%_@_^Y*t0`2q?Z&#jN#5F0*-*M+!44&8Us@-hT~+kap$Oet(#k_zueSxrvfT{XeK7Sei424`<2S*6R#qVh8j=>2#8 zF;m+A8&_2QbdpLuiI{$*B9^bRTX#m|xS3&Lg$^YoS*7X|*5#&mpDY~c0wayEjoY_< zi7QtS5?bCE4$E2DZqD`A?@1Dz*CDqdjXI2u*yfZinxT>Brw13+7PPUH<`=^tv8Z*F3)xFSg`b;UnI&WA()O$I+Y! zPSWz*;FABRl#kIz<-hSROXkyO+KF1Tiadb|!6qc2(WKl?Za~e+t(n**j$Xxqz_RBN zf2j^pZP}irY?)TGRvqKetr+FW1c?lJv4INS=F%sm%pS8GfS4()FfQe=T*713F+#KY zEUx&&;`DFDV17+e&6OCQY7WZD4Xi?`Cb4}CXQnIkxQn3>;6k)E+W;4Ft%^wgr=RHi z%Vra%J9d-uQNnq@IC=k)LW=&OHCClPqpUgXFEJNMRd;x`jF&EjF+_G|ww_ldXKegw z*tALy%3lvOj*C(}=-dkRYHi#B>_2V1Gxc}WG-2wmSm&{LGq*!SsTk_v<1cG7)CHOM zhqq@sgWz((SI;@((e`N@r*xjy?j9$qa+1ZeqTAGp)a`9n3cy>_MO?Ad{pib#ke5$$nO3ltnn?- z;PzVWR{<)mAi98>YbSkEm;cN^DjtZ&+m|du5!aHD%VBO&si4p$3PXKvVVQn+6GU?p z>>JTjNB)~0T6&R)>0~2N4L6-k%UOdpp572a56u5e0h# zi-LEZxD{Ii>lwglXWNg0!i#2$y1iT_{OFRa(`x@J+)=e*70ms#d)D`noyFq_%_#Ej zI=uMpG_Ue13xZVP0Fs@pmMvs-q4_1J&HY*M-uB!V$*8y(JA z0j_5kHE0y?f_k7$cR5BE%zSTEcG2>m^^_fxi!Wev!B^>)Jov%6zoU+HznRH8HTb~f zq(@N=;~*EDndXxbF0^oL41OPS0J8eHNf(u+OtBsY4cy|>M59f%8W>h5Euo=N@QY)B zbik?NMqJR?OYhTjP{^39w%O!}fvOhPB_c8PEKEZWNU%Qx*S^#V)`W4#F zkHTM)(ffRPM86Af|B(3Vut$K{51ZY|*YH$fE@j-RIs<##!k-uHVi4#me+O=2^~32i zxj46b4y&3CcLoV>wD^NWLeDb`D^H`4cC8zdqAu3<-U%kZOJzzJe+0oK;x7$}0IIj* zM*)IjJtqYrLf1?^ z+Bay`PFAN7EB@;(#$*#x$iCT;fVK}6D#x>)YOO-|L5>sa>y^CicAfVcip_J?0=KiL?tAGAgV(&$l`$C36?$b#oWPCLl0Q#9_4p zu#a|6`BL8lGf^DR4`~WQ)UqBTnieVv;Vm@*yBe=v!N`^bo(b4^H$*#C`LUPrq+?bk z-CxWJo*HR6fmuNfp4!z&()O_JcUu1s;0Sa-<1nqK(0RXWLiO+j*X80< zv6yT}VatAcB)&&cvDc&nFt!>f3=@=6VwF+nmpl7*2mAxXrx$c(ifwT%?acT0)o+x- zYTpvgQYd#=uJsi)c=n6MZ|{!@{s|JN$sHj9Gm2vrpH!t9_PKv~Jqt@vsX)ugZ#%L6 zdvM*%37Yik4IyZ=e@x3|dw74G{MUxYpUxd&trhj}G~J00Ab;~N>ecqxE;xoxUht?X zhZg}F1=n$*2j`#C6Yj9q=oxLl3WCzQra2)E?0?1ekiTpyd{j98T}kMn79u-jTG=;JxNWCZQz70v8McM!$Jkok|MB8-$N08 zro?Su%iuNc*}y1K>z*zd0?eV}i*J=>5}Wa9l1}T#N3?9q##7VlS3=~1jeUyX#Dyoz zH$jk(Lo0T3dLT7W1w1J%?IoYlB;16w015H7g z?Mzk;!Jy!+D0NeN&&G_CAL;kkCpwUe<19Y&GqL2i&4rWfH0QJDJ$aS8qAYY4`NQxi z@3XXVgv}kwGbUPDq zcA``|Be@Q2xySq@@NyHjQ?N9-$6omJU{X~WFSTTDc{DvSCB;OlFx&1n{YU{eVqP6K ze3=d)2HH7r3c|x;%N}rgtnEVIQ~oES{KA{F&LK$oMK*r^l4G%xBT>hUlI#16VweYA z&!70IG9uk>@W9b!==8mhv_RAX{B~5G#wa-M0fXEkC zdWn>|8|Ma{me=2gpChlKh)|9B+%qA*NfH}UClO=%)NfWDN8B{^&Y|?WWT`Ya6%U>; z+QV(a;uFQ^i3+*_IdK2D#VLBJ#8dIXr7ItbwX+z@;`6Mpgo%06(84w+dX>j$q~e^q%KD=Gv2}&$ia+3TjJ(K$Rl0O?r*fHl zjKaE_H}x-^@oyr-KS6?-ZHd*mnOOu#m?GZSs{Q%AV-~KPHdyvu(xj&(>f$J~9DeK;+#~6BN0V$pcEMg5OaT4{ac6tx;-YPE9x|)W#p>xR@l?Ex<2C_l zu{PUzY#GM0JpEx5CG-h=czcncX=QGSQuT|wK9|En_BMzO9MhgX$_WznC0Xh!BjdIk z+50KM@dv7~k|x3d^y^fKp>pb!2k3oqQ^i3F;kKY;p^dT@)_MyH`tY+%nK%MXDX@7{ z1X$O4@7WYfyn#G+k_b(-bYRU%4SHO#EmxqDcO$4z7p>ET!`3QyNiquo>7sw8Pw{94 zF#TGzKyLNj=rDZY+?jyJL?-h!IaT2nv~0faga*Blrl}ck0pZ8%wtajB0vBABdPw@U zb&gG;d0yrndHYzw#zTYN-7iu#$L{7$+wT0!-Mc3V6XZ+rg;~pN^iI%3Vgq1a*A#xb zKjjo9mQ{%o!u)_m&|_?RP)u6%3h>c)@%j7>i)v*lyxOzF(ST)kbmed_=}wc@@bAZ= z28!zWl{>iV*hC#xJaZ;06QD=UCVyOl74TApGMB#SU%_J$n&xhtq0clo^0UZycdCBE zWK3yT!D>%mhU<$`*lWC5+I=cWnWr}Zxbi3&Uwt0g79^8cLw<;5U!r?IG%d2+E;>PS zk_>zznTpma64GGgboXs)6E)=(m9;UuL!prjXIWEX-?~<}Gd_cIWOz^toDj=oLLF*Z zHEsKm0VZ_7dnIAyX8c(jY-hyvT-zu)>tcp3O7z~#HSF)J*}u+G#_ymPhv4eSh0X*0 zdzX^C_WQHti-%bjh_*b8zzVV_W3UO;}0 z+VpmQUzN{@4DCAkN)(0oEMSw1WEMpU_+w?VeIZpGU2Y$^18XSK%W~(*cS*G__DUt+ zuzl6ZfCu_WreZtH7Ol}aaNo~p%gP%QUByeznHH>NVtd@s#ycrs3k+trqv3l*>B|(a z?vy1c5d`gY6P5ODYR|L8nZvpNJ5F1({|1habV$3Om+!vuo1(yzr|Pz?Vn+`ZIg_QE z9)e5e{54T^Fg?tSKmknlD*V%0IQ|n<8~*KY^NM0HZ>u7h%HT4+nWZ@g z9+?{LogKPeCu7lg8sn@Q7Sl6|yP8x1Yj=ap%6s9F^)8~vAp=VYQ*$B#8Kvy_B^kTO zL(^OjswD+`^%VlB7(;h5rA1tC#=FQ&5=@bfER*BlC|FwaXTKWPd929 zg7V7F6*a$PZmQ}IeCXww#GPl%5Sgc^=EuNy}6Pj&^4E7&aiAV2vqVT=8_o)2Z15A1=KLTZ>yAg?8R zwQwD%U!USAl^Swqeiw9Sn|@IKUTRCdM-SLGpTLswrwG7if1Im6By~`%K2nC^WIq^V zs(ep94Pan~EgP`xoGh!)ki=-U7HBwBv|c8k-~>4}S%(PIyiV>Kf{R1S8C(@chB!sKI>GRry=i%%xqxh( zElvw^4}5$6rpi5L*ME?8)O}HcMC8#sv|(oVMI^iT705!OS0Uij)m?W5r$L9Vm!-vl zT6gtoCkX24CHe4)WC#*X{jY6!lXkiJHD>J(FXP>++iW)5wc-t7lGCoCyQ67Y_G$d+ z(rx@sPit`FYS@t{H;eaOG~JGi2HIV;8mxWUd=J+wyY@lw4>s1}eI@!b zTzLFf%eJ9SGUp58{-u+$g3}}8C@4Z4Kr(_PTzQqgb>!l~Blk&mWXecaMr`Nk8*`XimUp0Kz z!rvLN4mgjSAXl$~Lx#zA5X!YgtIuT7q>6y^lI90nHMgxm&J8yX0Qq)3Z6okiC;Anf z(2hh~Uki@NKvV3&`;_i)y|Rqrgk_7*iRe4K8Lb@+eDXcj zP7?S@)OOFL&Ot|3V1?AYBC^aUFkGLahX*4+0~nwZ_6&*LIBn_e!{mxoYGGm69wqmA z`v0`PQT=lNVDHzUHXlYlGzEDz%3?wL#W6GUPHiB!1b;3meb~NLpMj}frWY{A8ZSdE zDl+&HD{>~-6MT?beBQY+d?0YQSilSe{S3cX@>_I596g@i!#kb~xsi$FW4p7#EIc^z zzm&#nLMj<{xZSWB5}RN%%$x7E$21NfFbFC}na%(HjhF6?jpQhq6Ka_sCU?rt#(KSv ziFxcJ`j4me;Rx*3`|-=(*YqsBG)QaQZ#xrtrDLz%8Hr*j^`wm_@Bh_!ZvDblUPx=* zrk?c=nf==a1Z{v%QygfbNzxsFzU>Ru+S269F3f+OnO_nMLKXv;#E(2VcO9Suzr z2NrAz8ymWm0GZZHa6(B41>SMtjcAs_XB~~d)UvyTK*eJcIHr5-kO(ev_d@V9+=#f7 z>-nDE>EJjWYhz1~iCtYY8$;|*bm2(J+@L}F*`=@szJJ9IILTgF$;JBW`B(1d80U7V zN>bmx5qe<5-E99#_KaTX>I7~c$X2gD1`H5GWGb_2hMtgBrXzM3(xIWY;3W}hFcJz67 z>r@=)YB>9fX%lr-%9!bHj6o-m{c1f@xOZp7nBWWb)jdwPhPMJwE zoJyaXS9a@65_i2GE89MV7~JvbpzrA34NK+URYj2JAfn#I`{y(EKj9`Bb25Rz34dgh z$A{_4cFG3lxWx`0HJ5U|57*_=1O0N%lp@fY92N^y+HiXG;nJ=J2PPvEUIIezXYZ(H zX3Z?Sm!~Q8;c`8R> zOhw?-7sd=^i+K{+!AZZr|HQp=rtLS|{^ zAT{@(+?$-ZQBhG*5sGXwBx_L4RmY&Dco)9({hK z#49l!-|=|BKPcm3n`gyEp8n!ld=+F|e^E>4Z`S-Fojtln*tPem)-?ww59w&=4(1D= zh`2bG$y-tN2SxPBp2l}JgF8H}ifeYQpsz%q>o1*F2Lwgh7+~|7Qym7kYGj8G71vmm zgM->UBTPsYA#I*5%lT@4&+yn5YenNZGf&6TQx#&m5%+{fp@V+lph%+VHcib>^Q{eX z+I-t$!D(x+j;8KljRARZuT_M-Vjg=Zv+;KcD7;d1c5@**BrV5`PqQ2p` z5%xf$Mho9tqCV`m(dYpy{J^N=0y{GNhv+PH0kOHad-^W#qnaP}U3jzT?A`@g;@-Od zbSI|tyT+FmWY_jG4Ez|7NSC8UFpz}qpu84n{WRmXb|K+VzTdq_sgVO}dmz>l_4@Tq zO}|x-`ua)KyZz?1h|X3mY;WwXldd-qHj7z#`Q~%6fY~j_g(v?&>?P{Y{F$3Rur0RW zyS}&XWPNVa@A4ztMQVPfZ<>TO{Nwi;@HJKNqJI3hBlduAupc%g(te*bFJB}Rib@7vcMB)*pum=+LmVX>45BO^ONd!gCNY7?FF4XRW+?J@{`jamlMZ~W- zICAF1Y>DFn|KIHnHNU1e1_h$C6$^=^y>(Lc_{QH`$F?Wc{KDTD6p79v7A92i+l#Q0 zKM8IYi+svCztS}SbVflVYMgziIHZC`;5#n-TK#@)*$Vn>pQG@N=YHlA_2(C0*aO>p z{NjW``~S}FbzG1Y(Sb|UEB+oFKd>F<7ntVn`IvX?fKIritsPqZZElI^tk;73=3a(= z{d&{ymZQFnYJQ|Qxk4bX_}PR+a{ih9>bPLMM@KmH;zAc`FXMu)5ozl*0~9G$Dmq)Y z@EQ`yIhKcb2T3tF=M~Dfx~u4*?gtEt9L24%TSfEc*Z<-!5*2JQYqYvj{l#Ch96L$d zDdUTKi%HwTOQTI{T%**)`rh9WV+Xc%7L3>S>RiyB=r!mY*JRf<{k9a_E?4v8KKaUj zCQ&c+`kOuA`^3+r>G$BC?FKbJLxXZ6rzL;Gl62O1>cDp0!ma_v3M%?0{Hy3}+X8>; zK%z%2jnnvBb&nNEJ7ffG=LZp@cx-l1C@}|%(MsdyYPe(HiD1>Bw(`TIKHb=L^4+TZUcJMf$f>xqJ*?ua zydJ79z7ni569cmu!&2}Ec;EIB0g|`7;|9Co3h6S$f@Itmfo{|m6sw}FEK`(J%gq-V zilfFqHaDD6$&hjH?ytU7u8Gkbz8Pu5EU|kk5$$pR0#e;~BaT+AatId}bNH^lF|Xvl zcC}6`^1r%ybm$)eN8~W@d)`Qvx8{(|b6MGEJKV009e%2KZPK#Qp7iuBHoY|^5z91O z?njR1Ov3J_-+t%~I2mz)J08JGQs5QCFQn1kiocl$dv69(DWy^>01p=E*oB zCX(JEh|%V%3tATde=n}83J$xtrrAj&m_9qj(5!(6JBIAne{F)v!ui zYwhe%`^5|EdRFm!z<9et5&qV1ZyAmx8G3qaoE%cw(jzF8w3xr$(JtUyKN3ie8!Tj!5HgwrSYGK#BdOS)ETu3dgESttE6oICrV}kGgAeI7@mUbvn zaZe*Y#=L9m$=GLkWYdLv<^De@7W(?NL?1m_vup*K)O>Oe-4N8R*a>AtUMoBFDO1eV*-@P30iTwOYZxR?BC3$?UMv*4fuD%hVSI=VIL>@J^MyA4Z8+^15>? zDcNhM(q&2#V-#fEuaFyxJ#A{O%(|FqTc31{vDmiA#{JqbPA4NY`Ht)lWP4A7gUB;F8K;`Z?3FrKSF(+ zcEL#J3K?Fqjw&ld5iU=!yQ)k0J8Kj&j-BnDQyq#a_obw_jw-2ox9$)v3TK~_Uill# z=bJ%ocMruqnk)_3?R|7vvTtbmcHP!=T1`MwMCEh^_~I*CwYOyZulzmke9wrh9#IZc zoDY?deC+_z_vF$l6U(Vmq!KsqeqLZ7Si<~93YXfo^X5U1mHVopSBu?b_{4{|W-Dp$ zfdNHd=0OptbMWhFrTUKzm6SF^CB96-inY7TygbaALK%?n`j=JZzc~rN41eC^E7|X? zlT1^!h|BT67T!_1`NSU~Z+{zE{?kp_N#&FUyS6&Q=^dz9lxwSbn%mSFjzKU9MEeB^ zpLe+N_;+O<9_A6uvt9FsAUeg#YLwt25;`$)>+2zKC43wFl(B~N#&#MUH|r#v}pQZ zcClpFO4WOH?>tn4!t3JBI!*p!uyCjepZejgdhNz70LN$jO40C(ILHsZNH=rl1rMbl zM78DSpsTaeF|-}fFSo?Z9^{hyG-vKt(^t!;xDD4zt%=PjU0yAF0xF28J@6z!lVK-skWbqc5m5!GpZgJ9Ww)E5MXh zATcYfXgqBGK(HHn~ePN2L`e;YQ7poD{QXbjz{%r!=xH=`N52&D6czon9QL?Hud{f$#dno7YSw5tMcvrUp{C3}*NLEb;H~2-dByoL`@k84VTM4` zLtAHWWQdtmLsCu^Bf@SnG+}Ryf}K|mck9a*1>@p!=)@Ijmxop#`~v$v-(fD^tl1;w z!i#9evF^5A9dd)aiZa=(QuQN;~H)RKu`+9&hl`at3b0=1iZHXIV zoXw$?g4Hj79IY++<}5&Q?JeLVIvYjY7tz#@A2Q2~+=WucPHlaVk!T$!>SxC%+z^og z@Ncf!2Incln?GkWC=7%qFG^B#$lkD3#=42yIrwbpoxduX5U`3l0aLS{7lZV>tgO1x z$}U^$8($eZdOAF_L-a?Jjm74i3}?>@QU2sz{cWU7RMy9WPn}|D_+W~&I@0$0RNlEN z&4KL)V&r(qnYYg7xW5t>Ecge*%l&~1D(0AO%QCAI9F6p?Q!|NIlDb^TDyLl@vZ}MV zA6?*=j8GrRO5Et%NdAdJ?qGs1v{2#R5>%OO_L|?joCNM0I!8N=Ao;kN2heo#gTGV( zvgyI$c_xRc!MhE8+pnhawUwxhElo+F!||}Mf%EF?%(}|JF^yeIAWod4u|j&q=I;B# zUqY%)SFq^jT|iLR0n7D_Bnf?(Y6alOrlatX&R3Q7(C=`0v8}~hj4D#&AE%x6Km-jK zyvpWNFna=I&GSTV$-ZdS4sn#yNU4eLg|{H$D_ji&d;_*)Bc*HpjD;h>)!F@KDo%Mr9bR#o_g_S4o&LQqwHU8siCd<| ztgCFZtkgo^5#F75y+H3iLB9%~@Ds{8ly%Xwft|&t$RA-W#DNKE;kKa=*V@ z-gaMxour*`fBlZt_T2YFr79BS+LbeC(E@roJy%r}k?#%F{rEAksL@X4W1w>(0e^cU zrz?XSma)?=hvqiii3h9$TT2Q(2xMV7srrCi8=TXD+8LVwvjt2f?QaFxBxIM)X9U83GZ-SC=!3L5dq zxODw5Cqb2EaJEgMQmq3CM4m)+|2x>2i+etiwDpLIx9~o)>|pLDp~0MW>V4g{3_9&| zVxE4UAvF;FK!gRgEfjd?Dfc4xSsD(w_syT~Kdkv=w|MCa=e}6@Ix-2X4^!WeA6Sm| z;AE-us2tFuq81BcI+q47`p6nRim4?(WjjdpABMk`zW$J3SJ`rJq9i&nyge+X7lZWr z;BnDLf(12U^-J1?8Kjg!Rc*7HWiK$owJ)qBxjVmskgLCP^Am?i$_@d@u?9BsAC$exLa1_Sr_*AYL`}v zrCgrfK-?(ZwoITi(MK`DxDkyW&Z|jzq%sgcEMz7p9TMG7a_uePdK4IBa#!E zJ19++`KEG6Gvt@8@w(d*_gjxrd^r&|97DkrcE#A-f=z{H4R=qcV^-b^FMgsEtu=jK zG=5K__)IBV7&s2~G$bLKTHGCLL19!DArEirOu5Wb|v+yz# zO7o7Fd?EfZq9jr5Bfpn>oV8La5|3$6KYQzmC3TWJRdL0|ES^b-tt{rMPNj8ZV_qnD zW$L!BW#O*6ns;00HX8I!8kY}m9SQ`H&3AKMjeMY??>`EdFXgEAPLPyzz&(QI5xz}( zb%9#SS3&FQ)SsbB$9}OmQ$Z+$U0lE+m~KukdUBx z+pY~YT-5rd^r{gRcHIS;k1{^aidtdl*!6M6Meljc(2HY3$&>u$^QmA$g5b*5Yi}D+ zh*M?`e`D(N%?T7`vwl7GsC-h8y+PN?z^T9J1( z1E^WHeCBOy13+$nPfPc%RD^|nbp*-hB95ZsOo=f?_lnh=6|0KK8Zy^MbS;!8w8tl8 z2wvbth{!k#DLs{p^w~cL!C~T8vY^H)5xWJ>A$Bc0;a^lfdN>wZ&)bTk;}=1{rI9Ys zOL-|vK2KaojpV0XKv(FHgFAa3c5xLF8%)4InZ4VGp7@2j=FEA>SI_`eMdxz4j$Z3o zID>G0P5jV1ONXP{Tf64+IsiatgGO%3G7R8yz1#X6Zk2TZ5E3W69|&&uBo0li-zaiY zao6utcFSA5B2@eqim!IpZb}s;Ks`2`x!R#kPCj9wrWfKatpCNVJ*QG3b}fjEZdvfT z;AAnO#D?qFUL#Lxr=S2okkWJU{ffI4-(1}=%@`*a!#4LOZ4CAK*5;fV(6~lD2*MjBiwWQ1X!2n zhqv+D?lWl(%O_?@M-&u7gX_t9)L#bKfwTHbaK-3mT79NdWCZ9UpkLEWFS6#BQT9Cs z%eJrpt>J&(&B_^8eUO@9qUVG-gy~;EFFBqg58iHw(FLYdiNJ?nN_R<@00GjlJyR(L za!XF8+nYOcHziv+4>|A6hb8?3OM=1!?u9veA%)5D) zKnKEfVjili#<(XHC)N#UgU4=-P)|;LvA%)Mx6_im!$&MU7OVa*2~5+9_H(3hW3S{{ zAGLbz{h_rq(lOJLO^nG*0mX$xT+bc=Y+fLcHdjd4->^jEeSgtFH%P$;zm`wMl+pNq zVPO|Cu;(KCh=i|~(ff^oad?ft_drTMSMLQ)Wv1g}lEw){~3|-mF+o z*tdO)4&{8+mf)oSG@}zGi#7=}KeKt7Hcp0&HYG%=5~{xba6C7QIuHpZL*HB36ym2R z&*vdj4|OvxU2VC2pZ{MytJMteLI>gN+)5y=%IWJmCn(==4CZb2V3arc$J_^7l2y;K zq+BUI+qbzNIqx(VM!_yW{6=rJMtwnKela=YOL>WbZC-$Iv~^qh6?jE$)@??TE32^9 zSZ01=v%%z$2S<^nGV8UhYz2t{`NQ>T-$TkgnyWy(p+4sR${NT{%}6QpHs`h>z{m;t zj|}WT5F>NOzl<;ljVq+@Z#{QP&B}}IDbp#1fAb(>=)LR@3^rMr6WCyHW91)uOm|(; zh{Bn;-0*jTX`h$v^w%BTou6X_S5zw{xGfs&ZwQpL=8aE|m2M8q@P^mEhw_pWNIB#4 zF|Nlsrh2x(PR92t?)bt3Abmd}7I|tNH71BZHFK{5jfI#ky%6V?23r$xoE?Gmj?WR? z%#KnoGL|7ZY(vrtZJuz7UKsHI6rL?~-%yM)3!qiJSs~XuY}Zc8H)J;IhF;ldh#UsX>(vCvqfcN)S0^&3OZ)Pz<5jF0 zjMiKf%Paq-KgIQs&(ZnP(Aaey{Li=FJ|VKl?x9wN+bL zCC=+tBGt3Ooa|?1rpOQ`I zFI$F=Z+m=}b-&U2P>1*T;($LBVZUY6bz_~S=Wp|k&=VTrz%3>=AR1&a_zvfhPzUha{U4k@W_Z@b#%wSr+8R z_mpQtz_hH#VZlSS&><910Y!ut%$EaRS83LD_k{ub*4s_{Q~QSj<-jcC71L$U?d%!< zgCV8J+4lk9emwEWh=XZ|_kHE9$AT8Csf^)=R+*6X`$!=|9npyj43H7embpc~w z^C6D+QVm;OnihUC;wv&KbGUGp@E6x*pN{;o@gg+7Sj^do@Op)Bw;#qL9NMj=&{`jk z>joTZt>ic4)0G9%r}67Mm%3-jGT0SluHT05q!Nr}ib+9z12w**e}~;71@xgt3oze* z5p5P9a2CR$M+Z+maUU2qe34__ZusKx@(<%WGh5nB+|LpmEXzU}V%+xwhd9aeZrznk zrxycnm9pAI);^Qn|L9uDBpD{yOjz|dUDQoLnN$`k<}KYJH)_wO1nSUVwhB&YziQ6O zb{Q}NoHlEcu{^B@5GjG_FcI;ENV zWzYDPpV|+WhrT4eY(W*|N=jm;~uwf<4Nv@v0LcLnBu`AlE4s z0hRb!DHFE0qE->xU@^xV=t~AcY6cm_n3RZ_`__Fxsql1x zM((B!$UMZ7s91H6q|jH9U1`tCd}u`*M+U^U6ZJA%`m0OkQ6E<(RvcC)Zf1p6T$BxN zJ=NVNh_qxTeF4(S%-8=5jhX>CrQ6J48~BLaA+aQahiL;L5XU;N0lO*8g)p5y@>J_T zwQ>4Q+h~55hqRZY%lSmo^7Q32316?F8sMqC9l{@VL&qB#;_>xdN6MMsN`q(nBTiW5 zlJ<9uT9CL;IhIojaKP@&2fV=vIrM1!Rn|;b%f<~RpwhhF=I>+O6(vjW#NgJm?8`@2 zZ{W8EKHmPxLu`FYdMfq0Zdf-vCM0f$LoYmAC;JuDC|kBcx2%o=Hxfu0x#mZ8vg)NAsXG!x*M~(@_Mp&_%FTLgG0V~=uUFhCe667 zVL|&vs(N$^iq1D=HMQsd96anRz2tU0ycIjkOy-U4+(EW3d&#JSZTj0PC1=8x6S`?O zUz)+cKQj1}sJXY&oh4moJ4Z~txvH(Vosj5#jDvXepRyPyVK;a^M1d2bi=|SnU&f>q6PDX7J8O7T^Mt2# zmFD%VYE58GT(92;2n5lNa48{?%^pn4kE1gBLb7n zPl!zC-xHhG@2F}N$a^onA$0;*dIlnItz~@6xRM! zXp6sA8XT&@|FMWQqDGfZ-|xF@9=&a5L+^jvpx}xfJD7%659eD2`aGo9RnL8hKXi6f zzR37rhMJd|XKWO$0X#$Z8aMjE>lg1t&rsMsRqavXfMBEX(?#6S+x_H4PeY z;?KT^CV1ugSJY25!JZimlOj2Oj=>FQa@mPDyhluEjTduw>krH(Erai5S0>ZM*fxV+ zt1hXai*4%2PXZn7Ub`sDDFfRUf9pGSfwFp;m4b^)t=B=Fe*$(c zBh;21$c=L3^0N&eIsZALeQdo*Z0v8dK}i2m@`^phL_eq zD!ao+7!I!E{-atYu0U9(TP|LqwF_LWK870(Y3VOWVLwaEER=Ti-162QkDFgV11PqW zekE%ZPu1)xj`QB^W$kVzEjrM?eGPro%GDCfrD&TWmQ2h8&kYh2mvUCay#n|#J%zX( zd)bvZGoKs&C`Md|NoM}H(n}~JJ7K(F`6X0($O--8zo1t!c!(oB1A5F0egQ;ld9Xar z3eN){U-4>&arUOEWLSnJDho% zgsYUm)c4rJe?YeA%X#gabE}VaCuUdn3;|uyrvr=^LsgdoL3C`JVuP2T+W+=2=1_1ACbKi+v zFBjTcIrlWewE?(G9xVZl@&5AsaK|t$J1yOT8tb%w@b&C733VI5jkQuf-fnY*1y(8! zjJWrZ_c169J}$W2s!vN*zwg8&?_W4m_Y9TU>@Sb2TUHu^y|<@*W4s(!U!S)ke}*70 zD3XxIAE1Tr(&0h4&jF|a6`q#wE`E|>dyeV`CcRABc_z;lhpjULmWkGgrIxOSU1>>L zF9%Z!Q)1_%Ej5(J=ubxLU>O}rODqG{m+-8XB@e6oWPV5GE_zS%5VE*z zBOhIvTmz=%n)$=jbD6fcv$#50#~cj*(^9Ex#UeW#+W5 z?{R{4mCv7su7Mky;5UMQ==XjqnKN{LuQiO9ZKBcxzNTlB;#~zt!g3gvZ)By~3uq}C zy#@)Yczn)I-A{CK^O<_JR`4Gp+i+5qM{BfTPn6$ zph~$0cFO08dn2ozigy2b-HSX|>^ zrTY=sseVMvq$mnz9!iU04-F_dG>O^QF6V6OX-&j*J-*O84!cm>>b-QXBP6)Ff*Od| z$jtqSzLDHJ()iwW#T>K!wOx#99yW3!RVt}U32_?TheSfx3t_cl9REuUZLHI`GWMLO zUh4-->b|LR)_e9lw<&}>(b7qK$RGO84D&(vg-_wfuxf8Wp$R6J)n#;6^V(I^Vgik& zx;G3ui$j!J0h1k!W4TNDqep-jx?}vgS}R{|fuX`O8^+0&)B&5xfR>&gB{H7s@zd4J z-R3(4+bVPZ)f4Tw9Z!Cu36|9a6sD-L%KX@DI4awj zS{1}M&gJHGG#kQWvyjLnOz`3_TSQ7{sOW5_H`tGRJUnEO zWHmQH?(!BDRuec??bD~RD``bcn=(2Zwn2J54C0M%j*BU;gtz1tq>;j7MxV8UWC`Xt zC|n|PS3w`p6*2f@$5)z{LjrUj`vw(w?wYjX^yt+rgj==+2?`gVP01vYlK8z0$p#i_ zB-98eIN#UL;CxBi#4y;7Kbce>iUVi=Vzpx%p{n&t0WM$IGr)KD-fo&-`t8 z?0&Dv>;4c?wW#Mx4FZhEc3y5T-*-FrKKmw8(1fA3gl|u2dJO5w8yGYh#QBb*vlv8I z)+F*q*&vV}w}P((#r!Ht;<@x(2846;jzKzF!F&K`kpcJ8&Z!qW#hD7=SK{I+YX{ZoQYX|=z0T+(jb-S{ zB$yW6SRxc?JZp9ilU`A<6zY2}RGx|6U+ZMaj_So9Wv2Rlc>vm!=%<~hi>!urz?K!` ztFyFXjV^ajH9G~wMc{D|0;ItE?k3tGg9mxK6ng8Pi@?X8=TNIi$JH90$`$O@yRj*w z*dN=1ec@XP=5pBR0v);_wP?-OSrA&QP7hM|j5C^Zs{+!ig%o4pxlMId(9K+ttBVe) z_M0si$?#3dp>Kjp#?uB<;AsveORK#n&6qxp*Ep6CL96GL=h88c|0=G&=|TSbjQ&D8 z64naI)ONG##UELJ+HJ#T-*i9ECf;efVJxO}D$rrXzwo}kv)mTc`)fqY-&6jNx*EEY z?a;~oRzRjag>{$pec#9f{s>jO!^Q%!2>*J)GqttiFWl`ssm8g-Y+&4OB5a7FB8<6Lk28t^QtOyC~m(%fFm)1s;(%F%72EQipN(j65W+!c~JR`a|V|=AZ2#FHst6?r@wQ05K}gP7|e^ z!>lT47vA3=XeV6sQK!*^j?;m#7le?gdNQfIQqWG~yCPzx>1EpQu4&2}#Na9Z!_WJJ1Amz>3dT+_;?d zNXutu{`TZ^yMtSKmVY(Gw#l4se1E&?v*=Dsqd98t*PQ$Ki?T zX3g2PY3eR#@aL#GC4V)m{%V5%z?&AZhxzvopvOS;Ll@xVa$EhT(<7@`QBJYaa)hli z*RgUT2@_rAWA&g1ZntJvv5;hq5gAQPpmI#7=S`<=4+~=wO`_BBeEh^S0ouFnpNrqyYC+;$8_^kK6>k$a z8xKj$(ZdEPRoA=l{$CMsP~hO1&f2h-pR^@hV&OtB8I|Q0fvhqA%UWu+veK4i)X-zm zFp|fuX^LTZuo9@p@WBp4oyidQw=dc8a{YrWUBKDxz#VqLl463Dx44#Mc?g{F&egsy2DMS3uVv-xSW&vp1Bb2LqQg`{8QQWa8uHpfB z^jksFTeRyR!S@9;=vQ2wD5$S7$aXPYZ)mrnWQRO1SI$UyXI(p}t77|ih1KYtmt_iPZFNK0zXWsZuY#rN^QS`Nd3q;|KZqu*br9A z>+WR%@ICoR6%wOa}dhT3t})yApHXuMSZ7NJRMpLGAVEe!qpW}cq@!A8ZIobbApzbHw< z7x-6tYKH1MF7{8%Rp}oM5SODL_8f*r+l=O30Z(fh;*PJhF27j-bn2*GTfD9I!FGUf z{Z=Z!xZ#RTBFFF=!xt^bmqsCPo`>}E`)ma_sdvA57{B_sK=>sMprJq?F)nsMjGWdM z%d}Rof0t3dmgMCzY03M9VfAq4L%pXp2R9So@wi+zo_YV^Pe|v&o+64wqA#;wm~Fj5 z$2j*sP@<%R`rGhW!LzZnK+c=cM!^i%(8T7W2jnVwvLT9Vp@#r#>OpUG^1XUS6Iiv+ zqz~mL9bnGE@o!wItMQB@cxkVyYqQJO0dBw62ZNFMu=X0Kk!rL*Pf z7rK3nm2{cE{YgNTwo+Yo24V)vu00rr>*+i;g4v7mb1fdv)|b>T4-88zzdDTbejF?O!z7$P-#w-S2mtH zjUNo!J5LA^h2!l-nwx&m8pf7CuW;y z7&f>N{K~2Mw+;fU_|YE&${rs^vaehwW)^d+Sm%Q79fU&^QV21i}dS}|G;(WU1-D-latrYa47_7q&2n0z$oo`(IFmpXetpWXha z0e>`gva^8`5>L?yum*1jeQQe|@%qUd*P0Ri4~r zu{Bb>9gx~;$-(xL$N1{Xbb%Z(W^N2_lStT_1paUqc&cuKT7IIvI{BsclW?zE_*GXC z+YJv=8Cp820H)TxH?wRtjW-I}%COmXWDLAr$0 zR^=G{<+io9!b^bPf7&$bVO72`wuq&*VQpA=jxE;1lj`>RbanNB;CAtHc$4pWwBX*+ zaNS4uM3~w6V2!}$)~l7!@`p|$ZMKPvuxjI5`Z#~RpK^e~z#vf;G1=(59MDk>xO&k` zsFFaA3v`qzpk;oH2TFfRGrsleMEc_x@^Vvu<@nnVyyJZVqT>%99Z04$ygN1U(GprpI8>$g35;fdp+_Nq_Ccj0zrEsGz&Q>_i(r5wCR&Cs<%*Oq zY3>WI)R*N4%HlG3VQW%%|K7IU#bg7nE8(ksAK-2in9uM20CQR=cQRi+6K&CPhs$u{ zOV)rT_9j0Jk;a)QQW;Y5@vb_O*6zCj`VW-ERH^+i$SgYvKg@bw{wC!IS`iXg=t8S5 zy1jw>)y>#9*M@VeGWz+7GWXgwy!E&EiCntjWI0(ZM3qTAL+09=3exR>#VJMHqk4!5 zc*F-wo)pB3t2~46isGAJrcvLNp@o^ovT3Nd+pnM#1KH_pdBL6@M%iSwZ>>m(>T>gZ zW%fDD25l&I3h@wDAdS(#ajKGMz2XI;gqiX?d_TP|Gr~yF^DNHk+|1qx9MgGvjpaKx zGgg=BS?D`EzdZ&pw&uh#deevbG1UEgNc*)`AZqMXl5HQcI}F-GmADpMpP%1P+;?uS z)Xu4uO-g?5H4bnxEzrac&!(XjlK_5&;vz#sbm?FJVQbHXXDmeivr=(Mc-S~}&>VA; z`eMi6H(SlB9SeXIvaC6c?e@qRiFmjs#*z)1*MKD#^Jx^`5>kTA8hZ8v9M1S`^kfs6 zqE)1dNy79!AH{VCK#$*}EtZJmdnKr$^(P zl$~I360`*d_tHsE*Wd*|ae6oYH-)#LA&Sqc=0pCXL%v1KO}6o40=VkG`SQwSID2b| zuAYvEUt&W_*8urjB%%5h_CjEFhNIrk#$4Vcgct4GL2c_l9A}@xDbCdV14mntTPu6i_OAp9)#P40EAD50L-(qCL?S8w`K$7< z|4vz-%;jCCSeSP_5tXB(_jI2li^mwTT#pPCv=r0-Z=5o zqMmqpmo4&PW+~o-y)yagMn|hMn^8U~$ecwR*-xTxY$H`s8g|A@fR)E|a6{i$N{$w` zE;*_B9&5p_^)bt=A*;XJ>paBq;x9r`EI)z@eI%^Z>ef2X-4ne9BG)+ztNTG|Y-gOe zbty&vl>HCN))M>>g{MsK$T_^ig)<|<;wY*qI`~r*+w-6rj{>gTfQTupi}{yn+Z|KB z1hn%l>;i5>Zn4ymcx&6yUxW>5LFUh5eT3mPtcSO6Ukr~^lMI+K3d+C%SQ|{gPKVzs zv`)5Z1pk#Bk*bi+)_(hTWIn)HwX06T**X~7%n4{y3CuOER zhDun-(CZvT5_OC#{AW}%!9DO#91}ivxuGSGP-yJhOm3<;D*I}b_3|m6q>FFCXrdlN zw};3Y5#q!T%+1j@9IaY-P6^zXGnMuC^9I{ZY{mmdR=3rbGs}B0DMXX7762AM5wk%H zEoJ|78oB|;mi@}~3Jh1;!WkqHAlJ3GLEmq32!lsk%aDt|U#KpnTBBpfZmQAE{AZyte1W2eFX3VaI&y zm$m|#rpFx2Or?4LHy$5i|22vqLbf|6MO2+%Csv=WMF?%DAjaA7mLlVRVyNtOTVFyOKZ``0IXq4t|Hf)a zloI8AvTkC{KOuv!;c_SL+4cSsWdk?Q3C_{rolu^i$s%}wA>0i^RUXz+>e8WDX@QR@$E6kW&%45n(3-i>&0EW* z`0P(UefOrwgN)iL9IIjjN*WRtLc5Iexah%?+j!}nfj!VMnV?oM<>U-?gZ{vmhKD`{ zu~ZMUvf%dn;H6s;D_m~--!IM1d`sRqxffi;A3V&{FwWk~JEdv7{tg-p4Sv&AUGqvE zA_sT4V2rwYCCI+@XYrpGKET!J?E!Lzhaqn}W@au5tzkTj+XyX$&@-=H${{CF*Lg=q zeo(``bjCRLQunmKXa7X&&rfQ0BfXM~paUdN>tGnT-y3r<%18rE&}5AB1^canR$(Cp z=ogk|-N#a^FW$O25ZCD$uh{?dC;g@3F4QB@HP~$BH z@y=zYP8zrh{sxpIJY@2af{TQiY=#B7BcsUZMJ6F*gSFDek}^}OEkYxgSw=|pAI6vv za4QHCR#O6>hPL3V&j%9NrB8^|Gt1d^&yH6j)2W|`(cMMRznfkM^6#vaGCpj)CWObPPcWeQSrM+X%E-GX(tRh2jzufCjQVZR-TWYHhV!2#Oz(r0Wo{-6}>B)CFuOKZz=_&ck6znp+%_S{= zlVG=zxUS6a3T-rmN1+v+1+`~*?jp9EO8k&2 z!L?-(@x2?stKF%a`n{xBZjv%(YdRdh>~)6q?S9_>P)7gDk`gvq;?A z=ixr42nBpO2582gh=m&P;?4u@Oj{k{ReLGp9LN?sRcx&TQMLxgBB7C7mouytxu>dq z5dY1R31rBY+k@p&8lhgByc;%`q)h+iMS_JB+GDx;VbH7fQ9Q?W0qAq#$3gZ^_bd$j zYS?M$vroe@U++G#URF&CC^vUZ@yRq^q2aABt_?xEly_8_-d(p{Z?QEtAAUSOOqs$v ztNRjnO?BDvUEOPRc+w`gl%+vvbO32;^X4wh;7X%-Ghfh`0W0IhQQV=PrixeI8*p94 z1?}sK@CaL|B)fhxjnsa9T#!_o3{05>-~WiYsRz92C~8!Rj%WYW)$S~UVb5R$LTq?e zYoO{caL~r{(!rlbZO}|Vli+i>E{4{*S${aZPxxDu#oWIA&S0CgbfhiHz6aCuZt|!V z0XP2Bv_6WP*YO-W^JGmnA6a*p)zZ~fY*W<~hVUEZa_{t@^s53Ja+29PbY8wyj=pBu z!H%)8j>!C^kkxo=fi%u5--#D^GJH{-justW2;%2U+bxPy@`}5aNnG@$75L0;{uNhK zB{F9PndhU|Fuxm4SNaqwh*vf({uwRB>nPC#!XU8HI#mT$jzyG(a?C+d5)n!s2 zFYtd$#;2A0iH2E$@Z-R}b`SbcQ$@U?p4rq(D>1)3(eO^&2Yq1sT}Xnw3*4g+e%$v3 zny9F)n-4eB6sXMJgl#NA|LR_)L#`ei7mlgp?IO6iCjL!Wv5-7F#y^}!X*mbC=Of;h z`H6;CyyW_@?AyhK1b}t;wOq%vs*}FwF}m)}Gx(mvb;+dvr_fRSe-tLwty>o<(7&Vs z=2y7^>gvNE9vV-ac;(m4GkV*2akdQ+K0S$6tNN0b#ELx#nZ-5zkJJ1DAMTvQ+?O<5 zvn3Cm`!x0;KTCTn!Xzl}VXIj#y$KNL@g)sZX9*R?|D1c!2f!A!l--y)^NqWpy+w`? z_JTzl%6bXs;Kfdg)_oAPB%oE{qyo}u;m6kNT&A`8rl}I}%UN~p#CTprnH1LGx2iDZ z&F8;DTpXh(|E)^~p%b_T%*%IKw*RA=-f6oi&i06U>8CH)PVyB}CIA1;H|vD*66o?~ z{&^CWBNuEb6p;ef>mPq)7!dm)QK9Y?O#Po=NtiwnK1l`h1lNqSUuBS!bc)U;XQ-jo{{{OXD_y867b5S6jAp>>|4=(#D_Zv#{wkN;%cwL>qbSyr8GD`8@3QzlyO_kSFKO_a z1pcsty^xW$=28NC-n_-!3ExFhpU)EOP_2+(KeQ8^}JR{uQT$liU+dBHJkBcv*r`=7{8nhr$fg^ntF)Cux+*Cy)OpxtWu4vu*sR zX1|22V_fz=A%rP7my(k+Da4zMqWxb07cc10Z!7s;ZS=3l*k8DXJ@I~DgFEi;HpE7_ zg?x6W!L0o5jjV7B`4fuy#Kw`azoR)<3%8K>J8=z8=iiway9u|DU#?AiUyHgXO8N4A zzs8ZVzprwwAlyR#Jg-jN`F+J+<3B&H)C>C;8draOzoEe$_xDdd8P8YZ$=6-F(sO$lp?t-Y;jHklGA zqQ^BC{Z1)Td-GQn)-As^xij&s>k9z?RDN^T=qy@)0{iyCj(4k)ZlJ$O`qlT$q5YpF@;H7d%VG?!+Hq zhOZL_p`g#K2^F|@nBWoo`i>kIX70LTB9-~VDEt|ob|qF?+#g!lJ#9xdUmMy?UZU~N zM5rL^`_e4D`JXc30?iLqNxwELy$|w6|GQjs`jYVST!JUF?~zS?m>O{m<{63; zk?}Qi!?+Lp!O-eo&P!?ZL)O$eaM(S`EpI0U%xo6zFBu~lJpKvO-bXVN zU8pl1TY}$Us4&r0WGtjz?M_G^iy~nru7D>X>#wjE@(;op(S`JB^KlyS2nUbFVxh7l zNg$+0u@};QDnLkYj1r;6OJS*y|2)Op2bf_ucz?%}B#+k{1Ld#A86oZRdm@egw!tjd z*b^oyJwJ``2>IPGLP-A}2ZXe1aSJnF3TFgl-8K6}%0zDu;*T)VtXM0g%kp26<3j%4 zST3Yr!z&@Z66u7VxX_ z9{!aWWgqbow3%qsW5_N?%~t;(X>rzU$odeB+X%j0XHUA+3&__#Vj3bNbZ%pg3-!1( zq0qUR$>$K{&DUiDx6Iw>JvhGvQBDJMo%4Nvu_A(#vln(UTVu3HhNHl>3}M%O$4R8H z_d~%U{1LZva7)TY*r{(y?n28P91A`4oceiI?1G1nWyQO~8=_*O(V^l&m?^ZN58>Ji zm!x|_&y^M2Mu-l~WqS{y^XVTmoCo?0GE)8{ie{~BNN?z=a}f+dw}>;-ayjgGk#;1s z{L9fl1llv!WQ1JkoE?-fufG#=p>u})82ILE*mo|%?in|QUFTfJL|lqsT+-R+{}myj z0+(wPkoA3ts_24o9an*cuta$9G{Pp*d6=fMCy@UhJYi+^J6J;g;bb7>pN+*r>w6JZ zq0DORg*xA2FXWFwR+!fHA%*kCD`j6-k(mT>`2%TP+<3j#T@Wf@% zlM_|Zg%a;L9z0I(CKUWJ&Ik>3(MciS0g2GSUPMgDUy`5*`5Ta#(CG-0GVc&9q0T>G zgpfZ3Sz%fixfJqO#2KN}d!Sp$zYgbx^xEhU($m8eI{hhoA%9o)A`RtTA>yZG_9BK@ zzZ@C;8O7355$zF~KM4MhGCe7gpD)uhVw??9`2~=f9op)&5;|E~GVzbYpxX(U4!sWF zRXABVsNSE(Ck)K-?1gDv8Bjph*FeAMg5HkRfXi}v8`BJZh2K{sq%VVaV&>1p-fu6< z|0nxbGQB1IRkDoyr-2#z+Dh-N=WyDI&{*emM9Q4T+3_GkLd7$aSV;FLoI=|79)$Eh zWG18!MOH|k%yD7n7oktcA4Oz@nQy{zk%sApYg_&Z!?iDap#m2Y6_DdzrWvjoDU%(E zx!Ny;UIj=`LD}9&xkw)Y@1tCXT`60aCy+lqao;DW^^(rjN6qkE=oK>m7-V0Auf?yz z6B_#>`h~{2YLYPRV++s;1IW57yooMEE|u+9gy)4EqE!jo!Z~zz;Hk^AXw-*<>V+Jx zuh_qr>4QnPeNMYQJeNK-Lzh8yQo^(k!xO3Ib3RNLq+evuSHlvYM^*4#Jg?^{%mshn zOrMG8n`inGt!_-y8?-Pwhaw{;Iy&e|(Q&a=q2hl~Nrdz=2ngw+ z@Pzc@L`+Dpgx5lPBaVwSV8V&CGHbu=`SJ*L&w_ix+a&WJC4)P2CfBmRIMcIpJepcL zU~;8bkwR^qdWHPlYO z3H8K0pP}9i8DXfsh7wl91K11Gx;(3ZtpAYW7HOD~IvKn>KYKWa{kxeSM8>-TGrXE8 z`Mqc9+t~Xqw)D!#&X?s+BLX5t!B9U#1zHu$6#Ok7>6!H1@NGKMKZNd^`7aQK!-4!y z**g&-Jw_csPrk=q$ag_oVH9qICnh=yIG8G&E8a#EbhQiTVa;R-__G4()A96}?Csk` zK*;y)Q;|H!=#HcLc_Jse8+y*{$*=KxnQZ;%?Dxnr2ji{F=c>$Qk%jahkr8@66j>qP zzC-A_w^hVMdyetR^TScJOZLijd9Td$+87i~>Hvgy$=;5lj79PmgE}Uq44=)G&5Pxi zVU1QEfwu?b^1KiJSDF87ctV-u;0x)qIWF|}YV-;DF8nL>wlBxUM0-ndCT|^QMh$m` zBv%PGlm2)sr0>U5kvxRgu_+dYho?a6rGk3s6t=Y9eXAZ)B#oMkL5pUij$rRPbQ*Xf zo{HoZ`*f=G_JjvLUAjQAw5LlU?deiTd%6_To-T#7r%PeEd8#Z=m!2{$xAJs3QA44w z*{cmH2VsUEz!b?71w8LLMdrb|DH|a@5Nm|=Xv#-O{|c*w^fAZ^=`%Sl^yEr(3i%!E zg`RB3aWT;~&=bQ>;1EI;@5NZx@Sgq-?a7jzQt+bVMo zyuENVU0G?ki$J>&ih^HK+gw0STE2_78L7|U`C^kd5ME<1(xA=}$d&vNCOVM4FwtQ4 z!pm#lx)Lc7r?2!HioGz#yWpaLtPf-_jPaXfEK-~dv)^Mx#Wzemvw#%+)xK-P6mWErk2Ls6Z z6qTy&^tSq~+LIMkdmkJc$ME;FA-2iOGi{rcuTi$i!I`#AUX8(F_y=SXhQACy4A)qV zvQ6#@S{Z&xHhhwb;YSpPcPR|tQ^V1lIulz)w2u9ug+>KmqkM2sq(oGQ^d_@!Gb`v@ z+(Oz_!-a{O?U4V8Q3|?rj8M?WrbL<>Cp>B0zWy-O=$bJ?!Dzh646C{ef(r$Y#UUYm zF$si;&SNi9A{qN3Bow@Y10o5+I}aH7nc<1%ol9@e2orgW=8)V9y+yMS6N^{x>40A>J(9IY(+wifdu? zSd{mXfiUwE;BQJ=$oea!jeGVZ(0?C%i_+VIB1NZ$QSo?*nY>75LOLb@q<=y=3Zrla z-Gz|e37$~qB*HD^$LyJrngm$^S-%)rp|O6BQ=~r4V){Crv6D<@Zf6{q(00bIePia; zamM)iw6E0}6ZMX*fb-cxL&m@q$tw)`T3$J@4X;i@u_4u~vZpRbPvi5-NpzCdr=s)tdQM|O7m~I>4HY#=J-xX6XNF3?B-Mz zH@z(vZcb6SxnJpKtQ?d)K~X9&rw>f&snw-Rr9HLEw=g`ly8M^)gq~Wxw~Tn(Q|pD< zla7if0}4;ZFkV!T!`7(&`*sl_<%uUxqA zjdcYr;1Stn3-~|b{`uMcF%|cnUeE^J|5xFDx)nfgo!pNnD7Ghb48?p}#>>bXsl`Hhzy2UtonqpjqOozkN@C$$toK}m&tLkf z2$4p#L@ZsEd1dD4BOW1@J`Ew^&FCpOE>dk=jzspw-lVh~2GFKw1JceSADa0W!~1|? zjr`A%KOplzV?P+avl*vA?+*=GU!T2~bMha7&*F(4S3>`ekPigPd`J;I2Q<*9W`v>Z z!*OBJ9JFBL0}hb&?YM*&X?WxVF{AP5IiOL?k>UJ6`d4J!7f4^raaV|zUK5_9Pw64- zjgSWZnBxlr$ofhcD&)u0x*EP7Wxsqbf4_)viiQxBbQ63y84DF}j3Oa@9g2kXi|~Z> z(nLf^$IONq-iNG!tjE1)`O}b2q;mG2tMf3zaE&noV7UC2hJdV3gLfj8v*B{)c!gZH z8$sX0K`po)-eQ?{mTHUaNge(rS$=2s+hBp#d_~$C@@BF;vR{z7{KMf1!*v|t*&QhJ zI?e7LU^%}=2!)2P!*QYEek4Lb);Fj5il*U?iDI60bqx7_HtINx-7AOjB6!|k(V@?f zUn%oltz-$HfhWWBOCV;l9y}rcVfaGBx1!?tcAzrXP&v>0Iu(>4q$jpsgb*6F7f}+@ zkJ3vD>8~uh%=gnHLcU8Fi8K#I#5?vt(Nqsc%FfqsVOHw?)4nv-o(wHe;eaRFH$1W(AHmbAh$??J{>0cDP$qW&ybR#zn#R@Uw4dMXb7zjuokS!0g_$}2MdrIqqqqe5vxv-q934OD z@~oLebVe05{6xI4+cuN8@N`&?$oHr;QAd78bfS0k&Z962W0VDu^&5zcklzb^!swh& z#-{>h#?Ttx|2i!Ky{SbEz|(%&+Z*xxvK$>N@Ee&w#0m*?DDJsl1pNTfxFtu#kI>9f z)|YAU_M{wzN7$c1jw)CSx}Gyx1W*5x>0co)lvx6v(DPYHCm`z&V33e+rznib^kn>T zj>zuRl|Emmb0fbL5zpUoUa%!i1vKh(_{RY0xDdJkx-Sv%!4Al}pUOE0H0y;El%9f3 zG%KF3l<7n8{FJQtLij=%Z;cA+zaT60)>#e#Szi~PkpB=oVHBRBHH-kt^rTKK{B`OD z4yGu?DOf|^r*#PFwb_gFpijcFeML=@;P(>7{8A zLfQuxg&sN=BOvR3^+(8`hIB&Dw^Uo&olR`U}-u@GBcgp4IGh#wd-oSDpJtMVSNc*Ic zkoFF=(5unx1!Uc`r;zWhcA>X_rqdD1e2GV5qK9lW=Ty$o|DTPryD>tC2S{T{-k)+6{u$^ zGV7iBOF(;9N4~ZC!OZ`Fw6+@cyQ=>6$UvsD-3r?AzmIu8nfaenT%YH($Dzkjw(^_6 zABrzNP_`10^paQpW$?YiO-?V5(`%v3;Se8GB zVAzAoA4U;fo%v2)9&a(j-yVnV&3r4d0gyih^4>0ltp5Ny|ess?3V!=y9>v+0?Pjj-seDL4~8e?{|TN*XX{1DJZ>X;&_%z6nH_`(lOK{v+(7YQygp|p2a{OHkLOd(5asY3ou@PwK8te23#5g`}yccZQeuQffX3T1qH zU#Rms_Co%B$O_Z?=`A6DOX{Yu2#!OykpC!kQAqbghmiguJYjvAg+?mm9|cb|>&q4x zy;(Ng@$CXQvPcfhXGHR2ps{hau6$gaHS5dsBoH2q zx??7BUrhd|7$MAL4faBQ+?uv1V@6DV^S%^bc370}kb&@E)EzVND`G-^SG*QxvN{kfsjo2;J6P|Rde9Em*M-c)4)#E3`F60wawc}L-U5%nsHY$vXXQ zcCc|D*bY`i2Wuy*oy`uG&buSdDxO(9sM8{x)^YhdEp$$&g?ybB;q(+a82@7b7DzRQ zUVom<_pEYx4ytFBs4<>ZdgU79S;dcVso%4TXSO)2cxI9BStT6xtRm!3u+vs=VIr%y zi0W+>mv8kJI%oA3@~z%NzSaBfY;1oT=vF{IjvsVXdB+d4Fhq4zM`y$7M{Qm4k>H;ym<7$M22OV(GT(l|yLB3Czu?_E zKE)Al=;^Cg=qgP(EjEs`UM@;K>G!s3e#!(g-!M##Sjo{%4d zj?|jyEYq90zMbnqJ&j_GmL)$FtLz{Y3Hg6#FPh5ELXq&`{wNbBx(c3qCZrPqwl6^mA_*p~c_wPNy16*}sdnR|CfXjI&J zmfsIyk?I=GjB`$L{2@#f^~*$;kwD15o?;a8f5~2?X&YO?<_HN78j=Xh9`JW zk;=DWo4npOF4NHVVhjhjCoU{{Wt7ULJmvwJvIxCw-xF);eM4);b~oTdj3v z1#%=JQlCkL(_^sbhG-_k=;FSuxzew7 zRjZ>$#4Fk}2!Ii^rLLTV;T7!wXr1$lcFi1duV|fpl>co`+D!0OEw-ll3!mQ<*j?WN zrqJoSyv)~0(LB}uj6XuTP++36w)+|Ex@+Wjo={w*0 zDEU+orQEk=J`45iwEOAo)PgXR>qejH0b_LOirWS*h37ypY&NsEFby4&>y%-LQ^ftmy#uJ=uAKS2d+6=wRk;E?nYJqJqYH7@?^yWplHefHdUwyeK0hRF z+|hIOxNFekP|F2~eCTXf=qDKh`bZ9BeSP|ZyD1rMmmCek^J`yQ(0AMmzl%NT{a|Ihv(hWr>Mo9%d`1=c7-($Vq1g`Fbz*#B7_#nH$0rA= zeGWtMfg}(rh&wR~#$=yM&^D*YFd$uf#i+wX*O6G5=o?A9ps9;h`SV%uX=?Sfm^{-63Av~e=pJ0T5 zY`%4^^>1)oSfl2kW(j3Z!~vnr+Z6iaiJ99JyjP>3)zJGq zD)@Js!lW1#O<_NphK4^*fTAh5KF)+Rg(I>RG$9im@JZ97_xhVM`75muYvYivw~`-R``7$P9+e#KrSW!It5nHiz7^2#Kp!c`XS zS!GM3NI=&8#Jx!GXV^Kcj3Qy8xNK1{6emHBrxy@c%7W23C>WPBsk_mn-{Q28-jU!7 zef4Yh!knIeQarr8?#2s@)i4UZVVG8dvJnt?N;b4P#G2C=6rFh8xC@Nh~1ieXv-hC*^NLLG=D!f4DS?Ff4z;G-0AU zNg&MR0XkM8eH^9>Gu(g-glS_D5ku4taI6mH9Y2-lD9aPiti){%Uo~E5nQ}T{9jRg&Nw^>qP8dZ^)PN( z=zg5w(`(8c>xe7Y6c0M+bT#M|p}lk50I>IW1@PDCsEm^k8mQ(W8D>0RJ35WLg1yQuwwpR{%eonr82RGbOn(?akib z)xRfr1#lX9Dt+JR7iJz8BF#QMLKzVjqhx> z@by8NLIopGBuw-MJYjUs!y_SmH9TSFdr|L&X5P!`g3?9b?~=hluwGs zI~MVhrV3)MeCG<{GCn=EYuVZw#@8aAV|butwunotooud&Kb)4JSGI_isNvGKh#l-t zp=}YzaLlx}h*wD)EyC4|VW$@HFZj_SHpPx;5k^hEPj3t5eWg;UXQEn){p125UTyL{ z+4WH*QZM3+iI?Z%Lk_z3mPm2EorBMCKBvcXI&u*y(E~7gp+R`?c@79^A9WSdpP^JF+hzE0ppcI9db&tm2itp6L4*g-$5tWj zE3-m6&KlBQz6YP7f;dUiTrVGDSRy|C!4%>_v`3 z`YQH9`g00gq+Hog3#?S{Vy%$>C-%Y&2a%abnNY#fvaKKH0A0ZWVIton5Yn!HE~J-X zFQkuWFQgY?FU-98z%s_C?~II5C=13jh$eaqo{+XP7t%|!7t*J*7bV|J&cslWJfR7s z*T9y@f(Nq~CK`q7LV5}ILV7j!LfTh6g!DNm6=pIcVHV9yRz;~$FdCM$*Ly;GNt6od zRoM&a8#pec&mse1CcZWzQq|LwYso+;7?Um1adwdY9><0BrzjQDQC3MAm7(KygoFoU zPDFYzJRvKAgR<%Z^u%QzD<< z+ZGMNgC}B>P{JqnE}XeLRs}!AG+`pA z&xG{l@Pzaym?@-x2~SAB&tBLM9?Wqu82Ts%Py(|4GACt`GVDi&-qa8(*q_XV^v&>u z^v5U?(nrD*((kYrW*D~`%nkdE~Xq&I;lRQxPT1!R2%l!~UyOClspv^9Gn{UjC&T|SM(0^4zZ(K^GI69HeIfezYPO9k?xZY z&}_;=INus?|7qTXm@FXcBiIYwIT-VWe7{&A>@(J5P$A^cL1w~!e?wdp@_&y44odqACVZ{V9YpY1Bsy< ze?_5448t-TPKw0P($UP!iKfJ4j3q^ru?a^-ld&nW5KYD@{1Ht?4RO(AEQ(ACVZ;+>R-+K{R#T%SEq93{5`*$3$W{>Rp#VA~7hAj=K;SO&$AkR5W$m zO_rj`*pQR5TgAI4YWq7jaB9 z8QXGHG#QKXM>H8zQ)xwFXom|oiNv5U>gY=7MN?un{)oirhQz#7T9FudUq6yRA~9@H zvvBejO&xJCF(|=~>8Kkb zF)Z8nI4Tmu)5WETiNtXA_W0y|VrXf6)4r)AK3bm`dK+JvPYj#bIE>yXjql1Q2~QgF z;rPV3izM-7_{8uAU3~gIF+4NIx7`!t?<9$jwCF5#jO`cY87ZKnEA?Uq z7C_c#T8KUpBtcurE%P;?px@jU3i@qz(Glh*|1 zJGFh-B{?!+9Hai900000|NnRaT$4L!6hRorzi1vlq9!pW=c6$Mj0cAgBA#dhlf7|o z-PgIh@llOPun1P7F^wcP7O{<$1`^OhK?||4RuS8vc!k&~sYDd5gow`no1J*)z|0&s zzwh<`zWvljdiCnPTkotgrfNZ;oFI05GI=q5VX+e^b@55GPTvK+VROpM zGMO|Pj21^%wlHeUjKTKYAd#vX*R1pT`s9Oc%ntHt*u6O`#@S?0KO8M@W zrLAl;)L;o;NK2%t=SC`YlV3x}mXI*fVk=*qez*P!E|OW`rI{Mfyx{QiYIy@AuMEiK zuAFq>HH$-46=~I`fA8IJ!KeZqu@GuNZ#Yk+t9@&ALeEKJRgr->uWE4|Ag_ky;!2eU!tvkU|IxXFIh)S$b|P3J9dKTKdIp^!vZ^%_ zQCyKX&rbHY(MwIB#aQoKh-@qnCf0|`e?Ir~`$0YphmNqW;4no`F8(~SlYu;Qc4vnn zO&sOd5+h9t7IG6cvNrUXNTnIiht;Xy9n1~#X{7tVrH%}Om3;>mHZyY~C(F7RnOY2!DpnC7d?CX+M@zp z(JsMpY9dmsGxYN(ZmjmuoPI`2@max*6ZnjLy|h1S=RBV27n`sb^W*Es*IQcYs(wJP zt*IVLew~||>03{y^H(cgD9(jmWKt`3jCA$UQ%xW=#vGw_8@xRC`Py)?mu7XXh}@F{ WX(rT~vTwePxZO06SN9hH0RR7E5oXE& literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..8b6248edab680ae92b670c942451ff78e7591802 GIT binary patch literal 81352 zcmeEt^-~=|vo;PvLU4C?cXtcHor45-mxBfm?(XgfcMtCF?sBl;&X@PT_pkWsR{t

0Rd5s1PNjEPuTwHnr6-}Ru1;0e9Ww*;%-*9rlf2f?4+#hEbLq? z?5wPOq-ye{(r&i4r2l(iIyK_IyC5Jk1R(!sRD=1S0b)exzjIH>^Ug0DsE3cABK>xe zabv2cld9|->a?orxs5WJs%wolD)I%d&8Bq8T&o`PA(H6i=-~z8^1+x=MJQ24P;7f$I0e7hreBdn0CrwfN3arVx9$W-w7dfy$lwXRD% zN7MHj5WE81s=9Dbq*f`AuXSe(7`iin2+*TZh#6Fz=xVhid!H<8ibZ z-VePqJ=`5VSM+$oBcbUnwvj<+xyM_i>~LEpkqho|eUT$^jruBp}F|L+e64Lo5q;%Pa2l zHTnxt{N4K6JWt7y^A0muYI$1-#g-#Qader^Ba60G_ z8VSx_&Khfl^AuX{3h^6rNfQhj*9=XqXP$&I_OizZM<^t9K#mwwKo8aZck6@&4qVtk z8nH;It-Obc*WSm##~@7_U+TP!IyhTszENGM^ghdafdI#uA<<8Wt{pjcO_)xZHwyM< z;;)}Y=v{~znfq@njMH$VPx>++@DzDhJhVnS+rN=-+gfJi`}A`5h$5^R#yu~VH17OT zm!E9y?%!=IsieXi2t2`BJohQ1^Znnh;+?k9@%Waa>IJeQ>^%5ULKu)hY_%T6Cr`3E z4i+`KTjhdB2~I5QYf5#MURE`>{SjNQH|nqk3EN{|q`PY>F5Iy<>xa{g7ieiNTKEw+ zZ~Usy{WMCW*40|&NgdnYo_WHxZ5(3rFX=>(J?wU02v9!x=oc3XdEbjZwpN!>@23KT z6wXHL)>c$~v>weJ)(la-D-W%h^&|8BfA^{|9Cy4|hg`-=M+a=2C;sVvNDjH2$i!*G z8SS7lPK!ChQO)uMvp%jt25opBbO|AJLg|Fj;xkoVqFAbof+;9rt+AnalsDYOv92Qg z&%CQc#8?O{+U38WY_Ap;E!R77IW!6?qg)kc5IX{#W^<=B=27|luLHmakYmER?Y-CF zWmJB%5X#V&Di>H+e+m>1&qN+RsdbmAf81c=c}yzC zjk7!a>I9r;!Y-e0Fk!2fR=^Uh8}}DLgN90LFN+a1jUx1iwGe!oA61vh_*!d~v`|QW z_xx9l$M$~dhz6xXO`XloHP)8Gr(=;z1Oi*G1cHKFU7E5LLsut4@Br*{zeV3hCl;J( z4X(>68vG5i5TU;>us^m@u?46kgWY5B%ABYj6gwK)AJy{Vax}?ui^a(TB6~U}PYrJS z7CQ?BkH&V3c@AK~4fA^5(+fTW^IoJvKyA*IDExawz=bdSr{o@K6(klwb#{-9rex(|B3Y6mhmk+f@fgnzZ*gYwMprTr2?Dkx-KmJw2 zjtmmwQ3gsscRyl2RxpS6l1J1x`?o z7(be(Z2H)(x?DPxhNjL59#lt!%yaC%CSDQR(=KlW@|=Gu$iHxCQQZYt4?2WHaoQ#H zW@NTLtB7sahcV6t;pL+_`ARK&rCQEg>|1+`rWy{$Y-+>kTBw@F5ksFCV1{C%M3;_8 zRC0!pp>;po0>o=Ne*cLJMvwa;ePxR%flo>~SVlS3NYc`7rGw`18tS~oK(63zmSSnt zBZ+iE*D?tpdVM=q_rw~rh_eo7^cUc=i1RCu1IK5G%~88a;z*2fja}_h6U1^9F?40r-o*Qt6R#VX{oUCE7Hc}Zxet7tmZ-*jjZyy>^J9oW+CG%s_;)XL%!;@ zo@!mr^HfJE@`)3_yh{Q9y^loduHYNIqN%taqONdOzgSmLV)Rl&qnLs(kU>gPd4@4X z6aVq)X9RIb=~pANUa^G8}%bg*s#X8i*r5_&mr?P0&w10CXKGZ&B!@ z;b%tm2E^62UD)fK#yq`7uB^h5*<{4|NVMmqwoQqn*kzGPS*yoWum3sK3zd|(UyFcK zGvcDWysw}pe2C6V7E7RHU9X`PUDcX?nal374XG?dwr!bf@(UV|V@4Rz(d28Z+x`}N zi&7p%)-^Q$LP-?LiRac<1eT+wfuaCSqnv)PNYAKZQ0@LwrFJ+dYb1$2++6i~z=Dn& zPfZG>3{jyVZ^D3kWp*4V#X2}`yDLIgb-FWHsN=5{BmiBG`)aZJlPGP)H|`+Yz4)?*80bE$mbABDdX&bhgrSVW<|1~4Bt-m z87WcnvVVv9(se(dmFd|4$sFofEzw(%>Y89sI;55?Jw%6}EApZ4zajgU*{FcWH%jaXAN7C*V-z~2QA@uiumEyB zfT+@-pI^s33Ov16LiqDv)hkr;%!AHlFda}c!3Hk-`l&_ou*~RORmGUcM4tWGZ)XI| zIvLiUxk>IK_TZE$cMqY3(P+4r3euj;JBT?O4S_ZrGHpC_8ff5Qc`l;UD~JAk`l5%}*NZDtu=JKX4jx@~xV z?rhTO3EtH$o$d-v^*>jjQDCD9EAQw`Hn02$PBn49tT8J}HMUwFSw7Ai7j9TuKUB&# zL#}6Vf(njfE6(x*9+Kpp_x;I2+*r3&9}_E(HkA&A?3On*UYXSJ!<`3+6MFP zT~ToCcJY_0`E}la3zrSMKgAbk5X)MQyS9}ixVzGYd`&Pol;A@&dyL(1=ki~73xED> z#n%^iDjgQ`Ka_fYS7hE80 z65XEDhaC2yMV}~|T&NeDve{x+(HBJ+>!$?1DhH8p{9}~sjhbO~cO?u}Y<-@QdC{FP zmocEfpvK@UAg2OakZxp64XyPyFrGOQiMALQyKppZ_`_(%(PKwSV$)3;XukKL4xHb1 zzgPOvt=Yqi5mF>=Y~1G$2|zHstGT?X4^4Wl)uM8J-h5xT@;(&#VYRE8OA-vX7si)z z4^U(&^#Cj?`+lg{p$}FhZ*Fex*KbOcFryI~aL`Y97@pnKfr$9j2HDHmSCx$3p*I?O;eIj6SL-$55m(}mtFyiJx z?vZQBNZ63L3_tT=s3hcr%U{u5?;d~Opn|=Kc4~69aXhU)v9oG{qfhp#-hXSK7vrHk z6mbEWRacU1bLzF(OUR+Lvkc!LvMQ4H!M5_ky;?=(q8L9%iY7rvJO)tisr9%a;Id)( z9bm&I?@K>0w$DtIk6%GfqCBZveSMj4KPa@#OncLCUo{!RgFF;v^06 zd`au5|BYtmD~|wD^ZVYxh%e(lq4rgdV*rCMV~*yVe4?pzLSg@8I+IPCS&>1LGvCfD zP71JT+T6ppP2VE{Vd0t!2QCvWg;v+>wyf2k?!{ps7UiD`(xlxZYvgYzs5+rvO(i|s^O8a>oSc! zio_a{7S{WMOS;}&qtZsqO%8~7M7+X`1rFubd7(GcsvcPJNioN!^ob*DqDr}+->ce z5&>#BS0emh4LcnU`;V`BoRS3I$7Y?L5|raiNCwB^(&8j9_!V96ABkE3$;AN8y3UJ; z*LqD$IIr{KF|ZnwwR_d>=I8J;@h#{5bDE@|EKdz{EGPVLIY5bF)hK$&#J(f$=j*cz z;Cp&lFrJu6UEG3!2wNkOuzd&pEU4=9N5{y-rysDxrNI)LKW3xpXXBN|oqo%j)uQ?{ zuS?p5BZZnM-XudCmSi;J+8ruBCaJ)yR>1aVlNlqb+L`QKYNyGmxNyn&^MPZkWh7V| zeXqVc5R>9-^wMTA&!JhgN~V<-HdJD2fl9plXcg5%|L&iA_nrHr3myZ@<9nU$K?=@8 zaWCv)P3vO|7FRhmP_ok6!Cy+&dgMft?6vY10kASpYBlYmawtJMgtGC4H1viR{DYIo zH`SD}{8r>VKTE*;IR4zgt0r+7v~3~C5KkKyBtqmKx^8%xEC+oeCY=7f{CuPTT7Tf5 zqJ(c_9EalV&R?%dz$*&Cttj{iQMz=?uoXJ*tePtt2~o#kJ{k)lIOdNLoGtQ&QQ8hO zC>?NZxp|_xR5pwIDRM0bm1sAsAlu_sPMDv}X2yaj(Y}eW0n_h-nYsixZJ*@szz7kW zUkF9(w2elC)&8y7!lz{Kqf<0p$E>$G!{nmq_UPui_aYJ3Lb5Y|Z;0$B_?ITJ!J57EEM{elM`6kadC3;anB{I!=iBi!k*1=mjdj5l#QO3OnIHM96O_x_PsIL z8lncU)7&g|yOh(&Y3+pJ6igV9t=mp>160@4F3PT<*>gx{YR8T^Tn%fo1U0sKQFORR zRlz}G^_b;Pd`L}tvvA;#{d8+?`rVF8L@(Sq^Dc_( zT=>J4Af~HmcltcXEpS`%NnT1 z@*#-W@{AZjl&iXb|@- z=WQ3EE}PTc7$VHn|ongE_?R$M$ zq&{)|S?~*0--#)G)oe>lM>$|n`&q>49H9PmCjjV5-M&1sLksoHAvcIK>2cOW&EC3X zwH#3w4C_bkMlCl4+iD$0{lO!wGWuQz?~C*Op^!@(aQ&H_I`qR;JsRJ+ru#3Im%_;C zL;5qiQ4a>%8uJz^v-wH`j)yD7cG)ldNjmDs=LI`;=R}5bmmLB! zZA8+4zpd1YI5~;>k(xprHbm>RUM0<>fn0onn90pd%62-xBv`Jcuk-B;0+>*&JMMkD z^BSn~L7&v2g4i)hact#*YXf5)5-ggl_$Sdr>o50sm_B|ram;$HWZrvarH`^;+KRT> z;dBa@3jjw0QD4pSf|bs7HT~C*lUXaH9@?pXduAy=$m~z71x_6PQ`b`=Q=t%blfzkZ zWf}Ne5Md!eZ3*nI#dSpkEYbwUGOi+z)&0iX_PGztq|n#J(MgngFm4!WC{4|{=k0$7{i;6wh|Q$M5Y{-C3C4;3&#enF#h~z*Q=a5NN;kug@Bwq?_aTt&v~A_;F#rT zH3#ZaMg4mB)b-n=|6Fy8=1*w=Ey=qN3lxH92!Y5II;3PmRuA;=098pmG?;3Ihe4Mr zu|Um6D2ilw{FD@&bD2??#8l_e`c#K|1#4MDO=L62`@xnXRt5gT!=Rr}ZOFer<{^L% zTI1_y+25w2_V5vf-wV96mlrP>^nq`2$vIk&$y&&ZWAz(2)U0ehClg`0Dus_uT!auO z2P)k6jL&aCp1Er)Ty3jnW}ViNO+EWUhK9eS>M8LAnRT1~E$@8zMuz*)*MVt<3D#e} zy74DD;n{x%EQDE`!`6(-v=v-$6LK$dky6TH^dYE9#UM`P3upg^l7Lal=`jKnuyqzi4KeKFcXYeF^R6g833Lwc2`xd(~z

9oFmLI|HC%qNZ*}opAFcSpaLelPI98sFq4P7}hRxaR8WARfcDJy2JhSn) z_N&p*oXDdCQ(pI7?0!LBT}wgg;J zT%&Mu>QLcUkW_@$E4vbk*oIX7CI7(v=QCHoMZM{9@dFB_f_0yzcM*f@P8N>m`0!D0 zpN#*$3vJrCn|3&-QPhys6HR2~C_^#CiaqOKjw-mdBcZ@kaYadYJsNo;k|o%-tK~Lz z(K22oge^%UzGZW)RvrX>?S3S^_Cs7!5yo|Gf31rvcz{Ptqn5$#RsgufRvIgqb~)*z zXrvLk##06E(s%~t3iWsB5g$UH)nl!@S{ODW{IZsuV@(o^+8LYjQ=@qI1`eM|2OB5c zi#{H2ay!>Zqag~JF&mcBW*OWPJ3 zAW|om#mysT=Vn(vsLql04JPm68{(dhV*9c}T_FBE|2q3cn(M_VwV?)81z&VaZAkVVPx!|O?2%k~JW<^hv8 zXdKzj?tlW4yfx?N*^pcmdFJuZE1iOhMC^O*%cQ$`(GcA=m$bwWX-)zD4PQgyjj{Pi zt9jAOgj8-o^fukSE;oO{(1YF1j(=FAitF;u%O!+Q5>B2gm~2W1baYR8q|kF7cFmMxEypI}WL5O~Ep#Z#J>u6@pPzg>ivl zS`t*qQqo0R`55egvoHh{5Fpm~bc^c+CspHJ5b~iP{>XA8&p&;+|C4sQJXZGEjP{WU zwj<_tJj!)6i?e={Ib+p{9z$N)&0q34;f7K*r&Fup@JcYQWhCRm*R0OCeIB#b}Pe1y&mcL%|Anl_lEB1(>QB&DHS>%u730AiT8<_%_ zTAD|_%e9hg;BLPA$BK!zapws>NBD`enk%7bJLh;|MssKtRRF(zes2FLz&K>{macD9sj|HqUdX!qJny?`CoR_m1>Q(0=NSBH zf0mg8X&ZJG`g3{trLC(9AC49-J@OhZ7p{!XF-rZ2KU(ou)4G{6cNj{NN)#m`fK1vI zF{NWk^O!3h2c^~iSm)Af-D5jclD-Y`rS7oV_U@l# zPq>oV`MEY|Ao@Tev0ta^Y&)*W(pL0>*>aO9v58vDtX_91KFws=+PI>Zpz+n?5kW39 zO6U#VYJ<z1 zNNC18Z={y*tYkRqAc+2x^>DKD>0qhEzK-v>wFus;x{#S~pN;^^*T6Y*Yk8B1Tp#HC zi%MwRGqN=26a_0qU(z1kd*v|?$#8M+Nrx(#T1qQUi)HljR2#)>QYz2>IXYjCBH&=2 ziN~7-*$T{*oQjLE$qKic8tE1ig~mIo#Cr((=SL)b^+10^eNpO|;fKH&M8C39N#5+ zIhm5++x4uLehRx2X9+O8(t2vVy?S1*6+O0%$-gLe91%c_l~BZM80m?kg#oO8)Q*1| z3JdFK{r*i`q6u*yVvO&k<8gySQ_-CdqGlVIq;=2>-_@*itEI1b457cBJjGI4f&ks9 z5Zf_&Yq>AEtpXANKRS|NG6Uw~uYSl*NwZl@NNt^)X?bhw8~Vw*)-K2b5;12c&`>9NbIboE!;+FJHw^g0s{)hIbhA5&RE z{g&)=a@-bZV)wJXh7G7XD3Swtm@0dC)$%IIvh8I5e$ER|-h6Ew$8l&jPas#zf%)d4 zlD|u?r|HdhUpuU9{D`MxklUYvbDwk>kI%7W3annz+k)5~ivaK1&orrZC74)vt?2+s z=Pc z<^ON8hyNNB1^O(K!BnV3(&c0Y&rdov%Ib#}CC&`Ev~d*)`bMUHOeY$^mBBsvNCSu< ztnsEBf;4avHs0VEux_#oO`|Ah98xl!cPPelArmx~t`r^#=z5nn@KvNFv#_W(C5 zLIwoSX#}o(6bXV!SDLJv(nO=}7{gnxSAIel6Wm$A&HAC;6`v5Vr`%T}&1hGI5$cz1 z__tic)yYZU&d3~LRS+mR39a|jQIjXN_&fF&X!OMsqRi_S-x(hf4K`aR0?_M;9Q$zScjFu2{(q%*&$?Rx$rM>6+EnsXB z4J1Q{-DI#Q>Zg;OP{5<_Y$Vwn6e1A=vhHvd_lWot78wYm{~moK5TMkP!uGsaf0^o^ zn?$qyt)-q(f}eXkErxgokJBeKESwQnvU_GU(^XA6=#gKo0S!0NhmH^T>u#*{&eQ|a1qZ8{kzVKmw%;1}# zQfd!}+NP~NQ#<}{JTvfpPrLd4%itdlVmAl+7i}L#!u-DbPq8`InK+i)Bgu=1&{?q4 z`SxFwDIy=$+oXN2=TD|N!kJcF5zdR3KTktFqCw|d)KH917ZhPS>Kkgh5s@$ZOP>eV zlM^N#ZTvEmA(>g|i~dW|rM-FubB?=-v;8~LQ$)OszYwWdoW>t*vcA^%RTqt1L4!(K z-gg`me(~1yb)#>Ve0kXB4A4DKcWm>hx};6&t8JszF%tIBT{wV00k zSXDJRmgHaVAdb>ibFEgHbty@CM3m8xa9OyAm4caE1BFs?Ji zaV7^2ZGoMlAqTTUlmspx3lGK{rTZTw^&cW4iC#PQnx5&!R}{T-$| z19PN4Ek`(^n0}i+vezH((M2SKR}}WldU|u@=b3*Lc#s72&Ff$SK^;X#W!W^syE*GT zMMRZy$&rTd*JXgICGDtavzw0Uv+RzeX_wrrgH#-!q@r+ysAf}an|eR|x0SnAC>e4v z6Jj@KXN}vec7G6pAf?oXJX$pgvGfj+swp>6u)ai<)lV)EEQ!Ar0LPSIomYXVkKDgf zq_Ty9EF$V-g3F9nxI*Z5{FI~|=(XH82!!13Dv9;Jov{DA6+MK#^v2k__IL&|v?~$r z=AnOeSFN6W3H`KqOj9@=TPxQQRlT@l3-Jp?3K(`5dRKqiOx4F`BL^*V%3(tvjNDeF z>VE}DQRV>G@YqZ`3!!C7T;@>!a~(S0TT)JW)&{7%FL>@mv6 z9ofB{(Ss;iVw8VX91b@1rCsu8$$Sk;H7@5H@8z&UoV-$+LY?eNUU+y2x z){s_`jFF@rvT7Me%kKK39G$tc&jrDDM0UiEM&eH#9xK$pU!BSo4Zz%rg@RjTN%5$@ zlrl@INm;cvg0677j)>_5M0?!hWh7PuT};Q7+HT^OTnSH7Hu-wZ(1d4nLR3ah{ZMLk zW)n}OfB3YL_$y0xv^9fS6|H|PZ`3`RR4bs)_2mhP4ap0^S3Y;DQ>6;d1>hkK?CADemnu;_jYA+HZ> zccx48nWwV%c!kLs#Qo^30}ZafZ6Ot+PMtWp@+BWdmvE$Mm4}@_FB{+;4d12 z83YQ2vRc&|0;89Hz&82yRVNfzz^Z~xtn!T7$(dyt?pR=9u7?Y`?F}N#r4XnWFtVo9 z*LRTGo~(+jv7>u(2OKr8p{j{l2b`hO=L8Kt$r(9u=#li z=>+h?KG0oD7Mp{i{zb`>IyKvAb8@z`~;{3%mJ5EfVPi zi7kLZR`(g>Bz+nl5vfvLKc=QmGl*Tt?{P^HnQu^*r23S#CXmIrKfl6_|BQb$PlyTX z+%2%N1kf0bQMux9i(YV83>H3%q}_;T{f%j#-wcfH!SQ$C1SxdW9)HV_GQhMEr-#Hw z$H*0w#J)Tj{?!No;`h!__rM;Trt@b6?5>u{eTDrJ0g9M_?{}X2?k#ai3a|{p0xxo(c?fdm5fGkHge4(LgMY!951aw(P97pd#( zpQrYhOZrSG7*apM_8x|j-m%L>LzgVOZr1LB%s&srOlCI^H@+N3b}VFCOa}DLRFznh zmjA}8q563%(R@>=POsd?Z8C^g5DANew2v^MhO@e?7b&cDfF;4FM@l0yYB!(i`i&&%($A$r58+wo2|jb5)F*fVa(%`!b61 zMRE^?jR35OZ;PxS%}IfFT-*LS%v(JH28o@sUR+I}X!lFMGge!41smZ354q#oI!6{( zgNUmrx%568D`gN>Fd3t!9|Z1E$1TOARJlNG*GatXOcJ_klpu@l;87>|L)fRCSZ`87 zp8wYpl>nEsaul{Qju>`alEQ<>LCdRUAFQI%Vi8en1XmIL^V02i_$fhV=uz7(qOhcM zy3T*!x+O8Aq9IBjFF07V*Cl&~EIn8t(b~(OM?~1|<^9-ulqP5B9L+-BTBiuDiS#|8 zK6ub_-LPy##`D$#wZ5QKY}EneS)ho8S69T;oga1pFwU^UyrkuI=hdLm4*z%Y0qY3S z?N+K`^cZyFd7MMm>EtAj+OZe#HwXB~4fDHcpw=eBSeTIRuF5LTj~jkJ-o8lg;n<(C zqqIF;n!SS=LEJiRhJOk}-FpTEUZV*FZ_1v$;9~K0j^P}hUF-yn<&g_FciAbq-}ft# z^gmcyy!EU%_TSA~?IqigXx*=D_Jz7Ss)yI=oiE6Os1%!;MS!J`YTd7UBW2Cbl7&`m6`YsfXKZA~p&o{RE*sgLbmM*~OXvg^r*rX~gGgSW*e z8vQVnxJ4u)NHTp=Q_yf#On0+Swk7m_Hs~fKmMEZxJJ&MIsZ)%@+tW-VLgFYG>s6n* zpDf~-BQx_#0hxULezUbH>@VFn@R^hZK>k(DrulHxC+tdpJnCu&u} zs73kgkkT14RDJ)0G>oml&ne@X#2=~1F{*N^a?Vw;??PLZegE|zg!O-shX79XA?+fd zI(j5#G-fns<@YC1e0mI{{~%HSMWTF3QIgrP|5b?w$fYQxC?@GKgyTZTU~vB-F#qQN zK}`N@&cBEM*PMp2|1;RMvbxmSwQ;^9%4$5M~(m66wJNzh>=R zKdxE|{CeL(+iuY%PT7mbabFz$04ilyYK9cmha4o&!4~mqTehmbB-Kq_l)`=241@mT zCn@Wx=qClk_asNkBtEd(u*Y} z{L#px@RfW#IhFS{ll15=>Xp1sC?DU*U^m#OgYiGaxs+x{>eOyJv=l`SCf=zQYGX(0 zV=*zyW0k;HoU49aE`Sf^esQQIf0_&8mZlaLz=cOPdx$tnF1pG?rmD7de;q>Lj*`FIX@SE<^EEQy7>2ZIHEhL70agg2>&7jsd|B$}@; zt4krAU-g>0ZgTnkL8x;7$VtS18{Y9WUIeg6NDiuMFq$h{sG9%v)pVVt2u;=0WS@Wp z$`-gZ?y$Bkj~jspg2$>sLzT@4=gc91KNnyh zzg6{P(;&DUvsejI%LUM99fyhKN3Q{n$}*~K*It20cEe5XNerhw$5ZVI%4&PwX}SF? z`)d~3klkH5cI#xAJJK=pKKDzb1Efkn?xDp#x4hR&oGh31hA=IsJmU={<`FEY&CPN^I8_|F_JB4xjEUwh_imszGW=Tu@}xx;p0JTTCnM zW(@VGmxs2N3vhc4!9RfI!1zTHjfHM7$U$LK)ZSi)K3zqbE51qVEZI+J{flBJCmWo@=`v z?TUGqS~>9k5>gmqA4yjXip?sWLIA(Sxi=O0XRlW@djq01FMluHurDM01S_wFkoXC` z;ahx+#Z`X&{KVusuN1*Qw*6O)x-FT#{w`|B==RKDzH$7v>5$V<3JAz6ZFK}<{@Xfx zT)z_SsVtiuRy!(UQrqwuw%;>gxTL_89^^LpNj{X?5=E`$~^2@+x>8 zvYv3Z{9Moe(GAk%X=#&2Lmfm$xBH+yzSc7F6Rl&0y~~f|?|f-; zxvyf$rcRa+$)er(Xt~LGe@=eZC!adptm;L5rKqLqntOVaNR&7L&w%EeFfN!cC(4`? zI4Y%2xJh0mAms=lkazEw)s1TpQnoO@Lyp^oo4^ zDhxHf2OX)6Dm0o7yA4*}GPuY2gNMT!#~g+oK9uhR1`1r@6VvBQ-^KKY2V{%L@Rdo? z2c!s(sjgX|&zMhXarjE4oRK{XZAkcvszZ!>n0I*z6H}|t1;g2`s>H9|#}Rx=wl(TB ze+`S@w|n#X(^}t&9yb6XET?ny#FEWrrXZt;GDc&QQXhM zT~+qZ!bJ=5Udoi|nBCH96qtcX%}0R!=2rQh%14V7k_Bj;B+p z*Ew7M)1BnCe|tQUrzi!n$7p=72=KT_rO{V{t}#tsO}SlS4>LEERcMv|SrLZYLSxX= zYsF^W>~h8t@ypD2P+(JQVcc^~I8oszh@?4S~8wi%YJ zV2oEkrlwHk%I_wekjL^#7IN6+wC|XXEz!bX(`^nbIyr%U z82KAX#>p>&I^$3L=P%1LD7`3_GyU!dNsH?a14L*0v8htcN zU#PbR1HH2a-J9-t=`cp%nq(PVGz2+fLG_O@rEHW!xQ= zsF0!(@GrQWpY#W3-!2v_J$1_8aNA!RKI*uuIZ%Z$jpMW;v##3Zl%4K996F_}sZ)!7 zq}^h|e{(peE;pXJEOvqkEec0hnbFnO2LJYRKRUSMLiy?8@IOGQ^g2k_P!7$9rzkuW zGxG;h14P}Xvz2?P`rb7rikQ7ZSatjZGdSB~KEj~704QxGTEq{EGGaQ%bfb^rK ztGN9SdHg`9lXe+SWr`$%13PndY}56s;Sw)lm+ll&*PEscaO{@MR$MpVADk3mSqpPo zf`X)#vkEt;vec=Dy0Y!_FrP7SEUADjphh+zmpBOmgKQ3#45Ow$E!cDRw(Uz2k1j%?JUX_VT;}sPZY~0H9N)w7t6Muj zLte+d@m*6%r4rjGQUDsVKw_PpNSZ91oL#9l<|L6OuaB&1Nc@a@o%= z=I7trk6oMi-O|3qi0TR03c}NF)_2p#1`btKN+f8*EmTt-bMYHKLu0vrU9bJnXoqkD z?z5MM#`-CHrtWpeOuQMo`|%;5HIx$7^`p16 zB2CuswX%26Bv{1B(~w1c`Ss(y`&Au!=8R@ z%u5`YNhg~CWNfL8hqGeS$U5N4O&H)T=B^ZEu-!A$$X=P^{1wDww%;P^FBdHAS`wVN z4_@fAAgu@TXQ!-#e9T6@FUD0u$udn(x6*g-Qp^ba;V-yH69h+REnS%V*DzmjIOy2N z+dP72rL(45^ukpNCf>ZwZ}fBHOmI?BAF=`$i9Up{|K!{xAv9Wui8%CnPwgI4^IxS+ zbn-Rl_LFKByi6xNV7kJmPjrSa9DeroifeA=Ppep@j!tYtmZ`$HXwu1d{SBL!VRu2P zeTLPs!G#^?3yQRJiy60%IE2o=ck%C}P~`u9(jn*Im#*X_S%_BkfmvK{QL=Ka^H zn8F`Z+fy_8AKQ+0dAMt@>ftN*SYF`Z6rZ_(*Fi^@Qn2#sdR4CqfD7vg*k`7EMW zc9^-oY8q>9@wcZQ`8POX7QbtWy-U*E3(7!mt%L5Qqoj6&JVOe`7I7Kh*ryUjM1o*; z+!c$6QxCK#)QM9~v+1hRi%7KRGnv37=|x*Cqm>><0ME`)1M=z^iy@s;-|Gl`$X;EZV^eZ z2s>G0%UZ^xvLz963e`gEXys>L-d?8RXoA-hkwdGjaEL>Ra6dZ!gZ(JJdKfJk^WSdF zj94+X{(1WT&c7xTE80kq`8VJDk$=a|rwYugd4a$s^X}tOwf+hW=fs|b#tEwnm(j36 zjTVSo&p4v^p4)yD=e6Fm*OHt`$;2Krv9S7Ei{K-1)#w8iKD6*7hEqzq_tZKqxYUUW z#z=9^9_Ro#KqW`>HbcYgZ?KzSBAD4|beKDEH)0`;QUBqOzA;zP%g`$QxPo6B<3Ig( zWrbkluu47|lMTU|4Igf{WFiuX4s6@8t6w^}@GZIoreGEMcfxHu7{s!p>44|VgaKcl z$w7MAnHSjb(;d3>r7A8GfaKqpXU5gV(gSLc5=}0$*<||igZdP~*Nx(xA;_jRZ+E#6 z7OyD1AhZcEbIl>UV~bM&z$>zWu-09y%|^J0zbwhF&KGGE>ZC8rD5ddO{U0QG_so1opkwAc55;J zM&6oMzuT#KK6;(D90{#A4{ec3&LHN#Q=7W}CVhYe)D<-zlBj9Uq*as6J5NqW9)O1b zZ29)DOcP*15MY6L;_gkyUR@oA zcXop8OQ~Lj=2S@i^^bHYV>-Y86|!7z#Lb6??8V!;{&D@HOBRjN*TXw=>!sAaJ|%ls z9HvR9uyl>Rx!&X70xN^Umlf;$*%OW~sDCfn!;OU*$Qez~xsk-~XN`o3haLOfuYU>j z6YAl-`AgHxgA`FMV7+X`+Qje7+9kHz%(8M(lpV+%QF3uZypkEux3*XazfD6VJGrWk zrkH7%_P9*$e51XQc-08L5;6&$zw5r78fRCUjRx3BDo9Bz(Xr^&P3q8VSh7>fwOzHq zpm1>re1ihXvtq|j}xIX{Z4IFnoMBLF$B^x28*srf*;vpniaud2( zlbqqORNur}1M7$DH&2i@n8D}Q_it~S^X+~4H52J(>)zMX_g#PRH?`Mr1ZM{Yj+IQ3 zObf=IQm>e-(Zid08^$Ffm_8(cDq!?sdtGJ0Z2XL|A$)@1qYK&BXym6ZTcY|wzd8&0 zS{=LG_d2Bi2XR1-zr)QtSu(Z!&r#DTe{@<{S8;mXHuCXSt59lT89xh znX!>M%(^CQvQ(38eMN;2efFlTNDp+Peb}?9OBS+oY^Kp7)V=DT(0hAG=3t*9koX7l zE!92W$IY6<4P+@hk$Ur~uR93cYStV?weT`V+1wyB$}Xx=qS5exnbET_?za}SbVqg8 zOMf}98+a#*y=++<%l2iP+d1>vHBQs6S;6Ax$-?9x5UEj<8yJB%2^w}`a#j2g&cl?AaXHAEljE0uLuj`^LwMY%Vu75X>hxQ5h z#y#KtuF35mV?%pwf@vhk8+(l#fd9$WUjF;C$03^wSN*QbN7Y3`^{5NcTb2m2t$Ti4 zppmVzd^VkMxDY{^;ep|Mr~!%Hk+oz0ttp4R9{PrA_a$}7f^MB{SN~Lp z1updsCDj#yeyNd*bm?9)*lhKUdDy;aa^N7OsL#(MvVBB0%^4z=Xlt1v;411IjacJR zyNoFtF;QxC$|Fe2IqnTK%HFafulMIx%n5m-;jh zhJfK_2#}d?jwMrp9NP>5P0SEbR58ZAuep(rjm&^gfHuJMhByO z!AfCwm@c9$9hcc&q&w_2R@OD53urQ1+$cxLnP9ek-eL4;%pks8-m8Q zL;xf3JTs!8EzRkT5tX=?OK8j3)zSC##>dOFPkt;xV?YwMYsk+XM^)^Lm6!rqiTJ zlK4ssjKCiyFH~8Vg3+U*q0bO1(Z-!}N#ynVh7|1fu-(!!S9Ic%%v{bnuu&*MPkrM@ zjZH$DCEWHy@}ggBc_EjrmeIyH%1UtXS5P76^jHeM*!YG@eTfz{%$n!0*jmc?hLThz zj)SrKefIBRNKw+w;!$d^wPh^VGxo|NOF2zmkgT$pTf6RWjx=9`q;;5(QUIfrKT<@q zl*(chb$~UrYbX6L!zfe9Ctk%UjLDpH7~+ONbrg8*^Kk%TFWX~f8Nay-qnw^TB6GO2 zewJJBrEs&APR+`$WrI3-)SKpLqOy)>aIX09LfwaR%T>iV{+v5kW?nW0I{3CT)631vN?Xh=`PNufr#Z1yT3^ES)V5Z zyQe`o_@2YlZPGg1)b)yRY0!HS+YiTkIhn>TLKo?J8uYFLd6_)sL|pa7l-glQ0xel{ z@GS;;wu9Gn%L?pu83J|x-qdxH$sAy^8sXS)){9@)arisCYUqc(=?Q^`=Tv&xuc1g<>BHc-(uwSz^rXFK)YW#mW(np1ZdZn(jU#*Y-^^FZ4P*IaP1f48{=s$EsPFbe{Xz4cfjvNs!@Kh0Hwo?kBj2J4b9_-^jSkaKk3@g+^ep zT;W3+13yWlVVN$Eeu~0;c~3&F=hM8BXpT%wH)V>O2ThRG^S59*}lr* z=xQNZ{L@QLNcz@tZaE-VYTWD2BgV>ESn)0D`l{M)^^JkX%9moV&(Uzj><`t-V~mxb z5>fVgq%t~S{qA;fo|d{a2Pj0>Qd~Id zPFC5^B<=cC3zM{0F~MOsfoL@vF7IAQgZb@0gh_khT+w5gv>?+riU7o59Dr=-_0lgp zJX_F)dZ@Lj+MtP39X|nVbYz#RTJ(4qK#A%iFDgpKg?WZp@ zNEN;&Ow9K2!ux`8B=DNPVf}qko->{{C({^4=b2-54`8p4h3?jM*3}@+5QAJ$(3J&~T^G^TV7TSz!&g6HU5cl*cFT&|Z1slcBv95Go(xI1{_= zoa3BdKI9sMcb<+ed`n_))t*ij)0brfCODVk6V*7G_JKL3H3RNfQGEgjkN_5+FcEvHyuC$+sx7EvB0s$CX1z{%yQljTz#Jppm@bv^Fq9{0mld2~##5 z&Z-ZC%$<%MQ`FgHks{kVP3rQ==P^tV<7~}omslnqIEESwXA74vyA|11lU>zhtEHL~ zVOW#8Hc~J-`0#KS=Tp<<@2IN^1uJG?*m!;T#So*i9Lx;`E)lLg-2pC+GZhl#wL8d` zd=8tEIT2UIRpD@WD(!v*v7G&Gvh}cY?RtgDvgWd1Igsp(W4|mPMcqNw_>_T#`47z(a?q#J4`IQ%}I zrpl$0k$1TxpXcvg<{w-eh0E5h7?!Dk_byqH)$0>l`?TEJoGxK8=qa-ssC0eJoVdGp zV|W0yG3}}atEsDni5rV~s;opCzW(!$=|m5~C9`Zfxh9!1JNpdZ+TRtc>*FGSdpIoF@XXyv?khkzZeSP+pdqvdP~PfFH@*nE1_Sa}AO)}bzDqGTyg8!JoL4Nqg%uKTe1;f#mF z@vElKRNs#3<1%% zTJ-xVx&ivuqR*Y?%|3P3v--~^`zew`10-1`*Xx_RW-8%23vzMhb+vr*iXBu=l+*hBAFnq|}feNk*50QZ0^cq=TWhGQSZ)c+Au zO`I)ek-LaXPCw+4jI-&tR|ZCEWH?(ai+qDgU5;_Km}G*(ZWEScQp2o9ddBQM6P9BV zy=2}~8=O|A!^R}mY#1Fn7`KT@Er#B!q;AG-E|Ml&*W(4Iu8m?ZO#)e^3cf*u+pq_A z^y+Z#Am2dCw&V-^*%4PW6U<8?>p2SIF0%lVK<#>ez))J0(eu5`Mk7DG6$Wgs=PH>q z6X(?l=*HTYExw`7WMr~Huiq3Yi654WTNm7^1-B6###5oWu$ShC`ruBf@R)gJ9DBzJ zmrrouxJ9@eWPOVzI{ls$ z-TVpT8;aIsZ9(|0=h4PD?nXP!v-nC*v5#$+G*x}$PBbV_NIB#D5-!WLxrjLSLOUGb z*e<2-Kw|Rvt}*3V_~8q*AbBb0S}~>7jS9^bnesHrQ0>RyN_IU(UViE!+aCazS#)~A zjLWYJgZ&g-2KhP$!?VQ#{v)`R`G$4PYeJ)3L3fa0*7w=3zG zzw)M{f3UC+M1bB|(yoC3mAv%jH8e?%qsDMYZWF(o;Wjae?O-PRU5wkv;lNN0<2Jb_ z0^E-km6e|Xk%iql-;44ZQih^jIT>MXnhd-kuTVXJa=$YFVi$`8C}&G>(2+k@!_-yn zoD6t5J9n_q>9WBPcD?5qca@b*Nd$f%N4@kxFZ!z9u~Uo(pJY;ziV+uUdTi|WGO0)b zNvQ)>;9yzo595b&vNIHDzFxQ1r260CXAjVBqv2&{bf}3@hSF~GLq>Dv%Xy|t9JppL zR_x{L5b!**&ZS_HkYzZQ2sA%@FH7`mALtmD3c|P4bz9N_W^}i5;vqm^H}G~@8NyqQ z04iT8$dMn2sG^7QzLI=a-(4+r*#ntr5qn8ux_KcN^Gd%K0hWA?^^{6-7D>6vvyOc` zvv8)H{Ax#OH{=@5va|fS0mu0B>969PDTg!k>Yppf*Q2lR|FoUUU%qogfyGfnTS#Q% z2>Lq(6ec-@!4ycE9Q^(U3&@WZ3eRsGVg2G;Cg5h4z4Y-gY5xjj@1fnM$?MFoTTBp5 z?NLNF_HFupu-K{hcK%>vcb#bHw?%owHLG^0#+M3&e9%zI`uqxGx)AW#IeX^(Fnq~ZfU~W}=z_~|%xG!PWKU^~cNUs<2++e zIrh1HBK1bYvgJaf#BXrkhU|RL7a0QiLUjA`%bca=%WE;0_iJUh+NsyO^)E7gC!(>p zeCD+`Ia7B=kIW4RcnQn%%u=u+Sh9H+e8YN;SqhFxrd{6(yc*|~v<&ZMi6GydA30Y_ z$`Xf^1^{J(p7f!B>q!=M=L5evvm~ToVS(SC@lVF1h=nv@p*WI8?8oFCTem!oKzR_P z2estR(k)N+zF32ezy(Ub1aXC4!g056vcoOf57<6@BulJpO!?TVkna-G!81gvo}X`D zxxDkiE1^;3lz=R|T(UV_sZdfG zA~xZRx}G<6olj)@1~upoU%!6P`O);0Y65> zaaNM=5G`OSn2rC(JB00ul?OjIl7DzVeiq)1TmO@OS^g=L1jcXZ;R0D&d@>UaGov3n zApH939pW2SD+*&}F-34jny;eU9Wf=x!pWG6NxO8dk^o?-wx(U5-K@{#5Fzjlls`H5 zb%y?k%_if$rFdm6Bt{3k_uVQ50`J|$7)L5*8?FH#gbk7}6Z+O4^1(m+^lFDZd!-TB z0%wvnP2Z9vT8%P!o1d-S;e6uwW1?a-3y`^Pu=6MyW?OHAyg425RNJrhkL{ z**>WO8-)jCaYEI&kt{^-*dyZn+)>$9%yV(vf=%QcvtKErbC_@Rxpa;mX86f0>cZ^b zF1py}NLZnU;o)T2!_C%B|48}2&8d(4^t#39!tN43i}bu~FKQljgs1zZ|JfwbERKUy zh@#vT!CrimoBZ(beReQNogfng>q=$`#wh;JA-?y5d>tu#;yinZ>pF(1NLLyzKOZDN zJ|ZbGX{t0QNK^OHuv`%lxs3=2G^|9szD5lu`g8}PD59+TsBu393-FxNYwDJN*yZ6reY{Ez~BMFLs? z?_zS%XXy_7@L=xaN1UFQhFdLH0Qz;fjluAx&S7TmWr>cJyBD*?7VS>YR7lo#b(I8!Vj?*BgD^D!e4ZGo$$0*u<=b@OMeXQHC$@(Z$bIDmX37jNI#15 zYOlWm;&W+%-Ru8u2T+0YsOEi?XD5rU9(8kVmlotU{FQnr95@=F_a6Mv_{Q00zO1`2wW*LVLh(0W zrmZSw40UZKVxt{D9#TVo#YQh#APZwJ5unM|&Q1qo<<;yIzr_&10x}0s%NZ*xtD4#z zK)tR+Xyu|3;w;(f^nIhoj{>${ms3szy&9Hv%__ZzzWHt5PyP;ipWBnXH1L8s$xq<)1((B?n-!dfL7JcGv9f*%^LgR?KcU z-9aWJIo5^1PcUaYbA$m(#zV{gmUfx$P}Kmnl6*sfqC2o+*67DDBkDy&mQdkG-TYvM zFt?FkQp>jXQ?NOXr2$I&@=IcSt1AV+j923951oI;+dCmx@FwnzfFB#&rtX!b!{%9!GVQwW%+Sg=g3_Rg9uR?+|E=!6@v*>YBO1kmF3o zqrTD&H94Ujd_!#t82wCPqKz;GJw<*4DFlk&x8W2VM$w+qR~P~`*jt7?>)ud#*|w5z zNr>-6(dK}0(XXjy23~+cm{z=OT=XHh&E~-buZj{DFTno(cn3Xhn zJV^4DL4Gl5%v+)N>TgKOZ>Ie>_Ra%NsN!hg`w)AN*c-+YuyAJ&Hbg~55iD4+AtDhX zO^RJPvBlnvi7oaRjlIOKXza1~-n*Z%Mn!MG`R~4YW%mwG1na)v@7~>QnVp@Tot>SX zohN|@#jTEzPY<4(3v)TrGtkgv_$(36p|~h_I2E?%42|eJ#7%opXZgj90DR-j&SVel z;MvHW0iE67#Aajh$nSs zci=^zupTTV4B+J9|1rwVykKlhnK^#q3 z+1S! zmv}wFqZ;gDx=5AzXkVN$Z?7v+nWX@qtMR_f5X<7t>JHRa9-1@uQ!;R-54?j0OT^U;-^;V)puH)36K2GrhRx5t zbLB`}Awy*sU@ni}Zqr8b{Ag^_d-Qn;`GrFWq1!f87VXV`S(FaHcfe8eEw#X0DH@M` z6$Vjr`Z5FZd-S5@N;qo>ptJIL{JD5WfWfJ_ZAGRV=s8#CN=cAC~_l42qg}+y=j@zM-D)O6oo4!LW ze-R~&7*cmTYhpFWIZj|~U2NBUP+ab-F#h$^T_8@p7dE`)E`@5XMPPiqFz~#e@E=Vs zezW61;k>YQL+hv8<(9y^3K}YghyOX}s4W242^j@IcJzk}_kb4l+iq zonO3iSbP9A6yVFZ<+a8$9uge9DI% z%2(L)umjx53%wT=>wrb8G^COXsK}B%>(SBt;wTf@yJXD{a53{s9oH{W?>+}hE`od0 z2j>pA=rStNNt?{Y^h@`ZCw!dCUO9i1BMBH=kv~#s==7))nXvl-mV0do*w=-lE=S-D zW5UY8RLN2Iot96BH5rd*A*4=+4{pw}?s8>U3}t`xWpO z*A^ei5ZetDbdF?lXd>>L%#`Zm2>ddu!?oa|k63j4!PAP8V%@EmsI4xNmG!2E8Wx_H zF1s}a8riEMlun`@HHhfyV6ZPQvd|trU!gk6cT39|8VW##Un@^n<~oK2ZqaP-;%iFo z&2-t7LghJ8yn_3v9wmWR$JtxR$BiF{yknICE`crjvjIUQqX^!h4A23*9Ynp?w#W{- zA!uy?z6uv8^825huPN^rXfU@BD+dT~xIyVaEr%gDX!zM(=9eSX(bSUZ;?1*AgZbt3 zsL|BgUp7V=!O@Y28w*m-&G=xcCEu9<&&uOa-cM?on}lqhB$D0Zf_x~~hDHBOWFZ}t z6ICp`Fx@B76WI{E0JA43V}C-b7|zF;3EThMttpJMV{8fRnfFTpo+`2V%jZgH%vk_G zPinv9grv!I0e7}A_?gdtO@77x4pKQKbteea+W459yuYPCFOrXw3%mL^b*9Wt>a+67 zIH6-lf6b%Q_$6Qs@SX!gVsYdq?Ki4^muTXl!zoNZWt%cce~EozNAbe$k|1z^NUnhcvCQxXh7wxET$f1{1Z(*%@8-p+%5b$oqA=K z4$5AtRFqk$j=wo)o`%9Fu*_O=i;G-5hYxluI7l;5D)8Za|G%12{ATmW63|buch8<^ z4$m>vMEk)hbWYVAMoSS3L9;988{$Oe`u+0xwJ~)?vU`&b7@j8qJKf_>S=`|z48Sx$ zO4l!>O*UM3-uVP#b^N2QUoN`#scfgT%giq~EAK=4JJ6`aSPz(APE?)&-g3FS$z|)y z^=u&HC9%`X@haw*mMaYlf7a}Ec3wD~3P+tc zL#5UpC+5_Uh%Rzdl74ZE3yJftOb6Gm5)I-Iu$rHFQf`Bt8#Jy5Aon9dH(nSd zaa%zZamc?)1*cS@1K`Om>YN`GY+%{O5UTn(yrx4xoCo8mKE)*3jTE^aWMkElFu`^> z`H!Bmw;UmjZ+KQFky~LO1-X;tRcPjm4sK6LCY+?c#KOT9;IoD<-S98H_D+y%doLJm zGyVY=RQWcd1@JsNQEJJR0v{Z8gPFQDF8q3~_M9Pr?X6NK!z!}P_+AZL=_Hy9-Z+v~ z57iMJ@i#h&98~w>%mD+}m>u&;bR2AR3CyM#?x%~?NZ6(?G;Fx^bVvDvbP{b2Tg=3Z zO%F#%g%WrveD*mn1^Ao_^CxJ=(BKJwecEZT#Uk}6<7K2RKgn{0-FQhv7i&6J$7?-Z zq;`^W_A2sKe0}wWt0RG#poYY=9B1@o6TKEqz2@oP{I%TG+;3veM)3?B=>N^ID5xgM~KuraF(H-a5Y|%3f3U4lImh;|OVP zonHrFI_VB{z1aA{C;2YmPjHlcheVt-o{ITCErRI`pwq9sXk4eBJ? zR-AL)Bfh65n~h0?dc{4&uE*v)FFSf`9-;@R-Z1w|I?a*T_Dw)w6~RdO=E=EPjGO$~ z#xj(KV;#QoHiNDy`2a|PHTJm6#aMV!*Obvkm)H=qrre`zibK=Al=8{L&1WdZMOs z;MzwJ{2#=A6hbUY=kzHk-vu;YX?-XcYjG&2fumeB{s^G6z~q$incXkQhtlnu#xf6; zMT=GMd`6LP3b~yW5j9UgGoP>y$aV}G7A)*vFX)8b5;U%*UwBm!O=A(=WL*rvjp!GX z2!PA(p9=>?**L8d>f$PKr`{*x{(UDCw=<#2poVA<;ds86xNYC?TA52l0O)_F>&d09)TvBco^ zWupI!h*QKRzF9TcvH%|-B9h@V3zlj5Uxq1 za3lmf4tV3M+EBWD9m>wScaS?H)(8(-qZpp0+rZ0V)jenyO^^0Y*2Q-OD90N!$8RdA_Xm(%}1DJcqii(&a~s z)CCagNt7jg*>FCAv~B}_JcLrcq=4=ye=jt00pT>gm??(?kR#l9L0kg0r?6FGL3mPU z3NGm8Y8q7X?yR=TquNUw*V3T+E$=5{$+f#5{uI*+gJb{$`7O`QrRaEc&56Pwmg6eU zD_Nvnt>^oDQRRUDK_sy6ARQtL@*X4a^MjTYd6;5HYJp>Gf%gZVanR<@r9U9j`L)fNf;k=mCp3tzwRiN|F10o!( zTwZG`a=KlRPdy>8F;3^xp*%^a9$b+f3@+jb-32QrQ_o4!ok7k4Lv_Ru^q}%a(L}); zD-LPz6MqNgH-;MXAG(Z-&9eI2IeDLf^4HK7{ldO{wb}tnfJCX;VTH!VHPj9;1F&Y2 ze!CE7c-?QJ`;;$$^*JJk%PyP;%%S^~5}-=a4qsO%>`nqzJas*WOxSYa_#6Gk_Jt|4 z*gT#K8qF-u)d}my>m%6sLTNG6vo<>HmbO>PdQD#OcpU-qCrZhjI>rm+D@e&hhi{RYkL!Iexo`8$P`7v4+)}HKq8CzJZ$h zMC;RG88x#k1)-+prETq@W|n8^@ zD8M&VgMAEGo5KK%S8b6haIgL0I(-Sv$bn*0k+s$Z`Am5UU#ugm3g=F`L+spM^z|EL zBr|lwTHwK6pdk(;5*$l5-#Aa)I(tN3!jBW>YS>qiR=JmXp@BGDJ=B&W=coB7qi=AY zeVN))fNQ^m4>^Tlk=oche|P9)q6 z-r|7j&u(Cyhjbgb5ETyMrQ5*&>P&f^3j6co6b1`;F;jLekdL@mHlo%pEaZ=83OAp! z*IJZpa$8-#m02CH?EHMZ{szDYaQ{=DpQsM>&$8U?07=v#Wb1eGK{*vz@&N(~-6lsZ zAb*Y%kpgBg%gu}|H{;&vAzvFD*|@>Q^~o!R)F0q%9j`w#9`a#iqOADOcHgYyHH7i# z&Pz|t7P~h0KRg3MYzE_33FVHS2SSM6BAg}E-a#&y?FPc4mlf*G0P?lVpU)I=6h7u` zR9BCb1tHWC4&VbiiKIjMgM%U4nEU^cTiP|l`5!ufr=ObR@D#oMnio4!7W&sz?YW<7 z5`IArKxH1IKAyH?E~9pYV-BAv{Ziy7o|g~G+6;;aeL3ThYnLd3%ion}y@$1DC?liS zWEK+(1g)4)s35C|M3)g?EX35vSngQ66x?|=FM?6vIC0Asc{~TXK`lz)SMt(YM@b|Xh+$5Ver0n)c@B2P zHrjZ|z4>_YeJd-Ty576*{Ec^O=%j6Nkdcj)mtpX6p)UC8WVWyCGaVo=XUvzsm|x^M zSh&~RA^saKP^6&JxRwHZPuj{p0L?%5nWGU`ZTwi>b36by1t9m$Ig^_2wH%-pDUn}u zk=%o8J!XmoRTeknfwQ^mKPWq{f;&URuqWReoxKqZ?-a_r-RNnQxl&%mOc{1u&Qb2y zKD@%j<-QMHBMsUjI;|2d4UrYbnf^~(ZqCb(BQ>Vrq}QFZ|L0!@_w z2i}qM#*6@*TiC|pe~3TvmUrg0{z*Xq@|_WSh&kFX!=S85m-P`A z^HCr^rq<)Us#4U^a5ic!%S(@guTdH*m~!D5uDr3cbjc66#J6c!bVP@SdsfQ{b*A*o zvmJ+o@W=iC@kPyH$nnFW27dZD3_mwl-pFavrR*c7!x8(h)%fQggB$;(K4$%d=+;eG z&Qp3k(zWz4JA^f3EQG|Yh{5Dd<){*Q^)c)GcJAr)TQCrZM#Qp5e#Rqn3||D+bWj5) zLyqse$S6DYK(v*nY+kBz%LEN<4jE;q9=K0e5(OdCTHR!nT`i6>GufYs30&L+Fa{N) zjFs4#W-~tg0^7_cDg8iPf;Jfc%~t-?$Km)#j)+!~q#WZgaMLr8zdFk0cFDof@LY_t zQ;FxIOScI%isk0alXKY4p{$eqBVP>hpJv{0m0ave7y^?KY2Nu%AkfDik=Ht!xws zQsY&aZ%H$k@Zy+d(LqBSI?GTxYFrG!zP#9Oa?~=r45gz+3~+53%7BLW5FwU}p)6|= zP!VB1t0cMI&DkRTvreE#Y#=X9K1Jl6?y(JL4?Wl!=0fajEg?wpy9=3UpTKJ{1 zb8D3Gax&^!=IVGlNjnHxCKUg3q$fu${7V)w)3%m*>Xv|6&_XVqDDy9x4iA95eBq^x zV@5~ac%1`z#d?y%mt-DC#T^;1dG$Y%i}6|jqCX27y4-yDNKTD6A$oUeakzjwvbWL@ z5XK#JaP+}ixwS4g#{p2n;~96?3>vPc)Yu=~E(1dx|B1EOSG)WPZSBmf+GR(>i7b<= zGs?PFUa4|^TGotzORWO=uTN4koO2)3<%5i$-<&eILry-;@Iyt|VkT)YRnmfo~m%W)Z^%-5AAmp`oK`4DF=KgL62LEGZ^eM8MsW4-8H7~NR zDkMNuYZF*hQ{8WL0C(iK9bKM}1|!}$pRs?N2K6ie#&(lvPWttC;jcoE+D&zUtJ+Hg za%G^rO|bs&VOV*b9q}aoV!SwEnxIhyBowT*Gn1vOLpNO=B<74U5^TvDf^9;>U3HY* zu(=m^_Su_XpsT|jz*?B9x`$m;NBJqH`8=%Vv_;&Wo+^l_p+UuPIjQAzfNKym@`jIH(&kW!itC=!3kje% zk?G8Uy3qw*$cfH zR9h~yxZuV7DhO3(vBSnOse`Im_k+e6^gwH^EJNv}=*nOq5tFR@+6C&tUt}oVpvZkh z+3QLF`5vNMiI&n?`5ZKQoT-Uvma7n0iJc)xuFyA`HF;HMiW|zasdWc0wGu-nH7ZO^;E!?S;VU1 zLr%A-fGx97Y*D}0Q~_INsem1#3{YmNfZg?)=t`-gG5+?v_~Ov$ImQzU7NLrI$WS_= zCn5Lcnl$4{h&Z-Od&^L|HKlAzYpt!K@>VGS5U~;!ZI~E$a)uZbNq+T^iFONA;v%M^!nB3;u2w za26E~K$(g-YJM793vwv;ZZ|&XD2d%S&a4NT%2DTZVwJ8v8pf&xFK!$fak@Tn4B%@I z=TRKScT)D>0Lr@}3_h~A(?fZ!>%qWfv0St2ofk8ko)rJ}^?b*1JVP}BsET2Oiz_dH z{>FGrhu#a@;Ca89P~OeurzgrXxf=~*-2{ypRNDMch-v?WBA@`*R~Fq0$~p|;4b0pa z$=!noiCqO;@FnKWucaA}`|GaN(t=Ft%F)W`hAnvN|Z`*H_Bl>oh&dD!wRpl=9(;jABW1VmyNP3aw0YAVC&~sXCz~H`N_C$jI9j_u9;r)SJq4Zbe~J+0I$7Em)EKy{q0|bUnPps z;S!AEsD?sDxgyI}Wz-X@!2F)^k}o^TG2Gu4Yv^}a$A&{z&nbToqbzndzDIwCJ5TAZ zfd?A66&Y^;LoB0n9$;c_c*!p zc=3ffZ_Le-y|t8(D14{7Afg*7!1tj19{~uF5Y0|&0NQ)hIvq4L3*=opb5m=VYAt5f z$3D}QkQevbISZJfeY?t0rpv{=&Efc6?qp6YxZmuFxY>HhDqoG;i7uB9_93SEVWNG> zjoa$Oi5WY@dO$^2f~kN;RP@WG_CTZ%=N^D-9X!3qa45ZXi!)at8P0q6%_)Bpy)h(XjkzRwJtY7$8I(p27+zdHzd>^n>r-bi{NkHY5>{fBhD%if3`N9yfFktZ zkEL3c0C<`1bNh5na`D&j$y;%p0QuRM+NE))v;NRHK|ZVNzTkE9`O?)@Tf>wb|7nd?4NoLZ}Bt^P9g>~auFYn^aM zUd!R)UhezTB|3%|L^|}T@eN=8Bj;Wh^~aez72w@EQ{3s%aX?joc^$EN zOR8N_0E&$AZEd=6yUHZ`kK8G-sE(X3zqE4BQTI_{UwXhnEBz|egH@<-2yLN#5!&}a zT>|bzeBHte$1Yqv@6axmP%8GN%S}v8g66Rx9@0>ihfPdPqBEW{&&-XN-&v5#qhs8M2w4yx z-#O3P4GdT3#d^X~+$m@0v+`tk`bv|9X5Hzx-XKduyuW(%74i@YO z8WPZ>ksax~6CyOgj<#N!FGuVMm}wknG`_ltgnb^^_|WY+8ZO|JyB$KTN|^g!8nwe6 zJ+I14;yx^n8f&O#-VPRYz;!3}%ze=`pJAOojZ1&IOJlnm^0t&rMwU_E*8TBbPutp9 z+uDMuHIbLv^adQ4PrZLKwKhO}DK%yylp{|UggG&*E!Hw_O5U)i%Mi6jE^FGE_=g<_ z0jcIv-#JH0_tI(J)GaqhtOw=0^V<28`sXu*juPIdyBQ!q1H## zV$tA7gBbY+9SHo0F4%IehPxmCV%&yx&4K7~1V=r4ndzG}?rYvGHloWeKeXX@Pe!%a zE)l!0eO|QkOS3kK=;VB0s3o$&Bey7%t#HA zA0sq2K(aYBHEWADP(!HMWbSE^8V^H_-~Z^;IEtzFV2c=zK`~pQis5K+b#okbJuA%> zh!ow?-!0c5-GlCy^+B!>HFpq3Q*91I_fN*2jxn>6}ld z-@Z!U-4W)Pj@bR)d}~!69*Y2zanWg>OQg?`D)=4i+>pZx6$zIo4MaBvtvIC*K z?hr-t`cOsa0`~3pQW>KYfl<&v-Ln-7`6&}cf8?qbr*spWs?L*{5VEizKBaqeSM@%I z8hZnB<3M${a9Mm`&gpF2Cg>~3yB*>;m?bJT~T_L$$mjQTm( zPZrOO)eB%@84GU^S`yo`;N_>Oi$(B%+`b_`8_4&3J-5z{huO~HrEP7h2N{kU`v4Hz zGTWG<%lko%7l2Qei{UGOJ5GEaYAnIRNknM%b8rjkRnX`@^6?4ehA`)Pjsf_jbjFEd zF^OjAl6QG7dOM1tUUG}h4Y=~pt4Bx` ziET}6JDFG~@BV)Nz^+~W<-Timt?KS-EMBX>`LCQXjUXe;J6yP)a(eV)Z z%bfiTSMH~zmi>EiWr_m3I@`<3%Ab(4JK+h>0TOy^rT%Z~@NkpzIC?Yo7U|0=FneNc zLZW(%M)a4AlL_y~`B8o4 zKRPiVUh+95@`Gy4LPvNaD01;*wtZLjT+!(n@Pfq?_TvGcZlPH(!J~arHfNxF{6K)v z6an*qNs`dg z3drdm=#E2O&`-HX5IV@V(!p)_nK$MIR(C~CcLQ@&k9H-_M7)B=shH<8SedruG4?#- z)ZTQcp(j0mwThBdA-BjVG>L3?l)jZi_sy4AOrPF4yD}(zJKr(R+2+Fr&0u9y0cXB# zSHfRU#NqSRrl{?@S0y_B`Qs+sSl&pH$}zjrhw(^%@N6xNqX?NB?;^rDgdA=${1S4f zhLV7f&MujU2!86(U38(*AOi;KBi!epI!*-HX5L8HoSN-kjZ$}g|!WnJU*-enpMf;zNyDp5Cmqq)zu?dBW5JgcUEO?Bq+$v;4}km<70A=n}tmbLrH5|u_?>OZ79OB8IYstjZ-yr zWEv;n2@%0Y9=VU?Pe1Ptirmx+BhPMj;cpon7(#}9^0gCH1Z)e$ zt%lD|;Dsa8R+E^Z8sp$aGnR6*(ZZ?xX{7j85>^L4iH+p~KvgyO7s@O*Ev7jBh6%z( z8?w0jDFKFW`H!58$+@IVT+*+T48(CZGglg3fuc5E+sfxZ?j|d9FQfjAUlc`c-Cf}k zJw}rpR+YL5Ew8YLIm}k)hWZ@7@SuJ$_$3B`LOr(OCws8x&hdR3o&YnJtJke&e?jVu zklo3y%<5`!I)XH_HXQ80;n=E^4EIa**S>U+ph_L^zXQ3Gbp5ji^k|qI084?MBloTT zc4*aqI#AzLDAvY#3dR}T0@9Q`^lQX)EKRg1XVc@%%S}J#8>6Ci30-+oPTarWskCRt z=L<-~^7xHfWFd*#^=ha*hG{vwdepSaeJxeGeYdg9b~u6^7h_;Ngz+uKKWe(4cK$lX zV{?u4Q9eM^S}stPXKWB2!M%OqxcaJAOgA4M>|g^tKMas``WwXN8yOPOebi&kjNd*L zC5?9}8L%I6?P8D@_OwVy$u_xTAs)Zn$k8I~tlOA+AG&IH9K0Z3cp@iPqdFz(3)Gwg zSB8D`k{_TJk+H~72pbB>;K=bw;Y8zwK$H`%H+6Zw7+*TNkeU%IYY^8fT_E^U&i5JH>fQ? z$esV6RN!iY-LXz>WB;9quGOn>d%)9;z9a)lkr`5P_Vi)sm0ietdz9={VfDa{n&jL^ ziB4UToU`Imn21;*GUo8c=EaA)Wcztu$B z-tm@}uYWR6X{~9+MvC02I{rg&9L>u02l$$W%L^75h*9We8=OT=wMxC(y?@_>yY4+P zJJ&?eDAgb-Da`==&wfI4zn7RwWZPv+$_u z0B3gZvkpFY@5n4IU2(C!%Q&`J%{lL<3w(st$S5mZCW(FK?sjMF=H)$#*DkVaDcP+p zdT%5V>PMOjuveP(Q7Iocoj*2w5pjC+laRxc!eT!z-!GWXXt_JB2 zHGh9&1J3r>)>14fS-<`PsM8&J8J`}8EGN|e{Fh>Li~2VhwrU{@$3ZHzxR9Yhh$g~n&UwX7T&L0`un zY+qz5VcF`7S7%EAeL$$6%Bus+wel!}e06)}fG-mGZMq=zm`2cl`@Dmif8yPWGTKJt zHMg;a>`Fp2ZbT(IC3_%!?6EQFL>^L9_}VB|GMQWb&O!2@221Gh+>ChmcA|>Sxyoy`%xAiDKQl3> z((w#WL;az)Gt_l|Zpl83;28flD7Y$93)HDBMlUTB~YK40QGZgL$t z^=r$aqK0LCyf1TUzOq}t#I@XJR3>lqgu|w?-%1z79yG*a>dnkZKMZNE&+mpglDmDB z3f_ZXLCZVz&{LW0zHhSEs3aaZ*71U#=h!<{9E$hrrQF@5fq?DMpSg0uLn97c5k;Dj zejZ)Z@xTP!k&E^S|SL$qP36j(3twD z!P@o8e&YYsulV3GzfBSAa_MRWo!~d>Cf^n86sEyH$wv9e-hm+c!Hjfw_f-b&2Fz_) zhmsC76IBL_Q!#?`789&zf@^f!ksc145U#ZafkjTz89a9P4j+W2GOWbZRCDhA0tFYP*Wx#{)K|0MGbI72XIP9=wy9YcJaG#`~HU!GPggx(qC+ zhA5YNq`#BMz1tZ(L{m=wEi#m~r;1dt?fq2rSgmT-5^(C3wulb*Qwo`k0ZJ z(O%Fct{EvWN*QGr*|sD$QfPFKK2GPTCQdN{Spt2wtqlf)A*I=qWXp;2oq|uy@Qk6! zcJM~CchWzE?6Q74JmWH7V*l+B7u}V=`tcB@-l|~wl_9Mh$@8_PXmx1NgWts$T#9&T zYWq&V-oFNZ?(Zw-_)gz=f@P?|*Ny%VCl&-hI&;R#25)i7F^DzlChz=S!1D)9B^^^z z*=6ZF-VpSaq`!dygms=Eya;SJIA%QL0aNNYu#QhGYRG4oEdF}KqUcVcejT)im^Pay zXu)wB$#X@_)vHfYl&n$O&j!^?-+n?#J_G5fUX;v@eNps}>A$=-Kg7Dhq_iLVR(&8Y z%S+Ij-8lw5o<8w_dtWgY$46+N**_?@9uDW^;=`-?x3{Ep+*M|94mOwrhNe58^VKqo zF;J@Sh4(GY!rTPLMoH^Y8LW76!N2e#gEyMH>X6;aTN>aUomb@TqW}IAYsaaBy5Flt zMKw!wYGI`k4ZVnb#6 zb_uk&Dak@4d#wKnnw#`bQ7ck( zT@qogfd8lNh_YOm#J#|vFt;;b=DNt<#f6u{IrvQ-W~V^QL=z7}ZQzEiC`F)zhoiGXP7#46eyvX8gioXoj`qYkLT=h}8bT z3>bivJomPTVRQ;^REe{RjlP%haoaV@cM)r~*g&i=cO&WUPW~B9AD8k|`cx`oIXS4& zsYbs8CDzvOGURRQY8Ae{N{y^QFGiPf!XcxBskv#rT$W{fq<0RJVLj|?z8&RP1)WrU zjx`+i>Sm+6V`>un;gO1bVZ%M-0QEV!Eq)&yJkm9f9n9>mTk>McLRpDH?=&~{ww9xu z-mxaeDUeV?eUUJJ=;JJzr^2@o_3IbmjRnH{YVM0(E{AlWl^#eMG2Y~4;M=j?c=k>J zYw8L|Nxr0)qKW4(-RaGo!_rY-NbnUIhUHfTZC-n_;6^ZK=~$478j0rgur8{WznCd> zc*~@JBw(XZ{ye5wB-VnV+w3AKd@>ZJ#DnB33Xmk``Ob`mp0tMxP@LaUuo^1bFlEsm z3=45MDglOCquT}p7cVVzKe0kcSKs-I_chXYG9il=5LpfTBr%}lGa?vt5oLAGGjf}s zLtZ4C=MUcv^>!!g_Ia)iNWn(FnqZ=wWPhxui*HIP|$VvOLfvki;)Xzp23 zK7bDY$8mA%4dEOmPI^fkVD=6PF%x_i$ zYv_h`U^Sr#@zh#x&=xmZv8OKRb`HTe2sSTwF1Kb_ z-nIEdc!-)-ElrqxULte2`IP zHS5H2qHfw4wl^hE^kis1aTC53=XOwzHub=}>=lk`7i+<2#iIV9F83^_qDZ(CNlP^d z6i!Y=?BP@WEe+me1-OW3LgOwyiKB+bb$)GmZRv?jfp07WJHHFNIUKyisWkDDaI1PKiwh66OF&B(qy}GEdiwO3>%65PPuj@^i!atyTcL zHX1pl8;kM^WiICoyi{wV3cFGYmEqsz@Kw#;K}$ZF%%#I zjun`_eDzDIACu=3X)*vp^K&QFT0Ls(I(@`m-|HZMzv@aec?#<6f7U;$e)J5hrGntQ_>edh2JmTK1>BF%Mf8F z_m61pB6pJEgern;%Rzy#39qQpAA}%P*id}FC(s;!s4`6||Hz}?LDANO(QE!~*Wo@A zJ+V=rZ*_<9Mq(F4W`|8?ZPe{;=GyZog%VZV&~n;rc@Nj^#R}mwSc1H=zjplHu)z&) z#4uX6u$$&BqCOI>L6`uaQm$=HGqH{CQtS4PwR;njkD^`7B8|#qafGN-9%GFJ2i`!L zicfLy5b-lmK5|=h;B4R5m*nn7!n%BB8m`&4)kb7nIhU#`tsvY1Y)crgAHpk*8MpvS zXkJ4IOGFFKOqXM>7jkG$R7$yq+-zR(i1^~wSa~Vh`-})Cq!*)k3gT%o#oaxQw?AWl z-RaE&w^!5U{)?k%Bgs9Ht-*;-q0{<(V_aV~<2ST)+JqhD{uvVLZ$`e48?ba0K`9+# zr3|@xf6T6;9=FaP5sq2giWz)!7Ki2igPZY;LJAp6TE$hgmxM}N8(({-16iRatIN}M z%#OO@YGDyIK#hD90cuKm9Y^J>Fv-O4a(X+L^65pp!nT|6S-jN!te=C&Dm3N^kO&A2 z&AZGgjqZ5@@qjcNweBIWiHU3df-_lnR61&4R~7<&E+0=yiu(WrjLoi} z`SUIR_F(^x@fy3a!?CM z#V`qtNah`<@JaKNaw4*!@wF-@yc*LuoQ+Ow_&A@^ceID&7zEK|{S6;&)dH74tZe-} z|3^SVPq5D9&zXjW4KjZ%J#Cey1#dPbxr?X$VteGzWngt3{l7ljX=t+9813k6^G${RD~D~E28gz&98FkQwXUgEl@8I07|W^}5IozmdeSN@%MH$xU|nt4 zU=hUEwgQMFU1M_VU<1a=f9KquJd-Kh%4QpkURMNq7kZxs;!c$ncAWB@Nf%5SMd;Y? z-1ahz;?8Nd=nD!NO7i^OIw)VV+ibW}Km)@lb^sEu^fQTIj)DoGhDm9%d686-wn$G% znRQONcw>g-oG3N9CwVJE1O<;+nBwY$SIjdttwMxFQE;shX`4e=bDJlA)#BCN5yTWx zmL#>;^N`i~T6dy3Vx~l`#+|cJ6Q8j^_dhuBkwH$w)Tp7Ul&Yrm+2ZEpsKXoRv5Yci zvibwGcg2FtzU}K79J7RlW5G{z)r=sNvR*oUA3wElv5cbQahUNejWH86Br>667wx}( z*P#4VYf42XW-;bgvJsg!@@%j5IQam^)Z%?+uxWXDzrqg&JA%j7u57|8A&J8~PY-*( zV(XBFMIwMzRYTAqvJ4vc-+pu=%yq;q5RnC#xg(wmrMRwU$A56BCvz)C*`UC)xS++l z33La1)l7=GfnYb_kZgTqF9h*8PIS0sNU~n|X`wTf9Cw^^jQ`vk4zpE3GeC;0`McfR zEQim50!`gh@|im8fZNmP=up{)@M}(MFlD&% z-@_8E{Y<*gx&FN+f#I#Wv}jpAm-sT2e5&x)>V#ixYs=U6BzlA;%U6G#tHrS-;=weT zsr)&KR8-J)llig{eWvNkYxtejTu^EnipNHbiinVrdsP^YuyiA0p;3s1Jl)Y9f%J-1 z+r+eH9+i~~^aVs_cSO+`3Eaz49a;=xg3 z7y}-lZ9GhcHYgP4P!1C$K@`@sjR7^-oW!et=52vE&6pzM@p>jr%PcVk&(;lY=^=-u zFvDm2=mQ#k#%PB0U72A{TJUu2sd%XHhZ}2}fD+781f&>o3aFq*39KQI7guVKpatGP zR-c&3S~^X~c*)PaJ6VX1!1rH)Dm8;incDiaoxDe4AEK)fXk-V?%gw+Q-;bp7Wg{n} ze3X&^@3;uhmvQX;`I|zuBF23<;Z`nxk~7x1ChZd|{F4N#cDljYg@k&$*6*3jFsnk&Dd3S1Qk|1*1@3yOaBb3=9w1v??^1hA^3MA5j>xH8=6{7I!^A~EFnmBrGF->tu39Q=PMZq(ky3X!4ccT$Ct zg_5XFgZz0*}%gjAU17KGfpGZlox`f=DhQ$F8<# zfCSKjhhnFXSKB-XQ$gFGKb+|(e(R6Xhhe{`CYsk6Ko13NHv>=&KWYo@T-Yvqtk^t? z?=AN&o45crd~;_3*1NrJIG0lgoD0KS{@a$iC$am*!H`Wj_aD)kp4A?O3L%!`2?{Tk z4e3W~sZOOsG#{1HAzD>;CcG;P5QX) z{rWVR$RoxG?wi55<9cA?UCsnbVII)$v@RM#(`?gMO?(|lEz`aM-fx72@-#n}*#m=A zWxm?(xO|All*0Z@LXB2k?}!k5F`J&uqWB@=^w^D%6!9URa9ds{i$Nh`ueoH1h(TX4 z0L&t<=UoPG63mK=(ecPjch)|UJAHkZokI1owJq8KZ{|bWurO9d2Z!0k=SIt-1n-8g+Yk1 z*>kp|?xcx}#|>R6Lwzi5l4Z1IiIZGcz!+RmtA}u#2m$wFRonTXo#T5gTa@Qs8)mcR zb7JOWdVzg;k7+3C9RjS$E1~`^9YPbY-ZaZI}z|$*x*v|M1s~knF&Qt_^g&Y#;BY9 zS!Nv*`2rE}_=_d`m|;*J8}`-JW2z2tIvvm+8y16q>Ia|@h}ewbyNv}1IZac^k#O0J zM`z~@gm*t+dF?_GqxoZwTVt|TOPp&7*RD;-#0{K5?yw#_c+Z7Tz8n|Jw;PqJ9zYii z0vjw2G^ieq1k&~7Y!mQV{hO$a?3yGo9A&oi8*%hL6*_9ygMKmiJvd;%9Vk#|HetQu zF}ik;$KWEr*{|{nd#lmi;jv;gW%O=>voo;AzHgd>M#0*yH>-m%+i9`6YggqTS70Xf zZi?y2=L#HpEizIplbX5sJm}T$cl>-@aXI*5vYKEfc@V^X=)!)eXX|5UoTL*_S^n@V zP6qRDX$hFHUUQbp;hm#7Jvo!fno5`_0jn;h_K1lXR03|jK93DWr*LL3-XT^0z5vNg z=iPn6dl!Qcy1S|g+lyE}5?bG^gidAnW{KI%E_h_Zrp4?@!oV;h0h{@O@>~rxJU*MK z`OjI0f6^*>dI?+LT>lS{hesqBrgIQJ8B z&i}?gGC}#|%qU_~=Ubln=IH0>Z*{VM%jA+ER4M6#&vyWp6@I(N7w1vra9)Bld)M)6 zav|AVr#}9+>DAG|{V2^r@Ym&9`0h5<o+r5;BW;(9@RJz=urk9_&CebnHh-2-t ztR15JVs$Qa`xuTm)LRbQ9&x{k?1kt=JvwN=D zZE2Rn_IL7Y`NVVai6UgK zjL+dyy8rRgR?!JC`l_D*e5 z{}KvkqBXtV)DDNPIeo6Of7VvAU)EZu0V9Y?SLCN@>8)24xeW)|Erz=t9ts)|6f&C^oE%euK1;jF;x~Qv?dGv@69vs24Dv2#<#eOj>mK}}S{J1pZFFyJ!_(wm)iNesRrmryW z0nr*FXLVf)|0=YSrMSGKE>AV|#eZ$CLmIEO3yrmKc}s2!h97I*S6lNaP2llJ(Vq?+BH`JZ}wY~b*ii3&|WCR0j*aHy;_Mt-+yP@0o@P2O&IP~*tPn7+#s91=e!Q$ zT^c7EzN08FrFxH-+htGY$vA5_;{Q$-I!6`@r9fWa<^~vOsu73!Jb%AK#t81ysHi*q z{!!#Os^r+uIs>QOTvCGJMSU=PKrk2@riNwf@S0@s;^R!`pxUU&uAD1hsNx}uf}pLI zXWd-GM-@JH-ciN-v*XJ6L)gGbHi8H`rayMC)8os_6>xCcvUPZhl zd}PSKlzgZl_?jUa1G>8tFdjs>^U|cJUz25e8Hdpw zoCWaF+*)G2LxJ9Sz8?|$IydqbtQ47<)ImGv0DhQ3*g1r#{mjMrTbq?)OJVEHsMw`) z7%m>q%h54mS@+F@xxGn4fyEN^h1`~dg3V7!lEKUo(L9yq@*u_vqrrbdB5r3Xm8bzY z_FZ~ScVdkz?AF$b^Hq06#!P#lT7YyXe3GAV(bjiGw<&ygD0#ZYy$+hia>)N$+0;AV|FL6j`M|^)p6H^gKRE~-7q_u38(MP$+pYd& z_#w5m6v?JdwW;&PYc`#Wd}$Xx+M2r!f&JZyecVaBs7s4|+^)$a^(n7LuoUpJGf{V~ z783z2j-88Qg1z8e1R`dJ`AR#eY%}RJv-^u{Tqpqkc=Sp9@Eb%ei-rY(2FM;NI2`VO z60luq5opV1F>dm#1VbREB!`QbK`ge^V|6rAvb)$nyQT&t(7LQ($*?G`$YSMaUMLk_ zR#1qYy-dcBwd%WQ7ccw@H2n8fJPk9P&G`AQ@oIjw<uML0GvFC4E6oEW2aBVf zV8P)ZJ~1Qv_o}zOs(};b%Wx_&b&||iRNr;6+dGyW`snK(yNu%}bZ3Qo3@Dq}?jgDL z-b@E!Y9U^=EgiqT01x$TG_ygh_hlQQ&@=_Mjh8gnw!q0rLTgPx`}u+|j+r5XCY_ll za&eV&HNk`L_cU_hytgueqy!BE`Xt$SkXAQ`x7jz6fA056&Kl_~ULikNOJ}+~Mggmo zWl-MWt7~C=;TF^Jk}o(mC2MLkH%sVtBT%d)Y*x_DS(ld~S1UD;jylvv`?B!IFyz74 z-rWyyB~(kPo<~dh9%hIz^sS`HUOB=cf{V%4U}hC zj`es_g|Etud6=cHEmo(d-|y73p4LM`7Qkq=X5d*SE*ne#@uf8rtJh*}?%e08=BYTr z9aFo}L$0C$ZfVP+Da(uhv)LT;qv^zC*<2i)KsB(NXYG6&<&vqaSh30tqk_V7>JQ#@ zW);Pxa85)cgld1L6_}p1Wgt~uWpj0aATRW9roTwIZsv?Kf5!F~IFf{hCsD`p`g|DH z?i-$FRATb=2SzfFLs?C|l37zu2zRjW-4_?!ictJn*IpP2Rxcf6#@&&2%&+#$(@bFo zcsWoJCIYfqqLHc=rQO=8&h=s$?1dM z1s#=m>Z;H0wWO%t-Jm;xllp1bYao!>mVV~yN0NfTbfLhNWwIMNR0p2FewIgdJNheY zNJY8RI2TH63nbic4lPf|*k@afIqf8&Y(D6E_ftux3sBF}bvb{I%N@}9lqHpkldjf03W`+`dyU7 z2MolO5w(jHkOUSv2uwtMr%Cy&rv&UHUh!cIZl+Ohrm+qvp4hK3q> z!o*FCsqv*k9UR{xbIZ5<>t#cXNp3UC9|w|_h_ELM8=+J?seAP`KIhJ2cKj{6JGYCR zgnmd^J@2>toL(R!nQUa!8`LSoXrX$G0G*S4<&9xa`Xc8|x*dI@75ez4=1)kJCT@&(=ED~ zuv^|KJ)S#Leidu8JT+G6IamG3>^yX@Ff6rmpV&=!hlp|gQ|}ojyfQvHA`-(=L>l0+ zy+b~+HblDREzXV{z(0L|xFK5UWPm>#8<{%kPm`5K`J!ti{*gs;wo;ia>E0|{rS_Rl zJhUcJT;K~J0}JFly3a3jts<_ZpjDm4WLd3B0tS#ukHxvOYi}o}E`P@ha#LAPtorH>e|B!o=9E+`Q@M@?cO(;@ zr^j-zZK(sy-S58Ght|Fz$_`L_{~I<#XiK$$;MyjA?m&US_ChbzJAEruSn6ao%0;yQ z`BI?HI>m{WrRKO-kOk8;?4uFDM}Vp5nSFgJ6ks>Gk0NM5CIiQD_AC#71wR%a6U+uA zK-pao47PXJ-r-cWOj6mY`e3yj5Kf6gU`Qf&-KMyKPgeR8e~Ph;Iv5TFML6_y3jW?k zV_He5dEIUR<GE?E4W=Nfv>S zoRZY~8M>x?2$HKAbFFzU8$T<{^a+7+za{DRL;7AVCRzL9(2)M*d+gr)`89%#g2y3a zciAAqFf{e`$n7>EyAUAD2Y`G?J(8YQE0sN-tftZUmXi39i?!EzI-I2VmUIC;XL>G= zPp#!+_W{m=Z267a^`XmbY(avd<0?HGTNdMla~nFu`I+&K0f3X`j7a^Gi0G@3G~j*4 zwVyv*To*6?Rm_sw9;;9>1y2vO&;xx8oFwo3V#AXqiD2eB;+-`#=!q;yT59C>eor9z>Kx7ZNI^0r9g&GR;vQ7!&|fg!@f&Z zskeL;&Fx3`q;ruH(RS56q+ql3L(@(zEq*i!T5;4Md~qgAcUWo+GcEC#W|L3;{qu6| zv|gD&-kzJBOa>r1MSZAj6%oKUO79{xPWjLmW^TY11WG*27FE@>0f#Af^R%72me$oJCnMY^gZcRY|BMw z-gEphwmCHV#H69Aw+iFkTkSnqo|c&)tRmQ;lrn^}#wYfDlD)wh%^S34VDu&CUoKgF zbRx5>j6vS)G%~$D-Vm#^nF_46g~{;b9|w-kSNE_6@7k02*$Yi<-JxzMc3Mc&1nZo< zaFURpnaM5@2D^Rh zKb_DP@+cmV^dV-KM?C$q@t#L#u0GvJz!Ish5>0p=d!3Md|yhY(Cl?N`<_^@BeM957~D%n@^c@ zzrvX(fKhZ%kI+{a#zo74m|I^CgjwL!Tda6qPP%to~X++LeZsk7qp7cBVvPhf34axz>E%G0*LzQb? zqlx6@(n>AygnlRY2T^;1ZkU-owhI$mHy9IvT`i(%hlOPbamnf<+!fn|7VbAB-VFHY z@CMmmtv8Fwl|DmCHdlL%fmPjhL`^vsNqt3ID%@fISG4``A|n`<4bnoTyr>AZ7_@}3 zBEmoHxol>NKgeJ{{do$eRh(qB&S~->TRVqlNOsCSkA8n&gO>!8UeT!7H=OU)^dAg(wx-zer_(W~DdSqoTFU=}2^XQ_g}2Tr2W zlZ(HR+5E^H&8qAmz@{S{Z@DhGcYIEk+sk!kQ#;yIZ|9u*8)vm`@pUUj{#kmQT7>^F z?{#batiy)c#Z z6LL@|b4wPSJz64NKOc2DK`ebbvq_*33*e?l{ITNR_8quhL4~H6$W4+CV+AB%_6IrSXB&{M)=92!NTe)lA9$fo4` zaoF$Q03Y@+N5)fwlHO-RU=;&C%e5!4_+(E z_r1_&EJ-%3au4nxr0Hb}Unh0Sje1-*-q0?ky0Y}m@9a#`mSu0UZ`I49I)IPbY*I#L zd^TSb{#&mIWnHd8=}RUB<&d5mNu1s(FI$IpHXRL&I(Ns^5Wrr*Bj>CU>;c+rRV<8T z!Vsp?9ZhVcTzds8%37wwJ+H2vi_0#O+!Lhw!X|}?(0(gu*gCY+KfDJrY96afa#53Y zh!XD!=elN78?YF*y(?QRCckA)g)M|?NN~71{}rmFDu&hivV&F496`B5u30(TrU&*q zSSd-WC|&9@_2kq{)yJ2XlfN^)n0Ib^3>XiA4rn=$lLXo6xc3VGD2kzrPLk^FeWagz zrp=g+xxS$%+^&P)Jq-b_d5e{%){}K7|HALbeF1M_E!EuuQ4YF+AEG=Wg|OnyEr`=z zaW4u@x&}0*2fn?$kS$;@tRk~ac1k~{f5+)$Bk#ZLSJaDujI1ey;khw9fFXPM*Ce&; zNBS3h()9-D<&Ae#Co1_6=SOTv#VXqNz$=o*@eqf)F~$Dk|9<%G=|AplXpxj+9ML(& zx0P(+6%(pA8UG%C)-6U+tT**}H;c!Q-068xaCAW9FWLJ+v$9=j$x-d3*<>Z2{`OgP zvc4Q~uLWsn=7IvYE^2Z!LFm?vYx^lS`7#nTCzN#aUJY;Lsud01WQ`7UOR;yhMmqu% z;)soi*|dnZp9)mAgP0h>mH@2n0lj=@Z%qy-elz9EXDHK+;$G^0t$&|vnGWEP!P>J@ z0O#T8-wLr<_jIO^lM^ar0r&V|koT@ZRlzsx-xLuX_kGD{#i2-5!S$khq zW%@Y(Ce|QbZHk(8ZL7kIySW)t7<4UFoh6}C6waTwa|M^0mR0u*K$@*}^q!RW(0^{> znok`+6^{=lRnhdKD-rVZo_)Mpc$015J)9q(IjIp4ME@atIM3K+tMlGDr058bs<7SO zUlQ?DExgy7z(yOHP26p|u+WV}8#zzhB^Ehr4wUzg(_y(GYJBV&eWEE<(i6D4iQk6? z0004e`W=0AZ`oRJsKNe2C!o1Xda{?zE;j+e2u7Ufx(L@xxZ;BPn zlDR9#VQdV)ReN*wG+Zrmne)-_s!h5Nm0iipjMdJo*!TKx~(h1k6(0!XCJXUh( zZtnC_Nw+(<=z6(Tu`l~-j2K2OzOvV_6#;-+QoK3;kfF6qvio~yV->kB+%nwSJBc4_ z0QA~V4PTXGYG6V0^Vj+~x#33*d$udOT$k=9#Ya+C7Ihx=HO1G#DXNgvOSZS*t^eLj zF@mBkra#eUX^oTsrgbiZh@mEGRWoa&#!j!zrBGpxf%b4FFpL$@F1?elbmMK%t#xGu zq;Xv!oqq`;^gE*+(ucNVXOFN0e_(wj>g|TWMpfRz?m;a&^aqf+am&`bN)LZS`(pNY;eTnK)3j>PzOt^1Tlm^yyj)IPpry47-eCp_oJcfo(zmS|Px`k9g+7Wt zQCo-)$}UcfIxyQzl-V&!O6s>pU?y$%ls)gxV&W8QPi!&;NGCI? zNI8Eh&7Wf@puaAvS;mdyW0xSWR;{J8C>?!)O|;f*HD{Sl_JRkg+8M?AOK3&6IVy$d zk2qhI8(Bdl#p5801d`+@?p+7@Ro+ceC_K?9wR|H1%xe-10MIlDaDuYbe-?SCe(zh2 z>6xr~PQy*^y`>zta*FK1DvBI5jdNAfF%2$+dyJ_s1BI8mhcZS6_l!2$@JE#TypzIwsOTQnBX(&`H8 z5BO0ata9U>1K*LnIQcjxEu2w$aW?Rwi+eak6Kpz>!lMWO45s1&3pKUvBk1*YVw zKn;JIO~#5aL>KL&P#+odHCif9tUZMF;QfL`N2?Pd=QJCJGTd+#6XFfaC2I>{`WzM^ zm$u8m4BEG4Vr^8qT0lGtl=u2k$T-&hxgiJ0N*$Be;xR6bD8+U&bY8Q##QL^9@Imz8 zpvDqMg3=SmJ_R~c<^p=9Z85CO*kPSkUn5a8v?fXH0Q50}f9*>ua5>g+Ov=|_YMTc{ z7=ln|sB*tJZv1DckFKgo{T^-`jpfykI;1}*kzWknY3@2t2$!-1GA95&q)EC{oN+Q{ z-x3MG{Yrnv-Yc{UGcX5P`nRX$s=&+P8E^7-ByL zpXH2^z!FXkEY)6zOu9>gJ@Awi)YAyFzgzS8hh5F|xIYPlNYax`tx7`FMHj}*zNyM3`IJmLt@Y1>&QAU608ms52}r*`plL@J-L-Sj>K;8g)(fYr}i z=fH;_n*OV0;*G|`#$RcG$CnN=iF*!<3S)}#$=*;O60T~p(OSuSyXbs*e6scu4v8A3 zmE!mtrQ$@29ALJKO`wLAtQ@aimJvm36WjM+Me?IK1xK8WUm-0=>0&N5&`6{56aQhr z-0g-JC+k>g<|ye%E6Zq$F?qR`ma6!ns#vlCu;L{%W@&gA;s5J96kFclw@(25C&pjB zxEB{E(l1p0!|D$+H{(t>JenVfiAM;;LabJWDrLR3msaX%H?X-Ua0Ej9v z%hV*jXe#zjW7SV|r6%64=9ht?O3j-5YH>W=q4+@v7?YLGaXzH7I*it_ye13@73a>P z@av&UmgX;&eKsw-Smg)rq|^)3_E^T&4$qr56{w4>N6FL_^k{0$tDH~{W34IWlt>?u zXlnqCfKb2x2f08-zk9B`G^i#21}Sk{a{8Y`RmjHN89+AXRPjU8$MfOyIBeyQPuVN? zLnG0rY%NlvK3-WgD_04828{WGgcPsW+C?DNQ{r0pMYX~*nHd{+&RBb^5Tp)yg`lmN zV%ARGNJmwCd<5Nk$`9=`$$0X39=kPK<3fK4W6ll6U8Gtrkra-6K|0{fDeIHt5H4^& zN&JYaDZ=vsC&-sPqcgjy6|r@j5N~Lh_5zmvR@BQLa+Vn5$)!>nWG}>Fj`e z8KwFdX4rZ#@*Tz16h}u(UIqH zbM)o#R|QVJ7jbm21tjcLz04T#Z>gtT7Oe%B3P;t;EE?R#HRg-JliAu$#CfjT)2T_Z zM*~lT%&Zjk%T2<(8-A3Sd}uwf1ar#i*xHtD2ITCk4Z5inZ)Lc}NAHcIJ$r7uJr<^Rt02!1-NNMr-i9wYJ!!)p1@TkdW!d6ZBCU{uFLJRmaO(QR`~6$(ZC>T^+9< z-?RGL#w&SZl;ibN@WiN(mpB&(^DB8)SI6te_pGjtmocjbwt~sM(Hwt${nB7h-Oel; z+*Xdi*>21mf%S57@tHC=tc|%|7K|#zCKsPyCVi|8=_B6Pf$eKjH{w-KXtu8e_Mgm5 zjCLH9u8xJZp*=infq#DJ+mvFoFZ7>g`_#E3*PQ98$GVZq51M5=vu}q>H+W^A^J=N| zj%T;Et7V%lB|G$9ua=sbrM8&%+!I#2x0|n{8tbBmytmoE4%oa_)|lUZ^ZD49Pk$sR zd+dbII&Hu8prGuZ4%zmxn_hb$D7zxhRokykYuby<3>^2&ntN|^Ppuc$g2E!#Y!<-X zyyqEBOHH_|R@Ssg2WwlVj;2<7oSD<*aIBi5fBWC9>G9Q4KYW=hE6w}Xw~>@OXLC+j zP4OF;YKpag7GA2S0Jxgc&KwxPv6geMY8mQ;DaQtojoB)&up4t&09pPG;Kn&{!ATAm(>8i_ZmoRFwdrN((G zG~~CRDTAZ)&YPnXnnR-#k!2$|m4qDf?vZ4R%M7g~d3;U^q^Pw!1`<0nb40TD-@&Pg zF{?)rE2dT%Ys0oOqixYW`ZcLCtYNw*V@i6ST510q%rmSgr`PK z!*8eaq{i!)Hm0*j{te1s+SBU)DmO^0YG2mg7Nn$k3XOQuJe{05*cKU{=Bei}5SpRZ$xt+Jl-X>c~-Vb;sU zUo}2H^6t`aAyXfGaEB9njjoiFQe`7+tVkkm6;I{hXX@vQmdttqp*x`xn66F0FJf)6lm2l@t3MILm8m+ zcpkgQ|5HiH!?#vadPKG6iFkF@sLZwzc8^a zrz{z@bxs6l!3fT%sMb4CtzT;2<8i+}>N~b~CAFvX|Fd@<;F=Xh-ajt}5EW4|lXDai zMNx2u0YOZNy09t=xVq{-e!A+r1F^ghG6iftxA&O!E$*3>_V%#->h=Dm@ z{od+&tLt>n<=)GLJ9qkhp7Wk_{#{+&U0q$B`*6~+{S>ss2?9wCq?D6(0cjbIy^5t>9Xn^$nNdswg-4WtHrv1YML^D07>H8B0d}No+0O|Wz7c)?M z$XHX(M+cg6r0;M43soYG!3yNQe^a4#h$rVfnta6*7nRuWirI=?i=^Zs`MRNqPxh=a?Wc__!!O7{((HEA8{UaBIbb% zMPNarSiNps|O&9xN&7&f(veyZXeMuJw4U0Xq_5&Gp()=0l z8J=cap5fK^rxm$Vo-9l_xim)I%Veipj`aQ7gS}>QlIDQ3d@X)(LPX#1HOQ}88}g(< zucZ76qnxWX=mqrsx=B|pzf@LvoaNhuMceO_MH}+WqBU5yA^&}#nR145ahl|3i`;oZ z(T4n=qI7Q{smOD=>)vm#a#wBHS%sEq=H?<*BU5d;H5;N*U#tkSpCZTFtK1ctNgEQ+ z8rJV6rBTjXiCaW&NHpeaX^-~iG;4K|h%w?c*%5H#uvDLM=cjQ-7&)I>862L0(%O)@ z#99wJmqyn;cu-f4x;IF_GN+MmGY@(tqwZ&eK5X9)_teb2Hss$G_UCRz5Bl|k8ehgq z(sydT3~q2^v_D<7u=W3pq;=UoNOdj^)R>t0aA`NG0ixxQ`-0!cr$8y~k< zxhryn*dGHGIZR&je3!FZ23D)VdbtDr%tB&2iLab<*R?jJmT=8?WRvH6YeVMJm|h$5iG%UOQMX57AulXwZYkQC z!|!m+y*A{}3p;jo(T4m*R&-UzPRo{%Dsr|QHy%sn(S;R#SYhkFSA@Q6LG%9#EBcXS z6UbM!EuR_q>id5yLg!>5`)Qm%X0LKryG=)5P6RHiZq;K zmLq+C_Q2Y#)h&<}6gkb(f@ahmG~leM%zcfzVOU*v`XH>XyZsny!gJ1D_kbepP~|jb z=zV`n;cGw1o!nR7FBADREY45u47c_em zG{f&(cikSzm)w%YK61ck)a_dEDZgN9yC9vU_vx+H4 zy6(lvhx_`*V8`p>)@FcTPvnCJl>E*IhHPkX`o=MOd#XY~5Q2 ztERi|LRkeDsUAJP_su2!94eQm#n$n$$ z_$+(Hxbf-xB?CUSJ!@hl2KF$U+)nWsM@{}*s<(kb(7o7U?7^&U}sm-c7M z$uM~g=Zk!HOxo-6`4=%aw@`_Z%R9~ z@LAgbBai6^Qqww|bIzmgyu`;j4{7@TsKk|<#@PV(W$`hj@4qLUjZS^ZhTU;~86VY+ zoMzPhXOaou^F9lt< zMKO!FYR{}*_ra=P#FCchsC)5X+@|YJmT^D(LDToQ$!G>XKoz;uuVX(~>GQP9jI&HC zl(U-;9e0AL$2G&NBDZl7zC=EHEe=sBO zHVWUwM^@MTHI5OHj=Nk+D zN2I}}QhD75U)b-u*M4F^d*yNexaYc8UOGmNcGNxnl#So`t9$RhFz3+sZ+_#mFFpN+ z?+iGM+1~k(g)t6)_wQHl`I^7|=74rg^W#?zXg@&|jythNd)6xkwBwi^I85=FZ$Z2D zGwyQSQIGl7fbR$1FTZd7&4BM1egECYDTbTM*JOqq4DGs_d`Df4w(kwax5;;{2`wl; z4XwtvtI~QL2IWO25|pFq0<&O|2KN$)zRpkS1y$|&&w&*(dc7;nzYoT@yKXwZ%CouP z8Dsd{0$IOES4s2HG@k`8jp2~9tdEt61l)0fW@-PXK}u_O>Gq{M>b@f*sCg=u_Lrw{ zEG(JDQ04$h&Tk#e<&B*tf0_!L*>qnM0(npxc82Dmcb&!AeD(dYGE11Jz3YCRF@n#i zOU=(QuAFxoq@wF?9@u1AeJU0hFK|~rHdh4-()Szkn10H6b>?F!l{KQ4D7kA&nRNm* zno)OfdNZW&%hQrKTgg%-E*_utlO7r}>P{Qfs!RK9Is7=KONcsic8kiiwOd*% zmoj1HtM!RuxtK}oz1f!5ZfWfnD+R6Hl3SA2gGMh*H~N0rqjOGkWg6Kwr0Z@h#=}25 z7^CjGS7aLtbxdaSG?r_1oaKw&mFA^s54F)~jcAn6jJjKjZ_j;1mbT|K_N;g3Y`7xJ zi;r>TuAIMC?7v%BNMl`D)^9%5*S3YP6N*u+&lcnSKQDZJu~>2alET+LiW!!-T4W}v zD1DV-J+R2r&lQ|!mx@)(`Jh5#^|Vtayj&pFh}>N8$;6zr<={eMWiFS#EC(%g`7+=j zEY~l5tB#p3N67aW=K}|OS7lW|k;|4+iqh>n<9U+`czo_|*JjJqB<5 z6xpT7i{Yv*r6wE?3oIgs4puUB-6`2LjE1%0?}wqkxUjV671r3o;;uQk_Fxy`s5|LC zxav>CT2#ze-c{Cz5ND{A&t+0OBu`^x)ZIOsR#ZOUmC4bZrt3B-IA2%n+S@IKV`1r; zB#}?KhsfOB7ePlkA5oNoa*y64Q(jqqt<`;dz(?l)2Q;#tXMprQ-&f5;@B8-_5<9NY z@D~hnrExiEyg=JE>%c1(7h6*7c6554PNDY+bx z0|sgDx|0TT^nL%yfec68PenH(AHw1XN({5;394~^@F4V(Pv37p_^PJtF;6jc&cx7u z%K3Lii4$QAG#tv@SJ&CbKIgor5aj9V`!^;pPBUDQ-uFK$IA=y;oOW54C^R`|51jxs zZn9-?5X0;fnu#VeBnf$QMx=bEV`*%btdGr+vGe4QRP??10@ieyFGnU`CN!llXrf&$ zk*8!;h=%p+qLsU4QJ?N0EA8RMPkjx)4WVv?ZZv)W)IqCh)E!&YR%M&^dO2E7xmj4e zMa~;rEzz_SlCd ztemE7S*_I_Kj72%oE4V)8g-8rdl&isok4F@_Nous ztD|nqLC;QFMAeosfsqozsz!7tAqG--FihX|6Vi!ANYoI-#<;{ z6Q$aVuN;9^e->62J#kPzqr};1hJ2*QT1ehv=)Q=d{gm@HY2`ntN@HK)V?RYQPl?9b zz0P@&c;U^EzAx7RAD`UeuAxhohB7$nM9h<)hAv9C42eOgG!rjVAlXns^7W>|O0+hu zO46lDeu8O!wb29DaM^(}(9FJHUZV%6NL?9A(;Ek4)T8dO!e*AE2m5EmN%Qm>g)ee9 z4{Ovhx{@P(|L_3~e)I<&oNHFAjUK3swCt3l2brNw7U^1%q)Aru%Nigq=L3Zx=8jo5 zr#WZ9r|;!}!vSaWMR*z(q34{ZH+n!j!G6kTc-~RhO=tAL+L@jTYn>Wmq3B(uYQDfU zbo1qi((Av{l)i9{X)lr1rp;wIKiagX588Eo{~_58t?5*a8g-vZW0lL+!>+r_pd~Ed zV;YP@cHN@~?aWd4kpa^8&#&5N(?ztmW@%fS)-|*5*DZRH56YUbY5_7J*$v5xalTtF zkGdxb)7&QXeb!)1zRpfIlknlJyE8R5ra#$)bMjT5YHmJ^PyTL}?Lir+sVK*Cr_-i2 z>*pyon`uZEkUVO-%olDNy7_X1C;KT)=?nKzk85n#-%>=s~lzdHE_w56t?RT^)_jF~E-@>fip+gf>o_OQY-N+Bogp zx3q1XcK%t~HcqQnLF>w9X>)1tOnt&#YmoUdBi1Z!ZpYe;82W7*-e$yd-B8Kszgo!Y zAms>f8$HNn*w!B8(r9ZByu`J&2l+TsTYF&Ec3HN!S=!u^tjVjqTAS9bXj|o#+YOo7 z6XnS5>Zoh0ymGr?(&9dqrHy@IzNKhCe60feENR4XLi&LSI>6cr>eg4+!=N3n9Gr_`!9LTL+_Rm zdhSd4V-WhNJG!9RcRku==R$K3Z^I-eE}qNjEMZ#Ano%aURC7?@u52(o@T#RAq_%K+Z!M%AU{xO^x$j ziN@o+cOli=&#yA9rTxYh>1ND-X;v@|VBG{9O0Kajc{R^ry` zHXH0g&^2YayRUZ?DZQo8v1b?U)vu-U<$V5J@Y!#WE?GxCD?Pk@U!~-0xr_{>{@lMn z=9d1{QenDkxjgFZ8{&p^9c71{;itO6x^8|(GwQxl(EMb8bYneKf6AxpzEIHES|jK* ztS1RgE@$6iyDJKQvwU$qZ8D(}l117f89Q z1vW8;wsQ&>IQRW@(}fRy8Xu$@Iis2UK%tRSI}{lIYgnHa+(6~?#R54k@qkW!kvq9B zX%*OOqUky~$N0Qn+&~~zK1e>NS-!a(IZszNN?*hmlsMO9xGea$ead%vee^^h1-zd3=`F?%*t;DWw*&hee%hKaI~@ ztzZbO$OT0V<VCMnHi+Wa2Jy9m@~3v@nOGbq&cTZ#Z$5+ z^~&e3>zN;m&yVG^;)W^BiwZs$6k)AwX+$frokTbyYv0e(VCgzV;v8wVE$Wmn6}#`x zDE36WprHB4V2@$feOry&I0`DmVC4m$`GH> zjJo&AzhdTV7uiaYBVG5sbmEr#I=Jxlk`<;=D^5O~1Z6*!#w~?>9$%#NzJ+{FNyw^^ zPubS0YfGNet~<1--~V@o<)DSk9XWShnWq;E9`#uo4g(7yUFv~mzC%Wz?5CVBFIswM zt+0}7A#-28>Dnr*B-$N7AZr_iC9h_ySB#-F_GU}weGsuhK&hb&Vv3ZgE%;St_^|hmfXsi^$ zu*EpvPiP`STQoD1j%tkMjej=jhS39%O>%izTHzv2Debop!1~ zUhlM11+@P_8Jxf8x7fD{D0Q0Bx%Nc^s~NoS@K|dW2&8?zTZ=KP`xj%3%l@8%aqjyq z2EAKjpExy7~?nIvZxieo+LEl}Ls^mG? zb<@e6xpqdqrGUF?-I`o>=34H|pRyC%Y@5laBTVyD>rRzn^hi@$wz-x&Kgx!wH5G5L zq0&iddO}glJhG@AkVLEHC!5>E9R|@2H%9$ z_s<;AjJhKRh^zoEtYIltINDEBQO_M{EQX_Q$fxhWBf|hOUFL(k#`)0$$&b31$=Y<> z`Dy60i}O|zx^gi-&$HJF=Z_b8`i6q$hXu`C^R-zqYko)}!=sB-{Gdpwd7RPg`GRc4cQ}`dV|!={vPRj{j9N z7Zzh^A1S_PnR!Yh6)!Eu;!2v??Sb637&$qi7+v{nF$((g!k5K|F*{N!^XM`H!NC*Ty4XN;Pk$*}7_ ztibpmKJsOzsQ#qld zpvl-I$H1jG zIH%dD(3T|y4SN8L`?A8y7Hg%@Wzu}$tNg}xXGH(QJ$Arb?sP zmRuSg{4(5Y@Ny~mzb@R0b7=>d+dGOpVHi?IFV=1;$(!&i+0O{Wuv5VQa=A6 zuQ9*=Ptk5UK6!zz9>c!hp|HJ|7o1@e!y0}kxa-Pupv)I{Lht*x7wwiai*;aMO|_N9 zIcoq+_^egbr)3Z5sG`=}v1rLG%9y9zkysM4$NBOBpHYY8?Wex>D%vEA3(h5t@!($1 zot$FXG$$4Po!p;tF4yjt@wug_J=ZNt!E|WK&_Sa9)M*UWIRAVgd2`kKveI?;lzUJq z&2B~MzNVnD7QXwsu%OX!^5POM9;`R zoYg|l@#y={7wZP!WojvRO~q@Aw#1_fZFyiZ!tnEAyk+CTC{1g(n5b3GW!uKwxhoA% zF_%H?=QI&nUhS4Wi+X2xT1q!QQ6+}{y+T{eo%^!lT((=9ZBemN z$z|AVOD>HzPn6GPw|SymH}rXGq}i5SKFzk|(rC7&*_LIE4K~}7my}W(<=pzJwJo2{ zqNsV=cCzO=*mkn#(wJ*|yVjiDALVMyMMcTwOTG5fvi!PY#`#ahjN$&~MegeBzY65+ zS#f?_G4nW`_VCh@U>b)75SmPPH0{%Po&+YYBDrrH2#t?9u4Wb_aq;N^!*6~ z8TP&9j?s*|I%nLCMrU1eM85KvO~hy_EHBKL(4>4fWLa@075w1S_hl*gt2$3;V zr^NW|k}Q7=E2p_5smS?s-R7db5wR~2dn!sfn?73%yKY}0&-v&#o8jR!Ur+g9NZ*so z(C|~q^POq?DY8jX=bC(=QyNNl^ffHq=Bjt~bwUyPOEWU1Ii^59km^%QMc2(W+fsh3 zyZ+5@OByM4eFoLEFWZh;+U0viMSfXWMl=1ggAenyBFj79M7N)&boO!1`P9n$!-}xb z=RBodXZmbu*SyGSYUO=pr-Jy9S|rx@Ly3*L@@%@-3tgUyt}E@~8-ym8p*`zebLVpz zsK}=aU+*uF`WL>9mGb$dy~=(1oX?t-ujLh=uM{cGqLWhbs0`9{omcq!Wr18=_}aIi znQM8myreoi{VC=A-a>{e`-|fi0nPX8vk&emGMz7%|NT0~#mdF=i{5DY-Sm$Ywyyjp zddZnD&0A<1!?|`c!^;M%i@V}0eOu`}p1#c2|Jlh1yd(mk^U>xx|atD!VxA@e{! zk1cZN#6Jvajg;@$<4 z0m;{0iqM~y5h)*0xn@v~wYHiA~35 zPG=9)0Y&Lv9m{FktC;IXS(;{twDVhXsg~!rtjxWN|CVK;)*$~NNbGmF^9u6(YUdT? z(kRzdwDSsb`7E#V3SOa1$N#HuIc@uMbKS_Ybea8~>Cm+GqPbMdHC?NA4_$e#$nrXC z@rYtntqg1UUCgdqzZn17rx@`l`7EzEFR$|<%QHXAI4`er=T@eimsgyZmz+!cQ%b(1 zS#fz$etEHsPZ|1c*`Sryp3g7N@+wnNBGdUw-`wBVcB*vpEo~}|a)q0@b61-4iyr-d zrd172Q~D~uSys~U6*K#3SZ^vuo2L^)zBLy448JVaRlY3tqhjp6)F?aS7w#Ivw^~3G zI?IoYX3ysPYA;oZbes3OQO9d-K z4A*phvOtbC0=X;A>k1nA`00Sp4~o&|Ul->D?k)rC##}jns3;e=7PC3)WJB%C$_wMl zefl&_sw%hXORSXh#f2vPxIoGojgrrFkdq3|$7bF%6|XL6%DLl56)Oo}l1&*ZpSR1+ zm@C41t%w{|*s+%t+FOo+7!U5sc{<44*VX$KW%&bJdCD}U_r7{4!)po~zTzyv>Zq$P zF6#IFw;xLNkjsWrT~y@7Nrle;zk+jlPM3$YY+Y?qRVk^4ugOxK`*wA8)YT@{ynL-W zZGfh$dA={Nmes+d8s`&=e!(#rk4ntwa83TF`dxMv~!-7{WM+E;j`jX!O8~W<2zOi z(OqMCoNGHW>NyzKOPB>P&ePkGF_V2{#8Rbddgx)93=JvwP4xZ8iqf4aUE*w#@UTuO ze63oWFdb`ic9sm4*rf$B9nCB?ye!@I-8vSWhQ2aO_lisV$}HV0E*0oArNm20z2xRO zSle}2mJ9YX+E4R+?vHe}v2M*V&9CJ(gV4qgbE&p|ZZ3_vHiIztM`a&vMpf=wPRn|E zW!6ozYd=q?-E#EEld37Lw^AVEdh+;AH-+h&*Noq~?%nDB9;xNl8q?u=qr5GZccp!b z-0`=Kpra0y!GtC92JL!ssXK2Ul#4qXy$JQ|<2!zMyQlndUr+kPgx8I^{j)rSQp>74 zZ@-g2rQz*?a&gzoeBMp?fHa>?LQNB_l(n+5vTTA`q=P2*4CIT+PRXQrvX|t{os*T5 zp`*;oJxg5N%FV>*hQic+^37_(o~vQbKDP7Sr6otuyhp zN75*2ztN<2oT}b5KF=Lu9s2-`W=;mq9O(wiowZ zMIF?Y&E%Zrv5*z-G9>rwOG zOKJw{SZY<3DJXL@pet)sh5F`RQX7#bMlyZ8XvK_V5)RjGa%rf%>5sS|gI>n3kb!L2 zmG~ISEELar4j@ll{Gffw_{q>?#3#=ij8O~oR(Ys}3FT9h3{D6#U<}X4WM49N>$=54 zukpg?Mww4d8{xT6Xda)@xP&9k(-RFj?=;&_VgR4((#YP;f#zWo8l-o=@PT&YF%3^# z{E*XhMu~Qx@Wbc&DPEtL@FRU2h!giq1@%-vLlBpjjxFj4sHbS_F%5pX^f%i#;zyeA zDsd!rv~68u?s(hw9x0XHG9@&|<}EZYxp%zvW}$f+qij(f0Ssa6SKc0TGbch^{^C%Y62)J z{=o|3dsX5qN0g?12BFm@d0nFQ@&fHA68$05;80I-Jui-2L3}v`0jb8^6kwd{?@dwx z4Lua@nip0gzbp?mn~5|YB${d5-5!d=O6P8RL~Ps|O%?b1rnvJx9*_Iyi(H4}i{x_2 z@I>mrmT0e^ND*}7M7vP#>PzFKGVxj+^sq$n#lV5mgigkG!TS^KmnXD2-=oFVLwl*v zn5&}1j1|CE_N(Hc;`!v^{XDJ32UtO>4P zkPF`DjPK^>HNpW`Mtr=s*h`+Fm1C-t&4r#>LeQJWC{J8JlRrg{d+_7EQKIhgw-_HX-k=HJi|ELbD0;*CtT!k1v`@;}#KbdyX4R z)~-4ywUy|tdb?_&5JJm}G;deEDbd2tQI55OmazwKZ_pB4*lj0F zK3gU}S5D*vt*y*=&NlGl1DybIB zCQH+frk6G^+DcEeL|DdVFI(NyYRFbYwi;5~oz#o1ec9UT-j|vCH6%K_kzCyWG9Cw@ zH1l>B`QyI!q{qeEx$?$+kzATi-WDg6)li=L8&ZFYm1K^tFBH_9zkqbyr=t#8Bs7G~ z`WZ)eOcZixb3&o9RWClv3`&m}zP_3U^qz8YCzk$nMM54Q(6fXCbPo?GFQBX#at^Ep z0rhqCys_@Aq-C4|^h<%m9s+?Pb?4ipu2K=fR3y3lr0%Qj$b;KbXm<@cbjNl5F~S#} z{KkZ@wU^Mzrt6x=&B8QYr##FryWT9!s;Ety)SJ|)W7mY1W7GAf>+@OHN!y0fa;62% zx?t@kf&M0;z9S3tLkZms(n-&9F3mF1%CYkYrQ4Uy$IhP>F7&6k{#7n5pS+QB#d#y` z{-$pHlZ&^dx#H)I=?$e1jULo@#n5u}9A8)H@W(C(ebNw=gX;|F2ZRQ`a?5CmAFj;z zJBMEHMQS%ru?wexd{d4^FT3dHH7w0=ff#laUMErKr0C9o>8EGe_aeju|}f zG~obxbwWQYemq6CF5=XH9w791{!Bnw%>nx9fIdHMsf!&j3Z@pVf5fWB30sjd!tmO z;>J0jirZ^3k%}cL6_h#Gxlf2oX@8(cKX;bPX)hI@pzOm_ls9(Bz#)!LLIa8)r`K0#4!yy&*++=*&(?-r99n$FNCj|k0PC)B<@ruOl zk~%W_611y;3T6mAj_`T_kPTenok^HKpzQlN5tKQZ7>b zoq)2R8dvhfIam(iq9vd5euDgY4HMm@u!(e`;!-!`dw+4{nYe511OL?{?zV51`p@Hz z3@t@2HL2LgIyD`+o84Gn_&Nu)(xm3noUPpNljOcoLh!gBl*02FAVNfExRZMxAJ%(D zl$@j01uD0!M45)}EiTT1P|&(q)6m+1LtH`m)P#B*2#t0U9O}KUhlq>vg7#hM`70r2 z#@AB}Eh{~j&DUGz#O8H!abJ~lbP{}v145ABs?H16>Jo=VL}pS#7K=uK`ph$LXD4*- z(#E~;)0t@`U0gR|_Qz@FZJ}I>^Y(woZG$GN8Ytg z%KaWyb)}zz-`6D9caQyo;Fo@>?*%_r5DgzUU2$!c*e}gX+(;O4KUD5&J3)UW7w8s( zI_C^D zSl8hj{BgsYbJur6k-GadlzJ5usd#+W&Qj!POA(T4d4v>7O=?=#%2Bg*Zj+n!wmPO+ zhGrR>WoVY6wHdV4Zg#P?z0rtf8JcBimSN4Z4CMFtVo+i{{=ge+BD^f{?JgU`kP(+O zBEd=l9W%n&#tMC12q^19xcl5CZwus)`#!?K$25*ee097E%gkkCL|AC2EklErQyAc@ zvvWLgK|4QF#u%EX?0$$~oMKX~CsLRUHpVH9LdlMz<(7j_2)XjlEeA!)Gttd8B?ntN z=U0hr`PuT5x@C2#NvIl$ubsuu3D902vilU*D+0##oOZ(`t>m_2-k*kc}={F zyeW=btckZ6yAdC*lcZS#4f9pnmI7U!XLo*;QsncDr0Aw``gE?+TXEWgl>N>^;ppAv z8JYYcNcaLlT*9?EH~esU`<;-VmO^GPu}gTHMEj$07|?Om(QOm0>jAW^NVc5wxKEvP zzn_GLPdm-)Mbc_g4xk^DKkhFG=w}lecgsF7p}S9LX)QlMLO}-Jjs^wc+EgwL4b;}V zoji@Viws)a0WY0ovr#UXOn zTKmT&(b|V5iPnBLNwofjNuu??OcJgCWs+$9(|WtI$;bO1t$*73Uu}F$%R?JKG|Slf zUnYsU+W(@=GFQa?&*Rk+#F)3c${%;x#+A(mj1qd6$v8SRe@TAU3FtZUhAVAKpHJW% zOi(1^=*bCXWxS&oBy|4?NpcP>B=75xz>{ll(UHx0cBqosIO)u{Wr+Pon5j@%iEX5C-;K^$2@sE zA)zh@&{Gn+P@bKZ-D9}kAa_SM5d_rbz}wDM)aDt_2prhQj-MX})Mlt6U)^!ub&&&U zf`gwa!<(Ifi}rbZoAzm&vuU5|%!<~&+dJC^P5pDy-?~MNw$FASFK^rTgR1Rgt`iIM z3%RrmVY&8_l8XKDve|5(TbR1-+g{{FUM~(-?hy%Xwy)K^Xvh4jd7JH1tFWfG`&NzZ zYueYe51ZPwPg@@KK5uLHsdQFU&D*rEX`dLORW#z#w6AGj(>_`OYlnV!8*x#KG@nPC zSDx)-^lL-WFGkAZ?f}+=fwG4}B@QiTy@N7-?Pp_iSNa#s{_E`z#JYVGtzkifJ?q|#8=t7|>QAYMb&j_@fUJy~z zX5WH1brKrr6Xk-InMF_A-z0QfoW^N~_J^tL##HQ^XdfDAFH5xX%M({7H1fSZp`QzJ zK<{CxyX^>{%jOP06=swpTPU{E&u5l#Df0{T z5V_#s5=3u*D;KWRGwKe_P4cJV@wP}VcVma1zo~A*f$PswDDd&I5X(ao{c+sq>EtF>4qBgmvUqZLIq+te=Ozee>2SY3#B7sixs$}z}Mx1o|VwO0-DX-A)&p5f$iFzp+Y20KP*UkS7aB@VF;u+Y3` zOdRf>Xcx)d<8Wq***+7LQ0S|2KOmsIfa;H@{PNNQYLfixB)-IfUOBRz$*Ai6gx=%( ztHgm;p`$m zPPtC5sP(9GS07fc9O#82b#DdnM%#$HTYKJ4PN=tyK&dymN2~F*gf_k^otemi9ROYe z^ozPHTE5h6j7Q%r%}jE0d->yj^f({Qm0mvRF>-N#kGyLS55HF?*Q1i_F~ODI9)6Cj zy3((~^<7ohA12qgC)f7{&etZ_cO+Nh?6IOX0_Rr-R}kX7NL>BvUBpdOhTFZv8!~XW zb;8@);cdbAjWz^aXiwqy&~ZErJx&nN!v)p6hW6NmK0lyWCUon7UX#$x#wfht@Dq9C ze!N_yaq;+WuJ4uH#q|rx^>n$rq#l?2LXF?ka2&@HKYI^czY$y?m0TGQ!Y?}D>0-VH z9@sXO25;NS#r=!oiXUR*Un)bla@X_3RXHNVHzz%1=Gxoh_YoI3`6xKF>m~G7p;UKh zs97{z-uMEhyW#7OzcEJWF}_O{V?PbC#aQJ$TZuOrH$A54M*V48(X^sz1rlg=Ua1Go zRy3_>TH!6z);^}4jz%=CXj(BHJ*d?n=8ttKDn*d%Qm>D>Az$yscmb}UPHSUvKH~t5 zp^^4(i36h>&Vd;c{P?^HZwus)JN4-cGcIsoMUOu5wyiMN{y%R`+A6OVC2jcnn8`Ec&2!>x zGGLrTJdo%9_L=b;7;cZ7LuG>TKaDLhJ2S;_{|QQ*U(w3MINzEDQvHnkr9rMX;|tjF>L=^W5M@GtA}ww+wu zublK2c(e68zS0-;;fZ!jxoe6+uMwZzzZ*EPHWYL#xtx|hJt!+{9A$+LD1C8#;*Gwt z&x(IL?X6?3eD#=l{zZzhjxdl5T#P=DI#vZJ0?I0EP|q7sRsev~B6V8UZ-cUe(^2Yv zP)f6-gaR5zEGVy_gzEA>OAzIO8{xa#@_d6A7ppA@iMEXAD7#}oe>{$x(QcK!XH-+q z8#Vf)iHLv#fvqncM_0JKqPdDh2BFAgx(>clTZTa zjsN#ucdh&Je#o3MlQVOYb7r2s_w&qTptvX}^y&lV-xti^@orW`?PVDtCfB0_RR=Ht zjV4|Gi!A2%8v(!bE*7=*F@_flE3_1@i&oZbDBVs4fO+H^K*-6Aa>D2^i-y`1F{cme z4MJZxFrpKFN+^kqwY3$r9Uni*CrNpUj&w1$o@@h<}tkCGWoL0~fRk$vZ-U zfi`Gj9%dY`?1l5Id}cW`$ps=l*~ z{Lfp_Id^=I6=lpM%;%}F%Su8IzDd3{fV`9ITfsE*g3x#-BA!Oe?c69^QGIdMeOHZ& zL@bb_#Mv3JZa0A0iPQwb6KJ&$86jO%ju;jj-%1MN)50{5E!o3(y2L!3OAzOeJyD^8 zF#XTYbaeQ&7)CXXSm(YVAJtVk%RC?*ypX$xU68D~Ei~9F#lY@4S!;v!+^Q=ipOMWt z!5_Ll$VnodD6uJPL!hhgDku#;j06QFj1de5%H>{rT5$Y*CXQB*q@}v2fE+^QxNbiM z!N&K}4W9Baum#rnJ$;1oEoJB5{2&W)=EED4HF$|EK8C{GU9FnZbtk`=N}JGEmAZ?J zNG#RSzSGKBYV0G5q7?eLf-E-DEa>i|8Yo-=oB#ubvhvA!*8r<|xD>Iny3J)D_Ct)m z@rK0ImTB%Va!>u3W_Qj&p;*Y9JIBRK;n` z`nIr|&}Je)2tUm+2$H!#?NA7JON*3cyo&5457u$Eu9=}upL{|2VQ`NT%<)b$#hMQ~ z<>wXlSK2dqcyRO2^LmCM<&Sa6o1-X#bZr?CwLy4EKcp=A??M@MLl1Vi1Am5zhCY3qhFWUiE3Ye>~?uM_)v+RfM)!@YLPV?d-f)MAq5V{*b|G|K(jO%cFATp1hwGq?O%AB~W1SRx zjEhi;sHZxTw=nuV7&Tsg$!a;?cY5^Y`6^8V&2QCu0_widExjgd=Y}BznJlN&fsMw{ zcEwRnE>#D(@S8%qEf~$!H0Aq^^rN0Dp%zKg@50P%dz=3yt@h7G#S~DCzcW%jAf0o@bfBr+r=MYsO z@IbXO0NSqXGc60v$R)^zRN-1T2^tBP#8A=dVw>vZ}SwItWK zTyod4x8S~q^xda1z<9?fHJz?SUcAIVLpLBlX8$!!qL_jrjEfF1rN{3$;rBxS`QS)E zv?!}sF3+~_h9|mGwU#pM_$zzo5*}?PPQpl zyktvA&R2O?C7+O>qF*c_IZM&FbcezW?W&_RgO!L3Ymwle^>ZnKk;C)t9H(i$5Bp}V z2J{8OdDq_s=*(ij+LE6aWUk>#D497lusbhDzfpi)R3E=jvQ0D4iYm@qqk+hK+)R|J zMb*$o*IhkAJQ_dF?V2=5Y0bd zKVPS6yDLelYH#9u57R(H$hl@|$_8v(=0<*hAZQfXU8%rNpe#poLK}-WHX~0|R-$ku zOSrG5d+|KVd;S(kMt3WjWD|QBnOVTCEB=}uMF1=fiEZ@;l}^I(lTGj_%P459Hw`i4zL0gwiH~gU2_!0biT7 z;%n=f*p7?VKWTyXHg1KK^i5uonxOayMi93fallW@>DVmT&mcx+(fsyrJQAlXfAY2B zj+;=*A}|oFn=B0zeWBA&Ra`s#ON;iT$B^bt9OFR@jqv(P+Ovx<5mWGM+@0tii=~6Q znDFovX@liGzJBB?7#%SJ-RLG}3%=*)7&uwwozT({G9DqO zZT3Qd@}3HZfwrorH?~${l$D@}hJ*2yDqql%O&u{JEJ*iCZ%mmh9mu*Z&Kwi$|k6#`G;t;k;6t! z&r6%d%uB`^KPSYkANzVW`|ws|6Bg}cmt0AdLZ808vd#bPSo-0>p?Sl#E^Z7e6nNVNbqqhen?l zFXGbV{tZx1YHEY^B4i zd-}>u2;FUfG}-b`qQw6$ZOt?GZ&ej14626s0r#^}x#X>xw^ZZl{OLFOFiI40geaEy zErjdeHqS*GEiK#Z5?vP+;Y3Tfd%xL3mF_e@Nx8{pHk}Pn^gvn0**HO+>Xf>mypU$q z*Bm|%B(WjMqN~f$iR2_U;p2}<;^UONa56{r>v%L-yS}PJoba8$?4bQzkc(S0C7QAH z;CT?hqqX=OsI}NP>!P*({?`7CCfcR8#Z**pE1XP83Z|b2P1}3t8tClqm^*KHF^v@x z)_@Nig?&94j*6XxvUmh)G<<0YdZ}HVxFxKC__D2s;DN)dF)LxWNe4-;v3)Q?{Y&ld zwU7*RyV~s}tsY?yT(R4^igw20nV#Ezc|n7G)uhF7nEQda7>7ASz6^K6cd-wBR|YL} zzo!Scd047AYr!)B5JXlO zej9YJFc2?8 zk`WbAG(PN=8&lMt#I_qiHZM8)jpdgM$p!~w`5mKCSb#ksL2Yfo_KED*(%ohOHzT!dSde^^Of2h%Qlq(PHR1!2WSa?pLz*zcCaNwA6jm0)A2mElDJFjkA2@ zSwztt=mK_VRJW^3?!F4BUHGPO4oz#UwcY@h!k}q$DHDy-m$+^l$EUa0 z-UPjCQIwSAnrO&Ifz%=vv_;AqHpOH`exNW5k-YW15_s5lcFi?cN8@$WPIfQxD_Y_ISIdC;Y+Rmqsp!xvu4A;6>(Sl$0WC#pY!Q}3md8~}zDONTk8cW6(E6)Jh& z8;Cr=YrD2&HhHKpaxhr6@D_=!1iu3Q$U-?&KU>|OKjQE`sko7A% zdZZw7FU6qHg~G9v4)c)>KC+}TV9kM09;eshOoPERNj8#EBtkSLJ|yf%s0|Wwz5VF- zCSPhOD|wRIe+>YPD71_h1EyEvLt(e)!6(Vb>!B)VqSp~5Ib=Vg;4{jZa$>lwysW6E zBJab7k1XFIJToJj91gcQI5)Td5p6w}d7W>wEm*adZi|H`kwkh?@v+NNuh1;MaD$x> zN=r(M?zU36R!J6Rn1kZgK=DQ(=WA(-5lmyJS-Ux?=0Jz07!eu-FXS$DZbw0^|JTQy z)IzjkkNyH|vJ6D)P}4hL9d=VDvz-z@V2?^&W>V2Abec#UDrJ^$=sur<4Rg*Ubc&Z^*#ikPFFHJp% zzLqgtY3;$akH4Gq!L%t{FMjWH9PP@iu+uZpl;<-~xdPx0tZ^~cXn2X0mmu1zbtKOl z+8+LSZP3!!E9~|pa*8#(QW)(Dm`s@#uRWE=?grpitLKot=f6rtJ{={Mlx$)f-@I0J zuIuLt_ zQOE+R7(HCHh}6LyKO}7lDgY19V!O&89(e8bS79H@d89?hhz|uyOlDYJr1YwMszry& zbA~V>c5H~D&Z-PV_S^L6p~PCxusqXM1RKN^o5mW;<#&`bYXkPkUYJW}Dr+3cw3Rk>+frVM9yVO(He)?wqJYDHT>wDc7 zP1x7rzIQydVvxD-f0XFMQ3MWs!M-^i;mKv>7k?Vr0oFtkOh3&^_>OCt3bE!5KLd)%?BYM0izKLhX?Phb*|~Gj*~DpS zbCE;`pw|YN2Q0U^q+EIf|JJavZBl-2Os2$anw_im1ZYETWMFl|&b!FzhYeFzPkL9} zb|=6g--cy;pIC7;V6@!Rl1gMP9|@9$yWS+el6zz<=Bj&%(T|0Di7|byE-&_&HudNu{hL^E#2EMZwk0@ZS*oo*pwm;p!&a#KgMk zZL-21bgpc~zvS(+^pnVsg0K71rzxXS$>EdlZS8=^lr7B{O*wBbKr6u9j`Ojjp9EAy z4nTwkHbWl`Cl`J+@0}Gp*mxaKqY1E&^Z4_YS3Ew{Y?!qXV;EZ?ro0^)8Hqv%u z85kU)rsOegx*0Jqyz2JYmJh|5ec7&gDGNdKN`{*Z$WE+&-RNZvi4JYw;DPiP@N9W# zrdUy(i)e0rU}6rJ;YJ?uKfP5$U3xJ>v8%=ib^yv~@#p15!_wq5b}eq`s$1;`S+$tY z`xaz;EY123O^6CagBzaoN~TA8t67=x;Cn}Y zWU%)8>!Iy$o`*F*8TDO2K%CZ#Y(HQTbqHuYXwko)N=gLbqhl5!h9yu*UN*PYjQ?=?1K9Ke zvFy)8F9IH72T-3puyGeB8=!Fvq_W9yN7CL0;>b>1>Hx;-k2VC zHLFk*)Z83bagu&_d&HGB#V6Q}$8>$k^xjob#>O5?Ueiec)nvV?y$StA$q~z@mAlB_ zPJH@L-9(S4;+pyX@ zHmQ>b7WyQNT0MvJ=v3Jte-wgyPDPe%0 zUIiD6cDHjb_I!-@dzE$r0~TOWmEYS}b7(&Vzbgn#9v>tAVyOB6xUyEw4KG)(1ZlXetyXQ>%!wGd!)Km1g)X4WZu zo-npXB!dZEi5J}<7Oa7FmfUOhYl)7EGN%^{OK9t4&2Y`nJ#mxPXG-EN$XYB3NQPS^ zepn1Lxyr$f_DK;-Y9V&HuRkv5RGlaKHX0rWaSt1slJF*2|HbX%x!^p9YWKv-^TcHl z&G1EA-O-^w!lViMDL492WlhsfYlr40Ui7;P;+3{<*mK{+@E}$*|KqbYVn9pC-a8;d zDM(48nNz}BNuilj$-Di2GxAYOxQfAAn5%c#-=9+5{lBGth?%m~v~-)-wM8;#<60if z{X>K#&E@l(=PrE1Vy0zxGL@sl~5=kw{$dugRlc*>@7n%Hrn@$~tM>7nqOf zEh6;cTngSwPn-8LtkH~V_yQK|Iwx;wbe1hG+S)p)0C604dxp=a7=S2`+UCdbD(agH`^^ z3=RqVZN!StAgkLZf0e+}k{kJfBijWU7_#|{w%u>(9wj@je0TJZF%YeXAiVt9CwGhC z>>JzAD1njK6Cu8e+w)Vh)f%qI{%uNk+#1{Y(rP)K>`PI~kkM50zE|uno5VpF{XADM$WaPL6KA*1sbD zIsd+yiy{8O50lKnB#s6{fpj%?eL~%{?h7aJy%~4c`ih}a@OgHwbh=Sk#ibA52R6Hf z{(HOzmcw&}f|=ugp1`WV_)G-8o77)ueTecDom*<-ap&_(m9F}s3w-y)5mf!hpT4>* za(|tyq20%d3dv#_<^@nF$`@5oS`M)Q%2iPN`?chqSi&BUJEgo?FBwx=PlG<-7TG!pO*y? zb^UZyDHHWZ609VD1CZrH3Ga!t&?;*nu!Xm>jkP@XX*PpO$qj~Q46G^SGh5B3N`gaU zZ@ZApeI73rT!4GnMuTk-`dsUk1&BclX4tPppT@D`txM`3w?@c`OEI<_23k^p3`+m{ zm_L$G2F)!KvUzEkIc4(96wQ?nFwrYP^yGtVvy>{=AY0d&CCGLI)*#MR;^5OP+4;Kc zv0BHUw*opyF(;>%xl%?*KGPz2u*hHNP5`|jvQd!Fa)jLo6jOQlJnCRI$V2I3Euv% zRoic>ICwZ7VO(|**J`bxVW5?-vZ$1B>pqiB;6jvrI-pBBl9Vdlhw)M2sgODkk+NhNrCZ zxWdYuV_H$eDu+|jN@-I;MnhhtMP)nO1CP)btnmFWyA#tv#P|3cUnTJs?fF`{oRTNy zTdR>{fY?TCglk!-``1amN(PSw&2`iisRm4rQhGGJp}N+*a-?D? ze8`q`msnEceL)SWB-(;>SyP1yfaUK0Iq}Oht;yuJ{EE?H{k(kOs{9(`SSlFG`cRIU}UIB^)U#>HRa=FMTUtlddWWcJykMDcHVFDfBo#*#?Q=gd*EMY)X5cXx|NcQ2l})&dJuCe`qD|4)IH{j zJ@1RNQ?3*J`AqxO$+C<}@m{{{g!YdA&M*i1RK- z$QaiF&L}M*sCm;Qo&nZFZ-Zu-UIBsyzWE` zD3SWUbJorLlxK8GE>!NILH4WmtlDbm=j;zBEkBo`oiLGnFhH3ka%U#WP8i?z>-9x) zLUvzERLIn(2{7L8PMCiL4ejW6Da=IOl^C{&JSmPmIf&t8HPEAp36%K5>vLusr;CI`H+Se z)!%pTf<*NZd6jFSa%9)OW%s@=`+54UGl-YiX0zlynFer5X>2X^WKwODe{Y(pr*y{< z4ey+4XdRB+4{V*t{383cXvA=QBAE)0N>gK}qR-@}uW$O1H$|mAEO#WEWmAir+3{$w zxJWk^(UF%J#exU1j|)PGABZ8f^|zYWZICd(U$v!z-B^ zKEkbDGnAD5cI^e*oGk+{f;64D=(d(=5(9gV&Ws~ZW@4o=5^g4gFrdVwD5nH! z-0TKr27=K6{k8eCq0Zut;(V88qukcp&a!a`m2I4?*3;{0e_+LHtXoPHU}M!fCcSt% z(*G6_E(uGcENfSUEvB~>x80y3fxIm>Ch&7$lRkgYrnlqD#V&B*Jof+&F@4I@$_W`D zU*z~VLNwknOP(=#>_j-Ty?ch~T?is4eq_cxCtFVw8#}p**vmtKLz`}!C1dTL?eoXN+?A6kbtb}M_nKgUvTh^l=VGH6nOPIG{QJ`Kpd zdZ!X}W2=Ow3oI*~aSKBrCtk#~Dm{`EdVpAK@B^x;)U744*&U4-785Wu$b@$tk%2Me zoaqI2yfr+;j0nrPO$av^%i6&aHzX6n%$1F5x`sEQNgQiYhUHRXaEz@1z*^lcHFB_n zs?3e@^~3(pt=oDw#_dyT#a~rH6FPVqt$F|a@Xv0Ano9rt%r)%IW7S04pKQJYrLE~3 z-Mw8h1yM__?H9EqPhG?EF3z}BT3ncG{|zj0?Q?hioIPPt$DCu6OnAQ@DkLn3W*f4R zyYw2PCf@T5+KPUqefbQqvqnp?(+1?sFWgmt7F<-qS7c?5?pzZijS-@P z3@ThAnd9N2F#IZ@B$;}*n4AcYAGb^?X&RB6aA4ta5i5R*J1jZXBr!GB zj%w_)F8jF!UMx?OazY(I!i)a-AJ;E0dz;Kfrtd}Vb*6N=q(Kd<;O^pf8?AooMUKqB z4At*0-O`RvKQFH+og7upnkY7L(xF1uAy8fv`SfrJ2hV6D5(b#K$%FcYS@HKUA9&`LbY6WQ^lKEv<&4L*v!6bZ43I@z$1rz{K zwcL0wa&V|>wwgD6p>Fx+r0#InJ|KqL<|NU(t9sgMTcDx$QsU z0C+Ji6I;)<#}%ll9>BJm95r|cB|^_1OsiER4yPo?kTU zUt>7gLF*QwqX$vcamW8Q14>$ z*#Mxpc<{lmNQ=iBR@r71P2#n_uhgl8gI!T6qCEJY5#eGm(&YwCGr@mYA1{L9+jyW# zy2Cw~!jq@`rhP6h15q_{n<(w0p7o;1W6~(NVU^uOE~JyOl+?6aELCK8^RLNFV^hGP zGJ|Hqi^r$gctU73d0~Q^_vsrZ8g8!2?5PHhRU^ae?__P-8Cte1{K6f`~SlTN!!a_mo>VPyN9Zc#0J zsraaQ(T&RE<}*hYa(ugQ;{K6sD^j$Dlf&BLw)jSt^&+eFyDV!`gg|G%oL#E*qQ$zq zL*oY9)ju3*xPIBTMkH3^xKeOcRW_$Vk9U8K7 zDHXgIGTybwckfW|tv4WXq~Pbs>a`kY+6~4zhb6G5ci7-hDXnGFlytOFi}TlN4Aqlg z)J&Nv^PJg8dMCCZy_eY5+crrE5RLEqtt1-b=HqlFnxZJ)Ofm{`ac$96UD@A%drfq~ zaM{0VOL{L0?pO;`50P5vDhH|&OBQP1APy~|eLtP~=CfI!>TXM!>E6ymRb8dvOpmJF zIDlR{TWV`F4G9FFW=!wj^P?R$V&htmbus7F=}!~FM|1D1)U@j>J(gjKR9pG$i)-IPu;A6U}qX27#<9A=*HTj_U%q2W8Fgl?=)+5*UR8Cq~=& z@Qo1f?VuRg=2+CO49(?E z%eG0b9ip5x_OXG^`)kpgQDZz9J#3Jfl%%)?OsjterR6|;JcX+Q78~k-%;vVaKv9n) zN%xx&^zwiQGiPm&CG~id9mD(z*Rx2}5;_a+MW$m@;wF zz0z_-TBD?O+#UPUv7GeeDwsGBwPg~eLJPcYm-(*Mk|Whp*mc-bOW%|>1<`F!so zjDuYkkYRALC~tRCt7?_(WmDXEJ>|Q!<~L4Ej~ZwsR~#`!vAEBR45+B59VbnF5TuAE zBwP*Y4HPhfxL8y#!fzzn1nCVVDfT)QIR{kTR;w4cYvLg=YbZ&uo9G`VJ@6>S5yosp zEewlw_==^(ZE6Q(GCb>f4C#%v+dYf1zDt8)BTa%vp2N@R3EwP8kG-H73CcJb$~#?P zgALKhR)2et8L!;IBq%d!=HESG%t!$^*DCDNRc9YSGgt#?jhlW1>{e+jbuVL?A+3aJ zh^gqY)%%y1PYx50+IeAvimjnDx$&D|&}hGKHWfryZLpfkDAU3w2rIRg{oqc#5ea;M zWn`ay!U9R3WsGlpBQpI`u!oRhg02V46~v}jHMwJj-#d*U+=mwmvUTC47@rdOW@x*+ zCzIA`a%xz4Qw=t-%+m!&{Hl3?R|Z~oPggo>QLOdsc0PmRaDY|!9RL{*epZYBRL9CS!z>_e+`xnqMli8 zT8*4t0p-KSOqiRyrqWN=|0vU++0XU8Fg1x!=k z)M6hiwVN9|fpf`CDzMlOJPCd+Z=qj@6FqN8x*6>KcleppcOQ|Pr~6cCeu=;zBwdUN z>;eqZ+9Gj*7M+3=tu{sLHRtO5DDNKq*kNvj2L*&A20kL!T42nva$IoN`Q_$fz%F`N zz)(&QLZ><>@9#4$zO^49qiOepI=PtpybP;{P-quiunE z8|L0@D)3$!oIPGg0M!RM*-+XsqFW+lJU@VsAZ9nniM&3Od}l~$m>2z46%%ohto`9( zz8*kC8zG{ps!+*>8DVPmxjr=3=&LqsyRtG?$LyB@EzW4%?%XLN>6SyMo3XX~EYFdP z)kUn_6KLsVDFGO=lodZ0L!@^i*~VA}Qx#F2^8Nn*u1rq%iT0d7C`>gf}z}m8{R3XG)1rdja1wC4!wtL#TTm(jkQ-w1EkzA z(3KEreBXe(#U_gxe!J_iqMV9x8FJa5GvSW*$A-0%U^gq^5;vB6f_%r?Vs6}h^|s~3dGKmm z3#Xj-)rV#*tI*-`a3oG9TF^}bN{q7hR+ekt6He)##Kw(BfdP|_sm39QMlSswMj#B`7ni){>3r2OekSSuo_TC50pSr zAKQ5fi44TW5JQz7i*@>`-a{$~-te6(>iVW7@9JrJ`Buw7y{ADWf zWB`lEXynp02(>Mco!FGX?hd?P(K!FTEVSGc=Jm4=$v5>W`hVnWKbE4*(UBan2*8-pnD2{qRR5>`Urrvm>BhO1nQHaEaL%G(SHdStI$ZJ47iCY!@^qN# zhwpb5`#)h)rnrpc5Pv@Pzb5S0iU0X(f_*)1j}m9F+>`yUq&D6$LE(W%vQt>{tV^Wm zqnq9z6-q=ieUwqn9h*zfLPFZKK4$O!vKOu_i>k9ZTR7zS?emVlxZ?mmP>pmxb$H+e z;id1P!Uxkh#&jD0+MHP;;-|={MNnB{Nrw!J&nfpp8>+J-3LpCn`gcSlohBMzJnv4$xT<#Ca}H* zCG_03w4tx-#8}7%%*P^(3nRo*&%#AIGw+$N3;-(1qO!$Mt=vBVAmKX!nx53TeKSgp zO(#h`O(Kh}+%6h#0fP^_vu0+lNsN}a{^`DI542Ue2^WD>=o@9l7EG>x4d{*M`XYb7 z+xJ4oCg|)w^J}Hbl>>ucZsHJ(Y_~NFNA(* z2ASV7G-6@n3C8Qb{(X^|S)pko8%ryV{e73|5igO<9JIpsq&W>r+gS5rB8JB8$obmT2UW!i- z?+(vPVszAB1|zJ_S7NJao9Dz`%LcBGoC!<_+)=$KI8uK1_U~!qFW$jikXtP1J8Q@2 z4_4bvue;Z?m&oFfOCRs|Yy8dh!!t;HI=)lJfV5HhaOQ@eW~Cso`2g+ z!nVJV>y%0SXExl}O=(i|7HI7-ruC~n$grHBN&gY~T? zygc2vv!trQ_nylrz52$ZSDQIA%M5NG`%9*;+v{fwaVcG$`9@L3gAjsh_ZmL;+c&&~ zbx=K=19;w3?(;1|=TtBwIX-P9U&)L(ZE@ZeIZ$$+d&wIZG4B7A;`SFR#|bytb=i>X z?!(q)Gu-n-gC7(IQRCQ?najJAZ%ut3@VVdV2eY-A#^K42tErYOk`GinJ%H|; zW#6Tcf+0KKig#G%iL&j#T}9Ywn+m-Fnt1}3=<3n065r~+@;`!D)~v~fcnk*Y%dOlB YsvZdYQ1dbIgPVOCOTDM)|DKlr2hL3S0RR91 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.db_info b/bsp4/Designflow/ppr/download/db/vga_pll.db_info new file mode 100644 index 0000000..2ddfe9b --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version +Version_Index = 167805952 +Creation_Time = Tue Nov 3 17:35:41 2009 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a488d531b73f122bc3b7546c2b067fb623a848fb GIT binary patch literal 161 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u vpll:inst1.inclk0 +reset => vga:inst.reset_pin +d_vsync <= vga:inst.d_vsync +d_set_column_counter <= vga:inst.d_set_column_counter +d_set_line_counter <= vga:inst.d_set_line_counter +d_set_hsync_counter <= vga:inst.d_set_hsync_counter +d_set_vsync_counter <= vga:inst.d_set_vsync_counter +d_r <= vga:inst.d_r +d_g <= vga:inst.d_g +d_b <= vga:inst.d_b +d_h_enable <= vga:inst.d_h_enable +d_v_enable <= vga:inst.d_v_enable +d_state_clk <= vga:inst.d_state_clk +d_toggle <= vga:inst.d_toggle +r0_pin <= vga:inst.r0_pin +r1_pin <= vga:inst.r1_pin +r2_pin <= vga:inst.r2_pin +g0_pin <= vga:inst.g0_pin +g1_pin <= vga:inst.g1_pin +g2_pin <= vga:inst.g2_pin +b0_pin <= vga:inst.b0_pin +b1_pin <= vga:inst.b1_pin +hsync_pin <= vga:inst.hsync_pin +vsync_pin <= vga:inst.vsync_pin +d_column_counter[0] <= vga:inst.d_column_counter[0] +d_column_counter[1] <= vga:inst.d_column_counter[1] +d_column_counter[2] <= vga:inst.d_column_counter[2] +d_column_counter[3] <= vga:inst.d_column_counter[3] +d_column_counter[4] <= vga:inst.d_column_counter[4] +d_column_counter[5] <= vga:inst.d_column_counter[5] +d_column_counter[6] <= vga:inst.d_column_counter[6] +d_column_counter[7] <= vga:inst.d_column_counter[7] +d_column_counter[8] <= vga:inst.d_column_counter[8] +d_column_counter[9] <= vga:inst.d_column_counter[9] +d_hsync_counter[0] <= vga:inst.d_hsync_counter[0] +d_hsync_counter[1] <= vga:inst.d_hsync_counter[1] +d_hsync_counter[2] <= vga:inst.d_hsync_counter[2] +d_hsync_counter[3] <= vga:inst.d_hsync_counter[3] +d_hsync_counter[4] <= vga:inst.d_hsync_counter[4] +d_hsync_counter[5] <= vga:inst.d_hsync_counter[5] +d_hsync_counter[6] <= vga:inst.d_hsync_counter[6] +d_hsync_counter[7] <= vga:inst.d_hsync_counter[7] +d_hsync_counter[8] <= vga:inst.d_hsync_counter[8] +d_hsync_counter[9] <= vga:inst.d_hsync_counter[9] +d_hsync_state[6] <= vga:inst.d_hsync_state[6] +d_hsync_state[5] <= vga:inst.d_hsync_state[5] +d_hsync_state[4] <= vga:inst.d_hsync_state[4] +d_hsync_state[3] <= vga:inst.d_hsync_state[3] +d_hsync_state[2] <= vga:inst.d_hsync_state[2] +d_hsync_state[1] <= vga:inst.d_hsync_state[1] +d_hsync_state[0] <= vga:inst.d_hsync_state[0] +d_line_counter[0] <= vga:inst.d_line_counter[0] +d_line_counter[1] <= vga:inst.d_line_counter[1] +d_line_counter[2] <= vga:inst.d_line_counter[2] +d_line_counter[3] <= vga:inst.d_line_counter[3] +d_line_counter[4] <= vga:inst.d_line_counter[4] +d_line_counter[5] <= vga:inst.d_line_counter[5] +d_line_counter[6] <= vga:inst.d_line_counter[6] +d_line_counter[7] <= vga:inst.d_line_counter[7] +d_line_counter[8] <= vga:inst.d_line_counter[8] +d_toggle_counter[0] <= vga:inst.d_toggle_counter[0] +d_toggle_counter[1] <= vga:inst.d_toggle_counter[1] +d_toggle_counter[2] <= vga:inst.d_toggle_counter[2] +d_toggle_counter[3] <= vga:inst.d_toggle_counter[3] +d_toggle_counter[4] <= vga:inst.d_toggle_counter[4] +d_toggle_counter[5] <= vga:inst.d_toggle_counter[5] +d_toggle_counter[6] <= vga:inst.d_toggle_counter[6] +d_toggle_counter[7] <= vga:inst.d_toggle_counter[7] +d_toggle_counter[8] <= vga:inst.d_toggle_counter[8] +d_toggle_counter[9] <= vga:inst.d_toggle_counter[9] +d_toggle_counter[10] <= vga:inst.d_toggle_counter[10] +d_toggle_counter[11] <= vga:inst.d_toggle_counter[11] +d_toggle_counter[12] <= vga:inst.d_toggle_counter[12] +d_toggle_counter[13] <= vga:inst.d_toggle_counter[13] +d_toggle_counter[14] <= vga:inst.d_toggle_counter[14] +d_toggle_counter[15] <= vga:inst.d_toggle_counter[15] +d_toggle_counter[16] <= vga:inst.d_toggle_counter[16] +d_toggle_counter[17] <= vga:inst.d_toggle_counter[17] +d_toggle_counter[18] <= vga:inst.d_toggle_counter[18] +d_toggle_counter[19] <= vga:inst.d_toggle_counter[19] +d_toggle_counter[20] <= vga:inst.d_toggle_counter[20] +d_toggle_counter[21] <= vga:inst.d_toggle_counter[21] +d_toggle_counter[22] <= vga:inst.d_toggle_counter[22] +d_toggle_counter[23] <= vga:inst.d_toggle_counter[23] +d_toggle_counter[24] <= vga:inst.d_toggle_counter[24] +d_vsync_counter[0] <= vga:inst.d_vsync_counter[0] +d_vsync_counter[1] <= vga:inst.d_vsync_counter[1] +d_vsync_counter[2] <= vga:inst.d_vsync_counter[2] +d_vsync_counter[3] <= vga:inst.d_vsync_counter[3] +d_vsync_counter[4] <= vga:inst.d_vsync_counter[4] +d_vsync_counter[5] <= vga:inst.d_vsync_counter[5] +d_vsync_counter[6] <= vga:inst.d_vsync_counter[6] +d_vsync_counter[7] <= vga:inst.d_vsync_counter[7] +d_vsync_counter[8] <= vga:inst.d_vsync_counter[8] +d_vsync_counter[9] <= vga:inst.d_vsync_counter[9] +d_vsync_state[6] <= vga:inst.d_vsync_state[6] +d_vsync_state[5] <= vga:inst.d_vsync_state[5] +d_vsync_state[4] <= vga:inst.d_vsync_state[4] +d_vsync_state[3] <= vga:inst.d_vsync_state[3] +d_vsync_state[2] <= vga:inst.d_vsync_state[2] +d_vsync_state[1] <= vga:inst.d_vsync_state[1] +d_vsync_state[0] <= vga:inst.d_vsync_state[0] +seven_seg_pin[0] <= vga:inst.seven_seg_pin[0] +seven_seg_pin[1] <= vga:inst.seven_seg_pin[1] +seven_seg_pin[2] <= vga:inst.seven_seg_pin[2] +seven_seg_pin[3] <= vga:inst.seven_seg_pin[3] +seven_seg_pin[4] <= vga:inst.seven_seg_pin[4] +seven_seg_pin[5] <= vga:inst.seven_seg_pin[5] +seven_seg_pin[6] <= vga:inst.seven_seg_pin[6] +seven_seg_pin[7] <= vga:inst.seven_seg_pin[7] +seven_seg_pin[8] <= vga:inst.seven_seg_pin[8] +seven_seg_pin[9] <= vga:inst.seven_seg_pin[9] +seven_seg_pin[10] <= vga:inst.seven_seg_pin[10] +seven_seg_pin[11] <= vga:inst.seven_seg_pin[11] +seven_seg_pin[12] <= vga:inst.seven_seg_pin[12] +seven_seg_pin[13] <= vga:inst.seven_seg_pin[13] + + +|vga_pll|vga:inst +clk_pin => clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO +d_toggle <= d_toggle_out.PADIO +d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO +d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO +d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO +d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO +d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO +d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO +d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO +d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO +d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO +d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO +d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO +d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO +d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO +d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO +d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO +d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO +d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO +d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO +d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO +d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO +d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO +d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO +d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO +d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO +d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO + + +|vga_pll|vga:inst|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga_pll|vga:inst|vga_control:vga_control_unit +column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAA +column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelto3.DATAC +column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelto3.DATAA +column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto6.DATAC +column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto3.DATAD +column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB +column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAB +column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA +column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelto3.DATAB +column_counter_sig_9 => DRAW_SQUARE_next_un9_v_enablelto9.DATAC +column_counter_sig_9 => b_next_0_g0_3_cZ.DATAD +column_counter_sig_8 => DRAW_SQUARE_next_un9_v_enablelto9.DATAB +column_counter_sig_8 => b_next_0_g0_3_cZ.DATAC +column_counter_sig_7 => DRAW_SQUARE_next_un5_v_enablelto7.DATAB +column_counter_sig_7 => DRAW_SQUARE_next_un9_v_enablelto9.DATAA +column_counter_sig_6 => DRAW_SQUARE_next_un5_v_enablelto7.DATAA +line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelt2.DATAC +line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelt2.DATAA +line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAA +line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelt2.DATAB +line_counter_sig_8 => DRAW_SQUARE_next_un13_v_enablelto8.DATAA +line_counter_sig_8 => b_next_0_g0_3_cZ.DATAA +line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto5.DATAC +line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAC +line_counter_sig_5 => DRAW_SQUARE_next_un17_v_enablelto5.DATAB +line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAD +line_counter_sig_4 => DRAW_SQUARE_next_un17_v_enablelto5.DATAA +line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAB +line_counter_sig_7 => DRAW_SQUARE_next_un17_v_enablelto7.DATAB +line_counter_sig_7 => DRAW_SQUARE_next_un13_v_enablelto8.DATAB +line_counter_sig_6 => DRAW_SQUARE_next_un17_v_enablelto7.DATAA +line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto8.DATAC +toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT +toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT +toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT +toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT +toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT +toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT +toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT +toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT +toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT +toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT +toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT +toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT +toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT +toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT +toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT +toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT +toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT +toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT +toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT +toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT +toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT +toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT +toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT +toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT +toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT +v_enable_sig => b_next_0_g0_3_cZ.DATAB +un10_column_counter_siglt6_1 => DRAW_SQUARE_next_un9_v_enablelto6.DATAD +h_enable_sig => b_next_0_g0_5_cZ.DATAA +g <= g_Z.REGOUT +r <= r_Z.REGOUT +b <= b_Z.REGOUT +toggle_sig <= toggle_sig_Z.REGOUT +un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR +un6_dly_counter_0_x => toggle_sig_Z.ACLR +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => toggle_counter_sig_24_.CLK +clk_pin_c => toggle_counter_sig_23_.CLK +clk_pin_c => toggle_counter_sig_22_.CLK +clk_pin_c => toggle_counter_sig_21_.CLK +clk_pin_c => toggle_counter_sig_20_.CLK +clk_pin_c => toggle_counter_sig_19_.CLK +clk_pin_c => toggle_counter_sig_18_.CLK +clk_pin_c => toggle_counter_sig_17_.CLK +clk_pin_c => toggle_counter_sig_16_.CLK +clk_pin_c => toggle_counter_sig_15_.CLK +clk_pin_c => toggle_counter_sig_14_.CLK +clk_pin_c => toggle_counter_sig_13_.CLK +clk_pin_c => toggle_counter_sig_12_.CLK +clk_pin_c => toggle_counter_sig_11_.CLK +clk_pin_c => toggle_counter_sig_10_.CLK +clk_pin_c => toggle_counter_sig_9_.CLK +clk_pin_c => toggle_counter_sig_8_.CLK +clk_pin_c => toggle_counter_sig_7_.CLK +clk_pin_c => toggle_counter_sig_6_.CLK +clk_pin_c => toggle_counter_sig_5_.CLK +clk_pin_c => toggle_counter_sig_4_.CLK +clk_pin_c => toggle_counter_sig_3_.CLK +clk_pin_c => toggle_counter_sig_2_.CLK +clk_pin_c => toggle_counter_sig_1_.CLK +clk_pin_c => toggle_counter_sig_0_.CLK +clk_pin_c => toggle_sig_Z.CLK +clk_pin_c => b_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => g_Z.CLK + + +|vga_pll|vpll:inst1 +inclk0 => altpll:altpll_component.inclk[0] +c0 <= altpll:altpll_component.clk[0] + + +|vga_pll|vpll:inst1|altpll:altpll_component +inclk[0] => pll.CLK +inclk[1] => ~NO_FANOUT~ +fbin => ~NO_FANOUT~ +pllena => ~NO_FANOUT~ +clkswitch => ~NO_FANOUT~ +areset => ~NO_FANOUT~ +pfdena => ~NO_FANOUT~ +clkena[0] => ~NO_FANOUT~ +clkena[1] => pll.ENA1 +clkena[2] => pll.ENA2 +clkena[3] => pll.ENA3 +clkena[4] => pll.ENA4 +clkena[5] => pll.ENA5 +extclkena[0] => pll.EXTCLKENA +extclkena[1] => pll.EXTCLKENA1 +extclkena[2] => pll.EXTCLKENA2 +extclkena[3] => pll.EXTCLKENA3 +scanclk => ~NO_FANOUT~ +scanclkena => ~NO_FANOUT~ +scanaclr => ~NO_FANOUT~ +scanread => ~NO_FANOUT~ +scanwrite => ~NO_FANOUT~ +scandata => ~NO_FANOUT~ +phasecounterselect[0] => ~NO_FANOUT~ +phasecounterselect[1] => ~NO_FANOUT~ +phasecounterselect[2] => ~NO_FANOUT~ +phasecounterselect[3] => ~NO_FANOUT~ +phaseupdown => ~NO_FANOUT~ +phasestep => ~NO_FANOUT~ +configupdate => ~NO_FANOUT~ +fbmimicbidir <= +clk[0] <= clk[0]~0.DB_MAX_OUTPUT_PORT_TYPE +clk[1] <= +clk[2] <= +clk[3] <= +clk[4] <= +clk[5] <= +extclk[0] <= +extclk[1] <= +extclk[2] <= +extclk[3] <= +clkbad[0] <= +clkbad[1] <= +enable1 <= +enable0 <= +activeclock <= +clkloss <= +locked <= +scandataout <= +scandone <= +sclkout0 <= +sclkout1 <= sclkout1~0.DB_MAX_OUTPUT_PORT_TYPE +phasedone <= +vcooverrange <= +vcounderrange <= +fbout <= + + diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.hif b/bsp4/Designflow/ppr/download/db/vga_pll.hif new file mode 100644 index 0000000..1250f4b --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.hif @@ -0,0 +1,1669 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +OFF +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga_pll +# storage +db|vga_pll.(0).cnf +db|vga_pll.(0).cnf +# case_insensitive +# source_file +..|..|src|vga_pll.bdf +99c3b73be69bba6a49dedfda59395ee +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga +# storage +db|vga_pll.(1).cnf +db|vga_pll.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +vga:inst +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga_pll.(2).cnf +db|vga_pll.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +vga:inst|vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga_pll.(3).cnf +db|vga_pll.(3).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +vga:inst|vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vpll +# storage +db|vga_pll.(4).cnf +db|vga_pll.(4).cnf +# logic_option { +AUTO_RAM_RECOGNITION +ON +} +# case_insensitive +# source_file +..|..|src|vpll.vhd +ccc2bcb05887d5721243fd22481948be +5 +# internal_option { +HDL_INITIAL_FANOUT_LIMIT +OFF +AUTO_RESOURCE_SHARING +OFF +AUTO_RAM_RECOGNITION +ON +AUTO_ROM_RECOGNITION +ON +} +# hierarchies { +vpll:inst1 +} +# lmf +|opt|quartus|quartus|lmf|maxplus2.lmf +9a59d39b0706640b4b2718e8a1ff1f +# macro_sequence + +# end +# entity +altpll +# storage +db|vga_pll.(5).cnf +db|vga_pll.(5).cnf +# case_insensitive +# source_file +|opt|quartus|quartus|libraries|megafunctions|altpll.tdf +d980162588d7aa8b78874932c782e18 +7 +# user_parameter { +OPERATION_MODE +NORMAL +PARAMETER_UNKNOWN +USR +PLL_TYPE +AUTO +PARAMETER_UNKNOWN +USR +QUALIFY_CONF_DONE +OFF +PARAMETER_UNKNOWN +DEF +COMPENSATE_CLOCK +CLK0 +PARAMETER_UNKNOWN +USR +SCAN_CHAIN +LONG +PARAMETER_UNKNOWN +DEF +PRIMARY_CLOCK +INCLK0 +PARAMETER_UNKNOWN +DEF +INCLK0_INPUT_FREQUENCY +30003 +PARAMETER_SIGNED_DEC +USR +INCLK1_INPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +GATE_LOCK_SIGNAL +NO +PARAMETER_UNKNOWN +USR +GATE_LOCK_COUNTER +0 +PARAMETER_UNKNOWN +DEF +LOCK_HIGH +1 +PARAMETER_UNKNOWN +DEF +LOCK_LOW +1 +PARAMETER_UNKNOWN +DEF +VALID_LOCK_MULTIPLIER +1 +PARAMETER_SIGNED_DEC +USR +INVALID_LOCK_MULTIPLIER +5 +PARAMETER_SIGNED_DEC +USR +SWITCH_OVER_ON_LOSSCLK +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_ON_GATED_LOCK +OFF +PARAMETER_UNKNOWN +DEF +ENABLE_SWITCH_OVER_COUNTER +OFF +PARAMETER_UNKNOWN +DEF +SKIP_VCO +OFF +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_COUNTER +0 +PARAMETER_UNKNOWN +DEF +SWITCH_OVER_TYPE +AUTO +PARAMETER_UNKNOWN +DEF +FEEDBACK_SOURCE +EXTCLK0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH +0 +PARAMETER_UNKNOWN +DEF +BANDWIDTH_TYPE +AUTO +PARAMETER_UNKNOWN +USR +SPREAD_FREQUENCY +0 +PARAMETER_SIGNED_DEC +USR +DOWN_SPREAD +0 +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_GATED_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +SELF_RESET_ON_LOSS_LOCK +OFF +PARAMETER_UNKNOWN +DEF +CLK9_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_MULTIPLY_BY +5435 +PARAMETER_SIGNED_DEC +USR +CLK9_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK8_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK7_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK6_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +CLK5_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK4_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +CLK0_DIVIDE_BY +6666 +PARAMETER_SIGNED_DEC +USR +CLK9_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK8_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK7_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK6_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK5_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK4_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +CLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +USR +CLK5_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK4_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +CLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +USR +CLK9_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK8_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK7_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK6_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK5_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK4_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +CLK0_DUTY_CYCLE +50 +PARAMETER_SIGNED_DEC +USR +CLK9_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_MODE +OFF +PARAMETER_UNKNOWN +DEF +CLK9_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK8_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK7_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK6_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK5_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK4_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK3_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK2_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK1_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +CLK0_USE_EVEN_COUNTER_VALUE +OFF +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI + 0.05 +PARAMETER_UNKNOWN +DEF +LOCK_WINDOW_UI_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_LOW_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +VCO_RANGE_DETECTOR_HIGH_BITS +UNUSED +PARAMETER_UNKNOWN +DEF +DPA_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +DPA_DIVIDER +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_MULTIPLY_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DIVIDE_BY +1 +PARAMETER_UNKNOWN +DEF +EXTCLK3_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK2_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK1_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +EXTCLK0_DUTY_CYCLE +50 +PARAMETER_UNKNOWN +DEF +VCO_MULTIPLY_BY +0 +PARAMETER_UNKNOWN +DEF +VCO_DIVIDE_BY +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT0_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +SCLKOUT1_PHASE_SHIFT +0 +PARAMETER_UNKNOWN +DEF +VCO_MIN +0 +PARAMETER_UNKNOWN +DEF +VCO_MAX +0 +PARAMETER_UNKNOWN +DEF +VCO_CENTER +0 +PARAMETER_UNKNOWN +DEF +PFD_MIN +0 +PARAMETER_UNKNOWN +DEF +PFD_MAX +0 +PARAMETER_UNKNOWN +DEF +M_INITIAL +0 +PARAMETER_UNKNOWN +DEF +M +0 +PARAMETER_UNKNOWN +DEF +N +1 +PARAMETER_UNKNOWN +DEF +M2 +1 +PARAMETER_UNKNOWN +DEF +N2 +1 +PARAMETER_UNKNOWN +DEF +SS +1 +PARAMETER_UNKNOWN +DEF +C0_HIGH +0 +PARAMETER_UNKNOWN +DEF +C1_HIGH +0 +PARAMETER_UNKNOWN +DEF +C2_HIGH +0 +PARAMETER_UNKNOWN +DEF +C3_HIGH +0 +PARAMETER_UNKNOWN +DEF +C4_HIGH +0 +PARAMETER_UNKNOWN +DEF +C5_HIGH +0 +PARAMETER_UNKNOWN +DEF +C6_HIGH +0 +PARAMETER_UNKNOWN +DEF +C7_HIGH +0 +PARAMETER_UNKNOWN +DEF +C8_HIGH +0 +PARAMETER_UNKNOWN +DEF +C9_HIGH +0 +PARAMETER_UNKNOWN +DEF +C0_LOW +0 +PARAMETER_UNKNOWN +DEF +C1_LOW +0 +PARAMETER_UNKNOWN +DEF +C2_LOW +0 +PARAMETER_UNKNOWN +DEF +C3_LOW +0 +PARAMETER_UNKNOWN +DEF +C4_LOW +0 +PARAMETER_UNKNOWN +DEF +C5_LOW +0 +PARAMETER_UNKNOWN +DEF +C6_LOW +0 +PARAMETER_UNKNOWN +DEF +C7_LOW +0 +PARAMETER_UNKNOWN +DEF +C8_LOW +0 +PARAMETER_UNKNOWN +DEF +C9_LOW +0 +PARAMETER_UNKNOWN +DEF +C0_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C1_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C2_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C3_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C4_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C5_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C6_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C7_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C8_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C9_INITIAL +0 +PARAMETER_UNKNOWN +DEF +C0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C4_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C5_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C6_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C7_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C8_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C9_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +C0_PH +0 +PARAMETER_UNKNOWN +DEF +C1_PH +0 +PARAMETER_UNKNOWN +DEF +C2_PH +0 +PARAMETER_UNKNOWN +DEF +C3_PH +0 +PARAMETER_UNKNOWN +DEF +C4_PH +0 +PARAMETER_UNKNOWN +DEF +C5_PH +0 +PARAMETER_UNKNOWN +DEF +C6_PH +0 +PARAMETER_UNKNOWN +DEF +C7_PH +0 +PARAMETER_UNKNOWN +DEF +C8_PH +0 +PARAMETER_UNKNOWN +DEF +C9_PH +0 +PARAMETER_UNKNOWN +DEF +L0_HIGH +1 +PARAMETER_UNKNOWN +DEF +L1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G0_HIGH +1 +PARAMETER_UNKNOWN +DEF +G1_HIGH +1 +PARAMETER_UNKNOWN +DEF +G2_HIGH +1 +PARAMETER_UNKNOWN +DEF +G3_HIGH +1 +PARAMETER_UNKNOWN +DEF +E0_HIGH +1 +PARAMETER_UNKNOWN +DEF +E1_HIGH +1 +PARAMETER_UNKNOWN +DEF +E2_HIGH +1 +PARAMETER_UNKNOWN +DEF +E3_HIGH +1 +PARAMETER_UNKNOWN +DEF +L0_LOW +1 +PARAMETER_UNKNOWN +DEF +L1_LOW +1 +PARAMETER_UNKNOWN +DEF +G0_LOW +1 +PARAMETER_UNKNOWN +DEF +G1_LOW +1 +PARAMETER_UNKNOWN +DEF +G2_LOW +1 +PARAMETER_UNKNOWN +DEF +G3_LOW +1 +PARAMETER_UNKNOWN +DEF +E0_LOW +1 +PARAMETER_UNKNOWN +DEF +E1_LOW +1 +PARAMETER_UNKNOWN +DEF +E2_LOW +1 +PARAMETER_UNKNOWN +DEF +E3_LOW +1 +PARAMETER_UNKNOWN +DEF +L0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +G3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E0_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E1_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E2_INITIAL +1 +PARAMETER_UNKNOWN +DEF +E3_INITIAL +1 +PARAMETER_UNKNOWN +DEF +L0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +G3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E0_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E1_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E2_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +E3_MODE +BYPASS +PARAMETER_UNKNOWN +DEF +L0_PH +0 +PARAMETER_UNKNOWN +DEF +L1_PH +0 +PARAMETER_UNKNOWN +DEF +G0_PH +0 +PARAMETER_UNKNOWN +DEF +G1_PH +0 +PARAMETER_UNKNOWN +DEF +G2_PH +0 +PARAMETER_UNKNOWN +DEF +G3_PH +0 +PARAMETER_UNKNOWN +DEF +E0_PH +0 +PARAMETER_UNKNOWN +DEF +E1_PH +0 +PARAMETER_UNKNOWN +DEF +E2_PH +0 +PARAMETER_UNKNOWN +DEF +E3_PH +0 +PARAMETER_UNKNOWN +DEF +M_PH +0 +PARAMETER_UNKNOWN +DEF +C1_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C2_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C3_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C4_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C5_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C6_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C7_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C8_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +C9_USE_CASC_IN +OFF +PARAMETER_UNKNOWN +DEF +CLK0_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK1_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK2_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK3_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK4_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK5_COUNTER +G0 +PARAMETER_UNKNOWN +DEF +CLK6_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +CLK7_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +CLK8_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +CLK9_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +L0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +L1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +G3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E0_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E1_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E2_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +E3_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +M_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +N_TIME_DELAY +0 +PARAMETER_UNKNOWN +DEF +EXTCLK3_COUNTER +E3 +PARAMETER_UNKNOWN +DEF +EXTCLK2_COUNTER +E2 +PARAMETER_UNKNOWN +DEF +EXTCLK1_COUNTER +E1 +PARAMETER_UNKNOWN +DEF +EXTCLK0_COUNTER +E0 +PARAMETER_UNKNOWN +DEF +ENABLE0_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +ENABLE1_COUNTER +L0 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT +2 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R + 1.000000 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C +5 +PARAMETER_UNKNOWN +DEF +CHARGE_PUMP_CURRENT_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_R_BITS +9999 +PARAMETER_UNKNOWN +DEF +LOOP_FILTER_C_BITS +9999 +PARAMETER_UNKNOWN +DEF +VCO_POST_SCALE +0 +PARAMETER_UNKNOWN +DEF +CLK2_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK1_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +CLK0_OUTPUT_FREQUENCY +0 +PARAMETER_UNKNOWN +DEF +INTENDED_DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +PORT_CLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKENA5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLKENA3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_EXTCLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKBAD1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK2 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK3 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK4 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK5 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLK6 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK7 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK8 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_CLK9 +PORT_UNUSED +PARAMETER_UNKNOWN +DEF +PORT_SCANDATA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDATAOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCLKOUT0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ACTIVECLOCK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKLOSS +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_INCLK0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBIN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PLLENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CLKSWITCH +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ARESET +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PFDENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLK +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANACLR +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANREAD +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANWRITE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE0 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_ENABLE1 +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_LOCKED +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_CONFIGUPDATE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_FBOUT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEDONE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASESTEP +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASEUPDOWN +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_SCANCLKENA +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_PHASECOUNTERSELECT +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOOVERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +PORT_VCOUNDERRANGE +PORT_CONNECTIVITY +PARAMETER_UNKNOWN +DEF +M_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C0_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C1_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C2_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C3_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C4_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C5_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C6_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C7_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C8_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +C9_TEST_SOURCE +5 +PARAMETER_UNKNOWN +DEF +CBXI_PARAMETER +NOTHING +PARAMETER_UNKNOWN +DEF +VCO_FREQUENCY_CONTROL +AUTO +PARAMETER_UNKNOWN +DEF +VCO_PHASE_SHIFT_STEP +0 +PARAMETER_UNKNOWN +DEF +WIDTH_CLOCK +6 +PARAMETER_UNKNOWN +DEF +WIDTH_PHASECOUNTERSELECT +4 +PARAMETER_UNKNOWN +DEF +USING_FBMIMICBIDIR_PORT +OFF +PARAMETER_UNKNOWN +DEF +DEVICE_FAMILY +Stratix +PARAMETER_UNKNOWN +USR +SCAN_CHAIN_MIF_FILE +UNUSED +PARAMETER_UNKNOWN +DEF +SIM_GATE_LOCK_DEVICE_BEHAVIOR +OFF +PARAMETER_UNKNOWN +DEF +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +inclk0 +-1 +3 +clk0 +-1 +3 +inclk1 +-1 +1 +extclkena3 +-1 +1 +extclkena2 +-1 +1 +extclkena1 +-1 +1 +extclkena0 +-1 +1 +clkena5 +-1 +1 +clkena4 +-1 +1 +clkena3 +-1 +1 +clkena2 +-1 +1 +clkena1 +-1 +1 +areset +-1 +1 +pllena +-1 +2 +clkena0 +-1 +2 +} +# include_file { +|opt|quartus|quartus|libraries|megafunctions|cycloneii_pll.inc +39a0d9d1237d1db39c848c3f9faffc +|opt|quartus|quartus|libraries|megafunctions|stratix_pll.inc +5f8211898149ceae8264a0ea5036254f +|opt|quartus|quartus|libraries|megafunctions|aglobal90.inc +99832fdf63412df51d7531202d74e75 +|opt|quartus|quartus|libraries|megafunctions|stratixii_pll.inc +6d1985e16ab5f59a1fd6b0ae20978a4e +} +# hierarchies { +vpll:inst1|altpll:altpll_component +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.html b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.html new file mode 100644 index 0000000..6c17b29 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.html @@ -0,0 +1,82 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst11000100000000
inst|vga_control_unit240002900000000
inst|vga_driver_unit40006100000000
inst200011500000000
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..c9b53b7a9fa767c9ee612d3e2052f91f301c4823 GIT binary patch literal 502 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#soj3s4gfG%-Txl@N;I8r46 zhOThb&X;=Db6t=1sGzN#=L7xS7WW<)N&jPJlzYMW*4@Bw=h53<9kyKaKkWVL^r2yy znDqJ!ryFF2zIEj$m$=OM8W8=IadpRA;cs_-#XU_~Bjot6z){WV%`&CfsO2dJEqq&NHtZ{!VquxtMLSu1&-C+S8yky@~6Gdii_>t z{B@7(0>}K|tfIfObvwVF-0d^z^f}38J3nvSTeiVOZ-;UI+@Eis?frcIRI}W!87Z@F zDuzYgOWBlZ{qqGk1H=FS6^uej$qY*Miwe3E}xf8+mzW; jX(8M!9>B^kz`bZi%M9uN2M%;kY!uYk%+>*lmjC|&`$5hy literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt new file mode 100644 index 0000000..93f2bc0 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt @@ -0,0 +1,10 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; inst1 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst|vga_control_unit ; 24 ; 0 ; 0 ; 0 ; 29 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst|vga_driver_unit ; 4 ; 0 ; 0 ; 0 ; 61 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst ; 2 ; 0 ; 0 ; 0 ; 115 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..ef40f0d4c53c4b398bc4f158ae5be7b83945bb72 GIT binary patch literal 14621 zcmaL81z1zzA1`j8fFP*?(%r3eC?FuIgdj0mM7kL;Cek^2gn%d^-8~rHp&*TPNDUY< z#>TkQ-|yc0-20Dno@Zz0yzl$%&*yv2c{cJJH*S=Z+`M6l-$n7?-`IJ0I=H&9NDGOu zD0w?L*|La=i?N7^35z`y784PXX3^JRQT29mV)^gHi^sR{t8Uzgm;86Q0sGJC4Myqz zocrC}&n8(Eo`PNHwm}TM91jbB|4+NQ_q0;Puc%Jlp~L@a5?UW%KZU{j-KV zJetY#)M5DAv!gC)?v!ufm5lJm<)TI|aXDwEwbLV)2h020a{*ar{-ds=o8rsE_Mn-} zr;gJjuKxIKsi&tWMCe*pT9)a@P)}gFv5Cu?g6zB2yXZm_8)>_O&WhF4%{u9!uDL=6 z$N40IESCi*5U!Aog? zK(zXY`{!3uby*c0vVmN&*{myUP88HJ5aP0YWy|m|tt#*XF5DooiA=_9tuj{`7OKPb z2j=yVT2qp`awp>z%d2qn25L=TEo?O;N`b2kxSv6kKHIUt)TmhP)kqDBdCBuC9CIdE zX!8|9922Ga7}3!Y>#_WA%yq%~tNmB|TXzLsS7OpX#^vAz#NHgnr2okfxhrsJ(`9d6 zOLiz5?@?npYoWC)gJ~`JaRS|A&fyD+7=Fdk!+Ko#ropw&q0P$VRNc;LZBq zB0a3vTozs}S-gmg#fyn*@2#W#lks00|2vO3H;Vo2A>#r9LoH7YI;i@8O!)s%|Gy3Y zf3fYqgLo6{8}eXaq>b&V7Je5j?0ts!K2+2a$c)$6_i+=1zf5~OjhdSO+;ui4tlj5A z^e4ELNa8a6kInz#ibjyxZa=p_7g5B+xV!jev~==#30H={{x7fbf&8yM#wO{013k-x zu5J~4-_YZ8v(~tTA{^95no#4KMA-d!Ccu7)?rLv@$hC9u^03Hz;7P|B#U{-ku$`o7 z*lp^~23)V79@{8d;@YwU{A0Fw(mfIqUS%m2)lgV6}DK_|F0)0WR7QjV=+ppuI4 z-iP;*3CA%8oS$QnH5sOYSalIXph9VMla}v|4wadFM<~pseknndQ$vx=IpkAHe!%N(>F1O+H)ni(acY#Cb~0sKxXO>U~WoIS}L9x?lRGjv;c zNaEZpF`?Fu@KZ}&(QVJ68*k`zyjh2#l=nq$m=n-?r1jqDu5_PFqP5(b)HccMG+x@Y zTE8jO0J$nvCrUJ{3%pzP|-Zv#kyC{vb*JFW?PV@bULGM4I$Kx7vHv>+l_I#7Ap2nzv%Uvs*p1iZJnYP z9ymRP2s2Sv+r1Wz)p8McUV+Pf&z&vj#uywav$N@_N>8|BkEiBH(fgQ3O19xQk{47% zDJ`3`?SV_`{YzV!Ix!T%Rbg131J>_(?o~0Yxy;xv56t61ZGXamD(T&6MpS(u+aC3h zf=6s3;;NzN4Qd=nA-D*gt4OK(v-z{}-MuVXWy|BXc3@lkc$?30 z=WP&n;5>-neXGoc^j?G1HQ`%;%4arWAB_zpFe|<~fp+1Wcu!YB5*^Ku-=%&+kx(w{ z*x{=-g!kvS-rsd(y^pCW;U1QhFJ5PU%_X8_XmJKi)k;uhMiOPmQ}&@JWCpACbzdl_ zF2Tl=bV9}J9N8!^Lq;;ILus|NIdg^;Xi4+^qtZ}k)A}l#%_u~F57qtk7opTmQjxPk z7`kLkWK~k_*R0h-vpx1MN+kJnm1Wf?P1j{rDYlmQ$S*)%$?_eC_75VAlVkCx>~AKk z!^kX+?ysEQOfT$f;hf3ibIOuy7{8=sfX5ioWD~a{hI0Io3q;q*_j^`M8b?t?5W@xI zIx0n>7m+IiN@w7K%GA#AzE1ak0nH38iZ@>^d{=I6?tU?o(#d3G!!oI6MozHA$@^#! z*fIfad0X~ZwkTfbl`e}=IW6>8<(&bbvW2cW)sLI0JsHbmQ60v)L+*p9og!0fVslVgkj#$)!ufjwPwi`uFv z@noOvMJQxahEk=3t&3Nf-8#qVc%;~}cFI_6z_K_ja=|uVSA~Ysee`LnN2D=W{(U+x zjku#v#bZXf9kCF7O4j8hLz_X?EzQZO`8>c`XD>`5*(i>pAFXjmYzaN)(FViF zo!6Ruu^%apCq)T3GdD{gY&Rg*k&y#`Ud<&7#hylev5)GKU{m_6+w)zwB~Z=z_qenC z3l%I?^b1uQdsVhizt8jeIoJet3{pa+erdb`x_aQy@4rv@jH@Yos$9g+x8yFg401@) zu(E0sOq*&@uEyKsGgC?pu=4T^sBwPsY`=IBR9c71`caia#cKV1?@oolJxa{JeqzVr zW5I5)FX=TP>quI!7c_zyFc zQ)he$YiXTc5zX))U?}4w+nHA*{~SJLpajuZr_U4l-h4bH(|jLgKjIe9<17^=J37m* z_?)Zx`iAl?8iev)j8stfnTftNsO{{cocp?PSn-2zrWL}*81bk3T zVeZuW;Y-gY;k;40-uY|P!Z}(+01nH}p6NZ$CSxRT)UMGDJ)j?}e(&mP^i{e3hj;ba zU|*h4gZyNV`=dxAYK)$^Yp07>dMMw|$_2VBjXY9#3?q9NQI(vWA|z72ZE`{-h2;|= zLOn=DfRB>XUB95o!{pi6gN=LUSDEX712)dC)GNdD=@HwO=6BtmCP{OOY6Be&@~D;e zuCKu`0juZ^&3#S7I4Zcy9U)iXBR$b~L%ltrhTZ28iIxla_B+O|M0VdWZq_f9w~@i# z+vH{Xf#+*OD#@XIFNXR%Z}Zky8+Jk>PZx<^8J`{kC~Xbmi~^Pcl5CN8dkWaTI&|&W z0{0BtGTJOP8vM3ck{_ssN;?6=N~mox3+$ha;Ze*`lgQcUlPB;UkUxxsO-H(5LVIe~ z29*@?JlXD@U=UkmB;{kx5icwAv>+Olz^grR71;Sx2exOFH*tE|b2vvs_PCzX`wyDJ z-$zCE?^);|^z3dkITGj_vO5VJVEKhg9#G%cUHTyO(zsYGAM4C|Gq!U(iC}zfdTK=d zXu~$4DmX-0Y4)OkjkSmM*8V+&a8*=j0AdV6FgC6Y^akOQzGSNzu za~v{!td_LQ6lxTCkMeqyeN{l$^Dgn-h|sEvBD@eQ7dqlGgcy~^y{+g_Mm6@%74rnQ z)~t3C;?fF}>J>ja+gfU3$GI?Fe{r_IW0sl2yCO;)q_jiEgQaA$kVpSSb=p{@TT6-T z=Vn@$?@CL63Mog{oT=N3AICh>Q{|v#;O=S}YZvKtvz%2N2cha?Lru48WG=Lw@rFR8 zCHaW;mxd4Z?_1pb_(YLvc1#;Mm1jppW=A3)cej7lu1F@`NGg+(`0gPG6+^QDp|7~~ z_YA}!6Xn`U9w3G}RoLCU6E^^_NY{`{m z+PZP5S>BlZjKWEBeyVdNfg+!+rV@59RH{LZDn~=qWB%orM&$Fu zad)=F72We^Xa^Ii@)-3hqePa~xrGg*i1gaoN18wmEWjC-&B&S;_{^q1HTR$em>}Y! zXf@@{*O}lJ(A~k$6GJduD!u?dQTL1I=25z(M&nNr;`_WSB{?g=`)DMxqK}OO5=t)z zq@?QFtqQ-@UlMZ9EvdHNJOO(4`Jr&NaF2WXRZ-56iMO}k)gH104|!~3Thf&@{B2?Dd1)o6!!2|(TsRxBL+EO|C_a9{wz%TSTEWVI0aJJLkZ z(1FvbyaFo$SdJl*#cxs^8~}%s-}o!ivFRHsMcRO3qO0N ztn#u3Z|zHd{ubK3eoC9@4xv{cJP@F}x$!wS8L57e#4W1b^(?oupELMm#$9J4N6XHGNiKmeGkLa!#!LW4C$In{G1-W5+LtCMUsau`pZZ*%aV6F`y;fLD zl!5kKSi0LW@L)y&lJM%B_MizFvF8#MO1--o2- z5~gZ*W=m01Ct_a}0=gu!EO1MkF5Hoh4~qh^l96ICiJXZ)AOcaL6^CpKCEaYxFNUT& z6`8Abk}aoLr*#MBA{g`(YdEMb|JS?)f~YdiYpM(v>NlrjF=lMTL7#lj8n~f8JGH~? zdLF2Zz87?FYdk$qDH6FTz3e9X|5=F9gYx}We7pTqqI94;;7;wO<95{yTZ zt`dW;__fo_9fCwItB&MOcfZd}?7L~7SLS8Z^p8#(7d>wH05PM2tTWET@kB7Z54Uq-`$ zMOqmGsfkT@1_L(C^x$A^wO9!|Rnve)LOmL8Pjjyb$-a;_DHG1*? zyw9Sy{Hvb;a$mR)EF#dPy zO_H9fjxS7cu1lN~qryVHh3r;tQBR~e@GlaO_Pj6^p@Hbo=jo3F7u7#@aBfpMq%xFF zBzF81qYE^n8v345W~;}z)sSo17&e>yFQ%Qlv>e7I?=#SG=8W&+8!FMxN(^xi1Jv6X z|I$o?2%>giYH>3UCbMYr(-O}C?43fB;SNJ5>Q&rj>i;-GrOTPdXiK^4L)JJa@%fA9 zzeGe#e0Z)d^-kzzlgSXjY0bapSQVwO#bMUhYdEM?E%%0T-&iNdh!Ien={zA;64KfF zo|@^jk9K=}hxXe;K^5DCzp1mzp&_1RS5ZRU|0XQ@FWX)DYDx%A=0B430w1%GuwBcL z@QlA%RyW=TrlRYJ;rOO&RroH`#wyDa^B3e2)jJtfS(Lon{AJpIjkPA zA=QsK->KkHY_*udwhj2y4o#`;L3?#& z;C@X)lluXew+(S073|dLsn5I0cukqi{St^6H0zw}2-(hhUy5E%bFhH1gO$gxPQS`&xOHbc5rln|#2|H!E zvtd#9d8tc$c1Gjytzc8XG$^a_&(jJ+yJ3HZTFThfCu?b8!>Nt0`_JE+HKG$8E#hIa zhD`nzZIL^+xyQb6w{5#RWm!2Xd34*O#lYipzSXgzj<)Ab`r>W@B))og5eHq_>A?X- zZn>i!pl3~xcPnHxh##bjlwFJ|!L1sb$1!OatsT7>BhK35z+6u0g_qk3g8orq`W%kU zv3_$J=kn6GeG510k0X{mIS%FNkVpEEbNZ|L^A04fBtz|bTH~tEjI<~zCJ-onmgLz| zwrxJ7Jnfd``~1V&NW>T?*>4OVu7R;56a2TOe~1f?Jue6u@1^LIw4Xw{4p;AZ}pLUf) zgIfVj$|n2DhupTy0pf1`#Ha?+#lfzFM1go*>Pxj=Mg}G?`_IV zz%QRCsaeNqldjjPwtxw1X7{Q%FP9$^WWlYfNWeTBIrv%`+5VGFc=H>aIlHczKN9ZE zsEBhnB`i9liV0d^-qO6Kb9>bJJnY>}LpF2$)oOfiDF21&BB|mKau&vkP|mVhxOi@Q z^l@#aQHTuj%T7@YV8&3k9?NL9y-R7+Bk-J$DM5>woAH#e%ix^d!yPxs3seaAE-V!1 zmY%gBSfGyHa}ZP}2E-@8GhGy#qo<{LUT)srVf_-{8554-lJq&NiGuR?{N5siB5(hO zQz6U+rRL1$?auQz=G=$-H#gnT{-5_33d5kIi|!ZCeWRh-3@+>766{O#P2tH2%$FDp z*XK#2gd#VF6a8pt0FT9vof#oE)8%-4%0F-XcZ!XBc$NM& zylp>HI1xp_P_65VUjF)6(Ta%Aij6%aEuEl|Ojb>{`6tzf5a*>cVA*vK$FZH zIyvkMf2e~en=a(~`a%pE68FV(Y|dBrhU;N3KD&|MQs@=;cte9wjnEvNgyp}yifGJc2oc*xA_cwAk4Yx<@e znr+Q7uhTw`c3gMl1$<|Y&|LbI=65b_bp=Qb#W6~lFzFg-{ob9AGq{>^>gj@Jl)#yj zK;UH1B~1ZUZgi>k?_<|yxy?ge&O?SdRCJopXk*-23YYR%yqcs4!kXv;4HUgv z*g@2GOh5Tc!T2A@fZkzob2-?n7hihk&QQT1pX|98#!gzjC!IQD)q=-?F&hFD=g(*? zP$i7fQ_Beu^aragLfPXGpZ9{B=YgtBDhqiKS&Wv-5V`HA#0s&QbFC-tUo^tXjdMz% z12$!YeOc2fPj=rWL|eA*EB9PdGAF<#9jZNEq61QDmp2NrcHvZ4=E+dxjNdU*0DySS zQ7mkCY`gUf`*8Uuve&}A= z17{76hrfewY9DK1<@XS_JzNleRXt}mW^X~#6c;2hX|WsbYT@!(BDGT3wE=rI zqXjNbZUuCNt>htls&xd+e{Ar%%^~9kKxRI!?(?#w(Z!=(!a^DnE`aa$*LiFT`*N$$F%e$bM)$*G1rozaDe z5O`5M6J`KgAwk85(?j9PpRf9?qT!17il7wH61XP9XVaO2SV0QJRtKaY5nil?!zBzZ zuQP&6>yL`Q@FR@xUdTR4hA-P8Q3Uc#zCXQ@)ZPT`JYrHZah$MFq--st7AZ-U#f@NFz(#^ADH2G)7yqO~>* zemm6Ej&23wE*>L~G{Bl?4CapBepf+?)H_DqJVt%D`w0IghHhOvwm&h^yMlAKUfAg9 zQG?Ir>^R+tT-wvz7ZkaRSX_6n&3UrNz0UKXoa!&C7!MRDp^FR0Yx3lA@e2yQ#~$Wf z!n%&Y9EN%5W5Q;obLX$y@@82;u~59^Svezvq#slW|b`@UN3k9+PL=sgqfI0F9kHx}~eSgs0;QRHTc zeS$c7zLs(MGZil9czQ5=#%>7CFzTfZf^II@UDf-H2QtlAY!Oq9zhN@(@r2?s&CSs< z&YnA!XWe=SwP)fjNT@IP3YlJxl$ZSubaP2m~M_}o92j=bPpereP9)hKtpli2q~v?uMqceKy0f9w<)gO&SZ4y7=p0pPXf zACNHiidg8crW-JdkaOIJXc|ya&8#x$WwLMXmSOK!@tQD_RI¬y?ocVw={GR|auls$M`SWB`UidDoUYf>kx)-@;7#Z- z$+3smJ6K>oCtziBNjj2dt;0G@?xApxA(^o7V^ILvhbU+{$6>c8g>W6cH0%f2CQ=C| zFc1q3FU&7m&6u-7{PN(5-6U5~Ge9IwpGo3^ zkNo^syb?PUUiFDMP+B$_3Wd-L2jJ(AD_-0kcAEK?YllQ0Q2Ma~meS~inLk9)`293G zd)EeP7DzC$8QDA(;~()@r)8`*M%#~!b_gze)xNRE}V5+>X0&U{zx&hWA=-XT3y zxrAHeX@!LyqM&D-ha>o_G2%eIUkbKOi)$ve&?e-3?=OP$a z%C#qR1y+rPZa4G{%Ov$Tj=sx+TG;mu8>y{?`2k+Ho}bI@r1-8;)hOD(++wUeH7kZ`K2% zx6J@SEFh@np{p6X5aGxR;g-%G&>2k*hs)OEUyZM6RQDdf;@d8f(~u!|Ty^~;;GDli zE@g0jz}>b;?V*#*9P3V3F-Yz%o9>&843WC1^>V3XXw!DTF$4B$GH4z|=#9zEEETyG z9v%z2hiM0Cv@w5l?pa}^!@iazo$1Ss^XXg+{n%e&a5G`;!Xe2WVTtwut1PVibJ+d@ z)%buC_escrKFn=Icl~l0^b0=FUet2z9>=c+Uk0|jEi+@)^yU?|M3I6Km5mnxu_rTu zQD_qG(^^F#OocD}9Pz@2? zosw6yM&_5MS8lp@na@}J&yMPdg5Vfz8i8&?y9n#W4= z^GZ#*b$_mO!U1dd6g@5ipr^aCYRR&)fytmi@(g!_4UM4YDn!BBtX7-p%V|bFNm)rl z7f6cSbxY<1=8Cj!B6XCcJ?Cr9Y!zqDDq0`8Zg>Bz`?P{B!%+{)1|qbYdLPziRZ07>~EaHS}%|Evar3a;LZtljSwVdE zzsfFc34@NQ-w6*&EKkcn|X4j$pI@W5BY zqdG1_3PbDFCKaAd>2eu{`-ky1?7U%I#%jnrw5fV5fTXW(N;2&54{I4EkSl~dVB!f^g`np%7steZ*?iW`1VBxtDTCN&P0b#Nn>+Rc*2ftd_ zuiq;6Sa^oKP*k3z?_NE8o%D3a`hio4oDbD`*(0D#TdY1tl?7?-f8TzYL2I=N;{NkY ze4e$+V)B!Ko`$g8a_SDDyU9Jx0cGw(*~?(KgI^DE$#;PlM=FAg*Cw(#NE%EN*AeLU zTw<*Ke(OLowC3;$H{siSS~84Jsz9G7pFxZK%F_Cy-eNq;yvi)e#-~c)=UBe5?&U^D z^ygaf@NNa{)w6KWz)AlN8)OwZgU15)f|>lb1Q)sVVFIkt|G=*#u$cMq$W0Weryr=G zEG)Gg!G+1;Yzw5G#z}J7F~hJghi$TPDIl7b^>K&J&H2W9FC6qyAj{La?cvzD{^QO1 z9~CbxgJA{%&wvbHd*|cQ<+1^8+UXC*`ug>yHk|V}`HCd*c5M8_Wh|w@2fmHFyM@Tr zk}DpiKA0^1z3ClZ{YK=kM@=(u!{MJ~S@;$Lgs16B;mhJz%5rtPrqq^w{^_S~i>)Q` zv9vC$<+iRLMFh$kb7~ADzBL^M`!-DXoTX{??nRh8OYJK!UFE>=or-w5C7`qb$E zpcb;OJJEH9dNK4f`vdtA%1jVOcneQ6tt7iY=DOPQ@2H_Cn8n&efwO96@_;5oQv`jY zZ%hTVDX$XV-=ZM6xYariT-9(r1_?^T3obQtLfy{jU#@H9pA8YFk$sv5BSC{TxhiQfcxD%>cOBar)OaIWso**LUOiu z^0ya={VqO2=;v~^2H&N~avY3S`rL}8K+n>_CvLoL15UN%BYh?flM{tdpSh(?f~<)c z@`0y7UWS<|Lld`wRg;az^7stdT`Rpi&H(7tyoJcW@mfrP++^ZKU^>S^@uP^ zC*k=|H$K?tqYS1Ai~9o4TEVlXMj=~8cWo{0A*Vq4GL!(?;6X^-YHCiwP3HS&>f{it zPyWrhlZ*+CO_gSxB*|?LMTlf7orGg~2D(20q3qDBU%<;0J~Ne_Xs!gCv|h zy}j!t>3?{)WB^e4y7Q)*AsAQxxK@6ce%m)9qJMWN2hNn0ChjG7vkagQp8*&Sz^CnY zf4=*ESof;yKw?`cPMWW3z-{?)Bq&8>r@hZF@u; z+!>R~{AUcAFrKF7TJFevcNzgXui!|KcQ9@}e=ya#J44JyV*5e&wN=S-GC#LY+OpsP z+<-fwo<-4k5Np}0#T_ZYcH*ZonTjb+9nt3$=kd)peILas|4eW)cE9U@SX|jSC(VIq z*#2ho2Rp$Ghc+-pz>7c83ljO8wU*nO{8P4tsr|%;d`_GL_jf$(Hc=PTKAX5OjSdicD<@W5QG7ef=JDVy&neH<~(Nj$$?7L#VsC>iH-eC6(e`48p+Yx(W%f?Xj z&!!RKUOOfOXtR;|)~b63cds472)ZMrowKnvi9hYLYp)kN8O^EtP%kAUbQ0P$V!kJa zDj$}U7dkm{&ybzAYcCf%*>BR){&9a8BzZ=6UOD{Bi%s#hEZ{qLo})~@uK+UixOW0~ zC8G!Va}}!9E@I_6>(~DT$Xb_t=%)BbzS8>-dg-mni>+?kZKFN)%NW3|LEnrVBUk4q zAl6?v_Il0p7h<}RA6b)p%@4~kOwTI>HWa)MC^u*de*346&hHp*vD4T(#F~zt z)IP30dBv)j9JZm|=e#G7ExuTq9~;D=rdnsgmJdpuseSrvSH060IQSe`jtcu!Gt61H z_Zn;^);4X*JV%t&mi4x3Il0(KRVibnud0$hKV&t7Mi_UHt8LfK9^H4ZD!*_K7z1O0(Uw4U^ zL#MC;ogQqWQc`MH(Lrzjt_C!E+Ke%1^bWbpR(>Du(ZM-{pUBHTeJ!JYXIX8!{nJ%W zHoSPaXDo8$zO*OLL&ttQfH^cOd*sz>;P}{C#76aNxhFy=^LPcZ1A*4&TYT;rBzt1j zo>srdym!Tz8KGzlehk(iR+%Av6hUELw>)Ld?wAS=*}=0@&{9 z9oIEw`)~403(b*=R4$&aDJ2YDznJ`Ih2)f-^)~fxyQ5xh?t2GdKaw=!G@kLR4d7OD zL;~SdV)wH#-bHW-jgy}bF5tJ0|IT5>0^RAS1hlZb!qYn<8`K$x--kC4UQ!Qp8FyG?e6@xQCi^qTT*fNNI%G4h1xgGzWy=Q~pHsJETs!>xt2!14n#*ShPxcMO zDcF85P=#@SG}M+lrxwd7WoX`;>*zN`i3Ps33~I||_@!;@!e^_p_0}7bW<>X!r7*l+ zd*4{C{n>Z*<~{w6ASI0SG0|MJ(1{$Yx6i8Pk4wpiY)eA6HScL+a!;)dxWuSVh%+cT zE;jq;5Ca$1-Z+?7Q zR5*BJuh#TeVxq(bjiJ|roEvY>jC@#6h?gT$Jzb8|`JzcAE>uAoQ+?R#N%-Dt-h=@* zvEN|J2hTF?mNur=-eo{W8%~7h#F(=MC$ARtcV5?KW4DtRg%U^Gub(cAP1V?4`X-M0 zRD2fnB<#gXSC}Jh0n%niDv>R(!dQEQ3ucM(>lPFf=(KWAfWp>2F_9YP_1A{J*{od!d*~IJ1tRd(e~&henZAPAD1?& zD^j^|n64G3{9PVyC)M_WQd2gIeeTLPFzS@eR_d8ZvoV91?r?*rZsnOv!wLVK7-}pH zUEq}AI+%v;b;>|wF<8;vkI;LoYB7*TyqMI#&Dkv~tm59bok*ieGh>5$sM?t$MXOeR9^WOMN}7Gb-_C^JqlrG zU$2X5N7c9AnwjXcQz%;c#7lKAdwi|v02`4h?Zi&8QZ% z5Vt1_+kEY1*%0@q#cyMv?CF)u$ ziX2h-wb`$i@4|Kh^gaL|X&28IF!!Q={d%O>`NJd?*0o&e?Y)L2Nc!n?U~{bB!~Rf} zl_(}R2Ox}6Iru>lFe}Ef`)=dI0Lmvntyh=NR`Cjq|GV7vwcpJoTbmoVbXXn{THDhU z`Pq|?fAnKH*rlO*KzF!9zv7v<4(H^*&HgIrX5`0PK`i(6$PIUQ9)G4TH@orr%MHVB zf_$qjf4`Qy(s!i;RJy!W#-`qg*xoJOc%~;zU~AK4qc*NDoM1&tDqxuue6wf$wsnGD zKLvQ%Pgha$+WTd$Ho8M7jJNiNOe9Uo$oNx^rSaw7r-&a)I)*OKNlRw>SsLkQZ(rL!WYO(YYa#3nxD*yC&gdC^buZ-( z`r(F3leC+m!dQiZ0!tW-bT98-SmML3^^LIKC~^Ty{)ZotJn^^Y9<8i8b8Hg9Zf!RgDAUYi|0Ai@|3`X2U-}~Y>r19Q z%87iEFxyF^W*j7Vb#+g)lkkiMMd7e9=D|-}9S?TuTkG3$ke=+QS}&G)cX(aT7t1?z zx8A5}XlPnZy}ioS);nr?J1kx9Ggf^ui@dnQQ(}i&5Lz5<6h#mkWE1fGX!ng);({#l zu|A^NzIIMQe>mV_@I-OXPjV;L=<(>9Om+)E`=S|!F+>9gN4 zR7DqB$55!%P5}hmcpH-D|EkZr^WFaY6_ShRGR#KlY@U9NF6$g|N!9>36aE zO&GVav#GMq^jxqHKi#W3WH{qIU=16sfr&k@+?So$ZGmQ!Br}j{SxCF|qD_rOuw@%R zyUCW%c?q?VWQsU&J&(|HT4jH`r}3Ewp-PMTlt^fMZ|`d94l!W)i_-M)?8!unSX zr>{N}JZTXf2d65lQ*#^xkRY6_&nGqSW4VIl& zt?l;9_IFWt(@*|g#~Ux4c$~vteOz`Xmi4aa77OL$cs}n0x)1Vuy%c_t__QWu)bPI; zN_d2@M-YZv7;tWthr$@Re&k)4$X>tzYzV>^G;9)D0VR+8|3Jn;K#=T|qJmvvx6f2Ez%Qt> z6Cql?(hSFP#mnE9U7hgFW2L2}%$HA4>HgZq%l-H)SN@i4g94bbLbzbT25F&Nm2#Kf z)OZn7Z(m_^ccy&B>X<0qv6=QVonK#*?)7t0wVUXo)yuKOu-Hcw&rv6i^ps!sPpsGd zPa1>im=?X|H??tdz*H(%qhJG*lVOYURK!}&xR9=#cP_j1HbOcbSJsDX^L2SB1Bi^m zqvWx3;$L9ROy{wH`g7?`Tr}da7zP?Y4N1|A`9EOy(CFrpbRr87KRY5L(y5#8cP*W1 zVMh8HZ5CfKi!E=hy3KuH%Y{JSM`bCRdrq<9R;KMcmR#kCHCIlBPyf@5VFKIeM^L^?7GXMa8AcXeVW@P>KTpqC2y-+p-&ZH@FsiS zpe{-md^%Jzr~JWuJ;5Do*y8KtM0j0lufJlbKC0!aNp4mt=8ZWk_(?6rPl{f!&C$lh z$5fu}pM=`84k->=Oq>ldNt)QKay?-X*&5DpksPvIuf+TJ%fhpmWcz+z+Ejxi_-hF# z_hL0GDvWae;;6)%f6Z}&Sy8ow%lpS7)Kvylanj&4s)ad8Dg5aWxf9lw|1gi=TEL%vO@=r$kLGoq; z;5Tv`c|MaO`8Z{r?@IKtdj8N7h#cgoiTx?dbxeCmj*&8?Y=ETz=d7=Pghzz-?gMu7 z(vSc6JOn8UeNViRD}Ipa=_!#OEuPHu*_W4*V17`*(Ld(^UQQUkeQUmM-&m!~ChvBY zJkPRT&_nfkgkPYe2--<+(bwaG;cs(~F&Esoj^(|zu$>27jlnB^);Il6jE(=H!yyiTb~q~0kHi|)zAwB@skW}31& z_>(5=NE9;ke3F)3fU1N$l|gN1nxjwe*#D#aPyfV1vNY`}d{Sq8$Fp zUN<*i{z`pG!PncO8|ghXFC(i1{}sZx64lQ@-eT!i#o9c%;zmh0OJ=#Tse^eu`^-%@ zWj?CBKp%y(KkG5tYff7|p5-p4{;Kc<=!G%)8>B@l}ReM19 ztA(t$MPyFn68ND-Za)KP5W?#RCrk>4%OM%h?YeOEF0?ht9A)pLOfe*Jr~h~KS&1>?V&#(*N-DUB8>U`&lEqS->!j+%Dhjt z^HJ2LrH4ap^{=q8$QwA(DbmDwD;Kx{;lKGVkTCR{YS8B-FTVVr3dWyX@`1i}fi72% zst!*Neb`xXSC$aUpRdY%G0 zIb5b^>m()0N9xl(0ZFX!4wULK7fLj+8a=M536#xhlcSBtB&&&?Je`HQ0i5Yxft`is znd4u(WnE&T?ka7BGuSxWu-r<1yeC(~#pX7Pzxzlc%895aPVxh37^}?^{l=PMPBOR! z#zjrW5Bt;GR5`vm49oW~*m8s&UJ*92VX3}KHL6AJQQpkqf14%FlcK(;w*8|n-fsEf zi{#Um>CXI5Ty?)INu;}ax!H@bM!efLO$p!2;3Sb&7jDtg8cQ!IWVJXV=3+T!IhJZ^ zit@rkZ%%448y1WEUz{_}ryV9`mYVt5tR`pT82@_~f3T&Bf?Q zzRZ8a`9kpH$dV1pX{mTis8a~g8uWr3FAQK0$woTDlrkhSOv~EeZuw=p-}wcWm@EftGR_P4=ZhM$JftH`5`Ex3m`dB?`-q zL>RBV=>O9jmYrBAV{o=M6|qJSAU0tg_$0Rj&G z1E15BC^6>de@HZa$HZWYk&uvsRQF>(Z>Q*##t|DxnMe3L66fd4QEA%13PG`W&Ci7` zaFE{JAZKi2%T253u2*!Zxf#px>#J0UVzYQR9wu5@{;1fDS97LRIf@M}T1TOCELD0P z+>5!R5T_pxI|>O+CQ+{G!2SNsd{|0P|Ch~>Oh!Eh4WyEt{FSgH*>s*moKki)I+~W8 zgY{1^$Z_v?k}xBY*hiVe=a--X^20d9uz2Bb5D>omV9Pb3VOTbk{@P}4ff7u9U_8nv zEb;Zci``z~qnbezq5JqYSkE#td0w}OG*}^M)KhS)8_%V>f|?tvB|2p)3%Sn7#l&=t zhhKa6f2X?wRalm}FWxh$bBgT1eH zY;G!L1UW^mk34faE72xjE`DVb*oL~_-!MlyTd{w0g*73v*PA?>YUSDPlU6T_5kF8F z)+m5nR7+Ela7DaJU`(Z~6vcQ8+4;k^+WCXi=MRH0vDC06@K1$t@xM*ef>GihB~^C8 zl*vuJTWt+X40r{HgBLitpG=vgiJ89Rs>KxkF`&Yu!$%0n4mK#qw|XqaKGse*z(XUe zAxxcGs>G|+^2N!-u0{2%)4=}sLtLvDR))X1dj$azSD$1PztDw4jtgJ0qXhDV##ex*;< zt|iM7Dkqian7gCM?>2z%i+T6;Mz;R+erft4P!f7T|0^o^P4D4r3?@sk-obBdLavcB zzR;8wR`Q*tKko1;Ek!xZDJAVp*v~w%X-qGp>UMKcqjM*^VM>o$BA+9A%gAI<3ohv- zh{e{#VkpdJ7$6j*rC6w~wXeCw@-oR->=aV-(w) zZvGs?ze0iiPcKTT&6(-{0P(%fS+k0n4=6xl4B5{sh=FIyP8OL;)>7 z^)ko)#QYfWt2dwR8m#et?Zm+N@>1DwpBZUpGS{PvMwWJmTDHTobAw0XuQ%# ze%~mfu@N*V|8vW)#Oarz81}cR;x-eZ-u}&EM%hCS%YG|`GCJ%l4sW&}Ll7VH5sExI zM94{&1xvtAhGoC#Z^QUsYdem7M2V*q4EO{99crzxQ<`n_gj-g(2I6(0TV=2#w^&|I z73Ov)s|MnMo16sk@Ye8W#A_7pO7(5;KnUY%w8J6A4d<9J^YvPCxFj*M82jYCVI9~_ zY!uk-$JW0c%ih1O#nIoagex@Ksi5~&SN{Y7!B&HF#ayaRRc%VtzHHYBt-{D2&B-#y zk%QVZu}nB?R`4|bwxy5U^pmHW;Px>8`K?=n`0$1=YKD~0RgN^#gNFrXSoH0s^b_Y! z*lex!MX)#1xb+hkAKKF^C)$&nKFPnBSb-bOX9c3P{|kAeZTPsPaaDznZ5GJ zj$V#JCS#pH!ISaPnCu5avOh@m#%i}|3n%uRoI{tb_qm~lqM2)m-g~HZhO$J$Tq}R~ zzLePYQVDn***&F`mvb-Co4^;5{MAT+M+PDkHsbMp)+ zZ$UFQ#lrtQWbzdh#~S{no*z$e+qA>XOm#7gwkwU&Rpwz_Sj<+b+4@;Gm~^*~FDla76b^@5|xmX-eYr@2$UoHhC2J;>?5@;?|yOH+FGzAKqz-;JXa_if)Zv zivI*Wc1o9&30)qG-h-CX?wM zQ$A%+?3Gi9WxxV#c~7m6|Jk!2h51B)6D334jf1OQZzxsUmW#O6W?%VKYcIzA$rY*1 zN&mZk3}Y8-;g%7b9n6I*QXG(qFz@HjW-XR$RpV+dvO-O7TpisJkH_hZvn=MvJ)0lr z8aGO0)ZaXl)P+GIaiS!azPPgtzbpuW8Y<3>0znL#;z?(S81z2WtXlKdDiJ}C|zi!~P)wp8AuwSAg`8|elJ%S*%A(Y1-xYJY@aMP`a?^SLyoD+o7WEZE1W zg1z8u!rv;U70d}@41LqB=dLSPg|wT$HikY;a_3aRu)o$7R4z%=qO`5kkXSi>^s%rM z6urd&g)aoY#?R^gln$%poOUm);50L-;8f6=)ar zL{PoSV;)(x89&d^I-`Fqlhj&jjk=P#^+TBZqI6MNoSrPn-qsI>O?{||i!7;@3&3Ta zOEIiQ7(Z7jNT{qoV_D2Ab^rXOiv4Cf-{&vS9jbEHPUQMowHrxtmCLBi`F5Qm(=TCy zC@L4cq6Cuoi1Ov^x#(ujWh+?r7b_t7ib-}7J}|L)-Tx=$(s0vq)iR`G90Wp7W^y~2 zFnjMi&sfg`Je3}-ypFJB?%bj^lYQ)8t)H%!WgAiG^46+;Fw)PXMSjS2{FKdGdshMJ zFn3#_&Q^eBx>P5@y9;!`gg}d?8xR4tg;hP4s5ANES2qvR;d@!<^|*R18PqHK1o){HJjzQ z{R_`Z6!Jvd{hfW%FVt=)5SJ3z zn)i;+b0`Cd*?Yl3*Sc!Xq@{CS+_}GpQJ+CK6+&0r;9ayUlUt6O_7MzO+fga{4j4tk2eUm@0 zdVEgJwqNSm!4Inu)Ima4V{<*+rud?s7=Z{Lqu~u$ABur_r-r712i6sJj!_*6)3+Ca z5UA3Ug&Fl!KA>D=Uc|Skj!4y*>A*LxGA}e=(vktMcq;7<<9|bi=JizA>Jw@isJZU` zQ_>}m{79dU=ezjr>~C6{T+h0pu75IY}YbpDz3MsJl+Pxia+50_Npl6 z#8>qyJ`Pz4&_Y%M`H+=>htubm!7&J+hJbVk(1HMQ8KU+(XpD3Vh@s0IB3}*$AeKjl zSe^?4PX7ag5P%K=QV>8ZW4Bzq!V<71Ch|8rHRzm%9a-xtOrjF&fKt6mV6pZ{Tr4{p zhMVY)lS-_l_K($_ccdn%SC&?ir&y`tB*e%+8YZ5@lnp{Z9^=S6qXAHB=Agn6X46oy z=pE1jwV@&=qSR=}dBG0IG2XW#tkqLFjAX$J?o$*77W8qc4Z`@?)`9=}r!}G|y%o%u z=o(@cR>_v%0v(UHr|Tm@&D{NIK89N$ZI=gxz>`a)zRh{j=Vfft+&6Bg`krR?QWxUK zhHwAyEKfXB`C=n#U?0?inY4+cDXtKDn+cv3MmOi_rU~ZVj+!DXZ65?wRVV12?amlW zbXwDoTt*(!S}rhUcm-Q~l-)&+Q!2 z4pOXiqn&SRm~Jml<7<8e{jN-yIcyskAd(%o@HwMr+fK|mj8h*1+bUFsgH5wF5-#7; zT3DcUBpX;Xq@v7r(xY+5dcJ&RO7tCJ)A{yxXVMf7E~hh;O#~`>!Tvn+akTq8I+iFu zu=B))2w2(p1`@PSx^eG-D z6WoaMzD4&=%`JP4Yfp|$JP&Rg#0lSBv48BgPMA5O@8rVo=WmJ-B@>1RH3*{)l_&Wr z)Mg{D>KWnON(ZP9k$%#^03d5gg2?{^{ty5K0bpH8(3U;U?Nbj=#4K}q^0a#%{5j@F zPwUd&wO3Yf?};tuM{KLi^V=5n-nAP9tU!Rme?TvLnGUZ`xio-YsBzRyCwV2WnHM$B zlM^-XL6=AkTI1d z1WWif;%NB$o*nTMii||hDoe_9L4lcZ6WTX=d1_SBpV=Wtq^@sfLsb?+YELrZL6lV4 z+-_4+zbMxCrZti9w$ao_2IF0gNBz+TM&M3o?-^i>i+m8b!>|=$tuMa$D&>A1WuPUE zUC3)|B$c32{1RApI41s?D%b3jvNC5R4HLOO?ASsS8NC)Ig3;Apq9Sns1^w;hF#Klh zt^DQhJUzk!{c?qm*S_7Kp^&(7oT&^Ne(+kJACMx+Ze%2Uw9pOxuFWO?YU zPH>B=JEsGQt+@Cf0(fX5Cv2a+(Ahm=6nJt=rP&eb(nh~5X-V(#~~JPicb9lCp%AenyR8@red8>eVRpmn$1cuuKeMKvG}-EoGsJJ z&--vDDT(F>k^rN_|7f5$)4d9 zwrKn?c~9t_X=w!$u{W9gyD|ojub!*mP(X(ei2$L|Xl%RG9_~cwYoU`?pho62Ws*i* zCz-{#C98C$+B8T;&}n|17>Q$ec55x7Ral7ElgJyd+=&^LLqJ*aOKU~8IsM5m2L;)0 zWQ{P{{6&)^>eD(YKyLaIuN01gWuQ=Vu8_k}1V8m~V=yt;uPd%gZ+ zM{T-p+!KdKZ)jAl9Xi|ahoNDOlTew{2WGZTUJo(kz^rL*r);iKmYt5{Nt2b zo~^5{pnbGi>6F>GhoGHK9~TI8Ud#1W%XoL7?ELj$3Q#kPg)60YjvKdB=iPxXJq}`4 z-#}2CZX0h`IO@_k+RS${D0ZrWFI^S}Ln%}PmIGCzDgbb$xa!0eE&OV>SM(UGW^O~9NRH=<(sFWD-#&eSVPhl*g zRb${X%5mc6o6Y9Qd)j&jGR_a;(gDuRwm4OodS-FBcfv0#P&afon|LYIwyMTcmH}U% zB=L?`%!}%VW?|=HIQ{|6#C?L)uXLOeFcGzpyu;qsWKnJPr6}Sf(^JeSbV@5I8gS>^ zW;zSB()uY9mt3H=Y0!m6{lBy$PW_mm`UiyJ(@iU&n9}%Mqz93PnYcU}Z7j=Pi_7Lk zde)Q<%(3TxtqgEhvWL&z&s;B6o3+vk{`|zkQfv4{9xl6V9;{@dFNKbyUZ|CZF;BH@ z{z(@_C1i8Xs8_W02)qZlP!!O);R+z7UerR^xmR27LbJ)dceH(Qa^!)WevGk$uyoUSujIVA*UIhviAn8%?- zUp2KXngTFekORFoIeX&`BqOyD^_|l6P7rBoLbW_ zBc&N>LCuPIL|~~n3~&A~yYi4jXTCT06c3y5qlBilS2i}~XI%d3%@DQ=B*$SFVfDGM zronlJF@IiAw0AM2*p27*;itLd(9_BoH?5Zc{Vveg$fSpeS3Sv7e z6M?j%jzp!|9~l%~r@qyu$H^WaEh2^WWVEqf)n2sCoqPHtPg_&d10;}F=x8CNJaMBL zW_$+)DwQD*V~3Am`Sjk>4HD0H=IR8^iyAL|j}_OU8a~q83a_Pu@|%SG^s5}z^$Wzi z(u!^UVw#rjf(wbX+(5gyyn#F?c+3ya$iHJvhNQfpGXXHmO$5Rha5zI1g^--2K=@Za zUJl0ng7C0=fBm5#2MIRe%VA&;ezoOL#k=~6XRKUYlwXW^FVc0G`@~fvi#+g_2^R%B)2T>0w;= zzY4!YFL6P{@tTH{a*f&va3|q=`7(>7HzX-Rc+yUIk$L_SY6&5>Ew0u&us2oR<`=oWd(RzJr@?P}07>~yKzS<*<#Gr67$RY*Kz{7~^ zHNbWsc;7qZMz~ElI6_B#Jy;pwbDi{7aK+W7*~bkA6YGAK`ye{C4MVmd*l3~svyD}7 z;vH`o0HPfAPB4s&+2M??Hz0Zz&ygabHZtT-V(r5KeWO2QHGrAst zVJ^?gYbc|2ML8(WUZMlL-F#Cx_Bkmd^%$2S0M;7uBmJxy>4aM_;JB>V4+J0d`H?n} z*i4El7=)VpQE>IFcrbIFA9$ziC;(e2Pc{gOw!*K@vBNYIsl)1gF*jcF6BLkL9l8fr z>So&0jtp#?!mf|WvV2f|ZrLtt`#*%qE%JKsJcaLCDGkFwQip``y%;aQ4r@jIsO~f= zc0xh)hkzg-q&qZ9fKcGuLHG$C85r*{PjpZuR{(dza#biXb)#-4IEI014Zg?rmGIDq zE!|cao)^#o{B$TR>i6Z2ltLKx#XW(W5{&CqLuN)eBl>}P=rb7Fb8qY2$0b6O7xf5y zD>szf4g^_`PK@?Ofmq_5voW58Y4ZZ_h)3h3{aEf41D|q|XYfsQl2YTKz-N7evDpW4 zvLDelEQfIvJ7_QBqiAA&!grMMVB{Ca(Q64m%)4t!0D@UP{|nNou@}a8GT_MWm zv1qJ13DnMlg)n>!Z)B31sT~2VjW$t3CwG!fmaSoUFk0dTZ*pyai>t&{i z2a4yr)6>tF6Kr>Wm(D(A2jyr@ z-E}!h=LcGQtKUbaj%LBGizv&GMHiz@p2EbYFuE>W0~qav{SX|I{rJIuhm-&ydXXFz z4g{$jl1hB29A1kGMQ3w$Bfsdz+e>T*WY^*@%=BEfHQA$v`Q{91SY{(jyK8i5?mvTnEwRnTGRZ0Jj)Rs`E)B+Hbxcf`i%Lf9%{+Y7_Mq z0g&HHDNjlTd27xzUz2)_JkbdsYz9KNWL`rfoTnH>H~#ZFV=wB~$FNAto! zQKP)24kQ*o{a|0#M(xG@=$)n|f$=*bApAog>3^(;AP2PT<-2aB$1MOwseSyF(jVJ< z*c1W(E4bCxhrvcs>9ka#I3e?H?*jA%mgE=OkDJvS{=+H+sjkX^P1}x7(X_#Hk4Af(ocoI(V^NAZ8aEIJMZ^7}nBhTE&VZx&T+#k01rsh(|fOUVRjF@@I)rkDn;%K1ls0s_f18f`ZxO$fO;R)?o9k94ma3?53EEPv&uJ=o`_x!OUb4MbW) zq$NbsO6T4>CuUqQPFCUI{#r&x;;;@2$>1CsnA9;b_R30pXq05X_=Vwd;2?>q7)C8r z4+#2(bg)_5@xu}0yIEEqOQC7(7ju&%2STJV(|NO^Fg=U2o&mG7PHq}6WR7N zFs$8U0PZV8!Ec1kV3oH;BsPIh)}&Gifom(~R>|2Fj`BD&pA&bI#d4ATbSeQ#bVxD^ z6nrf2IdaRtjDHEWvT~H_rgyBw@Oh@5)^Vz4+J`BYZYO#9dMTcFRnY(+%$0Lb%-J9X zW7-dwE!%G;)UU+^G$x7#VC7@q7K*oGWbC(3sJ4)lp1-#~boI2y_ao8d-*$ z|3JchR??RCBqTGQvA^xmSYB%!)hw0BAyq$WNG*eBjEageKYGMpV2-G8F7HJsT1ZVT z+;o&tb6{kWmb{uTTh$`K*}xkr_~Qk9`LIhYs23Oq9Vz>^|1Tl9U8q>Vo}6CEVH+p> z%bdac&#!K|{gR+!rfs+7z&YVSj`UW3b0p@Q7Lh;GPk+o(PDVJ5wDs^9qk!uTJnoD@ z(h)Q9G|!;2(7TSp(7WlTc}uzXgw*~nS6MB?&0sgb&2Q^iCoyFOJ(Gl+oOzOPSM1jk z46aNu4StqtF>-}ny#mv369*7+p5M4>-5VXn3^qz+z5sUoq`v^78I{{us)5}95-YxT zO(m;8O14)mY{-^dt1s4DsweALcJO4oOw?0B~YD_rd)i-BpDvA6b2E| zN44C44tK6!+8_S(PFjOsX8L!&m|8BCB?QJmuVxpP*P0LChG3(;#6AQEYM;FC6FuKo zbbusCf5;!R1{YCen>e(K2HBH^?D^Vpf~<{8b(P$bK+G=ahtS;~Yq0wytj_bzlKoXl zU1CIjVqRzph^y?--I&Uo(k5xIz9cN}rus~KZ&v6#vZoBb3W~VFm++l;`_Bw7Bdoy} z?x2SoI(FDuUUMI0{~+j7{dy};3&n+foC!_0CznUp&M>g%pqryUJQ}}Pd2^=-NUEYt zpx4dPTCDeL=;oKtx~Ge`Ici}R({I}NPAp`8nqZ>vAfwUDC4Kpv$}A06Cf$XF_KNZi zUP!)cz#vCJN~H#)?ozvtr~7pSHPMQ^=I9*@*>>>92VXBuY5XuM~2s0nM~y%u;Ozu4+mw5L;U zul3dNcCm z*E(YhU}(IX;WrS+cZ70j?k`gH(N!@A@S^ib@Dz2XeVpnp`Qjc2_b`{T953r4r2Sgf z9?5-JzHNHFSgqXV%S8=%rCEO`!@(y@ zeZqla+RDjYCB?7VB@+Qj=L7|O&W$cj{|iYg`7W0{P|$Zn@#`#7J<1Vuer9=o@$XD$ z>pt*b4=^j$&F#sd>cKUtP<4K}2S@(IorS;G@?o6WeUccy+2zj(K7fbpgNp=O!uNz$ zdWp%=#j5OtaW9=U7|Gz>mRG3iFqrkwMI_Obin%}az{Zx1?-H|^yLpS_96Aq?^&`!y z75lq7Z5RAzU!8|7{#^&_;e+ugWKWK8Af7vv+#Y&pr}i3!!P5}`PFcjmX+-X#q z1Ze(Z+UK~)%^hQ#`ke!eXEZ>wN25Vm;Il z0TlvQjH%Fq$>X4%zL+4;6dd!W@hJ4OEZhHF9_x5WN7e#9I9E=+-oAlb7$A`Gw1HnxV7IR4!+>)|l#A(OuY zwbOPX$Q$aW_fW{D3*}NZU~~uS?9x_eAu6iw@0MI=XX^Lre+I`HygR6z&?`NZ&3}Cn zo_&p}dKFU`9k^Z+u;+0;~PeNRoki<1$2BiOHN!LeuP53ucqqBU97GBF8 zsGu~>dJ&k+Tn@GNHOIe$7im-L-DC&!k1czN_?RM}UPIlvPdtdsB6fF;U!FNFJyQ4G z>^!wS+xB;ClSp(?hI^o)H?>|TrgW|BH9Yx>N?t2stliP6t{?=4qrn7#g=&4il^r4& zQ?-VX3&RIX-=#2UJgEylA^k(E^rE)YUie!{GyFy)RWrL8xT0yE(c3Dm^%~Tfn0+WP zR2*Ti_9lKKDbh!uhxdZ{18N@aGfmtc1D7pPUKuLy2*+1weQQg58 z^NZ98GEGf8=ku$CpS0ZP7?0{3k8T-{&TOKerFd_lJ0#vagIrzyEZ!lB^1Oy5K zY?9zf+$_)pSY<*LG$Unh-MWfZl>GJmBgFE1BEner`6w#>kr znL@mmli7xZ`yl@iZn8K&5*dZq9SUjn1gem=m@l=>JWLyEF#2oA62?|zFllG4pxV~qEtvj+m z+>%X88u(euH9s(_nW&{xHQ_Pv;H|Y&C~$cd)in?>d_`^u`Ylg0)g;&2{a^m5NoLt( zW%QD%@AY`QI-)zupx+LV?az~$d<*x`Mt9UkXZs>?x?4wHW=@G7fatEOGhC#$OD?Lyp{#IV_mmUV+^FDPq$b>UM^c6nO=xXgnG=5RVo{4kii(A(07C zUY~W8F?a*kiT>q5MNZ`cTE-HN6vHZC6-C5M4|`QPbD4KnaCm~Hi@ali$QN=uqZx=F+>P^3O@g}hzFMqHg5E5CSek#lfQVo7v-;F2RZ^GW|x%T#obP!%;h7H);>p^`*f$AE#z8XN1 z(Ery;m>WtN)JypIg(8o?3RDydR9K4H&M<@&A`A(luM$K!T!*LmiKao}2Z7-SLE+S7FhZSBu%YoG z!xJ&%g=)E9NTaIT53-M2oa<6C;y!CWx-tnvo&~CMBN_4@276s-1Jb){JH}-QG9@E* zgBw|uwL}}=`5GR_OHv?N!!wh4KkA~SpljjMrY+ugjz|{((m}rtBeUh%~r zXM1TQt;hLHufsv6Z2&KnJS~)*<%_rh@@LIpn_T=IcAk23pVcMXi@^@5f%vo#@-)c9 z`;kG@RUNPPRDZpD(tQ56)>9!gwXw4I)N=7d{OEq+{gcUPKKp;^uT zGA^IRWsO|S$|=pz@%{T5wsez79G>)dD&~}}{ogp543UNEmdhm79wWI6K5nX^4B`yq z{q%8tbCPm!V$Ra#H`3i7t6u_4>s*sJCXjS=H!|@UvkmRE>&ndc2ArgIt-tcC9HBh> z3@S$3H_GHPouC;~S@3a{h|1N$PpN0yRW;yuxV3jT4HhQJ@p||#i;J$)<6;|sRu#*8r$%6x=^c$d19p_+5X!*f7XxiFy{$-^Z+lSa85Tk8{S$#pPx(a4Ew^U^ zRYYE*Zgh-moo{wrI!k{G5fAT4$6Xxs$-c~MR=za#xgT~&dN#1R>B3`FhWJwP1Kv)M z*IYOt=GA8d*9eO4_OIY;LT~eAHeRCu*Pf1>6BXAmYMgMicUPL?gf^b1 zGTu$wSUsB9gb4u4)76S;=SbuCp6j_{j9UqC7)-r{SR*~Exco`~wk^D>T3|2jS)-x= zqe2I~n!R#Jj1OfTSEMzs5!$sMmiySI6)s7Bl6*mv^%PDfRUS{a9w{NoD`E!nNO}=+ zJ!nZ17$z&nX%=OSFwRdN;96RksK)6R<-}gSMWX%S$I0?SrkoOpP2dW+;uC+QZF&(Z zobmxb7zDY||86C0x+q(i9q}>vuG!LlQr`C zQhxV*zCPS~GyE0C>?6l-oLM{e-`Nbp*0UtAw)R18UwnX+gWNv4kElV*pVqhFV`>q1 zOlOCcM+js1xB}d|MG4`qq=FvVXFs;{s}ZiM;cc0IAK!-9r^9f)5D(_E8OZ=RxGtCH zA66;foq|5D>AF|)ft+Uh*X;MC&>+ovV*A~r2j~;4I_tB0wHY*IK_7j**|F=dEt>( z^Z|W>o@WT}HH+?hIZpvU&)x{`Pl{cDnfu{Qq}SZ9^@tuVPh{dVgXOvJD10-*Yb+xK z_wTB&j_~jK$$rVAC(XDIM7Fa}a53*5C8ENgzCXSh!@vKYUF|LX>SDkU@7EzJjQYLh z2|Z{UczA7;Wik6CcSb{Sk0nx?3k&HG{1vSf|9()!v@6GG*0X2WOOgFFEO7Xd#A-GU z`s!je_WP-xjLa(r{CiA(!1wmGd|)8L(2pF6{!fJ@^3)g-9$3GaG6tvN)N`7axv&vGB@oJp%RJexV?17fs8E-r-RfNw`PrWcACopaNCrYQj=&v{Qb{QY16 zi60y&OTZ_0#;1P}!2E1@f0GCM0rq-sIlz~&I$K+PYmnY{v#CNfErs3C6u7(E-Pzw` zfdN;Ne2Crj9`89noURChxNds)_F4nM@@PA5F{lYT^rqLkBrhjHU`w>TNShT<(ZoH> zrO!~|fys^V<(?tTN0%|A5c{~e@ytjt|ke{Eto&~r; z8DTTd``!YO#3XQe*v_YqEs_t!-JPR7VD^g)8tLWXiI5*@UpDmS6HGdi|4STH=n79S zlF{>HpF2!?qyI}U)GriP(g&m0K5%+iF98T}d!rcuc0>b<-T2OQY6RA94@xM@F(|zT zO9NP*&jpp`@k5SQKei}$U_VL)K$QL9kTAff=id7pGz7388kqG40J%2b)e6Z6`L6Kp z9x3vNy?~E_Fl6+kpFhMQf2R<%JeY^}VN3Fnu?tuk6eb2;dq0;3fMFq)0pn0K-{Y;m z6+kRn+d>_klT`n{N;&h!=I-%K6KKC(30Hqu{wD29wIFOvhW9&h4r#L z18#1fpuuq@AKSaa$9q7bojd_hcOUmPTcDa;7ut(O94L2JcyG_}(}%G4bJZ!W%@Z7} zCKQkW?H3V<+w0jVjd|qJon(^wruONEI}l8b20#W83V={{d)Ed5yw9}{HxU2vp#hMd zAq{BydZ!0T;lNU8VD=k`!|mb0C?p>#yWr|D`%V_L1f7O0UN%C zzf$?bD7?eN9|HfK9{wQs@AB|R!2bsi-}Wp5BDJ&*dvEi|6UgznKQ_)Q9Q!tB56}B~uK6<9z;pb&urW@N zB{}EDyRq>Y!DC4U8_)IWPhPu8zj6+i`-}nZGah*U@hX&&6{+w*;eo;fg$D``6dou% zPH*$&=^vfgG|G>rlmKQljcGNn zmJMmqY9U>3t9emBG1}s+&f}T)yT#UM8izysgaN5F6Y$ zHZi_&w7O+%WTL8juey0;ol7H)W>PfHLy;PX#z(dej|+u*5c(8aoXV$$rou+Ul20)0 zy1d;b9||hXY_e!lL)OdQyQhnwX{1Fe?W`SMvLW6>tq>JpR>8C5|Zcx8>P z)q$-pS!sbPt6JOU5>!vFZPqSu35Kj)umjdEa#gAhE}OOOE=$1ju0c}5JjJpV~gkTKnCQ_%p`l7t$EF7UMqYIy9hGA3E6X^h~CzwA_| z>_W(gmd5B)H!h5v=1T`ELk4cy#s@aiH^Kn%mzDQmbxLxrMd0yx94Bk7Jd6R9D1^%^ z_h1o0vW|p>|G*!}CM)>2ErLJ!6pxRLwlP2GMZbMMbB;kSPnkdeZ2aFmK6rLIAR7m`6u+AzzqZ`gys%|( zaJX%Vpa(zjEUwZ@4(~KjX#-+z{=jB5fa?bMc#E5v4oQ7L3oY_n*AGD+?>w?sxXILn zox#DumVv>6<^}ETi-zzGta3|R>)N4~mbP|$3#)w~@xTLyeM18^=MD~BG5?AUqtL&v z`>~s}kA1}O5|{GxC)hEk;#nVuikjFlLmlnS<%KOUYiLn_fBV29*w@z4+A_GPy=Az} zg`~B_6(dyLzixEnK>uh*^L$(h&r61gO>;*_duz+up|uNJ+sZ9NYZsJ<7A}CVdQV18Svy>KUmHSgcljl=fqS|Qt~T0y z<*VOUhfS9cZ;MOf>!sIQZ@vBMvd8697k+o6yZrV;%XoD4qc2u`yhi%DUjMn;SFfG| zFHXqmhpF;~=o>~zslq=@zL;?Jr5MZeDPG|pChBpGaTmR@cJVA!uh*|9IfblHh{@Mp z*WBWwyXtlM&4sto*-NC?%VYcMt>4u@F1pPoU;o!@j|=aj-=55!gWKQ!G(9c^ot`Y{ z>E(Te&R}AH75*vwkOV^!50%0X#XMQehsAujBlCbbPt1>_dgycjuqr_Wls|o~D$4fbwxl`5u(Nr^w?cRx7tE$9gYwUm^06r2qm++B`KL%4aI&@1ne2sedl&e@H1Gi1LF<`5=^kqm&Ou z`2nST2+F@!%7>!-E2VrG%J(bf!%_aFQa%FZUnu1xQNB+pABFPiiv8b*{U<2pyHMU+ zDL;nt9HorKtrdJ^idQhn_{3V33f5iK%5zZON8$ew_)wj8eWA<)fAI&rv=~Dc^_kkxKa& zC?BDee~I$pO8I`24^zs&Litdo{A-jCQOXaXyih5B4P{Kr({(7ATGgLX0<*-3twk-C zp=SkNmDf0p6!77xOo3LdQ$Fl&9>a<(k!{prPy+xSH5ze3kCW7k#{!a#s!#?FW;@e%QDH8GuO?7oupx0 zP19~Bev|hJ!K)N`;-kV3vpgQuv4HTeaNIIsBFT*f6U%YU#D%a^JzmVZIBzh;*p;kZ z0G99mOtoFRN}uTux!U~dS=7c|<=dj(Vy?$9nlZ;Zb1vZd5);pPb~Khv-8}zd+8J}a ze7~G;o$P2kq`cW4o;Nb_*d;Nsxv5LOfy491SRK0>$2RcAo8ck6az2cAb@3Mbm17g@ zvCGdmGF6h7m#}jhwq0%SY^k4q=QyR`H;-)CGK#lwHjaV7RCs(EW?$9g1Ec+$C#q{V zt?L&K&pgg+L>Xh|_~vz^nTQup-uK)7PGcpcpc9eYvq(f)5>NIE`gHrj<^SoXyV_N6 zy)HeomG1J7Xz!xjI1>2ez2QnSxbVj}zFhk2rMvupM{;i#r=8Z!aq!u zCSC9@nN)pB9(CE;SMc0^VZ4c$dx$Qs@DCGxmhtrdCy#GdiMOi`)M8BM`Q-Av+0cJk ze7=ottnd#L{gUz4=VCYG>E~dS+u44}`ZnK3K3=1CW^K>c*Qn0fAUE6n;%i^Q<1sxy z9%hPP8XbSW`DM2A8ui&sk@LmwOld=$ojhvm$No6E{CM}t`No4!F5~SSJG1)qFB!0Q zUrhMg=i_Dd)z?0sEt$@IAMI>8pDi#&+h97F>maZ8(*$@NzhMWgDRp`VpQ?o zvABPHdv2}~F{GbA`eLHqx!8qw#jfi8`%4w_PqjyXf`muNPl$z4hW<_Dq#O zUHYfi&b{j#+Z>tM*!GRndhK_$%SCtTe`=23-{j_15rGG#DX8P|W6mp#{Y_g8uIGlbMpyNIn-Hlbe*6WtmI5 zEteSPD&H)tydB+_b?&GhDL);y)??#`(Uv^`K|9haF zrIaO>`CTdgM8r*UjGe+i7<;9a;;Xo&bR3qjBARnZt?Z(|IhDh5!fnLwXpV2ECH0zm zUhJToI9dKsY7fVntsSyGt{f}Tt#(NLu{pj&Iwg9{`dof`Zk_eryn}wiH%h(j!}mSC ze6wAy@}E;Xzm(>`PxJ0nfBGru3N}{{R30|NnRae3aWu6j2<ZJ?x2h?Lp z6hRP4boqVH%-LB*8aQ*7J)ilW-#uB)=vhOnkyas_2{c_8wiP%cFf31Kmd*pibc*?> z1Fx2|3WC1j6+NqImmhg~i};)!c)|^`n$Q|gwB1=HsU;D6jdsO*1=|&YrI|dSE200l z^HWum)K5h`w&k>-KZ8$?KRUZqLRIq3SS9VX5t=iKWHFh-Sc+|Ai|KELfnFbBp6$Ej znr@qpZE;J`uVRp~v%OuDKl&F+L-vA?o&@dEknl5H^0M#5&iV5Ad<50euD~q!42p?w z8RBz#`t>%6?2f>Nv;&5s?Z|j{bA2RnauA+`BG}*3Qhv!`!LRMcmwSj~m^SRs>8m8| zWd_dhYu8k3bB%PVJQDTM7n%o4O~e55cGr%ZE1}9Z%XcWRtc~G>_p`4`ilTDxz>F2N z!wd}tp}Bb?R3?Bs$s4=q|NapgC35sBDF3XtG#PSg3= zk?$QlB&|ZahdK$R4qEg&DD3Y~rYaZ95UC!(7m!uZX?AQnJX1BahfY;4P0b1H99SBUDA_|Hq zDk#n|ju3{5p2szHGPHnINcDo@_{l>(NqKUYP8w)IMmL=~q}un7)l`Hm4K-Dds5H_0 z{@oN?z%U*U(o%8gE@X>T*L0DfO7mTQcJP zot6p(I(0Uge9<9Ruwe=sYEjkT?2#q(fzCx_BV%W1sdg@vKsZ>AHl4eaW(OhwIe>k_ zpvfBoC*oXWA6ud{wCX3h446S1yB2WGS00960bcLZ< literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.logdb b/bsp4/Designflow/ppr/download/db/vga_pll.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg new file mode 100644 index 0000000..6443af7 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg @@ -0,0 +1,22 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:36:33 2009 " "Info: Processing started: Tue Nov 3 17:36:33 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IACF_REVISION_DEFAULT_FILE_CREATED" "vga_pll 6.0 /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf " "Info: Revision \"vga_pll\" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0." { } { } 0 0 "Revision \"%1!s!\" was previously opened in Quartus II software version %2!s!. Created Quartus II Default Settings File %3!s!, which contains the default assignment setting information from Quartus II software version %2!s!." 0 0 "" 0 -1} +{ "Info" "IACF_WHERE_TO_VIEW_DEFAULT_CHANGES" "/opt/quartus/quartus/linux/assignment_defaults.qdf " "Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf" { } { } 0 0 "Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vga_pll.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 vga_pll " "Info: Found entity 1: vga_pll" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3147 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4440 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vpll.vhd 2 1 " "Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 vpll-SYN " "Info: Found design unit 1: vpll-SYN" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 57 -1 0 } } } 0 0 "Found design unit %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "1 vpll " "Info: Found entity 1: vpll" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 45 -1 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "vga_pll " "Info: Elaborating entity \"vga_pll\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga vga:inst " "Info: Elaborating entity \"vga\" for hierarchy \"vga:inst\"" { } { { "../../src/vga_pll.bdf" "inst" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga:inst\|vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga:inst\|vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6195 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga:inst\|vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga:inst\|vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6251 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vpll vpll:inst1 " "Info: Elaborating entity \"vpll\" for hierarchy \"vpll:inst1\"" { } { { "../../src/vga_pll.bdf" "inst1" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "locked vpll.vhd(73) " "Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object \"locked\" assigned a value but never read" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 73 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll vpll:inst1\|altpll:altpll_component " "Info: Elaborating entity \"altpll\" for hierarchy \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "altpll_component" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_ELABORATION_HEADER" "vpll:inst1\|altpll:altpll_component " "Info: Elaborated megafunction instantiation \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vpll:inst1\|altpll:altpll_component " "Info: Instantiated megafunction \"vpll:inst1\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Info: Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Info: Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Info: Parameter \"lpm_type\" = \"altpll\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5435 " "Info: Parameter \"clk0_multiply_by\" = \"5435\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "invalid_lock_multiplier 5 " "Info: Parameter \"invalid_lock_multiplier\" = \"5\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 30003 " "Info: Parameter \"inclk0_input_frequency\" = \"30003\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "gate_lock_signal NO " "Info: Parameter \"gate_lock_signal\" = \"NO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 6666 " "Info: Parameter \"clk0_divide_by\" = \"6666\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Info: Parameter \"pll_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "valid_lock_multiplier 1 " "Info: Parameter \"valid_lock_multiplier\" = \"1\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_time_delay 0 " "Info: Parameter \"clk0_time_delay\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "spread_frequency 0 " "Info: Parameter \"spread_frequency\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Stratix " "Info: Parameter \"intended_device_family\" = \"Stratix\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Info: Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Info: Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Info: Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "" 0 -1} +{ "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO_HDR" "" "Info: WYSIWYG I/O primitives converted to equivalent logic" { { "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO" "vga:inst\|clk_pin_in " "Info: WYSIWYG I/O primitive \"vga:inst\|clk_pin_in\" converted to equivalent logic" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4630 3 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "WYSIWYG I/O primitive \"%1!s!\" converted to equivalent logic" 0 0 "" 0 -1} } { } 0 0 "WYSIWYG I/O primitives converted to equivalent logic" 0 0 "" 0 -1} +{ "Info" "ISCL_SCL_WANNA_REM_USR_WIRE" "" "Info: Found the following redundant logic cells in design" { { "Info" "ISCL_SCL_CELL_NAME" "vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1 " "Info (17048): Logic cell \"vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1\"" { } { { "../../syn/rev_1/vga.vqm" "toggle_sig_0_0_0_g1_cZ" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4013 3 0 } } } 0 17048 "Logic cell \"%1!s!\"" 0 0 "" 0 -1} } { } 0 0 "Found the following redundant logic cells in design" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "293 " "Info: Implemented 293 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_PLLS" "1 " "Info: Implemented 1 ClockLock PLLs" { } { } 0 0 "Implemented %1!d! ClockLock PLLs" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Warning" "WCUT_CUT_YGR_PLL_BAD_FANOUT_CLK3" "clk0 vpll:inst1\|altpll:altpll_component\|pll " "Warning: Output port clk0 of PLL \"vpll:inst1\|altpll:altpll_component\|pll\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Output port %1!s! of PLL \"%2!s!\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "204 " "Info: Peak virtual memory: 204 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:36:38 2009 " "Info: Processing ended: Tue Nov 3 17:36:38 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Info: Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Info: Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..3ff6cd2939c7898e391b3ec27806b460637e0044 GIT binary patch literal 23255 zcma&MWmFtp6D^Dcg1fuBJ3$lN-7UDg4Nh<;xC|29-95NN2A5#Leehu64$phnUEj}- zS!=qg&aT>3)#vndp9UQY3aSPf8tNRzd`u{rupw9vjyGf*4{_TCy z{eb25nF0|=L9w^#@If`($O3cq!ypF@O_;bF?6?vFn8p+v16Os`(1#_2;pw|pJ~7P6 z%}&0SYJOvcQfoatg3H_CY3+%ik%m@Vm-U6mvT8t(yI)1gssEOsR#|0L)idNTQj;kS zedu4nnoh*_grCQPlwSucHs_FF$YzPc-)YOy>+CI=mG-DXzDX@6lgmpJS^0+Vnv@i^ zuxGEcjwqXy1_8j=^hWc*9_+*|D!nVelvfJ+86nFpxkjAn=!KNR$)x*#IevQg+81hQ zwh||sc%>t(lY$e!ZNk5MbXRqR3LHW z+#}^7PW)8wtQsErdfHrOB>tK`fmo%nMoQ6CUVV)3G4=bOK8(VmV+CX??`fg zttMcWmkYKQh@#bmeD9}xntW~f>)M>xNO*gEYX|WjYj6+w-K8&^t=tWSW>|+RJXgar zd4Z%JPIj#Pnrhd_sdC+xrfl+}w8{z}^^#La#b52_ed$%Q1Zz4B5BRetD^2wd?v*a6 z<9Fz?l#0^^9iFUI^7Bl7;4&r(7TMEJ9Ze(4WlDI@3*Pbo2X9MDGB=xX=7H&E^7HD7 zw(>~LxM~~oVe%9ySy##GQAsXEFOYcIBE9cA8lJW=A?K`EzpY2ZM z9rzseG$$XBwk6!Wy5EN8==B&c0W=C+|7Df2#q(}LftXO=Odp; zhr^xjHIX0pObVxw;YxJ6UBKz}D*#Rhn-X&%(>|;V%B0t{79%i<_905EBOR;d#&s%V?3B8REBW2WT-Ca9 zccj8~mH~9Wj^-e}x9`wvDZ_Dm7Ob)351n2%(UiGiWXGPz#@xk4M>|&X^pl~o-8pKN z<-a?hA3c1@4Ey1n`(zW=`=6z+tqAWFPehWf8Ot2J*VATjH;owSeK;H!XT5eqjAMT{ z9(h(xFrG_1WsdPHh$J#TG4wHRaFfoMQ~|ahSHOE$F~9Hw-?-ASd$c=z48H(Te&QZZ z?y8d+TJ#v`;n=bQ<`%oCi?+dHhjl>(J)0$V{2(V$iAzIN`q_#gQxEI7qoHMV zhid<yObZ^f<3NKBP)GQi-QR)AB*`l zzS~Hl7lgH>lWH)e{?m@y}p9Pc`$!i}3f39w@%pMq3`Dz&rOW;#F6j5yDuR4yKRw>9$)L+Gt|5;- zUPWoz(albnJ|%QvDK%+IKpma)us5CxHs|cgMs*ZOFV(p5fG3GPo_DYtY~V4T`4OwIh9^e$CKnMU|NOhdWGM-25aV1cN= zpjTTK%ZS^^u!4?d^MV&D!V1q$q*T-3y*+44UBX$tm24VcO!bAobS8^4Xf4NP4uP4& z09coM(!jr=h;D3a_pq)JtfN>H7>wCH*G|A+3ROq2{0CVYEWdkboDb7&_OebLznxav zy3Jv=J>qdN{yvJ5w2PKXZIlBg22nAQ|;qC=!(sr)~M^Ih5hi?fZp zTj@WGU~FrcR>Am_c|@xt`{&^IrG>RrzIgl+pI3O=0r@!8PzQ}8vv#$H^-ryMraT*P zaY)2LaU9vZFw*W}MB$eXt*7yu0?ES+x+8S{*9>*Uu~^u0(o$Qho_|c7h%5ImQvN`A^kL?qwIBr zuICY{PSb$aRU}8QLe5ld=Xrgu)r7*EA2j*41mJ|$%?6cNUY6NP#t@q5PeXi1__k!> zzH$};?G2z2#r3lvMU+A)!j?uWccrj-!8PK{E8E^84SA z&VNIVb&$BXl1_4v1VoZTS)kG(@cH*_u^$Wn8>&_MZ)p5~(W(B6p3nlNo1Hhd|6d3$ zA$SaxL#vsiTs(Hh-#&F`lCcC|eid2I=I~dwg-RLm`_GhI>oF^B9icQLZrm(MMmH2X za&8o{r|1z-uqa&~Ei_}`&3}H%CLEZ%O?;aBqN|91Y+!|;FfWmvhBsjLNp6c%LN{A< zj?-Bg7uF zy+%;P9qjPlQ%v0KS@FYM?Pd#F$Y<>s<2SW#Z152%%*(7Yio^}ys$Vqp>t+W|wBK^z z&1?Alf;YE)Vp=baY{=Z45Od&2FYRlT@+F8pZV)yNZQuz=S35RkDP6wKK^>X9HCR$X zH|G?|(0RvQHd?*odb%wkxYrD=xj|h;^n(E6xRT>RmVB}iGhfch`8G@)OZI{0LphbT z3`fqc&a3IZ4*CZ&CFmV#_m%ah0mm>cp39mST~Y&PEM{TeEFs5#&6{h#ZRZD_rSGS+ z)J~lgVu@{KZiDJG-t1GcQ~0|S=4t-G9Zes%J(E3OxBOVOFbfumhV08*X4{EejlOXIr*y4BQKBJ3HGEcx+&9F?dK?K zPYRx^EBLmm$q7mKat~{bj(I=-?u|Ta3vz6mC2b56URV~<4_N$0_d0b(Y;u|&kA|m1 zZmegV7ZD57`2#7Ac@C8ZeL(5@=*gUIvgj1h!IJ3o zW7X2f!-z3*|2RQ9!FnbH<@FWBU*z)P8LbmfEAZjp&pYUoSe@ss(>j)zxq`r8BlE;h zNP0U2UU7$*g~ne3cs{)VH;sUwmj_WX2PfRrJVaO0kT0yu4Lggj4l+z&D5it4<>ttI zSkf%LdFo%Tc#D!%_fD5Aq#)|7AKL-P_ zs`lGw5*-oPP=VS{@bIpNcgQGzB%S)@GtJ};7DnSYPAC^fU%zgPvUSS)6Q4OTc8b8e zQq^sT*k`ZUT5b}GQ7?oV?$VQPeU%+ziaw4m6#EZG1Rif&M-hr=)1K9L9}aK zk%UsE2XB$wZRKY(Vh11XA6)?>ZnYBNn z&2ZtNS$FEQ5{Rp6lp3=KS8=(t!@rhFp2gRKigsLEWQpmwKyfruE-j35jnCh@{0qE( z9ip%9{}eHc&%p@tjm2?=k*d_h3x5m8|K2i_pclhMcnklL#qo<+yu&V$)ZjBh^L`bM zg2KAM*n$xG+s%ab2S>Uzl0lz!GOn5yEA9jBFbAMU1uv7FGsQUjMpB2P2@vI2RCg}U z>cM8&06@+YzK zI)hXVg_x32W!rsvL{7k;|1x>FqOPS=^HTCYbcr%JwOi|^llsUga9!V0^$a?TJ%m#{$O}?PO zy8Qd1ENleA*O`d+fpOb?Vq8ZZE4VSp*xy5^j&|F9NLf~V@RR8v?-hxv`1gujln$tW zCbCxV|5c#kZ=}&plGsb#THeMivKdSkS(Zzhe1MAZBb>hf#+QBxt0Z%kpYsvv!vqyS zc3yjewW!~suO0Wv_>NWcGir>qZjl_n^JlX)9Nn(DK3%Mm#DD0mAwTNllaGwYV{nHU z*rT4yHEYKE<5CgL{pQO{h*2NTK`9(eVvur*gw4I^vD?_4+;*j*sgxoc z^#yy_x=-%P%KvE`O-dcIOr&Ovo+Oh|$I1{%D5hcaBaxFcycbALZa;ISp>VY!QePv_EbZ57`z)=h9J*5guT&0Ka<_|m8)ghVKtHpo)tx0ipc-L=y&2z z&aVp3V}yUeHKci^pGk}sleI|x6{DEpGAL^vUbdTuIf?SJB?)wn=r*P-F)7d_%qY0& zlH@+0)C+V5h7bOU+9#6~IrZ1Vh(~@o>FbX=>-&RnT~dP-L$D)mjv+FYxz69=oUOb3 z+U-tdoZMXOC>EPceGNq|NF^HBNhF~9D9bE^83^@v+fCBy8cO?*n|?z1{-xM7Z;w6@ zN!VT5*^P2!Y+U$SHkU&$PGnj`2Cz$970!^wUJEyV&{+ysfp93x9OqOI1CNO6qMSMA z*mEL}u&usPZb|2+U!}#7)Mjl+#OuCjxyZF!IarB1qUEi{thh%a^2BZgr+To);*s#* z4p%{1QUjJm`@exqa0yq2gP2Dr@m`c2N5Fkz1h- z!)r8BvOl~C3P%E3??nomTa6;n3>RwaR?jU+!_niG3KMJ=icQ{db1mD4WEQf?pO&ru zGRW8cg_5x#XMf-ulu`4V*~hqdRpa)Ldd$Vdxh3~RwEDXDT2NORxGY{vnUIaQW4gX< zLk<{BYqgkE^LpO)m%QI8RT{L5gs9XE;j*nVi2u_#xi(~#R{TLHZ? zDt)^P8@!{cqM{`16~6>n^T1w-v13i6j8$jtUUsS)CI0w5riokHUl@v62Sl&?MJ#Yu zgb~Y8E|eBx>sdzO>6nQ{Pf9Bp4p&lU5SIv(*D;l{AvOKwz^}4 zIKy7iapXmnlG-x|AjrGY0mb*Ai^T8MSofe%X^;Ks^?oD$&Q_;{t7fvYpNzbJ<^tgM zPg7y*D4rs}_lRfzOO%O};O6}BYNg9K5z{V~)J#?3cxdj5wRY`;BV`NJW789oRPUf! zZq?jF+1dh#f!xzzZGuQRb=)$f&KBclD-(&*8?@IVYoz#Ou4eKie6?04 zv`KL0^s|kEYKN-+X3gl#uGRJjCMn2MT>ti{$sle7R3=Pqi`GS-Oi!*QRlZH9xjlp+ zQ~s@JUx36|Ct9-0Ns-%AR!uV6dLdLwbI8j8-dP&dld$hjZk8oIZ!q38ij=*yCCsED zidsF7vp-iW&#`KC%+!w@(NP-cduU!gCmJac&78ZAHCL#(RvPaXu<9b6WK3*5<)Xw< zzqEp#mdIoQ*&51uva#1}jIS=iW+S0MTh=Ws_BP^8pd=qo;9rIO(r$j}yT;f%kKhN#aTqO$-wy zq}iDrA_!F}H#6}>y;l2iRtPAqIhmiRePX3ws5X87*oM;Q?h!eEx3+VTwf0l;zTADuO`+oG1+W7a5le(%lI-1_?C zWMmL?2@RGD35T^7e62uAt=fz+FghH`5D{)tn5;0OEkE(=x)@G6V#o}E-8~`)Pqum& zm-_>;i#|m|vd$p~4W9H7NyN|}gc;6TPA*hOp)guXQ-0=GRRdNOz0{M9VlVH!YNaaO zn;n8E+I))P#V>MjUxr!5#>;`7SRKf*l>Cn4X)BX!1O@ecS%!B64qP24JS!G%i_Z1q zdzGhOQ^vI}`{`1u(884HbOI27iUZM6eRbcoC+_(8eQ|q|b(3kU6#Y`H!}eh!QNV9$L z(rrGH%trbNwcTm1=_Bf~g>k6|m&wrXe(Fo+oK(ta;cuVL?gwIq+++7X*b?sX!};U8 zY7G}3zA_PT!6u7uu8x?L40l)$>BA&5d5}A+f?)pScxZJHlwvw$gY^7L!lK8{j{Gl< z;7tDBXlm_7{(1cCRGhE0Q*mo98fw`aF4a%b{O`Hl7m%+Ww||8)JdqXx z%-RR}tfzG!U$5o+iUp$OD0w}@EL<4=ZNM?{@`})=w&me+q_vj?-IOom!9!3u3O)gk>}-FR5(9?HsMJ( zNPmi3P&6=^>{h&nZ0m~nG12FpFX0D?i3It$c6=d1Id57q*}VVu9He)VvFvoSiO#G( z2C4Ptx}Kx#H9!(C`Tm^2+W67Gb7{@dSor4NdHLG6G+^`ZWsVmxLoC0x#fyi(%=pmp z?Enw}T1#5C?=hBfrjU})E(xILy?Lb`fbtzaOxqi_G@kJ--0+=_P~v_JE%m`rcNhaD zJn|)z&%Y|}>S0-HbcVXENt8V=Z)>{clmsRLsB2X4%?L!v-QhCw<>%mn1kzf)2be%t zCR?W_e@7;FPDr5#f(Ds0hBSM(Ul*C9Lh?Ju>3hQze?&C6XFWiHXnIAnf6l-Tq#{I; zVZeQ_i(%RX#zBqPM-@_%VW3C#c-|BJ;T^Jt+QQfLnj)e)~8Ci$x`VF7YFr zs#90?hV!t-CKKJ#FAw#YVLw%c7#?FsZmFnvH#}A0$6nZc(jOwXqK9RePs1u3iqv9a z0qmF>f28qY$$r-EatSF(K7SUTBBbM!@?%7af6FSIR>>e%NI;}*DY7OL%N7wtakAPr zN|{pke?X!|1kctt2z`iPXg931(VdBPEMZ!=*6Tmwuv{hk3g6EyAb#TI;fff0@6+_T zd!+E{cdEG_-`dAM%NUF3h;p2`{LZW2{ybj}l}2Dl*^3pvAra-e|K{nxPWBhm6K7_x z^6RwLMMc4=Q7E=oYEGr{5N|GC4lJ}ukz08iXk>W$|!ayo^6jIzr)>z?Arjh!p7 zLefoI9OR1Ko-D0T!}^{?MOYrR`XZa&#)WOd$>-P=ow1)p%sy*UoLrkpA;q{p6iiCS zuz|lMv;qXF@^qWHVQ*3sbmM34yqbc*GhW!65(M2_+=G4+Ah5D4_U0>L_cCy&r^5z- z4$*oDy4$jLUM~xGUgLmZc$CeqDe%8u8-TY5_9le!c^dpr1q60FrRx?!*_4?EZ|d-L z6L%qAE}?AVPk}|%dAjW(nia}s+ca2IpQoF-6Y)|SWwSuxMB-%P`^-G#N%#Wn!onyn zNJ2EHm(kxDf{Om{@}^Y$Ch?An@isT{k-yK+HVCdjm2y ztpv!o0+X3Vco#vmO(g)iC0LnruuBgFHa?*1PUHYQPl26`c)H6VsRy8J-b{nKh*Id1=+wVk9Erv~_;+}BmReVp4B7>|j=ikz4zm`oo1_e0VfYuX zrQt8z5H?36C9P`Wcmg4f>uc55t*xJMwJE;xg}+3fTJzI=@4JRyof+8JIigJ)a)w;6 zH!ryW&YXjOiXiX>q__CofFSD#Z*7S7hprn^*uOf$&ECSDfPl;trFB7+%{vob(IVj% z8$iB0_NEld<}|{l!d=2Dq10ek88BgW9A)zy!ek5ri*A?R&zOQQzD7I@K+b6q5KK0^ zy)lTwzDT$u-BrJfldHw#NL=-p1_Ucxg2^DTXQ@Fy2uz!>J7o%NtijWL*p65i%t|sQ z0^Bxo7d-#2oKcR7R7LWn?K*e44-U-Q-Y6^v&vl-b-(I=fI*~PEY%kxt4aVJYjEH^%B8*3pwK)-vjQ8NMm*#e?$mT3I^%~vz;k!w z?quO=3z8hsbx+#3G6Il(o@ZDAbXbTsMIg%o75W*j5032oe)QO%VEE$=waaL%k$|X#DV(kkfAfSyZr2qKv)y{au6hBgO{akU4fv z*BxX9zOan&eum7d4JE*(1(?hs!ut@?<^~}6UrfKyD#~RZWWopV@AQQCuR~^P9|Sq3 z1PGeg7Fk5O?1ywZ9SAm_+!k3zxhyW+5gAtk)O`gTL!!uoz+{JX-5K0~I-WtHA{#&z zBpXN-vs2(a$fE9X8eslvpZW7w>oTjYfLP$q!(YhuWc*hzNa)D*4f7P;6#p7d+ufMB z57)0eUSNZ$>$3Y14Bk1ULQ`JC4S3;6BY)A zJUSR227DdeH_o)jc1*Utkox^nj_xLm>$epdoCmolT0LXfJ>N+0x3Ig#*crQLAqz&1 zb^ZP*NA%~PKSl0Ncd@dp{7X8ObbxiMJDEFL;D_xAnJ^siU6&C8cK?(QY5RX9M~k{fn4i(1qOpKUtZ z224P&ns+lM_8^1-pk8#{rr0q4)pf_p(tk8P;)!Z13@zbu^++m_mt4;-<75_8NceDF z`aRVeJiDjVfj|$yoC3GThck=*2)`J9XhO-s%12b5ER`jt7#MnZ#^2n)?KgzSvmpFB z1D)m=_UpTIl%C4Kkdn4@Mf*RwCv7@8B`RH}TDcEni}4nYvOjL8^F#vZ1Oe7tCsU)Ff#O1Z#l@_18wM?A#+_SBiRn0x)jLVBj=@J;w_l zJXVs-bqZp4>`HphoeN%M??BMNw~n}h__}+}9h-AU4TXPqh2gO7lSEyXd_ZCyktD>2 z7`^t`7(BEoOB^SMmJGyYXS@poDddj_|JaG$%_~Q_cOo> z3j73sE+Se)RVVl*sC?YW59ghdpI__aA#C{;*tK1s;t?Ngn_RZ46g1;p>ILIn7}C#R z=1mJ7Vy?$Ip?US!QxipVdcbSaf#t$8Q7-I$c{5-Sxv)fOeXJi-2{B-+XkA_4R~%y= z=~(H=p!t1#?16_ZyyQ(WGA&kIOWhbu3@jM+`i9d9+hF$&)a-C`76)Tz@0y07|839f z?W%HyQd7sGsg@>ucjC!#5@^DcDJ~MUN@Hx&jo-cgJ*+s2>9a)RBa2VJ zaIjo@r<}*-PE@h|fepK5yJ2uYO3^-yEB=%w$%BVh^HShJQErSU;)bSg2}}F@R`nHW?`CJ{pKK#kOFZ|^>V(83MMC>St`3)f88eLlRzGD z1w}_nzFE(_T^22yK!kZw@Un%BZF%}4Ye`&dRF{n$RUR%3Hn3IzTp0`eHK_1=*Pc3L z(-3zF%L5dutQwxr>>(BJJ`k#+yTW?G*@{;nR>!YKm{3LQo1pL;8;NN#JgB+Ao}4Ty zXjMqNh+)5blgtx^@+>nV#ZFr15|8a#AbAerU|n|KVtx-Ry+`^pD6Ck3r3aiB_EF-y;q!Q{QpbhbXXrsxIyX zB}tNuQ(ack6|naUC~UsqKpNRSPynkC=qUPx8+xSA$k4Z+!`*qXZV&BIxVA5CBDO5oLC==)=oD*P+L&m2JQ04=}Z5$PX#>pJ1# z&0zhd;!kpIZ<-1%Jz;2fA7<#3ji>p3z4HE6m2{R8uXg6KkOqg$f9KrSv9$dYJ!Ogw z@*ed4qampt$5^;d`7HJ|V=Y=DU%u2X+>c#0*M#4I?{FpD3~fO5qJ`W{G{NaO^__Xj zK@zdQMEYT0ORASF``3tngozv|krz77T*|V8%Rc?K(ziO2zY>(of?$IUwtD z+ATLjzqTQIH4#5^|5F#6qN`hOwtlT^badht_oUX}A(^9{>IJuWl_{T>2EE#w$)7r` zEr!@w^Q1wh=~dw4gzeLtiv2ZF&iSiEs}7|6V}uSb`&48*8hf2jC@yULC7&}Knc+Ti zA>BJx&0SIg#MT*LNYWlZ$ymbMqg`-oNX#Pa+r2i$ueZm-hZ0y4a1uhLIv$>61XoWi_MQl_h^{n&bZlGwwj-sx&dMaOBi zTyl0;{eN^6nF;j-CEKG)Egm{wKMnB9g=IO#)}uy)f8bNr3SxQ6u4gBreKqA=lqF6r ze;iYTykA0>$dF1bQ2@alVC+C;tGaGor>VwT!ZLXn97vZT(y{}|8%Y}KYu9TRW@W=* z6)I)lsC4W|q3eeG0SS^A8a#$A;p<~~BdKF}#UcY5uQdJ4R#@8E-Zo;&sDLb*G_ClP z6ocDyV=8-(=&=dP0sacOoMSKKc2S?u+4gf(CvhqkFN3gHxugVlgQQR5VW@IRd2R+t ze-A+xr@NW_VPb&QF4E#dr*p9`g~k5E#kV=4VO~V73%s;3-LiI+^?qD zXKEJt7tO@~d!|OuzWK0PIKH3cU_Rs~p}S9ebwR0Jvntc>kub&=V=>5FZ`cdg>UZil zQXjaZK8BGm@nxaYU-2w9D}$cd=d(GBFBB3VfInYQh+2MQkP;WmpxK*?ub9pxue2%u z(K23K_Zvo~5Uti6YXByC5Y&>z?TbA*G@mUQ9d6o6d7$hXE7Gmrq*GJI7wUeC+Nxeg z&5O9iwyU36ErrG06u?Hbhr|%h%x9IscBS6~$ZB#gR$#daeo&3(%P2NTn&{H0@$;V> z65TD0<bu!}>=_)Z!XVmzk;8DGB$b3D!vCi!45$smyGiptH8~OCD8?v0S{a8K}BT zj(fkFUxw+;#wg)}Y)2*ry#tKr2>tl-+@a-+P%x4i-kE>vV$c>=2p2DhPETiZ+7%CjUO-Asxs>X(>Qwe|RUJBYQxA;H-!ZM5K`Lle_d7nAc!RMTv+B)7b- zai|6aLy1?TW`T#y%pOhaWW>qQ;IM6D*G{xvH$>!Dc#K3+DRNR9SuR+3#Vq*c=X%4a z%`c_i*-P2oZcfgEdb)JRgos1Cjg~NPPhzdN=F&KYMKNtQ!B#vX!#09k1vYp#tDLUl zRBE}>AwXnWvwKv%%m%e%Z#<9hG)h>9BvCq!ur^C3Y2YY__9&=@uw^xR!f_nV^+gcuZEsvk{FsVlYljc#tjwlbagbj$WK1=IZRCTThp7I)*3A zX?Mq6DRtpdMaLPHMzFt{WQV~&tS*g|Y+v(9AcSc}eOR8MAhbxEyrfcsUtd)Lfo@6B zqk z)st7+KZgaYCFZd-r`bn+wSgS+lp>nw;XZmmP9B~K4V>y|W$eAqcBE%Bu3X2wMJH6l4-eM`2U(C?pC`&Sg z6qfW6>VA3jq(RLoX2q`TiWb6T>#siI4FfA9^_2=)npjxlY>Zd-?^bnj9oH4x!CMyP zSN8YWYd>8r8qLW$cg|DDiq#Sor~G@EmKUq-bpLd$~SNW+cJ1x-RPUEQP`sUU@uy2V-D+mi(QJNg;(;*&_DqI^;#vcQBU&2grO z&M24fERW9V+pH=bU7t}$SCx6@ZO?)9v`5+5+-{ZPUqhf%NWzD&W-XD>U$3SvhzX!N zNtYv`4&5{utm@$)G@;ac_lu5M-|-I5C?msjR5xM}ZLenk@zsAXib85S2xD8L&*T%s z>Nz7)WxCUno1cb{Ji1zBC4^rGJ`=v#K*R4=859rx^l0ku|7v)*d(83I8kvJYXG542 zz7&Xx25(*kEE(Je;eF+3?X>-bqR3B7f-<6M8=clJW7iNqY7pF?c`|a$!Li}s!f{lQ zARpPE!us<^*(a|5>%XyxdCZ~v8wRDbin!#R=ZxS7D2bN7jS6!0Zxm`MVyI}@CpI$5 zmm34>4G;S$h$5n!ljMn;bgh`gi83>b{4-*4LZ?WuCLjJD9M$8|hcSH}u0+mC z?sSCs6}H>DUM~@}`1fr+js+R{HjKA+WVBinQ5$<<^ilF74s*giyodmoc?O9jHM(Z0ET-nQWJv)$ADK%c?pPJh(=o5Y|ggz={aH~g>P%D2Dj#ZJl3*waYT-d<_&KP{P7_snJ&U0n(optzW% zulpYS2COm+e__)6ffqLuzyp_>8a#Gc^zEM33ecgSOcx6MvT$xR!q^)pxAToCa%LZ& z#&y1Cs-py_Uq*vM8F7q=ict4&R2EzzPphwFiRRx5gO8GaF>;-ako#EhlTA#FA>~Yg zBzhL}N8`vS?=Dzc`W&xl`?2^0*!SK4cKx}A3TgQ);s@4afgfEvWllN1sfhzu8g1c< zTlZWt)axkxd$;L&9|AREi$$})NXUz~JIh^yKc*rFoc6r0mt~^C<}e#f0P(QS zw-i~&Gmy)VAZbuqGT$g2B88R5^@E~8qRRBLz-q}OswguGZ5axAD*)~CNm=88&xCK2+jqdQH8fK&-;~WDYu%TqK1K*8 zy#R5Bu|#$-BvHEZMWbLwb(}c4{Z*d`O6svn7f)F$FbCQ3;B#_3$61$JtFW-J^r!0L zWejnAjQe(4qYT>I?G{RdJzE9(-+_*wPKrO55b^CjmeMNPRP*I3-IuZY{K-QloP#sG zYz&Rm z!={l*pg1@2HKhl8Kw9r4#A{M!_9gDlx@%Is^cj>)`#IEYE?l|7&*8ju+IppW&~>~j zG*^|rZpq5Y@t?IZnW3DF%A8)0LQBjF(fUy!VORhMbN0SkqLCQVP{GRLJmqX3(S=M> zn#Y|X(WE-M#ysl}v=|hvdC(tjf8@W2!}|t5RoJ54QR?Uh5)LtO2_1Y6Pz}c2`U0Ln zEJFqqWE+#nhB4yCLwWRt^H9qxBcZUIEE6HSgTYkrS9;e!XieWl5PayGs%a)Vs;k(1 ziyS-!FzG~jt1R$a=Eq}Og-a2`(<_5?ac*m zBKC)AFJG@q6%r5QBkl`4GxyW_%V1%KZ@w@1TupucZa&}Czs;_Pr;o{4zEu+VVd^Q< z`0~}5>kKpL;-NXiy+$fdT$lyXwN3#)Vr24^I*3>C$+NTmY2ymZn#%I$;AT~9b`-?Oa$NrHPbN`7K3R%jN2V+IeA3q6fCxBik={& zx;S?uOty8s3*9v5va1KD(uhg3FEu&=yVz^mzwi`Z)9oW92?F-ALk4N;eh^D3>o!Az z)W>yAf^YIGgRc^C-H_cowK-I|a_j3rqcqBDo^cL&>+UU#uE6WL4=;PYVSu!+qCIRh zgInb^NJJ(wf8`bL(S@wG%sm@Pn?A|?bkM!+G(zA%j!k-qO#7#sDgLrvnnzpv;g4H8 zb54bsJczg3yLV#4wolq9H+P2B%a_*$f1~x=wPC)@v<5Z{phSULcwq!g=k@D z+RAT&DfEJhd)M|+7HOuD4>}8i$O<~;=A|=wx;ME;J$d}H_#%17V1Gy^qaX6d#&@&O zX02HPPJgaYK2LF#9dIJ&8Th;>9|bo{_MYKo%XUZTdxk;H+Z|Q!LWTiY`15uL(qmz7 z0Q&!kVHe-J$3hNa>hdW)^wu73SO9F-|KC>lG{Vgy|JMOXYc1b9FjK$n6aMqYi^%%= z+z)~EAKVotY5DoH@ckpFr~k2mS&c$<{NYucTJxWdpCN}*FthO@d7POZUx#mSkn`{n zgdctg7>k+9)&R(CD#V9Mb2}6?{h~X+$>w)fxMOQR$FyP zBV^l;JFgCcUVcAMhpd2v|7!ey9TP&%^Luymse+pgeJ6c^%(efVbo9YF7w;67kU4Vu z-k4K}aQ7~-Am>^C=M)3!(7Tgxf5_qfd!}UO{q8bEbp~>AtB;45l+Azfhh#i{^uo4r zhm*7X0Zf?{S7$2WT%vA@o9B{oi&&j>vjI1ZX-_`;_f5T@Rce;IZB(*Zj3JgAVKhk9 z!1wg?A@l9Os`Fd7AN$^E5?Zz&b>2^OTjTa)<~yc{1t5C)o^29oh$Zj z`bx34QcKqCmf3h$A~8@5+>-vgMi05104 zgu4`OkSQ9$-nagML%_ucd3Zi+H5MAz=T|`WJ>p zWaE$Kve&zCkCZm7=yimE1h@=ZgdL+wj z(407A+|a`Tx8gL?QlOH!JH4FvL@R=hvLzn@@ z{f@FVP~m9Rq^(T}Ed`f5=EyNc9NbD+rTE3ojc?GfffvD=5sJK((IPv_dyrb(>(ZZ|P9PraaF zfeXGg8O@6fh7zoMMhe5NkS9|(N?{I6zO1wqD!8}AwL<)3{*Z*ppP;5fmb{`UwOKWz zrXJE^T^a{{on;@PN=<*GvSobyGn?X4ku}9zpep?}d_pnGCa!7zi5*I;+7DP>Qgnj} z1KSCAM}6y^PuHfZcz%AVvIHyzoXmR)|GxZ6>o)&+$sauXvk0D9&G;JT5#=S~$}?%%O}8<@HHOfybgxU;_Nr&E#yt!OLJ5HnDKFHvbx+vamvT?%>mOtcp_tSt zVsI}s|3#<~I?s-8X=o~s{bKs3ZEoqvA7m#25KG(NqRF2*Tu-lsRdlH^>zTqD@ll<> z;z%n>i!LgY(ra8>F-xk|BB{}%O1K~lran4cA`*g;K~I@E9S}g*6MQ1xM+{)hrk-+6 zb;EI#32Js}7P$&`Yn@DZs$j8fC_+^j0$qOGM)2~WU;JDt@-snH={%E7@g#pcBOm@n zvgY)snz&DTe*zyHrc7U}OS3)j{78vOLGKJ<*GfGsSh0oL#Y0o>%;pr!)gvXmC}7<|hFw)}!c3+JLa6di*xWgy{fn$e{?i+DN!w}+ z>$?jNj+er7;cKU>CcN#9)Uu~oVPoc#Ol0e7Lf((Y)Zqj#222?p^q-h#Hi*M&=g?lw z5tNE22vJs5R3YS3noEe~#8+ zT|NkP4*w!MS2#~%t0qjiXOA{%^NtqiTp0klI<^+|{g>u*MpO~PWv8Z6>dPK10IzBg+Ipz(W5uaZr8;l<{9pNh8|Z%dB*{%i;Twa&8sn&W^}w|Je8- z%aoPW7tPxJoty-*m$PiKCQuX2#kak$+mpffna|h9d&#YTN4Qn=p5K!jw(yFD>az^L zhMuy02=&+HA@1J(?WlP|F!n&WL<+*ZYuMq_5r5_E#4L`N$A|DMlw;$5{o6qi6)MF= z4#Nx}tK$AqS1SF15X$e!w2sK<;C#<3Y&B^g+u#nglKnA0v1|Mg>alXO*K}GG$Fw0S zrO`pngkI^)Cm*9goTs)k^aVMm9_84A`Bi7$N@vdd-#Seqb9xF}zEbq3}Cv*JyhEb#t zz$#9;VkRc?M6H~kUs1e}0H4VqEO<+0d)isL?f*1!-SJfa-`|SM#qA>V zS`m>VBiw6bb!B9WkZvlYlD$X5MR=2l$m*6oZYtxN_g+er+m&(YV~=dEJ@dQ1<8NLtJyZ4iRDUWSBY z<{`~%qc!>XlsPdllK*9Be;Xr8boM}RxLl)CVW}Uhop5L_MS_}x>aH#kop=F2S|jdd zWaVP<+sI9u1;!sro4F>aEMJ-KDfKkNzRUOwIav71pteS6WZz~~8<~?QAVvIk7> zWRZ03v?y7J7qQRetrUCsERiDuZ(>Yo;R#5T5+2~DBoJ1L6i61|O+0~DT*&f)<#Ro$ zoCI~2^=BR~5UcDLJS-C){JF#xf&vM8n(g0yBeG5HQVQ}tZ=W8BA0~}A7K((ue01L? zS(y4COP*Z&z#G49EZn;g{Be&xUS5^-LjPnbcKwcI+ z>E-qdxR-e7QA_cB;@civR0Ioh8Qf|x86jJzF&Xz%;!=c%W^2XkDtTWDeoP8yUJ}v$ zk*}$&z9TdK{!XpYG9!7P zm=DfBFZMy)^lH3z&69-D5EZ-qqSzE|>yxN5<)tlLXBLm8ug^G*5^W+WU;-oRZJ9LQ znzqSP z2S#G=+Yh`|-!F^B$g={sNp48%%goj4J>)K01&4u=t{@<x8VC|Bsi za#0g=Fg!Um$$vy+((S`kfaDm5e)vs4r=4?PWL9~UqLzM3{11^nEO$Icr4ynfRDc%O zZpdiROe4)&(7twy)_cz4$)burej47&z21O=y#0bD8TR9|mtVs8+)5HmSPz!$^9EyT z)NWB7i&+H$w9QuXy-7ERNl*x-3g;Od<(_H%*G+Ugyp)~(#>d@JhxB-iKs))lb)Lnh z)dBJ*2&jFpCOc3Kg`^&c50|6LZM-}e@&IvrkY?s?AQ%}426||oi?@@Z z{~nrq5q4znxwMVj+h(b?$hd)y`F**l%+HAkWOK83vz58UW!sSTo5Yckbw zVe3p)niiGTsH@hBs~T8=wcEn`>I?j0&WlDfC>d|bR@D-8l*HPO$WfmH@cKl5C^Uux zw$dj4FzV&2XBG$LU>$))C%Cek1a-F!2b)9gKBoJ=wHgy*KI3NWQEoEUIq`6_#IDs^ z5tt4s6TJe6)mh)n!2HobiYv=r;*wu-?-9}F*kz4fYty&mMGz2yiq3iBPT)0DO{-AJ zUw5jDrcjj?i&_-{l7lbFsH2iU=dD$9IBSW(qlezdBfi#b04|<|XPSQff^|54=g|O< z3y+7LT@aPTJJ5v#_BrT1hf1}g=X01CX1!dAaAW=fMqRXBouoFh>0a(wTzJ$MF_UnL z&8fUZRjz3BMqra}r=p7CJqiY1o01(9 z0+tbYv>(S_?-p92f^>)NcNzh0-m)t~>na62C(RI%LBo(b%s{Oa?8V$I4oFR8`4ng{ z^#&h$(Psm8T{3O!a~E{r{So`oHLj{MVW$gAhH)w_&`YaVCupi!!bLf4H|^oHfG`G?=u>8 zPf5k#a@8}*^FemyhqzPLoSQkRfyD#+An?kTOH6@)5(LU2(lI!8Dr^4J6*HM@Xa6ct$3sP@~a&gw#LM5SqOimLac?O z3$E_es@NrMq@nPWlO~!=(I#X8^9`rebaOW7=W_te4CsjyznWs~L@>I&j|QPiek)a# z_>XWAeECt8A2I&1Jt=)&F|JEyy-LH$%A_Y#h+k1%7isInq6U%}xaC<;^PqBZ?agAi zk!t$%1z)E)SL2%FVjy8kb311~Fa{mBY}jI!7KYoQwBA6C>+T$tjU{52M{C58ffz1o-Y4=&JVfj3h=>U_o)u@ky9Cq4<# zxzDpLhN`VD0%9VQR8TKm%hjFvmm!m6LM@vaUNc+(J7MoWgrj zv0iyV?$=;)~8Yv8AE}7 zEaQ3hsRqMs&G62tXr4G3st@`#M3%kh z0Md&zn3>x-%RZ6!e^}hX~=lK`x0n1g`*H#se<|_GeW%Q zaz5(T;QxoguW&0;y*ppy6LYTb*i=vtK`y~N55t%>wBc#HIb{I5Y% z4N_Zpzk`XoNeat}D87^^?rdJGqoUhG#9l zo=}3ACn;Lj#~Md%H%1gI!23KcrbVocIn;u6=>`M)|8MAx+P-9^@nJgc&V#)bmLpcP zgtd`Oc;PtZYg(iIZvt>d9H>1z_TvkgQ4py5*E)7>6=_E&f;Us&Vt9owu8CyYWqn=B8QnJEZ)vf zkeB!W#V3~LATd}M!_j33d|4BX6p_wvnP}dFLcAuQPV;U;iYDI;2SnLs1H5t~sReL|# z_*AhO{ZaSG!z_XpjT557*#lzKWpE0V7c%VrVx#0YtIP4_0iK9#eLEt+Nv6DTY;@imPwUE&z1BA_!>SV)+qfaAIV|^ zR%tv1R)vmsUhfdpVq8#m;;+gf^l?fsK0H0V>{&te;)v-M;Jv(Wc6Oc$nHg11g-3 z>h+<>39r=hx_v@DTVata!&YO2Ui}81s*FMrz&0E~;BRLz<rLfi_-RG2mL^hPK3(co{3jer>H!|#QS`bwjWz}m%)MrDnH zs^4+@E!wK@$}K7VJhQZ1OYHvKodpjEdS<07wH$Me=XQORL)H3?RzFN8J^cW$aIUL< z&_t)TX`}qg{8vn&CLB%3>s^cUJFwg(xvwsl1S51C6`w`GE6BEZR|RiBUT?oRG^XC# zZ(NnAU zvdT0HDs}mto{Sr!=SNGg3N{1-l3d^Zc-Sw^nd8Zy1%YW_yNZOub(TnmKvB13!;`2B z8}1%IJA9Z+dir-c*?Lg8q?83uCb6TuOXu4DyA(TspR-NIb~_LEua48NQs+mhdO6WM zWdHe}apBPf+l^&tb%c+vpV-76&qPBx-ZtVPNKbV8Y{-hT)y5&@pDw>q;O-)=j$$Jc zg6u}x$SUnbsGvydil7n8Sn>sM#`&d#7Fn7vDkO4q%=~?2PfQMDLd)DnI~gtrOrGEn zC}acH;l@PU`?=?y;&BgRh@e5Af!;u&>yD+U!-!g%+K^=ZFLeXJj?UfuW@|f;lstKW zq_Jz;cO`7xZW1&KSR+gAqA?bmp}O1ytgr^l!9vYv!j+G)5*0cn;6*0Du?F+RGRL>J zP;(!$zUW6=uAr!ZUH@OUg zrv;D{F19W}+fC*EoI8tJ#$t|GBR?Tq$rPv>VYnCKP6$$eteF12+BXej93Oqe9xj%o zR1mqj+#>*qb}z8cu+=IE9HHm2mysPQmiF zc;<#(syLx*G{LP6ZT!lcnF1Y_&_G&l#5e~QiOW5Mr%7~7LOhau%NIKOEW4BnW&&@gWE4d1!i^ONtkg>EBk;3^fAhEC(!|4dygKvu zFCJCV@?MNb(ayzFkg?hj)0e#ScU5`fvxU2!SivhsG+}0s&F8v_lAj#uUr$Be>=gFGn@k zM-JufbHo;RyzlooezTmGNACFh_rU}A*$@;$qv`eykdWuZYA`qwajW-eIL(vA7#>FC ztu65^(cZXwMAYe2dSc&|zG4JM#-)Rl!Z3RMEN@gX-1jF@!Nwyl4^yz5ccp0$EMi9F za1KRRzS_PL{qQH~%~aH(VNS~4n^T!>cU@{V9-~5gLoYTht@A6s(Ov!2>Dz*&MA~<` zH|CobxUhDZf zeO-lS7pM6Z0o6j+!`+nx?$r5X7CO4);~;vjyDoGLo?^T#cU}34gIpn`r$J)N3w&HW z0;}^vDP-*8tt(pePH*$|oDp=LvZaLnq=>Y@4s zKmDG5TZMbf<G($v4Pa z&L@AGsXO@UkDH9R>vSDq$EOFy#!UAc?Sllu)CBlV3fM4DA6dudiN#)V;1k$TaAXlI zqqFIh?`jicR)NmiIv0%GiTf+3{A0%D=PC3jkhOX|gF)fPMwh16mzm|how#?TqJp0~ z9P$BT&Rp>ySYnE`k7G%#^#VpwvR8Fj6om`9M8eJftc-kT;FK0FV36T4P^i--ZXw+| zF5bZQG88EGQP^15lLFZ|v|KkQIT4b5m(#DB{=RUlHYE{-Fo(0m2S@Qw$eJBHjD)UY iqeftK={m`mTqB)}1uiApsqw?33TGJ8X&IuW=zjo8u(`7U literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e21f1f451227dbd6306ac171153f4621838c6cce GIT binary patch literal 45090 zcmb??b9Cj)x^2)=C+XN$$4q=f0c~X?J9{%5YkW2uI(&XdGfP8!dPW9(ItE&XAG8c~ zbZqzv;`qXjmX`Sc2!AL+0%idLX_Wm{0ogQL{~w6 z$?J_2JzA|bg5UdAYcxism-vfrC*P!H*6ipGhKoypFj>$R7(pn$aB{!dMrO^{^)j2A z5BMja;3v1Mj2v=wKbWE1ujmi&PK82g>cW%KOT~3Dl)_-j7%?;Du<$gQSUxxRQ9Cl6+d9kjySj?^>eXly}ag$62dIosUjO?^_v_5OBnZ z9fFSXFO89VouW67`5Y%qKj1#abh5#z`>S_HODPAF;2jYBiuSuqL?>y=7dCNap(o3! zGBR}d+UBQBuhrT1Pn~4gjP&vnc5CG#Z7S@3sg7LV?{vRIK+Y_7E*_V@5g-#Nfk4;3 zocbU6D{M2zz~e)nV~)-sy$M3x_Q^+d1%&Nwk;pr2Gmp zmx+Y;gVNE8w*!=~qLBaS0tPt2aWgXSvZ1o&fN+}e+Vx6J9>%7B^HkTVgB)5&{`>|)%qwfZU8{X01jXiGo)F=n2Q!DC5N1M_O<#xC`Zrh4ypa#&p zQ=sze-gT=(^NgiW`wjK2dW%XeEX`k_FNcxb z7T0^#zYlCO+N)eOwlYmg@k2K@-8t^OqE+BzonJaP!2hf?^~!q*`d4Efg6^RQEbS%! zvDu=f-Q%*f9d6CtQ`dIdSvyz@%IVSSDW#%p^??dYva2C(hlYZeTRBPJ*BagQPs8R{e0TV*>vO;&(uy$=WeIh zyn+KiG;C9;;G3BTEAg&l=O5oUL`U)h+MwP};-WVE|_t#5UF>E1k>ISI)pQ71xg+j$xpy-?R^#nJzB zS1DhC`FBGS7M54h#*ujqBnevGfm+=CeFI_j*CEpPRCCL6HrBYfc?tQ84w9u|sMj@- zG6)2eS41hi3@y>lL6ct+?c(p$BS*fP*S9dBmkpw|I4y3U{I0o>;Hh@v==<;wIP7wF~?~OMZ0ct+mtY}2a`IWT?Y?06^o4A53 ztg`1Cvcld(C~7Ey3gw%esjI45fyiN7Gl6&BIc3u%GM zzZRh=eb4&(<(GF1o?=3&^!5XVb~o@WbI<#aByBpMFt2!S0c>X3>uYxs<gHEO%b23@fL~ z8l&rq+tRoFvr(Ijcc#mmR-2^PQlIYp`S%YKoG4ePWA(|1caK-ab!f1X7l-M-v)#hn z_gl+ynNSFW!ivrJn;eba`>B&n2+6Su=crDH`$|UYO7~Ve9%tFlk~ed@rm~vHy_Wz^ z_n_O5vg4P6mHgrP#u*P~ zcRV(9PqpmZ&dQIE^P3LV7ZR|&Zu|>h$Z(~b*tM_ATv@U}yD@Ddmn(OzC8JjCq%98=`iBmLHrE6E~!6qpTsLO(->71 zMy$xcZ*Md)n%HqQu#qtU4#8W&wH&pee%W|6xyims^7rKwNptO4vq`SV@vJ(86l;d3+Jbq{B;&I$P4lDX z54DYCoVF|B&Orkz&XkMxUr7VsdTxZzikHtXD?Zmb&V3JM8p#Da`iIj}~<-cG$0HS7kKDU6A?5SZ>7-t@G|78!X!uPj4@+ zxzt&jjwagdOxxS>?jA;OFKe6@E6ki;tU9SmMT#9H-Q4=$#wtvHzc?9>&KDXa*S}Nl z!?mxwwHD**F}y!5Z`&v)a`5kWdu>>IWa8{s+fO&Hj_AyH21?xyTYpNL4&hmfrEvd- z!UCMr8CtCjRa>Km4NEKT{Vsw6k!I_!UMbVfj^ot1RajJ3o&$}6&if{j1PSl3drGZd zNA{PEOFY^7;iX=W6ZuXUaYiTOMXZ;*a4=%d{*#b}oJ&v7qf>ty;MLB$%p>mN3=~4C$8ywkxh5+>vAJ1 zgVk~yo%nP&?_+?_M@z=xeEJbE+X7>B$?0?z&8YBpUT5qUx1OdbQ@_vU60@RRd@}-P z@{IjvU5O@c;<jyIGF@!7a8czz z$E5XL-mCc5`*Gu#Yjw3#)frhPA0p=zT&GPU>e4}aWT3y=F7tDHzB1gXbJFf&S%gc5 zMcaT|>_EoE#-OB;?Cl+!OgAWYG?64 zlZQv=x!w7yNR5Z*!eL?r$2%X}{pz!%^@F+COKbJ`!p&NDX7+V?a?C!j;$ixE#`!i) z;$6Fb!b+t*E|%rFB&o9mFO;QBiXvU?`1sa>%J%z!ny~vNT)XGF`s%9ypuw|*di37i zAiT+a_c8VAZ{@pzXK9fZb>7?3-7;nmXEhrCB*vr7f=X6x5Du67?s2EnI#-Zq(i7<< z-ryByZlkkGL+|bgyZA8gT>IhRP0Z3%xR|NGPyc-Wz9ab(pmwZq8nd!OuYFN`wSgB7 z=H^}1F6(Z2*?9%M%5c$W{b7*j{+hT%KOkbGW8k~d(U5d^e=hmrf6dNy!^JYt?b)%7 z(iBdzW)lassD8IT7VXA!d~Vey^BUBVY2h_9`MNb8DD$cy)^r`zfkz97F>0e@0Hod1 ziuU+a<3DZh?)*RQ{C^MGUcC6bo=jc-_Q5+HUF!GXPkT1z7VC+)Yo6Cm_Q=%unC5{{ z$*_E4%q0FWcWk}$*J{v|RxF${iwIuG1`VvT9)CGv#kkbcQ9+&qk8ytQ>9z=8jiGJ%lko*#Sv9w;mTXxHb|0(hm>STn> zM5UX59A>F?HMnquB#?51r0yN^d4!?`lx2~y;&DM7S475er4-czAF{;05Edn_RF3gP zgh~`G6=bW_ydW7bne*us3LN<9apihyP>+j56O@=myZ%P+H>m(#dzAC^w9q@XN*9yq>$3|zmjo?I=WgAXOwN|~W8=;_72<#sViao-lX zuDA*m64r6do$L_MR)&Q%O-a#yW~XcR1^h!G&tWLn()2{4`dNDYQYU>QJKMP#R`RIO zEPgrMp7-bC4DN{gpg7cMQL5w%4>BMMkvRpAo{1{=JU&ijqEmnAzH7!r(DPt?$aquI zHZ!D0$}@2W85W7l)3z|H@qC**aWMw{{hQU=^SkwTq{Rk$l;Uc2&dj#@+@|fsHji(W zBYjpAeO7>Stk39Wlx|ihFy(_`>@JDmk~S)Io@hfWhi*dy05t$q08j!z0RTAwWB`x? zKw>J8rJTCH#?dvVz#Wc!^ibz80J;FU zM-Q!3q(|2AG$8B94$gi$(8*T6AijxU7T>|Litl4tAq%molSAAz%_Z)b78di!jfi~` z#v-}?OYq_3t7wsHEXCWbL95UtqFf%|P7fSRe<_aue<=rmEC4b9NCO}RfFuAC0En0L zT5t&Fq=)plR0j9BbOJC5z$O5f0DJ-f2N=TxfL0DGU@n@BeqJu8L`WjIM=yO4cXvLa z|EK&g4Zx4FM!!LS| zc{2lDi;fLIeu?VntFSI1R0ovr!JvCWLV@&@48HWJa&xP5t;iIY6PI#x9 zr?sru5=<96;RO@-7ni;x(x)2^oLmDX+DX%nMII`n%1l;<%TUu+crCexW^G(d%OG5( z&Eizn;{eyVX@zEc=TJ2-X?TXPqNTixpp8}jNZZ;X=K=h6I@Qb zufD~K-ooTkXC5rh1CStddpc71?6^Ps)^cO!rS;6zS>chYqmprm*51Oh#?{;ueUwdv z&uxMLuk!{O-1zfL9}))e^#&sFH4UKl!UMiepaj{mpj$vv3aePSb=441fBqdSecF)! zeD>P%oVFDJYNGs&=Rk`AavO&Ma(D>+GQ3;SMFoc6MFapA08stmeSgns^bTfS3-y&d zHp6971ffXm21NWGm~SO0Qyxl39eS1?K{}PVO?#Q)Oz&WtB+ffG&zNYWPX7n%)SGR$ z&-r`r7eBY!>|p1UsV6u6pyq~?Ha$)fm#^s;bbRV1sxyoQpKK)kKaRgVg#6;F=PcWd zubII;smsSyZ@$dy7Oupj&1m>a7j|=n`b0s|pRxYx6yA8w({?%4#DJS%zTz4JJ@0CE z|D;50p=BK~5Qfn@z^KgW=^%tFL*Qgx+Lv1M4T)`kno;QJ9*U{K_#6|CC12d+HCBUN z>|^IB-l`%m+-kM+@M^=88h3S9P~Q$(1-`A??Y#;H|ns;@7@kf z#>{TZWgk_gcZNa(hOD`QBCt@fS@+$AF*{G+E z=S}eF@-u#hN6q-DGQvu_~m{=XIUNBO6 z2}6mrS&^_XtesmMD|ZKhob>F~KBl@7=;}4Ii*_&b`H*+55-?G#^)tl$`GcTyT8reV z*htG-hCqSexknF0o3d3}na{c36UCArXGCa`qDw@q`Kweelkxm7=-v7%50mh;;M76- z)vrVIl3A`}Rl{|wCVTK_rJ@HpTI+AZsY=&W`UMh1J7F0p2CcRwAUUWaPzH~z%_F_x^2}~bivPl_7U$}$|cmc5q{IA(47ibZ;nXTU?gp21-8ytZqR;rhZhVob*9I%cym)+7s}(& zg}5p7&_+X;ehvD-9cpyICr*W#SHiL(&N2FA9S8lg9_3-#Pnc)|*Y(taI~M9N3Hw+f za9N%ZqD*`lg|B3Bz}ueR)GYb=;q2Q{Dh@q1_68{Qlr~Dqtb5#+ z?;_Pw-j0?k3Eob&bSIs}Fe3W}E$7c8Nl469YKbd z7Y;1QsxLWbQJ{6o@d-3%1!qyXS)Zdp24KT{Ovwr9MCZn2Vqpj6Dn4Pzt9wc030+?t z3aITaQovT<;RzpSHkm!_d9(+6+Ig9MBn$lXblJT8&gfU`R+V7zGlgL%)BQ(Nvi_LS z-qP4%m)~s`R zS(9zoM>{na-}xD;7#$>1Th^3ePKsm-SV$D)Nv+c)h(p02 z_o~&H2GSVXO60;wkbA77)3_Sydd%kRC~vJV&(KhneLGajs46BTkSE3~*gYF6;c0!8 z#;1yr><4wGuY|K5ngfMb5{`!FpOG|IOu@~5-VF4E$%_{%6&l)by4~)KhYd#3OiJ%k`>16dhd;012)5OTh z)py+RUKn*i#U4ZtX5X4Kl@3nW$zXz}M7i|lrXoP^d%bQNgCSI5T`lUssAS$@L;32r z^A7952CmmeEdhdseK!Xs6)_LAFV2>Ydq=sHp*6hr>hg!%TMgx}4K`eLj-T5CY5M6w zIIsB2cVJ(44!Tir?9{i-hb|hp7De~{ki^pi^J!Vl;D*2o7l50$%mWiGLf?7>6p>RL zDdZaFDwG?xD#$dPsKqfnKNik&+9;+?wF`$}lpq{Wj-F7$C~qq+d)YE%#}6vz4@xeW z_1hKleX=@ZF4s&&q!-M}@bI{(L6(OtkHVM8_?IwNljEw#a}3XNG!q1MLqj?WQuSNn zVPs{-0)heuIiVEt3&~Jr+S^f3%Xk$y&hI2oX-Jy8w+QC;7O;&sd_P?3<9*vwpj($^ zs3)IB7w64oC>cv2qdK!DMuwb7(GRQhsN{=?1nj?G87bXZbE_7yxiB()HO@BvI)h<; zz?z{w@_ zZ^P~gL3`<@p`5-JG-Yab!KotfYpYfM%9Y1R?7BJSlqB@QdA;8{h|n8}?!ki)!dEFr z=oqCRiFz%EyPDS1sBjt?6ZDvWy7so%Soq_On!j1n1fI|pAavhw49hE%%UlqmzQHc=ysiR|s zfZ{COisB+J4t2RTX00(V`#4)AQ`*i<_l%ajE=m428L1CgZHtho;lVbstGVl}%f!o1 zzvFA_G&{*9GwP}rSYLy*toqtguZFV56T70p-)-(is}1LyuWx;!+J=PUtNu{qwBUZ9 zyo@E;XQ}caSXzcT#1Qn1W3K^G7xf+*b zs~MM6s~KYgSXIM&{F>Iyo2PB4cZ~o9w?ilJz<{>rmnTy%WZrdW!R+%EiRplej)rBdl)dkgs26{%T35HqYm=wZw zwsYWeVFWd!@@h4s^?0QPDIufssgR%?dXD*}vKs3#iqnCd8s($0nuR~zAqtBhiBjLL z_1ETzwyE$c0F%ZsCuYKcVvVVl7yRgp#hPi`3WkEg`;R?WbqMox;_PR(h>6rt+Qulo}Sl{E6rw89~EDu2wOup9f9Q*Omb45 zmC*QF(<%}SI-wDA3|gU)VYnp1{rHGP!juGJR^3uzq5_W!ebF#I!!5K2X{yn;p$QQU z4W>>LkEg}R;4@=u;BC;Y!FEXIE5Rlvqz*!qN)i|)8O;WZ+sF47PY^h=+Y$&V7|q^~ z-JkNaWGge`F%i2**I?qDsN>Y8?Xz2`N+qMsUj25qlu)FnY_aVR`1hB3EhL{B<@c&G zy-exvvF&6$hL7l3N351*%#A;s%f78|Nk-O))#Bp=7m|g|=bLt`d8fx$3py?^lb_wiQ)3MTfHUK(*f@k3`wmz>Gpt^b{L4dl2tdfB@skBp7lo_X(=r+r=3QR0NU;DDo_gM`gcs zg9zRm52(2I%oaq*jvoCb|Zqmo?sDr*{OD-c5Tk^TIEEW1NKVpRiTUPA_T zq(>f;K|c_a(;(ImNwSNtgJW&0&i>-|sUBsRCaeUwX~Klp7^T6NoKY>m-n!Hj+?y>7kkML# zt*;HIS#&~}mP>LtquPPoiNY@aRNiN*u+UX<-_-IvC>qqdHW>H&#h86>`u)ZeXc8MM zMpS2rVF_O4NAgj$q({3)BrtoVK-`F7w+o2rV^Jl|0HK!-6ZB#n)mE00G3?zSFld53 z8@jm3!)o@00#maYewnK>v@MLW6QHx8{8igG(vij_R8Lm*OOi*5OkFXAnpEW~ez|X zN$t$u=4MTtYc$Md;c*?)Uv|J?AN&Sj67yi*!&Si52jMxYxq5yiM2@$~_u8DvAyLUL zWot-eNFb%o=>AY|+S(D(t%e%&S~wEAhG%F6L=Bf-Cf(s{I6mX`;!8nW<%p#4F4d6n@h;cjUc&2CLpsRia-efa z~7*nW&$Q^oT{;1Wi868k!yxG)7rpc=NlvX6PN$|Q^QX1j9ham-6) zc~Y=P8Fk&pBv$5@fFWi|v)LX8nH60DF@t`pBV+R}$3CXu?D#_cBe!6qZh?^7`(9$4 z9`0&!c4p4vKmp~|EH|gcaT3g@1HPQ@xtk_ngM3kD#8{Z*mW%{o&`G22xu-H1bQf!I z$@a++AKydcuDOqY2(0KoigBOfQ8f&@D_QUYGCFR?9Pz$P%J63q3zzA#@n1Jsf>njN zUhRq(QVQ?aG)?UzrOCn`_Utae(gLP#f`@VK~dSFQe(5}%*i67a$LPD0( zpAIX%?8nhdq|lD3&!8C>-v_9Lz4nwrKlO;sE-#3tN{=@O6x0U9xi$oF7!iqumb00` zYOoGxQI9bMtL(NJWt`}dHEIK%Nl1cqRY{|CmwEtCE5isd5;|Yv3@jP~Li2hXJFEi}^dE2t;jL^RSIyBb(ecddRlVAl69qeAVJki*xW zgp#T`_Q#dA?1(9AT@#m9xWp={a1NJHW*E++$kd#K)|Tme->oHk)mxvH{roBA#PvST zZjB~`ARQ&M(K43716}+(R$eReBB1O;&pgd&Gy%?rOND1IiJOdN7hc=IHi(2q04@tA zWa7NP)>ecP$8?B%;DJgf!wHC3hOAdR0*I!1lsq()yFJ&iM8HXg+6IH;81SrwQ7giv zzio(i{-(ayzXHB1<86v1>2xK~dKAlumqWIod(Lo8>RdNU%u$z{&N1wYBd0jXa9vpO zhq28EFlv;4F{B577^*@5!^ZZv2B#jt92Ef=43WPzN`IKV5&-k8__rqf52ITKV3zg& z*7W^huqyyev+duS*FVfsWxGihcQPDTRr8uqfl~}I1hBB2m;fuNV)xKMQc|QP2y6Gy z_h9|eFMykA7e9^&>x_K)2d;H2j1~5{fwQRqmc|9s*f(%n93AdS{h4ej{QAC-q@;$M zWZade;-MgBU`-R+A_&1Uw7SuF0OcA7X9dzUVN?Pki1YuQh)r{HH!G!dv4T0`xGr z1OoKDK_(0|zzJFmToVEMI(IiXz))Z>IN$`qCh%{Y-m~CUN&Zm0l{!QyFP*Qd+((pA!;nwW{7H$Hq>xcv>_}B zgKSauSulk@!H1b1z^xII2o(_*>LTJ}4gs2JPHIe&5VZu!h}r=vrT~|%#7ftL#Rm09 zeVrk8q)4|lrcw;6bGK6((64ea1j(8wbBf%reeQuNG-hUI*XvAz{cyao{cyVMD490w zZ#$zaFjY2(5>u)^!$5fo*CgYhX`9ld=Wi7b-(LOX%5^-3ZJGV_mLV9+)92qb5vZkr z2Jl@wI+6PU5>2JxIikuD6(? z@kobW$uEH$9_Qd|g*4Zxa*3rF0&ZOm$dm#`|g_l}rx6n0gs)>DeX6itmQpzu>O02r_lL7Z8md3B)Ig;_QL3f&5Hstm(|zijbN zz|IM=E&&Dl9axykZp-6s?6yu7&yESuALqb7&H;R_b4?dcF%wYdQhjex_lmWC*Kapx z)A_EJY>Dq5@RpYa1iz7=p-7Ae=Bt__^hzaukT}t;ZS0tfpNUF<_mX``afjkTwde7C)d$?Ry zkTJjDZ3c`pZwXAfo^U?L0LBx4XL4U7XQ&WqM$guMDKU3=;76Ogr>u+dKX5E zPWmDg1A{=6EZu(y5$JVoWWe9~v5b=M4vp4N++b*;-}*ln?rH!M~x!u{-lL>UWWA0%!*g1uQx55{En0z zbr%q^$BJ(b>x~N0i|?o<8rS)bJkgScJGTm)OrB0(YnIb>9>I_}Ixi#+91#BSjBK4x zHDYNx9^G%_w4sJ4sNGflgQq1AyCLS&Zo)P=yOT=;*o>k3#yqTIEMMc(+uwi1htAPA zEHMur5Inyr<`I~Av@(A2be2ZKd<2t)bg4aqam-iPgPgMA2q(k1RIj+*U4rCpCz6=gi1GCeL zNTW-_5uEgyE+wB=3Is3rPtb(uu9lPY;gr2p?8vpOG{6T)LY90DWvtb64=#;FxZhI| zICb=<(!er%1dPT-Y&lo&Obi3X%7+)s!un4r#O?ZR$Y=CsoCr!m-z`9s@4AgK5Tpjxc?EO*Np{5Tf~{U3 zu{T;Pf5cncbQE?ox#tj3i2g{v__n_E1JAF(*wZAPe1X}}%usAhVc0GdrLPojqsnI0 z<*>Vbe9d%#OFY7&+%}K?dI{J!A;vHkWF&)PCN2l66!CbC7g{1hQwkjClw)=p7@?q7 zAzCV^k~AtZIuVAQ-&~b_3Y6?H%j0*w|AUynCTuro$kg#KY;c}XYH?Lw7%xtl0!pC? z73Y~g#5pOY*u2w{?_)$_SktaU@E9<-DiEcXg3v-xp}t6t(t415Arl<4+K zqt|Kr8G;#R$Hke7E73GnE5b?0q6uNlOS ztb2)VqqZ!ASX1#B{|~{EAfuu%!o=@)6!#p^y(g|ucP<3=s?KVVy$EvDwIwRRX@Xzg zzk$bQzmZ^3GWE;hTWFvTVQnqc0?rIut4&$eVRYzP^Ty zx0#S!8XWFsqw%qirc(-{o$9v3SL&J3V^LBhq(CDG4FwA68PX^?x6G4wNjB+0A9<yci%ld{-8D*K%L8N7ryLzJ&wis{JaV-wo?o7i(>)zRd>HyYyP~-=1A@h$L&o_ zUIH??^)sE0TIOH_T8Jezd1GC4x>(z5Q7&l5Q;KLD<(LV2mIiO+dQ{`n=!SDW*z}Ge zp6z<#X&Rgyl?D(|wFR#5hHAN#W}n#R!!8S_p2!P#AHIn~ARt zP)vR{LtmUfTK}*v#pUYqFT8(`|#7=ku#ttiZ}?#N{oB-WxKRZo^d9gTLD+ z;Sjzhb6i{8oSjS-Ot2xqFFMLdy(f|x^|!3yQLTv5uTv#fn5D3*8E?P$M1*3wC|}3Z z-LN}?guIK~a6&!_<{xFzDdFjLMV>5~r({R%({aK+{I+{oFKXF7`xSF2C7^|DB)dCV$YL)OU~Udb`dpo&p~CD!e2IWwSm3>(ERu43Ucvh4 zgv+Gv@_a~Ys&w*|ZEillXharJjylnNT%Pcs*Nv!ogpCR+QLgcAU(qrrfq8relx!|? z^<2?C&O4P7PRVa>#KY}5!`Y=Sw!~0b&Dx;MjIX?g8bT+Ha_@J|Rs$#AH{_x#IiIxkOnS;d~U{ zYn|31755$xEN80hcjInLH+IlF>ER;;&`C_wrdb8M5Gm=W@)FR?ro=}E+&07J#JNl2 z64Z5@6Z~Ye6Z}*c#G^`DVN4k5y#jqd^{mc91!a(Ypw99rr6JQ9;xBgfRTt#RZ8M6W zHaWvmL%nR+J)MN-6#X)XA`_Kwh?f5v%B24@q(Ws?Vj4-(DV_*&h?4Z_SY!J0_^{_| zDCKi?wdqd_TkW6Evpc!{`8sxFWEFeP_&NRM-LC!nGC@(ONzyj70PgJZU%D-TZgvGa zl#=a~OMMM9O-1wL4lsD^@Zh&2KH(IF+6Z3TUq1QG)OoGe;G@mC%?su18aPkP^#%gv zx<^lwZ^c-F3bz-$;Z&wqtyO4HtZhEWnx9z$jcufE59SRynYzM_j-K&byUcJDOhRT~ zv>!iZPR>{PU4eaLskD%?3K<{rs${&H$ABTd! z1Y>^$XMY5)e+%UP2+02k;{OOfk^<)@<9wiwgv0lB^k>oW@mBDTetKG2IR|`+w^*@TuHT;8?)4ii~=%!ou> z-xh%~<~%3@X~3)8SxdK#h(gim!i<|({=7E49g)-e)@p9^j!STUu%`mxmmuVWfBooepfC|l zWw63#OC>GcgY5IkRGGVp^W|qXr%LCfkZXp98Yfq0PR*H=T_{OiZQ11KboZdN3t4x{}#aZl+Dm=WNnSS@6B zPmyO>oP8Fj_{}*vFME;aQuYxWz5SnUF@s4mRT8Q|U5wa3SI7`RoP$fD+izi36^dyZbS3yQsSVjZ z3+TQ9y1oR0&fw1@B{Q~xLa2w?E13MEtj@F1wikrNX|0e@hcx^>&0+to>vyO*K3a~q zz@{&Lz0XT+Gm6C#<5EfssJ|QD1X`rDU4I8aC8Z6+w|^f6blzjQ=Fqd0Ad*90;h}n; zW!yaK?%2j~OP%7-4e4Z(=vMfcyT zHatb>JL8ncVDe$oBBGnasgAm8rJ}{2Y(77*Wg=zN>ZD;A#j*j_LNb=XWWpZ(%NwY-0~$Ff#VO%vaHPBay~8fm^UZ;l3hD8`z3l9N zIqMgrZUp74yPzeSZ*!@Z1UTyg2yX!Km|(u$t^y#mi%~nkygb&2m!oESkYY+KN;A%i zWS&?8m`NaE#T85q`myWu7$B_L$RVsa*&(dV6OgTFmC!pnMI#b;Frk*$gq=;s{uge; zwfKJYdux`TsJ=I?oM0SXQ)q=go)Epq4cvP2V@^JTo3)X97kNwWM%>?C1-^2&UAF-M zsEZw4T@()UUH03pK6^7jLOz?HEm6*q-qk9)B!)MX(*Nn0U+==ejF0*FcgF_`1X(QwD$E@3v5US;;v2$wX*#a-ro z;@Jy64OI+QNS+a~7)&noE-u_}jW$@GlS2I3ltBnx*-<;Bj88UV2FXviZUaT%X5v0X zUOz!tT9OM2=-QfNKyNM*RQJp}L7-?*y4>H|5Z>gXhYz=iO5xK%L0$fH4VlzcF5jMn zdgm9N8ea z7@AXc6Ts)y6iF5WOG&Oo$=SI}zXxCX4>u>S!)V=!25G(C5n+?4JIP`ntqIW^p5O{3 znWSEpJafAqWmq#~xCYoz#4QEUlE7?mw&@cUYSULbynTEn-A!M)QJPze{ArRX- zaJoF(S=~Biid1zYiiW@22N8na{eekov8v#)WeTmLJp}%ZqsrHg=E^wCTK-;wb9c8; zd64n#wN=$3beq`3O!DWG14?)N_tdsN-HJJx+7=Wx93y zrwqPJF)6Q5HYpiOGeDO$hm>WEn9D8Z2{Yhw50@W55w+1Z4WOL4PYhM`p2pm?LSzhScLbU_;dm^>2&D-StFpn zjF`5Doh}5T!{FT2gPBsL2uLSB+H&bQ9k6Eg-wBOw9$?K&C?}M`_kfB}Ri(W9`*a8! zrHUA3PoQKOp=5?M4X|fMlw(NYJNl5Ss+5nQWaW;a#DywI=P<|sr0 za`MNz=Jr8bN3XK=f%i-dFcj4;#sY8kkBsDxtnwdO zf9-$CxU!@>rvA|o{Lz&E(OAJ{DY#r>tE$w&4(6z(K=2BrK#;+v;L4Kf905-;0K;u1 zeapq=JyjyX<-KZvcv>~j#r5g0`M0hZe_V_I72vmzgl>{4E;mXX7v*yLPavN&)zolZ=--Qt!zK9C3Hlt>;E9zM@3DVXo} zTE`vHAWmJM;s4lb8K=ZeL3+$_tc@Ukev-&wW$E*Zh&pv?1Yt_>!XC5AO4fduC# z)cibU)Iowm9%0dh%dq)`z%V2np-|dxeXVjT`N}*+fdxt(D#Jk`zu3sIijquwOIZ!? z0?uuDom~U7a8LftH4w9wr;-?`EJo?ireO7bQWfGL#dwDCsG%Oo zSy|pGjG&b7mNc{IzQHZqbhAEabmdzf5ZJ~o(yGeoy^;b#alC6;G zU|oP`zh?A0q}xKx%F2x}bMfJTsa4IAyCHnQe+R-Qxd@d+2aA#~c`GB)D>-Y>Vp#z7 zdz2~T8|wcIVmiUhu|v60O&N>Ggxx2B0msKlkNwMKmjgPD9(%ap0$9*qQMI!uU^B65 ziJ3GJ&;5?C)*(zmjN=)EOr37fKj>{Jm5GCYU6swrt_w z(Z;g>2}Z(LjeC~q;O}S+O60njw!kDQUB1ma_K^IQF~A~|OcBrjWX$}3CFIW%j8gw| ziLN@oLpm)|%^oJe=}@N_Jxk6e^ZYjJIbet=7XE+oVOKy~kr9h6#TB3kM@>l&l{tT1 zWkW7A*L^D2I+02I?$Mq@96$|$!Cejr-@vs&##jpxGTc7zDdIb6qs-IHB-Cv*5 z3ALao*_<>tBeN8k`v*ZM+DD*lE=(F8kC8qck}cTG%!Hh?g*s}ePqg^Q)?E0sR9%2@ zR9%2RvzMzr()W~i!7U6r9Ex7BElC*B*@U3y{v$?hiAKaff5hd9ngOm$+?4IGZO5P6c;# z%0Vsrja0v_l~xpSaUuu|i<3@Pl}(xv>$f{MpJLJt2N_3pD+Vz2Z~-U{C9|M2#fQE@a~yKq8+ zJ0ZBc!{9-a;O-I}2G`(j!QEl-5ZoPtyE}tB!CeN|Z*uPUS+70cI{(gE>>8^2nyOv9 ztGoA9)xJ6`tY10j7ZC9?_qB=;o;rnV79Qlu{S9FEK+Ord`ymEl;9g!Cma;=gJL)7hrsSp z?+7R)-eLFNtN^MHzTSTz&?Ejg!W$Ec_~iW$Li_!{siRE+uxr68{|{o>@82MvqX4@m z{6xT7K4_m=*LLvIXJ?mD!NL%{^ojqbuw8gRw9`Y#hXOf})B){dF=rB_@WWQ+*a5Fh zH++cVbdtN-5V``E`Im6*dzH};MTgx0b9%^^@3^}?NPKLhDrAO2!D4UU^DoX4=xIuQ zg2Z#Rx-8X&Q&m8|K+UMxd8oJ-Q0!U^0YJ@UR<;?$p*Y@Zj9Qt=T~6_lO<~__x7tsw zR{$G();*sr=AQ4OhVSpmkeNGQ8U-^b|IKPICh|FpvmsS8)_ z{6C7rsAEDD6?^|vZ{`2f%9z0aXa!J-y$#T()$12XOypqw)?>w?P%8N!=hNWh|2m)l z57clnA$b%|!AaRWDR(>IYQ>Nq_rhQ{5kffa_R{&c&P}g zlFdsK_%q~{kC^@UVk-z+b>VdTCzO#Nc+>5f^ur$>5Vkr~*ecGwJV^~(<+aquC?iEA z09#LgYy$1I1V_y>Iu|2>i#37v8!~trcTD|9c@cOa(MHg^mZ5c7f+l$VYw-shOc4L6 z=3C^v6fOXbxGxeCQIFYgQO}lb4`ap=Z8D72@6T$evssH*nZRO1#21dghigF@31%=9 z+Il~3N;Hx{9r;eb`**h)K#x|pm)8lKW1Mwd9ItS;%c~zTV~jVMS_TikJq< zWJq*})&Ef_;)3shqCZDu{5M}pP8(k0f^>26^ba<~BTGz16a`3yyc$BT$!INs=n7+D z%r<#FL2koW@h-Xm1Auz@j#!;+=es+#-$n3)H>yx?2kP~at*PN(`+1lFJwABPyi0^@ zf06hvUR~v|7}O^UdC%-S^A168@}R{e_-)Jv@4{%kRL<;Qpb72PlSugfWo3w1eJ z87|)Zz;%0rQ#vg0hG1CWe_$;CVub&NsrEvDozM*m-!#(#?${)@5u z7pCRkVh;aeeE)^%__r9Ozpix5zcA2snE&1{oF;eybA#w(8KQ|&p9>x0(GZacSlQCr z9aO5Z3U6CdtT@2Z*D%f2QD*+%d#Sa)0Zqe1)Re%H7r-ARdNTwQ$b_<>U_XAu%G$TS z(j_iAM2BDD^Wv)GrT&ucT%jzjT19BBd~}GFXg@zx;?zXWr^mM%DXb3NgCQf(d1>Uo z(bIo}^e$pa>w)*|2=U*e1f31Pr#T;oAp=dGINaiBe=dnlE6h3{7e{;zTJsll#w2zS zw&0Mzb{E)|II!urQY6_T$msdqzstV1!GYg+?!`E1y7I%6V{Jc{BRbN0z1r*ub?Y5J z+9@xmURH;Rv)A7Z)3yh5rms&|cQ_SFF>a{BHP9rA9@g8tC9^18e#5%5%Rrs;msR5j zKAT0iidA{dJEqDnbA7o1F8otethZ?hTThZg-Mmrc|BPJ9At$Xs|~sku}~0h-NfchQ~I>_eh@)w3H;vp zMrS0NNPc0-#@OYKpxKQ(Z77$ax@NITBgz&!+#vmO)rpz2Sdgfr=eqNf6qQl z!CO`Xtu9AU#RnEc0tRVNzihNG!n&!J;U%b|8tRu$>J)GZBv`yV8?O6e+PJ3m*H7-b zVFObG}uK6v;PmyOkR zZ_geOq^lHKncHU0oy!)Wwlad@Qpf7l$;}8l)GD%sx4z-mBNmG}N@#X7t8eNkrm1Fx zZy6a|!+ob9xCot>VZDArK3)5P5Y|&t6LQiI^7zSMJc^mjzWc*CvI*}KkVJk|6M0~s zmu>Eclfh`uFuo@lwU?8oV5Gb%+c7*K9;>2CqUUQ~ST_&@nG84tA4bd;@<8|j80^3|(4x-{rbMxR_!8~N95~S0 zaMOx1hKQ{xiZf#k-cnr}XFa7&IxB1>~@4Z9lj!?_q2 z6x$T@)lN%>rvebjIp8M!@sX*_`rjgg?6+IkfN%NP!7~k19RGhBD2|Upif+$cb?p9$ ziQKYzL_f3Q-bO8a?y*o{VPS%XFQ5ah<>O3c33mlHBx;(#zCG%)8LECrBKZ+z~5e!!xiDE3|iTc5z;l z+VsKp?AoB8!aj7UuhUbus8=BQXLVwG_Gxljl9!Ars$)Jip)lZ)8 zmSp6ok$ibpZ|XAZus#!NUxqYg{|f39HgEa39{oUtB;-ez%rC7^{K3jk7|>_R?hj9f z;W%d2g2WQ!j}~lx10Y6(rV{^H<&sdz37s#4yQi^otK@_&BHT_PWoKxq2Wa>%A+og? z*A8*u{%_liOS&{72w9I9KMI8cH&W5b-Tpwcx6rI$;Kny-b{d*>ob_THFHJrQjnm+o zGihbEbI7AoxhxoEcL%DawrrrPD?i1+6Fn*0sh zHzcmcw|?}s7zU9H@A2jW-)!N0^}FCF@8oqs@GF#e1iBJA8uup>nzh{%f5Yp+6^z`J zA?-hhLjbZ{vvEFmEZB-{K^#!dZn`c}+$Ye1ZhPp!NYcR(=*)Q!iZGt(U03YwJFd@= zLzpwTF9>I_PkJR}Z)hD-GS{4$vm0hg;89N+B3v`R?B{^W?bk~@ z$4@G^lp-o`%C_%B!rpXh(#`C>e-jKtPImIa7XOar4N=Rb7vW^`p0*#9D1%IO1Da|= zttClgN0&SVL1~0cd{{}|yKe*Cm81|}cPrB^YhHcw@Nx-C)=beWfTfRQ*||T27<|`% zsA5+TuINK77A*}9_@I(ob%X9~k2ibx7O2p{8+b_M{~brr7^ARdiwrkTcej7sq1uj* zx+ZqcA@M_313870K!<>*)6h488?Z`zA#D8NLV~3US)VXY=oL!%2u)f?(&4AC$|W9X ziHRjy2?cpG;G?`mIoSN8m9hwb;yR-w?DSgQFBYcy zn);HKgRu>COZ3*o%HRI(PDqCaKJt-#Fk~`b5%qPAM_3hqZfg`dgI_&*yJ+o)<1$d+ z6Tm^}YP%#*YxAM|Du^L;;wsk6Pw1=u>*vj)JdLS!&m#60JjB-6)7Wo1LQP1Y2FnVE zk(eql14|<)Z0B`v;pxIsCf7q>PDQFeYm~p;K);7B8>SrS9l(e(8^p6bzkLwT4wm$e znrzs&y29t*6+U5g^T@B9GCRS`{*+{P_w})mTOBQ625&tO_$zxy z30dNf4;2ARUaR`5zNqQvPAme(QyA+|Rwa6q47+^9&5R`f+~l*)Whl={_=>&mGetG* zcYi)EpUImqe=sm^Sqn9i+HAX4{%I1YS^Ik3qCbvRhTJ z;((|gp=6^pA}@bvVqiI?d4)N1#e?&_k}@|}G3nm&!{|kR@1&BxpnAXdZ^BP6&JW&) z#fBh8-DfG3mv7c9=}@Bhr5#4ztXdq00(am;yNuu~O6;tS=u+zo7Vl^trhCohKJKFU z=8))_)Fnlu6{Qt2ywd$ZYvaF6PAJl1ZKLHt(wk5}+ScTR7pX63W^lEzMD!}OnAVD( znTdx+lVZ%&*QpqfsuSjY|N#I-vTAlh2C$9!6hLdH#0t*-uaba+|+VffJffx=~v70tx>v`U%K_ ze>c}@s?$6j>3N8-NXt z>8k+6ackQSQ46e+KhTykNWWMuDXmm((hg{ zybG9urz^mR=t=@q_;%S3qX>_@UXayoyaf6<>n{?pbFD7+@v*^9SibTAg_)%+1pw&` z^|K(MV`qPBkWs}XNG$xGKgwOOkJJ4TE!^VrrW_lrdDZfF5R5f6WY^Xc*8=S70iGwI z+XX<&F-W)`80<1CaSWmlx8Mt#P>Ix|B3NDT7YHp#kgMqU%qWVwYmW^!c+CX`ehivl05mGe_DtNWK$9qJu-h!FitLt}wzZ8f(5huP z`u-=eV-qn%jDJ^U#*~xV8wpi`prxEL@|08py%1z-x?ML+N(LLX;FxQ)RJa*QsXJ84 zN+6KRD$jSpYQ_d1Z8@O2k3{y#$s@4Y$MtL1qg?6p7G6MC3JqK>>#&t`6b4v!fT+4LL30ax5MX4l z1{@JjXOa1!GGt!;hc(JM!zm+@4-o77J+mZTsn>jpp?`=_4PGo6=ZiJ9_?Q<}fzq2< z)svFaZcdz4DZ??g6?t{}bMV5MDi{t-4i3Z9vh+5IF{voy^4#sxrSEl_?Lux>Z7A_~x;lR*_1 zmIL?}GWJItgY;{GH;SXsX!?`IVU7pU(={x60RfZ35ObDcKUc%<4VI+%b=a8Pidt z88Xu(Bg4e6zS-#4^0W{)4Nok0p6(oQXi2q6$-G`6w(b7u`ALE=dV2ot{;@?*aPRav?RR3=mox*!)+|u{G&U#2Y%x`wLoEM1>sj|&0Kxr39j<;Bh^EXStN6s zcVv<#GXk*!C3pip8(>s+1O3(7@m2qn%wNAy*h@W3-K4g!;E472X6>({wwP+1Quc?* z2V~p3$cyQfh4q0@&1Qx`dD!EP+Qs|*V_?}Eb@HP#H43;tv6doE3<%IBp3O-q+owN{ zHe-EL#L5^{(%wE%jeK}~lUK>17WzMHamUY!joPJ)1!z^kfS}Gh zbq!2y+vc0uS9JBl3B_{g7nFT)7>g}643$fk20-!3k9Y~nzp!V8`V(2|V*vC?vX~#M z76%541AbmgFAX4k;q1}SyJvcM-5#zsn|;Kr6yS5E++A_*EgzZCN);9)p?DYuvv%O4 z*&3R^+e#|5OA8=2)87@kdP!m z|CB(6)tLcbBgu0W!i9xGqtfh>fKnb(Nsb=dNBjbpNjDIBiXfaY?;`zRuR~C|xL7e#I*Fp8eiFY?mSl(BkRTexkuYwSQ>;pqMeNwjEAO7Ur zrbyzUjy6vcS1xV_&b*v%o?LGK%lc~jxLCgq+xYvc6DPYP^<`uJH2-T|YnTdoX1A1@ zVwRTMb5-ZQ2Kg6vETrIps|11JQ$2?Fg0Y@T2s;wOQ~-MhDi90eD&=PNrSi*(cU%by zv|s{60t0s<{t)2OQg}ScwW{e}taBr-WYQ=D*QklLjKt4i?tweC=M)QvsF_)+P7Ot$y8ucGnS(3&L z*|Jsj_@CN73N!SgX*|#cUIAl3p2e|01rTpGhhfYTh}Yx=vgPjmg|F{FJwD|zvgRUQ zxD`A*D&Gfs|9C_^>pIsI4Bt^99Sf)_k_o!nye%U(@{*%_iVh_)6ZrX*b6KRPH+!`v zR8n~QylH(Ef;?BOGs}EmT5{1^auDZ3emLIYZ9J${|SMLHW}$#C>AXP*l~a`Zd*7p?McRYliwY!^>J| zTFqB>q6v!xzY*Q&K4mFn?WOs{P0Yb3jc(vgSkS=nhlI19B-rMqoJPm2)#jypqPVlY z7_+S>rA(Co+s@{av}MRFMD0=Z41E|wO~z9qPygshMqmHo!RVUeI-#b$y$Jsz)s|HC z1bIQ2T`2Mb3;Y2&CW(=T6jgY6HyyJ@Y;Oc`uX(qqzGmQK-VvMmI$w~@N@wT#q<9UA z^KqoOtah%#+}V;Xh%m}hdaipt#ElDeERL0Ib`|ZOuoj~C^==-9*$XB9A&VY!(?2@x zFN zNdT^V9&lQZ@dv450$YkMXFh>z<59UL1(4@sw5_# z{Q8O0n%sTYa!07la~mLz^hvK&US$2G?)2n>m0UZUtjBzLzr`kZ9vo4!o)qg&KV(gg z;S&YRr|j!1C7%zTcI6-Q~Q%6Y-g#@QhpURUQ8Dr3vrV z=kkaa`RTjkx=+=~)#cdJo}XF<}yNl(z?u<&i8o(V^)> zc)30@sXn#t;~(=z|FTgqZe3OPZ0k`-=dr4N+2*?Gl=Mt~W>!Mku!CADM7?-iIAog} z@rc1EOl?_teS$VU%OZOrbpr?=C47T{TV=8Dp$6ky5hF|@`{D-F^?6bx@)~{VIg4Lh zzrYv1dM*vS7!;~GnxHnWpiL!}8ziDmwUZhwiyh6TGM-BqJ) zm@2UCc4dR>dx8{Sg8{A^;`{wcF=vs(Oc=_{$Zb=8I}l;6Sm0ttJHDt8FE ze2qc$vUpLxbETg4g;N9Vfkk{CQriyCd?$I&)Au=ec@yT52Q@pcgX-%gruc@Kw*xyE zWFeV>BE$phSl%xLmuIAyMs_r#rBXNoK`*xXciC9`9*q8RH!RYRQ84bxEjLkDt};}* z+dGR_x5J{kK3IJ*7VidFMD?0 zyFbCvw!gmXlXJIM`1$>br7U~b)zhM#nk;~Cb8GOUmSN6Q@V1X%RaLoYVYYDD<{D1t z4|M%?mM@FZPznx4|EkP5Cy^b`%#>5@ckUveo}SuiV_AF%yHk*pJ_1qn(S(je4886s zw+XRl!ynhN;YkD0%{!)VaTV_--gj3Po|7U6c7VEl*)V(}yE|Ah+9fyFKOzXrZ&soP zX8$@@Tt1gZ@>To%l1~4mO7>Jp_jFX`@Nf;mEsobCMewB#+DaqWwToD|a0s7w0pHT9 z;Efl*pW8F0A$!$C>S6t6DR6oxsFo3L7UCV>{daB=Z@ky54EKf{sihnF(F(~k9QVeT z4&`XBq5W0`)RA>hiyfRmW!)tFlkKj6ICz5f*Y{%?NYC>bZhV_mok{jytiCO|q5g|5 zw$KLzU!EZJcbmTAn+o~oBlN5}P6j;uQMU|s+&t5-yYkoIgw3g9JiJ_V zZwd@lns>^4hvR|jZSV>Nr@HiA&z7g=-OM6xQF*uW!|IANrNv~aqUvS(9{pVM^ zpEZvSQ|qNL)52BMOJrX2_AkWGf9R#HgHBbjo20V=KA-inB-YIi9${8VC4v`44DAYe zM};wCvRSfoAGHt8Xe~$4r_CaDF?>T$Z}U6Mz-IeiXwNy%Ud+#%5TOUpkKR6?4qk!M zAwmsqO`+X%!xkJ_@`fGwalYc8U%IflFP)yagHA5HeFC}-(D?-;o%J??o?(J?_kx~L zf`~7=&zIL&FS>m|-Mej7XN6TM9)@=(kS#sD%lf$Pi-;i?5|Qi<_CK#NWZJK1Z(f@6 zZZrMQud!dKq&pS1Ub|@NzB3w1=JhR~DTAW!J>z|;U>6MUo(z$E-N>F2uwMrJpPn?S z1drdL?@;2rgkThm*ulFf>5<6!D^Pg*tiVg@?UA0yn+t?rusp2|_|V19k$OJ3z-GetPG~ zojz!j$zTIhfe9z4lZxXl3&-C^W|gq9w552+gI={v{bqdd-Ij{bn;g8;i=>e z$=1agLSS~@x!rYl+#Ipqf(`bKH0v=J_UjFqL|56YvE6T}$5;Pf& zXk)9BV7=;|v^5kkDfGWVwW%czcKP0j8+_x?f_uY_jdkNwP;s^Nq4p!JV5FDgOxQE9N z4^Nd&q*Lpalvyv63a<(eFP|Pf0ndixLF@3Ke130T5l{HG>W(A&Q+;|Y6OrYBPfM@) z!lOeZqQ2Sa8-NMWFW&tp4DaZ%D8)Ttv5P^l*p&q7{F;PsOwNRhkC5`nf+5|jh-FiD zZohFB+`t*dCmY()Jt4XE*W?$H;{#6y?^mXvEe~Gr;LO5(G|xqg4UPxW6+___Z~Xl! z5IWfGJm8EDeK=^Mitv=LW73~nX3HS^AF^WkK@4(iwF5sW5tL91JC6`_b1iYG=x)C` z9|L}3(4UyUE90rQg~=Lw8qu=3AHyWj@AvVAJOoMG{A1PzCG7s>@<66EE~d28%uPh= zhLVy#FRk1a{71wsargixw#Q%2VLpVt+4(PTfgwh6(IV9}Jvo{Q>oVm|f0>2HwXqww zP&!U{MQr)U*_NX@>Ke9C3ZYp#%d-_XD1We!LG$N4dNHzTY)TA^Va!lYSVYmZ`1cfx zzwol&Ns81uw0=BMh$*smaPXNe?c&p$we8igEkZ5KO#+iGahFDOZGg`WNh6cJY<1id zR@)U@q`UscE6B=0mw`a)K=+>vLAZjP4JZSIj=@_|Vm%lAMVoFaoFzW_Fi?ZlD~gMYItwUMpaB^4=5XH z_Y@{rV-&_&$&?~wI4NvbChx4srWXV-EFwuloyt%17o9*Stpxceyz+%3dFfdrd4y&l z>q4{ER$?OuV@RG9r}(qkuf!DBlw2AI5_XNC8h!jhUeur$IHIP%Nu9 zr<+^A?orjvC<#95)=?G^H&Aie7fb$*bjr_a19agmr z_!iV}FQz*@%qi1&h-NQ9l>MzuIiLFz+0wE%lk2iJ)nHP`U{d8^Qlnt-{WC*g_|aiq zNRW;NI9)1mE|2Wfwg~%2l`w%jMWFx()!qeO1|wObj{uzLaJ+XCVv*jt6#cEx!@4uz zf?cI3Fqbly$JkGIYq4UbtXV%Y2W!>fExC&Bivoj9H(>hrR)8Jv8XI;r4^^q#Y&reA zz~Q}t#~sMl^X2`8&;65IhnG*QzL4-*qJrFQ%u-^>&mfn{aN@jXNkK2WfqiFKe(@$U zQ(JjoY#b%`3S3ufOs2uHdag*h4;Tq-%%5euIo|*ffz6;mfRAkVByIv58nm1RJAq9e zFM(}VRjN*Qt;RyTyYc@f#U1j7o;3tqpSyL3>rBq^I(0vPJzf9_QW%txV6utFAmF7- zypJ5Bgz5i-`4)H{>R0V5+6mI;VzCj?5`tQ1E;1a?Q(P=k3~HSMsC8zGLaVJ*do{Tk zjI0Tvht-ZuOBNfNeLt32`hP^J$yn@hb2hQJj>2Dt`EF^|iHFJN9*qDZ%H4B;_)*Ey zVn*|1VMO!TPDV@MN1+F9MZF8$LVetH6Y=IJ+2Lpn*@}9*d@ugt*PBw7O(i;o|Ns z^+h?J{ONCUvER~Z`E6gJyTUuqVhcUQGrj;T7d_vRp`-JYMvRb_!k|v#z3dzXOo0y6 zWQkCdnTvK#Vq&uCh`*NwV_~w{Ak(0i>=u7Yq9-**B_#MU6&z?#mqUhU!-N%iBl}I*tyuPwqDG}Qcz$%npo|7iVbGR9 zBW5O}3akfG2nK|b0hDwrKkpA$P_8(pjsXNqPmo< zBdjN2V`7Bk&i?6zVR-1j^4$)<-?3hD$3{-k(h~IfIHWEg1p7yK3;+50;;Ku2ig{>K zIw~atQ>LPks(Q?1-tG6$c+{AGI-zjX@4U=2MydOTXHT@V)%hBgmRB+vo2k?;lIs7A z?v8Rc>z?T9=|1Y|RH?Qr)p9RNEYhD?Wu%$CW+PK7Su!%O7e>6op43bC}XG% z*l+bYNDdr==z8jw3-TflBlwq=D|R(*#Gk$y=ddKLF5KV;V!K!pqAiFV|j|ym>{T#ORaOuB_3&e@#{X>=hv>KFPgJ)z2F!pgTbnUA6Z{Fsh1G%KCodz zWcrr#UfwDU&Qyj&E+!m=_*u1A7Uma)DFwzy0C2h}6hA{;Y?O|R!6>*iCT!6pIZaPc zA?gqiusp&j$oXV{vrs}F1_02Sl#NQ^i#RIx&t)V6cxX+S#-;Fcq1jVtR)E%|0R7y@ zRo`&dTYH(*2#aPOfS9la3cT3B7VALj3?{qMe!?6b6y_m~1alNusAPX#KE^Zz=Lg z1|L`HPN}xWzmoA(!)mqX7q;}w`&In9t)1vS@ZIKLnp*nw4zZx0oD+oHwWVlyt?Nor zWK~xls`;P6!3P)OrTo1}UH^@s_8v-5n`gQlG$%N&-WPjtrpQtE6S;8f|Es~#7ElLu5VM3`~7zJ;GU4LB+P2x^h z6l8G(D>Hmi_Pdu^O^PI20&ZF-$|>axiVeDxDUcq1h1XU<-!)yYR6`-U-ULvRs;ebf zU3X>eoZB@rMjOpK!CFayB#2eQ*XOJKY=6D`;jAEL<=Qg574%ZE+Gf`ZEMOsN{ z+#S({QZ4;@N;kLRzgHNCU-U4yJXdJ2Z)R~1n@qjX&uW_!Jw?mcP-}J#_E5CtGwUPzhq>Ng&81F9h-2$6`wrJ8?=4m=|DqG8xMl~ed za#Hpe6!)PG5!98MMD3HLa*3*W;~uRZLrerd=$%-I=)CA_eg3`n!kJLH)?`@K4z9HH-|^M@rh?iwEh$>b@nFA3z!{x zov8#TB%<>N@pXLfY)QKD@WDdsW-ik7>Pmeux1gWbYcauKQ32$HCAu22n_ONrr=kHoWF?nJy+FIKZ zU6q&sFT3z9+-kvCW0vrA$$3Q1$C(uwQsiiX&R){l4M>5+}G zojsBU>o4y-8&6Z08hp>{TW+ld>-hDaU)eHQGq^%+CFoJ7#r%Dh842_jWo^8tZVSX- z5=9lei=uDm`9R!fJr;2>u?TjDG4Z`7iE%yfuCg|Qyv_y#6+NB}B%mc?8_L(XH~NNe z=1CShjymVsJYCP0xx}8E?U0_Ifq`G=ea|Q7yrF?<3l%-jj{`vt*vu~if$lts5NG{!=joB6nhWty=FBOr z?`B%pDPRiMILX42C|5MTx08X+FlCgTJxO0&&H@C{h!>t^EkB^0gf9L0QDL#cdmL?{ zGs6}2=%ldKt_%K6`nH*;4xK{vSFk{$XcfHu?Mty$BT4ha1~%7_%8cLHny1^@OpaA! zsUp^QmW`>VC4klHA)adv#e1p|tg}4at(Q^Yy8&h5~V`i^R$viIk(ZbOLa63|xwxxm*A>_`hd zc>PkFw^8(qwK9J}wBAiuYny+XqEaEk%S>w<)gtapI-)gI)J@NqseF3?OwsX~0&m68 zQn23fmVEv0;Za)>t=`AO@;(m@a@HZqe(>dvbvEty&=V`Nu}_dOmhDBUMO*vcaAPijcztkCW{ zYi`D6>N8TfylTD#b&^h&`sI5pcQO;ZJ%3_WJUh^ur}OoXlx%%3;Vg9*iqzbc!lbfT zgtU&;N3;{(Dpnz6K`96GrC_j%Y=wqYI9`OSNui|Qi`m?jyo%G0a1E-Ym9JQ{hqL2% zB}e5{0r3fv&Qk*$*HK?TJ+XsC*Gna%Ur4Q^E8u+-6u~xBDQvSR7I9E}uFFzf`TB7Q zR{3y=754X5Vh(PY;j4JG%aK7&U{dFijP+<^@6r56kEF!^5UtZEdIl(-wgft?%Q+xw zcugcf-kAljp!qaX^bJfO!u+J}uC~w`g3(3-)dREG?wMxQNGmxn~)6trRvvOFgHg zgq8m-8)p%OvzUmpn1R#8z+4j~TC@5!qgTBYg*Uy8^3Vcr-fHLHdQEeEXe6Tn7#2)%N_U zu$%d48Lk&a`C(U`G3p0W9owYw{HCPaigrG77|6KGU&lzU|tC|bS)q;epA*qWny z{ZtVQErqcxnGDjGP^h~-E=gvZd{8)(8xH~ep>PTYAnK@86_1aClxk! z5UHaUar}wMJM@RXllbFjLc0diHF1SWSE7Z|(js497p>L#Jh7|<9Cur-Z7Zqw0YU_- z)~k)oFUQyJUz_3-ZA%qT@4q(Ld~G_R3JJq}{OKN|xF{cA%783LbSX8re(@onKD88< zeLfaN|KNs(`eqi3FpapMFXf@VBUw{87y2-+mkPtBQu(APV;zmAhDJk;7WaM^VJecO zLahim{$#ZA=v*I;nj;ygt6v&gsn^CvZ!e+@Bm6YR;Ymeb4N7#gQ2t{g6-FH|>5Rrh zTTetACOYCvQM|olLCt6pXD3qtIkBMrk6URJ^j0br(Mr#J*;YTuCKUUqgtaMnSvY<0 zB&)S;27TtI;M|{C#OYIEMh@N{|F#Wr_g$*I>5x{YyGfe31YX^)%hFy8OH!#xyl9jM z=WN(m#H~x2?VeC|f4GmXgEDx;sfMj(szcymxX#G&g*tpiu?!r}qEA}TB_uRokqUvQwJeHk|^pm>sp9reBs^?6LIEi>c zEUhe2iq_dID5uLT)sLIKPmlYGNtMs9i;tIdi_w?ubMFul5hG*YkYf<|cg0s_HG3AA zH6zkAuFFn%Mwxnu*S5mGJIOlDy6sQf0gME=)(q0p-qYG=;8_nupDa{ox()r|MOz#X z`@m0rF$CD_?S->`kEYipzD6~9bEVg=KdXDl;#^wtI6kNAYzlqD;@a8cVQFRigJtE> zEb`P5rIsdbeHF=U_pp`W$GWO;EzMjlP2n$^;a>M}Ig|RsoI8%vk$Xvau`tWrrbl%E zIPLf}N7Q@v`DFw`_Mt+TQwPAdcR))L9(ohrrTuz{F3Nqci$7bD+u-9Dg%2QIx)o9Wr!@xJ?I%QC+2h0f)lg9EW1eWio+Dr{75n<`4V( z@eIFwp)Y1FR_dRnGvSgJi@Ma~8g49BS}PQ{pP?&6fQ7yS)M{ow9*<_$x?qNm>#Je? z`HFJF?nNP1?ynGzG`E=2Tph(+redY>5~cAENWrpIQ>89G@lR+CKG`Kan*0&!jFW*D z-VS-(D(U51$t!{>)A`7_GnhV~qb^S@6VXeQghc5yF>7jD+UD0*mrsXlPU6$%(+GC> zXXz9$kmeRAz;6o^9HR~%EK((pEKLb56H`J3A?-0C@Y;bTd#k;$61Eez&do;=R)jIC z^R6w+<#F&6E{*eZjVwY`2^jh4rGYD-obd^s^13^o38sDx$Bxr_rRgbKH`Vx_qP@*g z%%A@b8x%LfpMwh%D`x|nRFV;0K85|s){3)>B}iLayVxtJyxifPwzgp}iLDS`V%M;u zL{ZrvJk^%KAAJbLlxEk4B{7Vm=jsoY*uz27`}>;hoIW**Omf%*1IS6$Q!uM;Ov3U5 zJYGYB-ETe53oXr#66$xIoEwB3H(l7}78xwN`;Z1&_W5{XaLZls$kcKpx?$YO z8PMP~oX{~>l$Az4#}UJIP*tP_jHlL7ehonA`OWW1ICkB(%6*dmvu`#O&fZ0eG1H{Cx;6Y;EgYvd*z485*&0$3CZf`8Q7BZ!fP# zjFpdFmpTz5WB4Ai*T=ffH*kA1Y-H2NWihoAuOprae6nT|mX~4prCo+iNvi!#P6|GBt+HuV`un zpZr`g8jeXGbw0pCRu@(mR)X#nPdHU6^C|ODG>xbIJ?k7_PiiIn&RRJC*#?rs!=RrA z_FW7w*BP#H<`vRgTMv@4JOgTbHT1!sQ3k)rM4c$PKh*7vB(qN7w3agKL(8?R1cUW( zfThcv60Kf~H~`nc!Ge9|mybwKHg`O=7t>cSUma|07U0=d+7!oAZe2qcXg*oX#D9C! zqr;v4i1)swfgbJHT+usa446K!Ip*B6*F;12UL9iv^*f=lGuM6R=39A(_EqT&7}9Mj zbbT2|BWEKnx~?wWuPj>#T-K`r>^IQCyxoKDzqN+I3HWutsdJlJQIb!{qS!)wjYc!l&v+%D-RnhVyyWc5fZG` zg;9U#rsspkw}fsu*iGqel@Pp~zVrNEYZh>F7x3C~ySsEl7_oQHPMIWW>T27pwH*HQ ztV`ql#@%{hH19_S@0(0T@+}mvNDb0Q4rUEVX;`)m={Dz{w#};T<;FI|QmL`}6PpFM z<~A2J#UsW8aV8*xGwp~#(AkjE=G7g4DGuTe0Vg4ABLs*$-iV`;FPpjFaP7^Th0eUD z�#cMXbLGw{IH0?74rx{N`KaXfQ4NK}E!DxpZa&P1^oD&)`mK8kI=OuHmr^&gIbh zCW-Mf$?#=ahp4;3VaXFlLDTB#KH9;u7g~wK>**xUFT!yk3Q!1)v2i{IM9D$t03{RQ zk#c7=WRkWwhx6h4m{6{uIC%042YN?(wevbT@^Er;Isrv`76$P8y-4~w!RI%V^^GC+ zBmpIRIU{?UW!d$&$LO*h!y6et{G}jl$nCmwfQ$H`jm^2_qNQ;KxY;u>i;y8Z&yV>G*=4yoJ< z<-Oqh9`VAlo!qz)@l}^v5p7h{(wm-=F320&(orYiq!}sSDqdZpCpgN({48`i+QrwK z?izS9P^tBhnOk%7p@==@o7Lz8sY2<{a&JtNPuc55P2*Yh*CSsTHLX_>6+)f_A(Vc^ z@@LJ*q4KjJVHcAVS~eu?*dib6=cEeg1%2>PeUng;?O#ROb3jr%1qk?7@m#W*fcxph zsze4GW(4t+{m7N1Z6Z{a?mZ&vDID)mU8(A=Xu_KHN($Km2Zj%L z8sH0jNQNiUP)&r;*X@C&x+mk1jl{jv!^znR5f9mI(E1&gEnE{ZcjSO#Ihvt6N&i+@ z^l)-g(wymDu2tr$%-CvaN{erguBei(UK%6=MewrcXuqQEMYMf!ak*{DTHmJ9^>$xy z*G@W27swsp<=$f!{iS*C;dJNPIlJ(?Bkd~34WZ{zi&e^eCAUV!4`J3b|6+wE5mS)>^)1T?Z~XNF-;zB3ilCQNBb z=O4`E9_^~#A4(m_D>nM%c&}_#xV+L-*U+3^65OaPN_kQ~MjY>L<9OTk{jCQ=U2F|o zO$%4NCXljG6z<6O0wIBhpl_KXPl*zxmJZ8>NfdZ%%_N&fcjw(Ji=9!-%$CI#BAW2H zivT~Z|HC;DpW26vH4V{F29aZO+L+&n+Yl%0Fw|6hCcK*o>)PH`l(hF(%c4=B=8hJ@ zfwi|&!ZF>H!&x{+r43e-g0^J7*c5hc&13bdh1B;OITz1mWTd8hp(vA+q^^H{VUkxT92?@8_2}uzO0~*}W;5Hc zI&k&L5z^KrD;Bu<{^2^93C0-Hce#vbHzg$vj9fpEVih%nTr{VAM4MfQK^>H#?2F2k z5e!3S;8^X`td#lIzf~)s=3V$u(@wv#4LKLuRSW(j$2HhYV6?Z!U)Bu7;kc)axj8ki z9IRm9WR_xu!jZ2wHt!%Vy#e^>>keE-0V17X#3-+hplGlR}} z-jH~Qr{$?m?ohD+vK%%%SD%rMX>*gJb{TV*achRYjg9?ONWRo%K{55%ZtzVyx;fDZ|3~|Gjr~_=f=$3IA<>9 zlLgm}z?)?XZ~XT80vUaaa*l0{kU-VC=hP$`Im3LeYB~b1UI@N=A^eIhkL0-qHgp~R z%ZsmA*?H<$Yo=b`MD#WNR(Oy(jL0vHp>sq%*0IH+gLOhxS=nD+h1hsT3)AfHY1c-K zj^z@ktd*3;yp*ApDLO4?QWo|8M&&UJxpnU1k{gc!b=6$7@i$GLDQ+FNivpHs370H< zy|IZbfW>zGOT!dBtwEEB!n?D-~!&>d}Om{LzN#cWi2 zKv5;hLK3kkFEDT&ht2z55cQ*LHcJUnpzzldtod57C7}Z>{|{o9TFTj2P1T&dPIhcJbWo)vCZ-!Y!uWQ4 zA>P>k8L$s>{tKGc-G-%b=Nq@9n?)cEW}wZ3U3@$063Af_ujK1>YpA4Y229+Zd_AmD zTEx>P7LN9`cl7++ZE)m;H$S*N^ub9WtdGUoJ^fh7hN@99IqrEysglXtt2!a3FOCA> z=SLzW!ZQ$@Jby*aPF>ATe$CD_maSHnttb{t6VkfR!}9WRQ287=wMl~LH?#7bze^LJ z_LI^~*zEAtEDLOQJkWvc6%_*SAVSO0{!7kJ14+zi(?w<4C1u(LW$u%*q|-93+3yGgR{X1n;lUDI?Ubx+)h=dC(=HgKEcdqlFYgL&<}f zSu_<5OnKa&DCG_r)Dpkg1e<1GpJ4}-mtIFbPxO4vsyB|sEd*R+qc-@ZW2Dcc@a<~w zE#IOsuIwkZw$h1APX%h><=PZnB4;BNU3Ncjj1ro8rKRjlPqmop(*YO<^-AgqvwjjK z!-7NJn?eA;R(=!rzk}@vHdN9nC@Yeh3)M~*baLTv`_%r)#_=Z#+l-LEF6u(xypyKR zwFBgH@Lb<~TD%i2t)*I9y@U6gp->sG(Y zGn6Ib9Q&zIjgMuU^f}9z4J{&vUX8~qA?!PSGV$a-d&mzWqCo{Umfix&vnb;d%A?zZ zwcEpeXg``Jr+>)7pm!sQu&5}wpmt`qJDeqdY-qAqW#^?!R~b=yJe|T7#h2lpi{@6d`)`!^xk{LZ2R1|(&X=90vTPE=t4ljmd2UK z9i8yZ0=Vp_hizlVedT!ER2nVgU;ZhBel5eQKn+1w5p_YDPNU|j&t&XD$qO1|wgvC0 z$l0fG8dV(Mq$!Sjz)`p|ZO5~CDM%ez0!!F$WcBCD>$q3>Sw`_??KhENdZJ!F8JWz9p+SZ)XyR)#q}!{MDQW7xQsCwHq%$jFb-$ct zuBC2v?c&{M9P49Ob`>te29J~#%_nMtnw8f%6Ge~=d#7t6Jj-NTa~d;YsQQjdO!Qq zdm%02tVP311I3RT=WL`xD>jv;yZ=jBOxNCr@MdBFOeOc_piOSz8(_WcSVedmReLUS z*cm2B+A%XKVX9^wrL`x=^2N@Ld1z5rXorBhIjUR1uAPo6iw)M#>6yRc65 zs2X?4WSyb+m+0MoVq1ma)jl)VDnG8!>m7P|xyYKtijU&H3JU9SG{&dn(IOF3)tWQd zDgZGZ&V~?Vp9f{Hrql$6ofM5|TUdm90@4l@BPbwsexpEI*sS=wcF{NOB?)t~Ddb7B*?hIJDZ^`JD(?j=mhq5ny-CZ6ll)rd>m6UEvfd!NB8@hEp4#dMGTK=p1FLR^`&?s z(f>%+KT=7d!|11$roUyZh>m|34_&%w73yb1T^H(C{YS2;T&Qd!6Gam1hcJa^^LDqx zW-Ehyq-uXg`))bwe{8;V(6{-!DYGt{k8^opC8I@m&by-udNLu!t zN#hnQ))jUW@`Tny>QfTe;@TzlLb3 z!F77|HX zgAnW^YH6t?9+n*)KQQt5W8f`?#!NM?ysZ7<(+UBCb!4-OwArC|8Z^d4#kuy_$CCx> zvJ_XmCnt81e!DhNmdjV3FBlzur04`1*CO~{^RB~uy=G5$SV^$xoUcyQU&Xt*XA@J8sLn3 z?QGAuZjma8u{5U8eGkC+HaAxWnOkd%D3QZ8U)EPJlL)M3a46Sg#S6wd!l~S~9mHhj zY~nQH?npKV)+s3gC<<Nu$5?^Rgc=op2OIaVUJ4Bf z1-j4l3Fe1i_}snV*+?skQNOL4%5Ko6&px}E%LsS5n;WR;T*wM<(4t?FwHz1Y?*4F} z+M}&pC>?$7wmdgbh45WDdTIfL0o9sS_oB z)Y>m8R~87%9^Oa62HkH&9GRZ}ZyY$9k$)$k|Ava;vP(11_IUiyxr^TAm8jTBsoviy zXCY)?ri;(~{wHK73&$`XOox4?Ntd6eWX#a&4Trac`JBkGE1M7EI5XPU&LJ%)5u1U0 z+&wP2*7kT4_RZ0qNPUXk(dj7Zo(@|*++rEA(kH=_wS~YW72c~32ii&cvW!jug6DgzCNKe7bGUi6rSi*yu$KAAq zj3J76k~8+}QdUY6&x9A`_Vi-TZfA3%EzN%Ir<>Qc2{A?~9*RolZL1N~e}p#7PJ^iP zy=^q!?vG-khtoW(Dm<(xtj>2K6K2NOA6N=nl${Kj0Q7JpJtpA~dKxdGhJ3gh>O+ZWl^i}Q+bC-cMp4vS9a|e*N>d-w5zZVFGG*qn zDC&#ma!UP1(Hcyd$@Y^T(zCy|-ZSn8rKD;;CwlUeV<_!xipJPe)wEWj=QUT|VWS}C zv?o>6Al0d{=||g|StVl+uoa&3zGN~~`a;N9tHc|bo;!Yp#ZSCTliF9ho03Owo7<}b z#QP|n=cvc1W(+fC$9WAi;KzY=8784cT5_s{D<)@__m)ECEwc}mJ(SV*jRn*Xz3kVe+)>Al`r_a9LOGw)=xtLhksSF+P6CmO z20EpUs?wLV=EayM(gu~G{><`?h6JK9`Q9ZvH1qx6%<&XduwS>pd6~tFx$kGJQil#j zbIx@Sd(F$En^Nqyq-pe0iz-Ws=kmmGxOe0zQKw2-Kcodg!4ga49W`GMwCS(Smr&BX z0Ak=MMlVA5&vDy#&>&U{p;y{&zu?;S77Sb3@eG~Dh4x=i_@m1`kNk?;JocPrpFvdb z7}w1Sz7>?v*A!agxraOe9uW_%OxDU{W9iBB;A!8dm0cK%%};8iQ-UzqQIWKdma;z$ zzBVZvu_zg}?`1v>kNG>-yr!?PJZnuci7$Ma_;PJ=6lqOf=*~^ zA|Nb1avIq6OewuuQeu5d+hF_*DyFzRp4{>V*Y5Vhwquj_6y-!41kIOL{rYZ668-tS ztcaiIyBLy`vVvX+F|usO)d-Nn7mggSAeifcvMftpLd1{-CpcS40pY`+D z$UoVYRTtWN)R=!s$TZ5q>?TjGYux2*>4N@9r{6FJG59@*{2lKVrBb-Hw(K+t^`xM@ z+-?+gE%_3QO1bbg zUnC6;BTIcbov9Yk)Vgj$OHa%BT(Y|2wf$!yQ?|qS!nhxQ6&yOK#meaVMY~Rd_P>C| z-2#ULds_o-qFmLg4{S?yYBO-{GJRW?xG6?POmAJQGw3Ut621qvwnm6+ti9`Qu6!@E z#cnWO;cCA|w`qW)- z;LPaUeahNl0f8DdaAdxB8QmQ=L6Z12BA*`zs zaJiJ7stVNe`FWn8m{yI2qNrkWkSUF7=RD+Hpiqb_Rh?t^_vdCA>y)ZqUvFnxy=sLZp|b|P6mBNS?a94%rU%gB1HgpNYq z&4A)>r?0Pqww^cRB5CrPW;XwJJ$uK%(DO7sMVG^G9OPa@S5w@CoV^ zcx`omx=aOTUu5r06kT2$N?ERXQPLM4ExrwczPck^-&=9JlLB_;t~>1<^^S^P-_9*Y z8dEm#nGBE%b1WJm;K<(Q=LjcjwvH5~$qESE*+nT6Iu|6|2L>D?J2IWz#?@5&>Ay0Wm)`yFR zsKX954+9l#2cRNF(Lp+4pikRRMFmoV0MOyZq``7wpuFu%G=v~}G3j>kD|ijcBTe4~ z8iE(gl4KjC3VF(%4)k=ht-5avX3MKSdw*c0Vd|^LB zks#Box)fucRhp6-$v)q=Fva*SpwSiju9%Mb2_htE#MpNz#HSnvrRk4EWhN6 z)h%FP_ZE;G#}-K};QweGcG7io{bu$(<+4||mOm`-qs-?mp?xJd--FfOIZe8x5?ZHbB0ru((05dA=`9RCejTxc9!}*PuNxQ~g<^|>Ak-!54rZqTD zJYs*JK>McknDu+`7(8D(`^2ib-{Sh0kR@ez!lMl^dn|Y9azJgxBTkePXg{P}DQY5~ z2Aol&n~q6z{fJO%M(kbP>+bO`2+q_Q^=3!Im?xBxh-#AHgyUBiJ9Z@gaVRbx4^ku> z;vc@X%&4v#2}lbB*A}-T3J4|9`@;WV$#q>eqR=83!l;79>Tt!x`ue=kJD=6PZ2KeG zW@+vA>kx_O#Ps&0(AqC`~hTL&gBHz$upb`Rr?+*cq-6#|Ct%y#Vi7Z^s6j zY(GZ{$z#6}MSB3Z{gZE26PM#f#aQk&Pog~}sqpl;x0JaAwns~AO8a|YM|}5F^V)oo zmvj@<;0q@j@`G@AWHuHm{kk7j-_e7gHM);huX6MwD#Tkh3MF{@$R>;+lqljzhV1td zV8$am3glhn1uTxOcpA`}U=K=?h)GU}THqYW1|9|PUgSw1A?~%aHKr|#^~Y&mV4&%( zandvS92a9u93B4-zfUx?Jy1W)hF|(I$`NRjJ*_k&dAK)HoP0~=D!+4Wm#3L?XG_^V z>HBW)mjGf9X`Yo`d6ITwa{Vi#hrQdevl1>4&@f+>0BZK!ax!k#6^Lvoe50j#kX@mE zZ9WVVn>b(K?-@UXnEnx8*#NHJvMu!dY2&hL2z>flvUh7t@9EXHA&T*@Y_xP-L0P}8 z5et60^6#V(Jm!QkU?4?91w^u0a41}tF$xUKe?4+<4N?u7Z>|Nf#!BuR(+tOja#{PJ znAQ7Q`?!~%p=fcgd5nQ+GAt%u#dh0p3=_U)1O7n``T*t=Ha>gn#JEiI-LUQNP*#nG zde+rS^V_wwgI13E?^JqGSM_fIY=p}MH3T)Zvd?nY<(ha&Io?yE0m!rsB;`m56eB(` zPi5ts8;%@mCgYUC)@*`~2|meUsg0GO0!>G^Io{3+v}VJi5}43~b3t^gjJ0jQ9=y?F zt<(q(rbJXPs8`^(Wkm&D=8i=R7f4_r;dSlSWqEZ>WHnqGC;t4d>t^>)cCvC0eM8eR>di0Glp zNQ6cnKjm@af#ZO`ww8BtZaft3L7xSDM3+&NP@eF35z=EMA(%2meQ?P*cF9%*cx>V% zL|Euabizh!oAOw736gvMW=?~rqO^sibBng@Uw|L~=xck6xH;V&n{O=M%z2M(XPb*Z z#sm#XaPB*A9-PyaPIni5Jc5|D1|p>3dFnTYH+McazsGJ%)Nc~*e>EoGKUu^7vA8hg z8r zHzt!_xmtg`YB{R`)c`Zg8gPAR?;YwX;ChA-?`e^nL!%n${$`eig-{uKSaAIb?nds> z-pxiW7igmh@&Vr{7dUHN=iRAPK66J0Zj*dOqt+f?{dq0Z?WGDvnI9J2aq(EVQoF?2 zTJ4E+b2|C0UOwQ5FBc>J=>aj)f^n1HbI{duyOJDwfzLNvwN@4Pu-gG+nbA+a<~rrk2ZIew%L|l?no7Vj<+j$VTPX#nP|d(KC6D_39|GV%8vFF7+`z@QU-7cqox6Cf9{@6Zi+e-elxS)L$>>w;6ZgM{V6r zD@`y&)_(q{%dfcq>LOLtEk%o0oT|s=E-L|-_Y(;#&?Nx0A9}9t&O}67NyU`)|ELdR z7lUILH6};zrA8KSi~luthfquP@a)Ks5L|9=lWd>+byh+r=HC^kW3rt;HFxO-q0VQ0 z>ybYJ-{wa}O@YQhW01qcPTxK1kHVQN5ZYg?zCOa5G#T*u^|h|^5q%cj+Xn(p!WQ{z z`dWYqXzlmNZ)MmH$gEgSpD zY0Wkx^T-f=cL?cffG2XR)nn5ugAtMMS(gD(O%lz%Ts?#>|F_$-Q0nzciE?XcdE63joQ7y`p~q~@X!FPE8GwFTGwOrbn|eS_*lBc-5Hnl=A`fFBPmwSe45A#P;>>1L~xTKj7HYWg{ui93wu zU=PV3cRT-8_+J^+-|bv8TvGpw(Kg`6+0KWvzU#(T>F*XM9V8EUj*EpDUxm$=bp=}$Asvqq-=?(W!sbVMxg zBKCtgOWyYNx%Dc)pJ_O1;bVdAZL@4M@A2MuPp-W3?56raOoKp2SY>R3afHwTGN?#l z>~4*so|J7cMl%*cC>l~g<~A)Vxu$b;Kftp8NF+9Vdz&>yIctklR{p8FZ0C=&r$3so z9Qo`5JIy*)ZXcZ)h$$Zjk|eHd<@GP8RIvVMnGVV@NjvVe07ei2S+w&j3eCcX_7 zPNQo`)Cp{e29xd3XP%er$i$XEZp8+JXaXKFhwkeXA$7{zZVKCxT6G-VE6VdX-osEj z-`kSBS8u`lYw{3hrg}9izyq>0Hn@jmthi>vIRmVp3MY_w_y$LsD#&mkvYAgxvlK?@ z3}9f=(nkXMyA3ipuOhs9zcfou1!>O&)4eyki0=j&MuC#hIN;}%{$c9e=m2R#-^h0U zyFci_0m*wAF$v?+!_(xaK5EC8&76L)w#e)E2aoyl$`?H}?0_fFG|2m5M0XK?kIYOC zxHW}hltMp5@nVDWa>BH`=88fS)C1A2ivda7-FIaC=z>*0vOUbaE7(d*SjhLe_2=J% zA-^ZwKHMbS>MHK9D4M`~YA!{ck{|j_Hq@Ie*6V_cC&WM?DZ5aU)4Hr54-c}#CyCc( z_fz0}!K^x~0Im>!nw8&s?pGHRls7F6*E1$7N9R+k^oZ<)hm+u8=w>c|Pv}U9!GVQv zQpIPZt`@|?L*mlR&To659SG4ynGG_$rE0pldG`nGsHx;YcmL(&G)6G%9Q3ZHr{?Mk znQ$=C9+K)4$lX(BflM+*zO|t561RJVx=^lUnl6IjRl=sPBq`5y#{=lu;Wg7SF z-^e_`@7oeKn8B?@Mf8_#>^wX=Lyh`Pd=Wn^JmQPg;p!wa86$z*D^b^J)lMSn7 zM1!UF>+Y8~xU8OSGdki*mcMJ_Szv=#^CP!yAMoKGTBL8a(`4AcUzzWBfwxxI=-@EXdB#Q>C51&j0%Dh{?o}U)$GD+5^y?RJC zIXZuwUVZ$E_!v@r25(+EazDNF97e)Om+5J~UQL4}_Ygmkwyh6C9utrBldH%^*B<*# zOT;N<6~(28-)zZleBPyp>K?;`RC;2|>QQjP<(HGv;?Cx#NI}pA9hAD#zW>UsrBm1r zyiz9>sg&Fvb`59<9ttQh&JXvx?({lM0f+GeuFxzzSoRyehhFfwhY^CVOz!}|aY@Jp z-CkI4DQVi;tzT=8(qnIx-F(3#FUr&Q_~?%soT2GJYxsObd%nCfj-}2K5gW(yRW&4W ziXum`-r;DfnWDFoR6O*J(!mbsTJ{zKVyA-j*S)J`hkMS z9J4)*AywsvP)1hKV_^_De{i>VGGy#w(`#nrv0!>&aAps24K-sYCz;4fI)4?eLBpGt zWgkE25$SY0asZb28eVD<42z8Xtz&SV!+Wdxa-iHnwfY9JN|DU|+hz*ccO)T9Z-~%g zDLVL6ZanH1+yjq|$P-#0b?#77*UAK1FDN_+$+{riBEv&X?NaGu{(L*@2tUWxhSoXh zLE;#{dq{LciriR|s)xxl%oH5|r0!0Es=#XuNy{Re?p_krZyDblmz4_l0<9ejp_L z`6Zse&M}`gV^)(mPbfr>`&kr!>pe zK($W)RU@JJ&?&gb)!jj1 z8H~{DAtAT>8Zo;$V>rCLyzUr}8_u!FMCvLRbw5TS^Ve!@4$}|F_1fT@)*~&iiu}MN zAvZ0@&oE83vrnXhn|0IP)rIPv3j@59tFDkRRbk|Opt}4x^rup?PF}(0T}VD1Q$mLk zTsR=7_IY(28B{SXlRRek=amdtrbeT{4iBy0=U_HDGSJ^qIKuk36Gh0ts8|mR+3{lJ z+Z*0==!;Em9UC3nww?5I`q%&Kd&hV`yvdlWYR@%y zRjpe4?ChN6AOZmaRlx!S=>xtQ0lu1vqmzZ5EfFUj1Cfx6g|#seBQp~b0~0+HD?Jkf z11FKP6p@&VwKdVdgl8&HfU|&rk}Cc&Ku(ZkfS01?_Jlu1W7p4o&=BKmrOG zN&>PEC9cqJIz=#!z;yhFW*^OWhTS5w_Bo4_dpKTRVG;?XZvrC72PaM*mwZ0EA>&?% z5701Suq3bQ9`cH4af9H-m_o0=KjgBwnlj64x|Lnbn3y@u4j1DLuZz16cm;adm&=;r(fG-D1LfvE+FFFKAfJ4A-5KJq zP0ABzr*RT~qm1fFn9W;Q5_~4oI|B!l9{hBZn5LP+3z*3Yt$B60eOqr|FR*@`F#kW)h5@=s8P$=g!{geV>7R9B(=D zia+)f`-rpW5+jy0`0;;hjZ03kEvCvJ3=a);zi|~Ub$4S;r6i6l-+nwb*F3SE*v!8D zT)65LwHnw3xW7Iyu{c9DL$LUMKY-8(0SL6WO`;^PCS^O+4x zTtmi;(DpS=A&W!%9Ce)g_R4Q)K{uPy^Zj;1uf~S3)@D0&D|JZ%*W>Ok_wMYEpVxWH z&;!S{K6UlMTG=AIHav}?7v``On&Hc$GVJws|M<%nSnv2_=l(gcxsA`Md5P&2Z%<Th^I0}w zb&X5<>neY!^D2{%_u65XPn4|ZZ}X>(X1{GOyDxk6-8NI`ZxS4Q>Lx;&rwo#5P1eWj zd($87sJl9$5ARR!R(eob(-6Po_7zD`sd^AVeet*&yJy;#ww4Ct^p0yCo4-}c^`sb3ATcj__Z9?kxa)@t;-;5SQxADV=|f5_Q{=t8{bvu}O_ zT2jxtFzKMbn_fY8;Ih+_(|a;A%Gku{qV*(f{r&21iz|GzNq^bn>+sb{-U{ehy$UpQ z^yU0+aVcjL(v$GmC->?5Uq|2)H19 z?(O}NZ|x8LC;7}@CzF6sqKfc=CzF6ct|Y61)A09EX7f+CYTu*NZ4m1jey``(;5~8M zoDQSI_d}cSetG1;itnH6Yk5zBou2;fbAFz<{~qffN1gEJGAyM1srKem^~vLHSIr}z z{qKkGz2I9ueAy_+KHHyXpuA7KPyeZg{}SNm$1o&BVDhQW_w2hj#3SA%-lZX-e#XZ!a?aV+p59Y@G%&%`R`sJnRG|6Cs~+dAX#g8whg{P(W@%i&<`ovwrjJvJnAO`d(Gdig?fuRbl^@v>%U1+~e>U~J7Md>y@lB9yw1c%84o zq(06LVs2mm;d9KLSLGFbO^@gzyhQnpOmG8nib!(Az@*MhcfOC1E1t)1H`Xn z2Z(_e$V{O&7!*Ndf^v~u5Cyy@?o!w%y93@kW}totI-yD_J+nzCJk089x;#|8(nnf~ zg6YPHN*Lj^vayqPE!yo&XU8$@JeU}b$Xo>udkfW2uBR9>;(*zCRz7Hlx@Z0%>c~?lG@G9Q#-@+FhpFZEz)u`{3iN->D zw0dUM!Dk7pYU=9|cwqZoI43mG#q`t{YGIW4KK$!e`sE=zcYroP4R2%`ypm}n-Lmu! z=*@I}@6Jm+QgeJdzEy%iq^cf8PID04ozFI9UIh-BF3s)H>wKK4jGt}NO-xgl`KkJ{VtvzH@$&u<%I@la5m|Kh zQNEacKGnA1qi#_2o6Rx!zKPI9c<(#26xfY_y!QQ{p`ii)c~Kl5V!FJcyN&CNy1!Jr zN0x*3r_#{rPq$?Upw_r8bsHmM=W(b?bUz1!1g zL0gJhTiSXj>zS7g*)@m|>Z;i44Q@sybICcq+q0|omiU5tZ72Oh+wpQ0mOdJFmYS`L z`3}XTRQZVftgbVzysSreo89ePI?UYVQ?KPmEo_ksPQ)U2`hMI^J5-`swti}znfR`) zPiGK;fmHp0Yk+cbQh%FsZ%-v~-M72@Sa{F~MRmS>g=U}i;aaUX%in;LZ--Q8KYd8P z08V%x-k0Uty9sUPYwE6Q8oot_y?S_fq^>CG`tW>fqb>AtDQ=;^b*&?$U+&DNbY=c5 z^0FOF?2b5SuxgbP&shNVsU0c9XTLwehLw1yAF5c)pXT+eEw-UG!j^1JSv=azPUeg} zitgf#1}F73_o}6>X*zbg%IWfZ#o$1FAFLEFa}c@Y(bYn9_Sv4+xcXexGWK#=RIhWM z>b5trvk7gW|J}`gmaxuT{FW`1vuJr9=%sf!=FP2sVDzMFbZ~(~u*Zl&Fz4sDS7ha( z<3&aNy1Aye#eWg-CSRj~!1TO$T3Hh%-uj6O8@n>i6I%U>|Dob3BTw_~jD0V}_oL}+ zH5c~V54*4#_1E<^dF@G!f#$@z9|_D#hZ(eXLJv^Q)?F%Rchk1KTFkB6*`1E&U4RSa zvEhT`@M-T6(}T<8fg(AEGF6w6zv*nHxI1gr@!o+WE%1BE}pUVqVnqO=17#x^GUns=4V)|fS8FX#CiRJWO%$P3~KXc7$ zAo5tOzAf2D&zU7|mSqt>0XAkC@B^^zv$Cpiy34Qq@#JH$DfAK5=MrG$ujs3J8*>0V zLSOCU>F02f_aTzw1+a5(kyeNo2zqYs!3bk&QR4^$rNK8zldseHJbau-~;Cse7DB|Y*Wu`E0p zcCM|^3)yO>EzRAZFV+i8r9DG@hq%+jX(s$@1<&3&n!e8Mw?6H&jGF~#7ikCNKA%%G zd`iA$FI-(_on~)EtKV~ET56Zzx}9rmXVyeXkLj+yrx=6 z$+W0jY82GSxJ&x_v*K)2@U6F;w^=({?^LaV||ytNLCM z@>niTM>9WazTh4#2mV&idRS1>|(ETj;rP!=xt9BkLDw(dS`&ou%hJDe+fB)EIWpjlHa6a|5bDtpBaD3io-h{6Z zo54N(YO5URnh~uateBp78)=ny$v@mTG;#-G82O?eoqqXUC}kvM!*H)suDr^Yclp?K z44f~pep^$|nS7lal(4netKlCUGrC=S8!WqrZI~@t{L<89)VZ#{)5VVk3&`h{_qe?2 zw}aVivR+~PX;kR-ma@z^ByOi?^d0axmU{niq4FAd!^L~c%RSWV)4hYv5k>Z0~7y zD1J@68!7BsQ*`{7A9;YL#(DvA0-Pm~%Vdia>AvWc?jDLdqb)*Qc`kI<^NY1L^JwWjm$Kli=qd=yu19ia(M`R0DEg{M1D>0g;Y=WUXwf0YBl_} zRziQ3)_2u5Hr}R1w=uOXBRf`ZA&G2QJ<-C4hoKN!7x5B;wLnKqgH}y?tv>v8@uOL6 zD~7U$L-|}`DDv^F;VJ62V(W)u%1^zZ>!|zd==pCb z_-|fM)27m$B|M#zTZ@y6pZ=Up6*UhiZ@o%Tod>J3NWOy=G599M9yMXn zbFecMKON@#A=RpENN*n(G6ZaeC>Bj6wn1%eB0@k(P~bCz#_|MUDuoRWgRL1rcd3F- zC2soP6?}6lgveBF3VCA{uLLOtHfzMzD1gY!kc=%eXVfVdb##_IQ-ZR5*JRjsRz~-9 zqEOAFuK+HQVrAQ2ztoU56kNE})GB(k0u5#@fJa-5U{lce0_dBKIjF4mI06{J<%aFa za8om3n2CBENpAI4Ek?;XZ44_AgI=dnRNgs(MbU7r*Bcxvw${#l4Fn6mEre;mTsCy}OfB_^}R;DAW zcuktk(l(+`t|lwLc07>2qI%TQKOfeVFi5&F##G0YLC|3&qNnssK*nSt@_>q~Nv7D> z?b#J21b!p-m*z@~q&z%CrpGd|GJeH9{7-LCJ}~rGz;$j_Ht>^=%;7)e#wUbyW15`CmdrFw21T zjO5&$c;qm&%%v~3hmHnculH#zxtw2Jh5gaK;DO*(WvazJRW}d|UR(@iYY~p9$Zq^~ zHj&mszwuu9*o&2<@7Z*J{N=8lYd>&Tf4*jwoFL;}A;cGv_*W$vpbHc~(Qw@rH;Fv#5ew@$?Z|V_XSBQ6Z zh!}LX0MGzH4FDAYlmJiwKn?&I0Ho%^+i7WAn*35q6OKl4@v0Fcog90iAg)$JsH#Tt zN6yJ&2%-1D>c{1b+IfL9a$kZra;JwkdWOkkSd7-KtMZ8&SmYBkv?Bac*AyGSygDpl zePQITU4eeuPx+*7c*j(Ckn|&6jdhj>!tELr zQMSwrNV|WDNqQGVCp?SclHEkJN$uj=Bn|u{c#efF)WT)80vYqUXA179w=6n`MD@qI zp+g70ApigYfKN2wn@a#T0hj`y8-VIUuFhs*($zGIsHX@3A_0g3AR2%e0Ac}%10Ws% zl!x3n92Bx*E-95Jb7)15N~blW#`;bMsY=d9LGvgSSOjDwy9%hV7Bo{r+q5`dUjfXa zYgWproeD)~7d?nnvR@@-Q4^oQS*>26vJXbR|9^JEz2QLvT zDM!?P+SzvctGC`?7E_LJ$C`Pzu!J|W-dbMB;tvVLwD~4$D)=XBsB;dNlI8Et#!6mX z4(8t5Z!LUvURZjnd8X+-UF=%Dybbt%35yGOPmvIHKLc8@2Ng!n1hU&j2C}OIupfLN zyVUAn155gqaH`yW;Oozp(1$HdHVsOO3NDRhQldS6&dB3y%$0nO!FOd#kE$GVm(MR>~4iV>@6Bq zzS0h>RQUya^lX?aDqYl4-)$D7BZ(z}xHUDAI&;V&WB=Dg+njje+8UCyNLQCTTnn|q zhXI*XvGk)Ci$YnBpW4G#Fx**oS;R$V_z9lpZx>>)@_K7js}R|@tIUgLigArFY?$u# zgM{xTkZW0KCedYg`iNyE3822Y^Pfm^iHXO7aAVlKWD2dyu+*HqbX-Wj9?UR%m?8NL zz77-sCk)`o5d_~P-cdy56p0(WJL7Ysf_r2@ciIcpPeuosd%g$#E~ny6C*fQxD=UM% zqQXmf!ax}1h6~;I2@$@v?I-q_-ihnHvzOTP<1p?f4@&*M?Ta~6(jF?>A`pwGqov8$ zj@1bn@pOF8tI%kEsY|&q!*i`Rt6s^S$B1S(iWSjf2sfzB5CWquzqJ#ci*iK*xHnEl z<~?g+3g58Oh3r~s!woJqVo{B=qacC~&W_zi4`hg|hgiXEF^CIvnu!Q>8UdgOfHnZ? z04R$HczYoO-_QY21-{8tzBaWVP0%e+1$1uyxZRuz~{5RrkEIKgW zPzx)pNqa7mkY(cveIFoYn=<-njX-@>(PGL_tr8JjCYjx5h>nySidowxG}$7V4TKY8 zDc4_9H40_gyc#!{>DhWR5v_AG)oG*X<0^yS_zksDd6AwV+8^@W!aRby0wFq=V?Z*H z;Wjp)7UArv;UHb1Yc|<<9ftAUqGKj$rfI8GkSB9k1L>E(m{EWsGDlJmV_=r)bnPww zB1?G6QB?8Lr`U*2KO9f*O_e~TBR>w53v?x_RjpKf=FfeEm(6_ZU3gPdzaoHJ+_7@f zPot-idb?VyTRw=U9CI7$JfH)Hjvl4*?IMp zfZeOh>z-s}5YDuF<;B_>W;kK6KQTo&`eo+^mec#eR1$D&BD9VFeGyVWnbD7`Zl-;?B!M{j*6}6 zUX4Kb(-`{JLu9Q$t5lt3!@g8fFvnwUCzxzQHpp1t>=du7dCl#C%W7D!z1DHxwJIjg zxwQ&wUNJ|;PO7*tW`iw50uBE1<_oiV6sL*1Y5|5cMbHKjr<1Wm+Fa>D%F+7f3O!9F zh!4Gvu0~oSMP`hF(u`W51nPPEX8J$!T{^{+i@r{UJdUvRU#`!=w5 zg-Naza-*BB&oRN=7%_OdKXmk?gGvspHTNRW1Vb})>?O}<=|Y?IqQY! zv+y)|JcAw(%t;}&cs$=ZN|1eFRh_2nC+NXv!FRUfH>G#cED6(#kj=DgZw3ovXzORy zN9!=G-${}g-go!o3&c+bvkuaVJlGN!a5b^?CSigYlR7T!`*^TcqB%NBwW`L(J1|1h z<^vqY;>gZtr?jx>nd7t7tv4O@k7(DL&sRGRzQWWYEEQC=OYL$Kwc1pWiRN31@Mn}bmoc5OLtm!!hXR5)d!@#&TH z5}@N~LKp#8XKwtaynjTPn?F*i9A01K+EfqjCc08@r8su%#Ab(npc*cA$0cZ5%2kRQ zDo(GXk?rI|ICb-E$>!F;rtZ>=Y?Va?4(3zrb0o&?*ePdpaeOU_JR)bRV>aN4+&8uS zni*w-MrMS05JC!~EOXDgfHqfT?C)uau+GA>EBS+pSFo=2?4qhxA3S~4qQp$g340VC z0Oex%nel zcc;|mBqS^=u3d;&FU`D+AeZnYUJ3KO3>Cl`C;~V<0LONVm?8zts53n}wS#0*cUmWY zD7l@)Lwy)&w4HGPy#{cO& zqpzMlLOo-k(ZEnVO50-^o6f)g(1x#X_kLChAS25iCUW3M;{dd6FITD;Jjsv+eG_bk-nD zCr3iKqjUU%5-)yYO{%Jhcf2jAlHiPl&`tnWF3e20Q7X)Qx2IH+TQR3h&>Ynd4?Vmd zMNB3+NQzD(NlS)HayOUbd@%s1>J^np8xjOj5GN_g`9&gDJk;#fZQ+Xu%R@mS--ei$aZQF@86J_o7Xcc+7u~^BDpX9X2&;YO889YC3^1b4-#= z2M2~;7S*hz6NP&S5q}hPLlXE!CSw{ZDmFO)gGQBqh7MA&lCgkd(Y)@%zZS`%!&}8AWIa9MB8N51Y|MDfO%=iW_gD=l;({Rs%%C%MwWtbGgAXf?=XX?Wua23O9bvckTpJy1BlNF?r1A=RRsDDv=@t)f^!f@&>~k*N14 z0BaRB5Z;YpeLuEO-DapVEe_>zkNA0O4b>WO!9^hx4}t5dGF+dU7h8n9Ni zog|DwnG<1yR{P}a;gBGk>s_aBR1|qoRl$_PA}Ku5o#dz~nmXrLdz%nqALvgYDcnjd z8C3|btfe8fZ|OK<5Ak|_Mil|q<{bp5F35+Sovi|jS!XC9jerfzN`t*_Teyxi;fdC# zX=tJ+m!7MIrXf_E^A(M@d>|!}+I{SNvA@eUB%bYOWmBU0;z(Az?_*Jg%F=WIx68*F z7&^$;#E0Pf(x-M~X7E3?C!_#szhN57>Ea9Zacbk*rDv&+PVbq4Isk!r4<3R^DTn<` zFaR~2#1^d+>j#q-I~_tk>uP00C1kwOXd_qn3Z{0&0D=14)rmvwHria?!IkoJWO-B* z!cf~s1=lX`M{=z5l5GG;0*Mj1ynK1JNc)*TM)U2+Lap&P;byo>P3|r}8~@2BDR+ck zZ8A9`5?B=9d=M#;`DT_PiSWu*u!q`4XT<@OfzBfz+PB$b=u0{0e+W>d;MB`y$s>4R2!S|fE@8# zHZtX(c6?jMjwkgDB*S?=6wL3PzikCJ zDw1GBNr3y&o}CN~I&IQB|6B=&&{ywUB!0WFe8ywQP`j`Q9-^YFjh{p&q9^ zka{nX!qC0F-q=!L^jZzllV)}vk&)Dl7Wb&{$H~8>FzNkhDx{xKI)iP%cna3Uybew* zZm5c9$x3&El{JGUzq5vQ8xu=F({`H0X|_)g)c#?DR5Ro;&a*J7VB5tJU?7duTPsJ< zTN@m!uaWQtE2SIi>+qsAEV^W9pR3-uMAg*@#}d z+S~oC?UlIaUaSo};~FQBo5lg#6UjuP(T@Hym|M$2SXhpcVEXpp1loTe!Ngtdi@!9_ z0h*}j)utlT!q*P+6t?Khd%)vT^fE0@oqc^^_Pf^h;3~wPo1ZzZO!JL!$8iELVLrv8 z{sq$ww_D>l=~`n!7Wb$xzM{%7(@jV9AI822!01x{!*Cz|VQ5MK3?JuT4So}VIW7e- z1mb@+s(+ZmasczG@>dh}htaPDFq?*dH3NSbylMc`ZU0yE_J>)n`Ir#sVkGp`cWRB4 zxge2b1BuE9+*=_^1LC9U>G4;<>;vLukp@$s0k@_h!LQv{0J z%Z{-It_=QX&$k{Ji3m0zWn`|Mot4SM_cKGXqI`|e+gx6PyhMgwxjMxFYBIyy9QCIQ zoiZ=csmA}`M51xa+PIdDho|}8q3oa3&pN+mSRn-y(G2h}fEAGj6C&%>x2vJ;;5*CE z!6e(Qd1MJd?_7JGrW{DE@culZ#74T8sl_77$HesP$Dq1R&5W3i)ZB?!Pb}q!MVqKn z8t%25Dtxc(f5;EZvowy0CR<=MB<{Y5(vVp67o$;W2~F`ht{4$wWf~Sr5aR$$4gZ<4 z0ZcswU}KcWb7CYocOk>x>=lLu8wVDJ1)tW*hXey61(SkShXp_Xau^V*Cw3eVdI)3h z{~N}@bwKDnlfsaAWq`sk&oevGAP*l3xo0Aewd%GAwip*prx_Wh6Mx z=~vUQ$gm6H^%(6*63Q)j3r70h>~`JFYa`a@$t-k1- z;5~vDX!SfN>{x^K*CU#0$*bKti8itThYcWUxFZU`v~lQeMDJ+CZu?j2R6i=8bHF05 zCg&JHTu^1`0frws5R+fhv>gQ&s|7o*UFghH%lSwNH=$QW72W~{6IBEemN)3$t(nX* zSH1y;UKu70o>86zP}de%{!qA8QMN$9z~BdmAkb%tbLllYahAGrQsI6Fd?53KLIEN( z|A|Zww^}sh(h}(`XU;D&@moWll^Sc3b`noFv;WI*{T5-s#RiLUA_;%qz(gw!Mhm00 zOIh&%LWoy$YdQ+|Y(g(XgkMMO?r<-K6i{Eg09Zc;A>w9f=&Z*keZxMf=um~VmR*9? zAaHh0%{pbTqcoSMz=O4KyT6v4mVk7&Swp&~TI{DZ>~X0ntj0;Tbx8{4b6z2TMQSuj z1>nIoet$kEUuq}@S*B$7?u%H@bA4~Vo_8z7Ar-L4M>* zhz0y3-Qx#H^Kt;v(rJM7CuT2cq#b=`@mV)Dt;MmzJ4S@vr9u)A>4ryB#t*qc`6VB2 z_1pF>As6cI#!JYA6P0)8jTYs&r4Nj9?VBPO{y0GXlC$otfX>Sng^dXMs<-dPTE+@4K*vcD{ z{$5kSSUwX}P}$9g+=sGTAb`hhW@vU%2Y-AMJc)a?=z9eaCKdAM%_k%^@pqSnwM6An zR!-|SLC%VOjmYHyYt1^NvWpvAaUL0#FReX&xty?k;h|&cvD@y=Cq;2@g_1W`*)qtD zFpH}~_~0Tl*~RBIm)9b-)f1@SgTN6cP!%a9o1i<9anZp=Sh!%qe%3%Z*iNGM+Ws6s zRs8s-_^M9pPC`XyBEmui6K3vQE5hS%`Bj(lXd;?6ZQklA6RlY;An9kkYQJPYC3V?Q z7lyITjzm3~m=RtMdaqBLQr`m>3uN#aqk;!+oI(N<)hf_;n8o!!rAT-Vx>GLO%(|15 zBgig7Fq{NVGLfXmH2H^#K}rv}>?3JEUUId1$y-ru_&#St%$>)?loBoIw{tuDEO|qv zr#EG}6l-Xw7R8e>%9D*#sv+5}`<-Re7F@i!u{#w|x>2)MH}$0q4H!X!sfi^>!cchC zOUOrjt&}Qs0;Q#4b!5eMuDp;DhZOmNATP*<-<{3FC8Wi43{_@uB7m5i8F*{6h_K2H z@5lWKAD(M(|g%=mo6lU9w!sW&HyDy_h-Dw$wgmd+4M%9>c|mR}^B$Ps9j z(5^3#Kw&^E$)tmlu%DhlI;vVn>Y^EB^t5q+v7GkA=uH;si;k zpLSLqV}gjPc>wiDVNlgUi~Sq4wLAcx+hE2KIsrW{SWYgVQnhV+NQsw5PzTLKLAXa) zi}$0TWykcXxVr2l2wHhgDPC4qTbY2>fERl{2)%AT*YB7?&u~fY+Sb{*eSm~p4u|xN z=^6Wp4qUp~7vD_JQZS5+QToexu_oed@|aF`YBePIul1P$8ey5&F=3Wzs$DSKq$I~~3~_J=^eY;9lrbQhYxNR~KQxLRboVb$Z_K;g z!86l#ig>gRFEr{U&m>>C7PXAEH2(aWYq@>&@J1E`>-ZRy)53t4+n$(wbyU?zIzDy1 zNDZ5( z=w~jwlwrOumFZh9gT98&6c|A}+-(0A)}qzV>rT$fP?Mral9Y7lRwzAfPWc)FUbAgH z3|Tc23t-k{KPJw&dvsMF^kaR>cq+j4C2O%Y{R@%fEX3dEv7nkVi=mV5QJN{hZS z-^hBfkY1Y&XW17qBoJLFc!z4n$Yi+~6P@0a)>N!oDm9H&s)%h^#>oCLTGhJN|7CIB zJ&Vpc@Q3)$XQE|FVmPb>%9cGrQFxz|&}?QNU%j!5>JSPB0iJs-_%&k260ZWeOeVSQeb=GWu?dExlGUXocQ?$f=+6CoM zikiPdvw{fk$K3Q`DpJGj%^U=c#c2*6Qi`dAo2W zwaWgF-uwr&xku@EukC?@NJ6{A1tI0#}zO|vFD%fPNLU! z^3v1)V}FZI6_)e=zOu>6ES~C>H+eN&UGj^IQNQx6o0lOeeWCC#U6gnrEsLl6yZE)_ z!I9Dx4mL}+m~fmTGq`yQQ5sfDAMq_|)r`~PmVvf_bZZ=3jx{CZ2&>2IIc4CmbH(^q z8x?-u(W)y?dz`>}H939Fepdp+h7XS;gZjdZOCA}yr{%2B#(*hqW%BVDSFa|8;&orD zHq<0nFGMm2FT~l&3(#$q-<3M-An0vAU>Pju=f}_M5iJ};{8(W_#8D_LQ|5Ri`r*k~ z=Ce|8YUX4nMjz{u^HO}}iOE{}ZOH)&dC379i&C+b9dJhmhVO8ozx!9+sZe-jfxgxz zR&x+Lk14drMjB{J7ya}o-u1hqv7~zKI=SD(R#b-eCE?c68jUqB`DbeA&y-GGV_80D z$~%=NOs4v`&!y4)!J-^kYKrRp;i3G&s$P%51GmUnj8xyzyxi7=t?ywNEf7cOsayDb zTHjW?x&U0)R>8k?fdHMW6+K0@am|ytgO$Cm!^;L>vS?!kHiCL(jaO?OX9A;TlRJ0A zVLIyhWAo-!wRRXX?(4xQp~?Vkr~|k~hHR}n9PV;8KfKum20r*>(IJjFd989$SXFN!b>OjTF}l|(dRaz>r~qCD8M_4o~_=#*oA9f=uduYEjq zoACg}Pc!WpLUzX#RCxThN@=lJ5O3FCFN~z>&c7HE#@mfaDSw(_W)aFeiekc3{t+bq zBd8+-2(JGKg8mB3{s>tA2r~XgAO{F)69Iz#zXEXwfS{-qAW;5CpogQxFONbnGvezK z#!O%#5i8u*C+!<6;U9~G7??hO%zPFtdVvdKMIZuV1!2d9=%-95;ce~maLF22qgzxn zdBgC^c2->qIs5G4fRi-UI3}Gqu$`xdvjC4w9t^2;p5A*UqFg$@IO}Oqw4jURGOzos z?Bk|X%ck~+r^!ch!QI_GY}W%-dm@l;y8GmIY$Eh=kmGb69MxfFlO4I=822X0m>v!M zV5ZcUp>;wL#Sej z9C!wl2Aq@uAO4^i{^uiR;^Zk9YGT!P+v=HF-W0!|CDiy@d0rjrc+`8QMLn{0bU8R% zD(lZ>tv_g}Q`;WB`NL;iXf$Ph8c=a-Ho?1=qLiW4&`8y-+K~J(oG>9olBHKGuK+Eo z&&bAjD}PA~`&uZABh6quq@vS9nuenkU4+H-Mept^`qh;p8nL>%I$zPn@-lA}Q%S)E zsDP{MRNCoa43XC(cs8v0vn=M;ihHc)jH0Kz;5{Vf7MFW$Yevx*l{)q!ny1@4K&KA` zD0>IUzk~y5n{%iD>$7&;ac(*ew_>a!%a#F3=-CmB*jq9nE~@^T4p%1lX_Y9*ZJj9S zX#-%lh)6Gb8>W0fBH!r2T0{LjfU;kJ{Ev8nwlxuf+^0Z+vTp!~+(6qa2te-DH%?%i zB149kk-&#SP}%KB0Y`K}+oQ0=?vH@OZonaZ&^9z2aXa&fx>|AwGfh1Q;=&@5P+wa{ zNRSgI@y@!a1cw_k%9Kvfe>&tjvedQxsGTBKj;H~%^w8d14j<6=0aym&MD}mv`_b&9 z`_VmP`;$;KbTwF|htes$^pznTmtnFi!zroDbN>TJ7iB|um#h8@6lH=1Rs%#E)C?M#Nrrf@MSVM)wLj_}*;kXoKDYz720 zhB2b1=0JmtZ@wYx5SM5h;{aM2V+xHDGXW~1tSrUobad#5`G0X;#?aAegKt8s>9$@+a(hHxjUbkj8-%|c&CtqSZ_uM%x1D1i8+1`ss> zq6?`uyq|-i|~7p$H(90fa9Z zfKYp=AlP)$ynvjk{A8W)hEB#pglDZ6BI}HWNxs&lQt5juQ-HoI0}wR;V)GAC0U+wk zH)3eImwgLa_T}8o9Xt@Y; z7%ERYQ8WW{af-;ndd)vn!anS)<&#hVM6BSIvPHxZwYcOp;BD4tImeeB-Z9Rc2} z>5AXO?C}i`8Bfte8}(vGhSPozRrs3z)n~t3ar=!tb9#heCliK@Egx1ope4pWW9+;2 zM!S>Ut4R@?_1j}r2BLK_JcvY|OY3Fu;;5|6+hf-njl=6H$@P!cSOE<1aNcHcpUclc zuV&k|rk6z-)t6N0tmwcT4v3-3)6+kJ=;(=Yz34`3D zu^)XzGWe*BVovK&?ATcMbNdW5+?B$i0kQKs1k>0=*5O%biEfHO0&R*>g_t6m%;4 z03wgnMMd}o7Le)*qJribpcH=w?E)e7uTbYCm(cYdVx4Y8-lH7fw~MlY(1WvcRrWoF zvc4Ly_r-0gQJ+EwQR6Uz=xsy$V|oe|Y%=9wqfnO_0@uQl0uVLSy{PS*o!vPsAIziOhh2Q07Io*J+`0>}Srd3F()fKcKc ze?paE{vV;ztfKlY1A73P3kIzJTO+Ksf^xo&mn90K$K>|24=1fw1<+0+%$15s2Hnb`v|4+_;(%!NR0s1Qkke7y3U%SwcO9OY#JnAK?X-7XY)Xyp@jn zWvkoVht-uut)=fR#4{~Kug@Bm3B(OAb`HV5>jOmJ&ZxfwMC?hAI$mjX4diRVcnaK@ zO=b?APatsZER1x37-^C3>c60H2s|R(3`t>M1}QL(#pAE16y*t2Wt8j=)CxNVAI%zpK*#kab8U<{ zZj5LH6QX|z6QTkR6K}3u_Y7#R2^_&sdVDcn|HTR^9{+6{#B0KeXip%F@i87CoG~5& zi;;VOt`{Lh{}e`dpdMqa2OzBysvDpHOm`rR(f?JW@<)^NZ<^u%RU`68llpI(!T(jm z@<$W zrlMZMlddioACb$oM^>SUNcM#%49y{CX-dnY*j&BhN44sV*2s?>C^AZNJyqU^)A}(G zO}BxT*|C*XEa=p+VbEpg2URk70h7w19TLlAbn+gIM=r6&f{B1P$Kj2p=l7E{ubaz+}jLuE`9Z_-G;JCrT{P?GkX@hpT)*y>g3X}96Z5-2d+c^_v z+2wD=4sNyoEl0IX#Ya>7Wc?}@=Nxcl(g{!_>>RN>WO2Ve^qc`{J>4>?cyyOAU@<8Z z_3zFW6ZF+{z}9qgKP;U~=e{R(%%3pugq}ocs!`l16_Yj4@ay2*nf;TBae+b~=Q@Dv zU)9e4DMpKF{5Gwa(eH1y%F!Uj!1VfBy-q)>QRxi+bPSNhyVzplUma8VpB)3F$g%jZ zDL{(R0VQzlpcLl;c4w4k+$??QeMJV9E!9GX^}qEaj@d`iC3WBal&-MdLNi?Qxw6>| zpYnz!__VqvA*Jq+NJNBpJ_&XHcD*#C#3e+cD+B*2Y$qsypkg$)j=(M zlv81Ip2WCyKyYM3?w6hkglYu?X2p4UwsrJBx`b+=z+Dj1b z+Dp(Et}2Zu#=i0@4YyvCO7?b?%B5J%UsnXB2XEASwj+Ng^&K2$c3{9=L4LXq<5#sB}dl*S)TZBDPFtoGkd*1I!+v@{0wt zvP!|v4n=I-G080pI=B=CgvH5WEVps-_Cy5j&B$TQu|rWgEjh`{hmnV`w77Q9)uyjt z6`oRolLi3Y<_ePYn%S#ht6lg6{Bv@QBaFzW(bjg;|Ksf~qvCp&zR^H%4Q{~(cMEO_ z?iMWA1a}DT7J@s24DKEvxVw9BcXt_F@BGhso^{uI?z!uJyK7DLp5D8rx~qD3?cbX2 zs(qxF7^qJ23uu&ErCxlX4I8WYl7JbUIG91#FVsQ)Inu)uPyf#qwsGd)htX{o_sJvq zNDq2?BLjvFl4wGR%a-Y=xGX>9S>zqZ-XY#K>>hH)gwUEVn-LjGI9Lni3S5c9W=tkG zoU%W!V>~*&1cZV78aF2!iIyA{|AGaP`$G1A%Xmp3gw(bJJB4x}DFfv}fW4gTP>%wN zm1Eh7W!eMu{ z((I1P&s{8yPBW_g+t#Ss|4&;(+u?!KhO7VElCYXs5EYf)R<+mx?+kY$h>F_3S{W1i zk5>Kt3e%eV#%jR^T`QC`8+g_4d) z9$6|tnB6*mS@@dx{$Md5!m#!C6db60qw?j@TJ=WtrxwY!^9kxaMELvwL}D_|>WV1V zYSBWNR=%vLj|=-8lwY>bA1JWnBHGQ@5lUj1u89TT=JU$|)|@MPS6&rJZus#YyB{yp z{+uduUUsC^=*0KX|saE13T+MkL}=;1JNClLvP2t>WqCE63mCQrfWTuTuEd&38c)$>fc{?J^}9 zDK5>i{S2`E<)A||YM$P?7-{TL{mWrPo>1$ax&Jsnf-of7sQ;mF5oxu&gv%0s5SzgU_w?|Z_RKZIp-R{)*<4VY&}y_xqW6Y5YHwgFE@;akdi z1akw5It)3;gTlXy8_^>u01P5~$MO%F)L@08NfBy`hdd~ngvIRKN+`aG@qG6wp$ue)$oXHM#{bUK{*Rom|08GNzw>nbBjVw}-n_Um*41zoATt;B9CacF{8;6Zm;&{1buVEo0=JAq3p z$`SWA;UT8X5|VsysxjbjiKc~F?+;Ud9KVrgfG|?mkRoX>1L!8y+Ku+uSBhb zI4Y-r!=fXB%#sMPptS(=BY?n;@03~k5yWCJW@ALIp+D~r#!w0=n!ILLj`eP6XC{M6SN_dO=c~E4F z`%@t~rVSzR+hl0Ps?tHN>2{N=py6gsE5bK|7>uP;2~g{bH6Ri~^?Et$<0#F@c#|y96&nil|2gY4LWOoLh1xY zoiqOYRtrh_7miJX7#1E@{P;Jnz!s9G-TY|zsIgG?vyfjp-_n4 z$~29Tvg&F550d3w?opW5U;8Z(CG-z=ThSZ;J?%2wT^7FaCdz>?%kX1!>_)`ZO0kqdkX8%UKHI4s)c(DsPMz)gImlT*3 z$fmh@LJo;jM{0x3Ic+!eQ+NhX=5))Ts2RwK%Eh9~fjUtniE6fK8Smc4i~)tJEFQb; z<*||q;*!YI2geTu)jZQEAgv;68>NhjoF*5Y9LjZV?%RCS`*Z`QA7#t_9L<5qKvA%ZQl!Waxs_{#^G`qe-JZ6%YM&=FEbwbe#=^^ zFOq6K2$~usD&>KJflEqlI5H@f!x&~~bOD-Sj+K5al4(7Fh-4v-$ibRtT_!w*1BsFg z;coyDDz$k(3^LeZr-j`ZMv!xQhR2Q~#Mi9-Kbyd2-yU=`?1yzVHv6`cN+ip`g)i10 z2_MU490=VHll_US!W+@d=DW06>QYiE*GN=8m?-cAr|~;daMfo=vyZX&uM8J6%xTjBGp5fhLcY; z9sCXResgwK1EnIG8#8y>BXDGb9Bu9|?`xoTe3k*%eLc$WH=)S((de1Tv%yImc_yck zfKOypN`X@;njVu3Xb9Rmla?QWDc@3@)Z8ihGI`zAJ_R-Fv6Lrk>YQdslhzSvS!I!F zl%eB)t^D2L=hXfch-P;m5Fk{E4+V-HEp&VnL8fe|pp zCH8^mujz*LVk^M;8M~0zDu5+=J`;2~k;?@ZL3K}oD!T<$_U^;aBB<`)pw%g8)rtFe4eg&? z!)z9NM_gk3x|=jk=z@ZV=~XaqO6w&Wl-gp2`t`brpop>+gk>@+@93?C9+BeYB!A|a0-A_+IW66!g9hQKxKdib&d+K+an z+Wz1U^WghnK$CP7(#-V{Sbssu6ZdF;6d}};0VeGq4Ak*aCR)t?_+ey)JNKHyijk)y z{QcyRAVejD>ce<$2-=pj+s7T)>XDPdZ>+b9MG||{Iv7p>cbiZo(tm9{bH22{Y`kWwYp=>%mL5moP;d z`d>4<7LP_htCw4JlA?N>&tMT1_{$8tWwgIX$J<%-A6F02uRVA*`m-nk_NR$BQRN4; zC=gTX)V~GvaiDJ=Wrw!7m_Pfud0sQ2-p2icPMpV0g=-b$5u;4mG#M{)*)7=aDXM=QZS zF4xgM+&nM;L;2$P*Oq?oU}Dv=wd-w$Jsg3(_qcgnf?(@ zPQljng$@gE+2DRda&ZntjCL7l!Cq&<{D@@rND|?DJ5pArb=<12$nlm9xoyCm*1jhC zry#}6%dmWG!i6|QJ+v!VRBTDAe4HkH$307Q+A_U>H870%A#fME}?z()uKmj-xTU!l)z@C%N2_i%Op_ppr&4(tnzr8|Hy{S1lc9=O1qpLY~ z3XHSkeZuOTboQPYpI$6De`X#=@(U`-W}FetO+{nPJ#y+ZeW+$dAT=JRNOKoPbGPM_cIk zh~bG8&Y`xQ1_SGj?P`qMSED@wNo$OXARt!(M0zPB=11BmEpc{B??o$BtD|O}~s%okhgU@Nw{dvd1(SubB><)EWC` z89CFWuPJkkS!`>ag82paW^n_}vm6vU;*3N}ZC|hj_smbg$*KxHhnQcXg&hgRj-+Nj z+9R11!W{0AKHT~J@dbA}@?pFPj7;jpf72i3B625L#v>AKl)7|}96nj+{%DCi?TqdB zg+ux8Ql>Zu`CpnBACj7h{?-(uia9WR_yb~;2Wj6Yk0;h}%d6Ydf5zX7p|I+Jw7x#8 zp^mhQ!@i26>1fBq0H8h$Js@R`;& z%UNp{D8(C-8twXQ%eC-|s`mLbw)=Mx&e`G?xNy(2PWAIPVPKaePT(TJZk<3O*0jRF z$Et=n?QPsa4kHJ3;}NMeR(YJSLl#wA5&Z&60!mUDgoC2_O0#41Mzt1r+dEOEfdKgk zihArkGZE}*dVi}@*I89V;t0En`>T$ZIAi(j@^L-U3ixP}}m zgH-j084EiI74{dtCq28v;^!Mb5`;b#=8aCe1{$iMe|z@ zJZFUMFc?AvzT zvc}8{cTcPGbgn$ts8=W{SGMn1?@V;a^mS2*Q>&)emIn1L?-I^H+N9IxWuRJ21AHL> zRl}`UG#Irsm#t_5b5aYf^*K2R)3*7nc~7;e6f>Qc!AD`5;M(_bY~_)3ist|wy5oz; zTWcbZzfx1*O&>CtD_2B|ZJcZQSV1OJLk<*l4$Nu@SRI*mtBrrGVtO&Az1|AP4D>Yl z(A49mLA_7OV;P5A?5jJQBn}dy$3?8JUJ)vA2piYX^@{0d@l59bP~t#(|)u4 z=ysD-eH!Z3`8|BlbA+0HLAqVA824rZFgh&$Ab$6;uW1uh>n?D~2*N+&DMil4TAK$u;u1QO0L1Fa?S$%S)o=WTt8p0{ycr|5O z+5!oNQaYTk%`>1d*%FiRVt?qb(*=+iEA#1aC_~Nen~y7s-UgCm^njuam3alp+(-4Y zW=0i$y#iD5A2F1=^paCquIwoMlzHbwHV9b#i)c+vs8Hy9N=>AL36vzGh~Rog7f_A1 z6rg%mC(~s>ADww6@rfmu)v>QM6*rY$n7y8Kuuv0`mq(ue+6lz^BJj~^EGvJ47isz$ zazov{>7UgZ>@2WNW-R&IOh%8n$`oR)3@R_2$miNEaLI1)Ih@=j@Qda|w{tiMU}^Vb z^4XX_%Mq(>4f{%&(JiZ?lBwhFQ`32%Mft@88zp$)8YDD)M#cyy9P6cmv@5+%&EddE z4Q54NrQ58!QhPn!2WSwf%dls02*aT*MO`DFx&jw-tec_NnobZU6IWSDR}^iNY#VsB z2&@^$PcPp8suT*CjOqIARs2CWCcG$?v#veQAGp$5hysE1k$Iv!T%Qr>1<_KH`j^j9m%^NB=YLlJjuN zV@am#FkRw&lArRfn?jR}XeO2uCZ5r;>SZJu_Q5VR(X_A8eEu5wxRf7~h_VcPQ)RdD zsTH%Rr#0Dj7-K$WhyCe??PK*2x0@^jgKrf5^7dJ4(8rzznWNlGKtH6OyuFop0&>`Y zGeni*STKzflZcU`k z=fpwm--#WwnX_MSU;jS!ybO@{b1Q`&5^3G1@T^BBG9^uby_tmy_ajm6&e&S_(AqjK zc!sqs(zz68g>a*n{4{?}`+$IAW*N|~;yo03-#w_~L-Tfju&y-Pta5Z&q6>efrX^o4 zD#O%*o>Y0<=m!{Ao(Ilcwg<2;>- z9bHOMoX~AQ=3!?ga8(LRKq>3gc}?=6Yq=v--e)a#5Yx9(qbO&>Rp;*Ro*=F~CeE?7kB-vE;d0q zRl}6C&nq*5NpsB{pXpcg%(K&xoL8PZVGt1W4tTYgI%r>7ad+uMUg4vibz1*ua~I=A zb99ubzF;pulY>_ZnV@b$?`{qU8qL^lt=7A?`$)7>vfZO7>M!rKrF7-V*4oo>g3 z#EPzICXLyn2i6c~C>PkyCo8A-2dVrtuHczeaW9 z9|C`ka>?$MBd3f}Lw+q8P%q6W-edG zyqLofJy$bqt;?St={y>ZZ1eItyeQ-HZuSXhc3-yq@l9PnE_m4UM?3lzL#1UA6m&%r z|3ul{U5}mN`}!yNDf}J2_x+@?gvR%d7lxu}+dbq|>)FMcD{nb`_Y~frpAtnxle_&`KfDT|yoG(fM`d^pdA})5 z|BMK`iHiFw9B`3``il=VQ78{0_M|H2Z;k)BsUOQMmrq$sc7ELSOb3jGjzz z%w@NTjG>m7p`W~=@>Tb1YWE*I+hZ^CpoilWKRLq%+3ut#ag;#&CFou}Sd;-LGv7u( zACbj03Ef){+J{|Q?AzUS+0Cy37jCNe>>pnDabHbPI>N88aR8UvOU= zKi?xVd~{iz3phUy%a<0l1(LRlp2Q4+UI(zZ0s?A9l^v{MH}6#Llizy?#9Vu`e(=SD zzC+-{s|w1SJH;~!%9{$s^Ca$Tz~_6opf?wa=MO=mT-|Td#b|uqf^Gi8WydTM?Df@^ z9nhstwr`J}OsPLr7G_-@PFnTkx{Ixw$6+Fbp2$&3F=ng>2X=e~jF|2zFxE9Ii+y^= zK^bnH{kCkttPC>q4n)Uw-I-C z7oCO0=ONC%%tU4cL2Xf6>~9i6zRliA1GpOS2b6@#$8sE*5NW)r?AL}TT$5NOzhaFdH zlbY9}LJSC(VtSxO3W2AgMNH;-P|j+%`>@jcQ<5b!LA28iB{sCvT}`%=a@<=Rwv#*h zQS!U?!0zWuj-#C$GJKEDR-#wieZ=**@NQMn=O5QzG04B(sDgk*NN@B(qRby&?QzMh zto<^&IVQ5dPQomAh5R-69C!Yf&Ep`?J>Ni>r$-(Bb^eEFT%huAz^&Z&_p~0+zqYIoexStFIP}LP7ZIot5)`Pu zHzEy{Fq5|6VI)cj+KOS#Y%~s2`d7kVOvPOIFlo$(0|pUK7V@^}7=sCE`;9!_t4ZFA z$+T1pQ;FJv#Kg}_(A>R~D^sJJef*^1x>&fL&lLq!0!Uu7^Iu?$LyQ!o#j9w0ag>eA!O)WRd-Laf21$x3T&fS2 z!&sr8;E^R#%= zH$WGL)D!;pyc;vkXL+e3Kl_}pEnCqiGGjTMp6#78g z5>8|mXOs!w+C7kOt?@hGn!6$28eguJuM$F9R=B`e@z%28bS@>XbtGXpH!WHp@%vJ% z@+ov)QP*@1JreWCxo9o~>j5I;y6wn3D1mZ%GAaf1Y{wXT4}X-!GIXG7YD^p@Q`WDj z)j>Ze#ob8XJ)#-Ql*pTe|94rDEKEl)7t3KgxF7gFu758;#`yYkzO@V6SYeON{w%%i zfP;WO?dr82?J8f7iJMtZLJlay{)+5-PVg$^z(WCN3#UYf`rWYr{uV}Bs+WoeN z;hk&nCpp&bjJjdTHX=ps{1nY}q^4Q;tySJFG;b5BO)wbZJ4&57UTnn9QbG(fb2r%? zpNXA~n*GI>w6uU+66g$Ep?13N9k#I2&*NaN(WKy_i6ufYQ9#anAhMG?CR(G2U8Ij) zq=@~-7K^puLH`G$@_j$2b6nhb-wLaPtcbteaP%V{hh}s*A(gabWKW&-itHZTcQ#n5ud;7KmMMT%wvdGVqCt~hK_6MGJA=`=%GtO^+6iZN2?Gag) zzm!JI^xU~d8fg77PCzx<+q;%F#7f-vbQr+zGFq|G?HT+8!+|^v+e@wR{sV};FhZ7a zdw?3vhXZ;09hAhN^os-et74+W$PPK_c{$hKp>{*q-9a-|c}~XRJ81%3Qry`xB#) z4KdVsQQ?FwQj(FTP~+7@FYDLY6{gyjJ!y57;%j$NjHC!hY9Ygxp{~0M z@qK^(7=QpP+<^^tx~XT@GnU05Nb^|A_rSYOZ3YG1N+m+O{kM*_RS*JqaUGK`J`<_k zdyz#2vt@^#xOVf|Xf93r0iymThu~A!^veV&RYU17l+Ik!ONc_95G_Dd&0$)rebxg_ zt_}_r`YU|%taj{4M!gb`A3Xrx#$J*E&wihSopeO~P@!jJ0cl$M2G=*$J0M0OoR121oie?~xj zs{Erwf$dOTUJyu=)-09|v|Xg8?p zq2l4lz$Ag7WCOK06Ssk*9COoLe6KO9pi~dKtDOzT%F4ZEFU_epPL%|Try9V`dm+!)x zJ@xxxogJcXnmgw|l`Oy;9G9Q{-tL7Go1_GB7-Pe|4^^6Q*<&&Yw?0 zE%nj(tQm_`GttKJll?%TT2Y4_Lz(V+c6Asd$Bnb{>@cqyC@*Mo(nYgfK@xPW zid3<<W%S`%OMJV!TfOXV=p{nE{CW@CH7U=XL~UVdZ_KZCKg(l9s; z#EHM3A2|uFx+x74W`a1U5#2kU^bBWxbYn!JuBpNpn|1)|ir#aCnJ69RAhv1ZPZ|ne zK^;~m7Kq&dN@P%4`v8(zn$f9?K7<}TdpC#KpUybdJB1Z|A}rVuqM)8e3NDf#>WEUI zj%YO0|8gSKzeE8GW;??@J%=ZuCcyzFh@6Lsq*2e6t=t7OLXBP($JzXEG=X5I_;yHI z{i$`K%_|inT!F{hpA>zU&W)F7E4YAy`5s32R33%sIo(xCO{Ds><>%JP*L|{;R(oAg zOca&yW8n_3l_J;-F5l8ZgAHY|8!$VD#7V38RUq#PAtR11m`jW|+~#mHGAYKy8^p4< zK-eR|z?N6|j*xpqg~3UnX(^Wer}2}S!V|sNH?kGJtTyJ!67U$@fE%q>7#x>J4)W-R zh}hWQ+t21aNsgU2dHoQVhQm6~n;mUk{JhkZzC`1QhzS)s;?@<1lY^<1DU{s5R5_(z zpWSAFT4#K>tdGN_mAQiv2TqW2;XK=~i4PPQru&=nKA+JrWyDWD_i+O#Ov&qKGQ&-J zgY%u^c@;IJWWr8Uu`QRmH8^7DG|~5_jcnFx`CY?Ct426j;^#taYxQ%V*Qsmz6-)W0 z1SnCQU+qg%gTV=u;Vl06Pmc_9wE|=M8q3)7U*%WnBUS*VX z5}feoS-Q;`{MZnlZv3ROQ@31ZN3Ah>3RrKKrKK@~9DAhJTA$#X`Za`(tmdAa<8!o+ z*oqkfeX=vH``s=@%H*5mXO31GtugAAt9EC;EsxKCr|O?7k^h0C3(Mj{#Z&-lRn_z- zl=#ncH&Mi&VS6{nivFxGDWn`18bK?K|ON;7U=vn+XarIfZ zKjW(l3Olue3&EPlORU2$uBze7l5^`UHLrFlH*OIE8LKw0bwHbKCJ@ge%$$kNB1}81 zwdu0tYlq@o*2nJ=B_JUR&PB8O1f6*i)%9BCgi4>^knJIa$Yox8vTE9CenASyHy@k2 z8ND-5(}_s?gH7!a@wSi9zEoQA+$v+u5?P%63076R@Nj2QnEcA-`fECA+MznBsQk#< zqu_W}4kqnS5*{9_4>sgk1rd;g>H8?suya3IE`)=H7M~XKf=bM|pAgDw>5%*VkguOO zTOKw7+I-X7+kcv1BMZk#-2d|ZRK7DX1$bj&x!Sgj%c8(~oB;14^#zBci5(dyjZ<)s5%`Ykd7?s}=Nr_%Y7?HnvW zz2K0sff9h$2Vj=wRgERq$?kAbp#HB7|4G{IG3tvS*b6mo>t|yvwDl*eK9F(!G|ZDo ztv6A*ebgtk++|g{KxfsBthw;udG4>ez-wX4I8iFB1oqNxpE``fu_qOsogV7$v_~px z2Y%C?jaOTov^(XK<@)W73g{~uT&0!={b)P2h&sofZqF7PJnqgiyj&GjI?mF>fPM)o z2ak8;3$5nIdp^1@jef6Sm9uSXH--TFwN}5kaou{wll6N0{XGkoCpg*dFOOro82LeO z1)w9p)7-~(fs+0;?JG{-YI!MXvh5DARn<+O=SH^)TIGmBZ7#g@KeNfX%Cuw#vKv9WUYkpIG_!O z_iV*syZ1G%q0i?`P7Rfc)8|<$4hh&V9WT+qF`OqzRZ+?{9EnW^!tX*D_x?tftm){Y zvr|H?=F;;CepxZEjzwaj96xP*zQ_gZl^DD5EznH1OI4DfGG{@e&fQjLr)3IIDIekO zrn7Tn8Fwxl(HbVum)qKkb>)cX zFFHG$njA8b{8i~;)E7^Zu5^kDBv&EFuIS+C!Z zo>M$)uk!2~nP97kDNH1l-#ehOq#2?9xo5wh`F{EKG;BgF2J|ttesc#7bc`1d=-<*` zM8?j38%Ndrv7 z_b}7h`DPhcNrffSFrmb>r~n`==S9`N7Iqh+E4P-`*)hZJD&N|Us0}&_>*-3Mzi6Tk zM!dA1EKilRGqPNHVmHNJV-{8DzV&_=z`Ym7&N-){%!F>gg^T=ySTm}> zY*gYy9yaNB=6dO;Sa{PT8%8cG_sl0t(Sc~!Mr2J|_55n0HmN*|y?4m~oL8o!@eQUv z5H(K($}m2p)yCfyNxmjY`~|JIy1fNp)fik{)|Q6+jptTq^)vM_Gu>1gV7^WxdVJih zTY$b67E3t3BCw3Bx$%)t!h8+BBY>J-D;=*Y$3@H}SmLky=&Hxb!PT$@k4_d=++P>n z^5a{o1=~aLl5|41&H!u>wX>lHg8Y6XQKRwv+Wz12*_UOEkJ*wlFDx!Dog@d58sjf% zZd$w_+{jlX3`^-`AyU4^YFkerY|+>RcN|&jlS@>~xOK(Cz$|t7e8@QT=AQzb zM@Jm+_j}30)$_)fP6s(X^Xp$?pHm?xm|JlQAUw9{{Q8#k3cUl!rvT&%5#IEyx#d@z zjYxg!1JVcfza+|c^Qf=hJ^rwcesv)@IDq5$!{5qsHZpQH3g$b(Iwtd72a34Qsa#8lo)>1vT`bOsKFjT^&Eb#x z=J~TeP+yc{8E0M~*&Z#yevtR2Z%&_sHB_R!e*cN|SSem{;lD;8vhn>U7{*yfp z}hwLAb;M#0u|nwrrn{#!gXgL%PGm z43mZiKOTEMA;H~2%_xpqEu)82mPJ|?FnL8T&*&kmGvuhVBN%_^ZLTwPJOx z*?F1**h7cQkA*W5bH_Jb!ae3W#aTc!8UNuN`oxD$>X_O=wm2lIotFC5>akYQQ>`Z; z6$9>5^YIIz&x`J1{wrNr{?XuD#Vk8+D>utk%i(Bil!QBAJyY}Qi8`KfvSrHZRV90G zmAYsDE=Cqs;cTtmWxwkH=@|9!#G8YqI_5E1LcC6tA#l*mk* z_ZU zdTg|oI}ES^YwjUMD=*68)9zke*-@2Xq zyBjnibOKr$GH^m;C^fzKN=7OaJYmWeLN@YyX=KAfsAi=LHI)q;0bye* z%jv}>xJ#&Q$;He3d3vk4qxl^Faozc zI9$Sk{P^18CS^q}=5D|Elbo3UY`(_`AvM$uVNr{xk*_w9ug4&-W}e1!{dEAU@1_i< z(E%`E zNYFjP5OZsJ)d)n3S?oJO&w~1p>H`c}xErMq?7dM`m z$?c#YrZsJTr=xrLX5z*@)3_1&6G6||LON_h;#%2y;7r%N?)iOBdSo2kh61;{mS19~ zacPPRgsn<^7Ox=QJOj?|W(Dmbj5`C*^tDAW1v5Q88}1E{d!{b z)#@R=lECmcu`hAF_{7}Jy}^ghJY0wL++tYe7u|B8y-RZ=Q0^eVcq`&GNKa1so2+zG zZ1fd-&i6q$k~S+$Wzc%p+UIX`a#K1rnxoHT-)=#R2eK&#CvE8Whr_!{i)z!I$Rf6Ugk~G2Ovq{;|r4S9V zHhvdU;%Ad^zbD09Nl;FP@;#w&{*0sP`3fm4Y>r&aCJKtN)-5b1E=AfL3ZtTvz)EgG z0$+ngxISaUicY};ie0&*__B^+FPYsMc|zB)inQhLT*8@VI9Ox2nK)ygg`;gotk$?! zrXa_hPa-05Y>Jr-xbk27hK9sUjaonRvW{R*U7}~5l5YA7-*eTW-W=*U)e8W#TJEtq z6;~Opy8BQDv?g5)v7{MVLyGZ}QjPRcktRvQon1dW3JPcUkR-A46isLyqi<}HI<+rp zN3jMY!tA70uqtbr7mrv<0W=qW>!p_k2tEqb%mpAr38x!RZAo0=rs)UyNM#yw9u z;nt=@-JHtm?n2W7G@I~RED)9wP+Nt^Db`O7tj=ug4f2*{{O<*|ibGmEs%lPEbI|JD zTpM)KuwcX4M>%&#Py@}I7Q*%QoPK?aE=4Y24qq87O5JrIyZ!RB8;NyUSvlA;pUSYU z>hy>HMzens=+xh()0ILnU5}uV^`BE4?f%uG-~BpY{a;|70yiiKW5_S=VH%=VcpR7c z&}{R%grWp$$uep?ozKSW1(zxfwhJxg3N+>W9L~AYYW)4nSEF7=bXQ-{?MsIg5$5kp zh!uG2cw4Z#+;pP1Y-q-lRoWs>KYwy=Q+ZmR;bf^&u+b%GVA`8Kfi-b+l z7Z_?^&aw`}?8cS=+3g_(>@g$S%5tH8|2FLEMBej#KHYddI(XL2fyjvnk#{~Sb3ZkA zLpQ*Wx7R23=H~u=NC@A^gDaCOiZ{4M$WwT%1L4Vyqac!M<_~vc-Ku51TfGrb zd~1CnCOgn}p4E;1-s9%n$T2E zf3?%9A8R;RWg6IOx7H$3^)iYzTCM-|3C-0u*;pXvX=Y+@VYgAT>u&OO^}_S`YB2Hg zV0L?wX&dYJz^=G~nAaA|0xeT|L&ydfe*d6|L!z_fV@8XWT`^amm^gB zJ%v(#(xt0J2*wZtgNsE-F#XXLGf`(YZ$loRTMW!T`&|gEsd;pY`iiA zR(x4*&x4ypz9yUOTnUAr#|L>oyETR^)=O85q_L@zrPecw{`9bG5G)jb)EoExdbUcs zEap7o5vj^-P7M38%_9=)+7N#_UVOVpYPuehH?KJi?X1`_Qt?Xq zzJyi&G(o4Ij(|M8QgCr8#fF4@d%4I}X{Bp^?zV^c%13S`#lS|q-Pf^+4?R%_`Yn3v zQ!mfXu{qfGfM?jOsBZmAfO&|#u=;xT6VQ1O(s5Y6=v4L0L`^(~zZ{x(4j*550s1H6+^pbeX*FH6PA$aQ3r;j|&jW27U zcXM+7RqgA9r)kB3-b2W%>r4&O?uePqK3p zDhn~Y&M~V8;6CPg!@7&hh;$6@c^Z`V-Fvr`Hn+^yiBNU=d!n23V7`&svYBfM(5ubU zP9o>TjeF8qa1^|i538bZK$4<)f|qI8N-r+t?~aEzoG2w{_yA7S7RR`=$=2ZPzRQx} z??%>hSFn$^A#ThOL*z!4<6g#TjwLj?evKK`xA8o;l?BiF`&|$BBPTbXouz#&VOq!T zV{ZoN{e(%r#TwbYxh^x&?9|W&Y;q^tP0E20UfSEv~3wZd3}+ZM|@8 zwze0Ew|B+3t>j*wUrJkj?-~7&rglOTeeNvVsXgprCR5HZYxb`S zswgafZ@6J|Y5d6HS$F^W(Ma)ZS^T4nAIBV)3GP!s>kmpe7Mj6M8PI9$BHXv$QYt+{ zLnf5!(Aj}9@ka{$)zc)3UdH(;ZS(y}+v+^+5g(0EcjL4{;UzHuOKG7_!s@`SxMd98 zR9<1pwbk&DTAl$L^ITFX(@l_+ldoanZG$H_t#)M#uiYB8I@Sg%!Tw$<69ECdYdo7b z&8A4BPDa^;fsGQ|C$lszRP-;u$`w#+YJM6XAU35KfPufclggOt_O4gDuiwX_93DI) z44Zp2FIgyMRnC#H-mZvk>xDMG14?y7$lp91Vax7TholwrIwNO??o6AtRW&wGrR$dp zH|kg`03~XY7DPPqgV-5%W*)7JH$UROgGn2?af&+nh;%(hoN)S8f$cYsrj>k{AvDj1pHE9ub%(hQpk zx>qA3-4U>LxxgR0J{0(ay42^tUMD23b=LBD)ltrY8r4Y4SgS0ujZ)%8LbU+ zzb$fjJsiC5&sMqETUxj>f*|3q9V%HR1$Zu)Z7a26S=HiMwc>1&lg1H^bWguX9~((M zCo$T-hh*MOuKt{DL%l_7p3)Nn>KD>(c>B2a{l?eDR#+@#x0F|bHuZ;wuz`kt2^-f2 z`Klkzg0KW7IhE<=LC2|(M@!LlUicYV{@FqPIhV$_3tQAa3}`w2yFx|zaqBw$fbU=+ z%M%4aXDbDf(6+{f&~Z`Bsjr?1_%y|OK?wccUgRam2ZFFd+%R()iqtGZg<@~b-G7t=5*iT z&3|M`qYZ4#W&32^$A^T(mR8f5)hR=jmgUoN>A{`QHCl?c4*4zbk59HLlw!E>SOkfb zO=htZ(wKQM*{C;x#d zG~gdcgE{T;X=|zoT9SFX>xI7-)kUZ%rJP^z@4+XESZf0j?BfkLY44_NH=KVfb-71* zuwtZZ)IN-tyx*pOAm#ggm=?(qXdXPAgfaNZp2ntsFbT_S_4~ljhs%_|)&o!)D4Qqi zuUzoO(Wxz0qNGxsw|Wo!bfQcI+;inJwtf+u)$N*BS@E~SzJGi?@q1J7)7d-P{NC%d z!7}}|_vBZb`>P$0v)N4le<3fftlIVL*Sv;oI?M&5Lj3dlTY^qgY3}Mm$hUQy^z7Cm zu3g4tlNAy^0cOe!+EawZLxALK_{cGzXV2E~VaX3^Wq8R@cgl#(^~iauj~@+U#!kum ziddPvyOd;K9&Wy6@;kPv+R5yF0y#80s*^VnoS1mIPn7aJfJeig+dq$!>xj=+dZ=Lh z8RHn2BNmMvCa&Z!U5Zi>YoG7V1}_e|M}HvZIkClc_Op*xRIB!Ita?amons_DVkB*2 zfTI1`FZ;7a`}yd$-p*W18T`1^cTBR2dsRR+Huc5PdE3#M!qJ&StWiU(kw>htp4NOQY}|lP2hWxZdQ@*_oDQ113uMqd8X2dvHZ>!6HBX7 z!}!m6Yz>N~g1<%g^LxKPoa_z1T(|$K-JpR6z;|D@#ca`F(h+S5P#q4aE`DgrlvCra zo4Wt7JYcfOT{pF=JEW|pz(BZte`u1)sXo+u@=E48r})gTKdL5~;Tk)UPerItF#2yL zv90Rx3I=4IhR<_&AU280`5tv@g#(qee}H1&Y8|<)#}yS`o*FS~k}ylqVG2xPP5m%% z$^1~%E38a-&2=4%`#@p#l9wP=rGhzrYRsX?PJKJ7DNB=Ou4>5fRe@^Y6Eh=TqBk{0 ztb^srph&Awb%=7DW{ExTle8p}pRH9!hMX2b3S(8j4y8puI`E1RI=s*kdw<0|D4pFk zfIe{2a$0GO{GJa>h`zc1?xk9(b0bhvO>Uwp|Abcz%gU%nyDmPE!blFcquc@wcYYWT zeFpxtFnKAHKd4z{oh(K+JHPAlGyUK#`adkbqUd{VLDc`;gX;9=-jrY zu~=d+!kKJRfxnYJXUWpJ@wHa;i0ve#vU;P7 zZ)neat~@ewV9zb&>n+jC0c}A?+`*?#&c_UqF&30$rCENnF}bh7mK_#rauQ~)t0EDZ zu32w(kK^7i#XLQg-Lrd6oes=utW~LT?NfPGMP9cbmQ`q%>X?fe^vdELy8+e1k3Y)> zBl6sQzXzYWdu3Kwmg_BMHO${X2_^zIu1`V?TGwsv82orDx zTnfY|_^5dV$(8#fm0||s^&vcoBq^8u%>5jEc1C`MriXh~RE#Cfv!A}L>7E)qD2NMP zt(X1Quxrl=D&>tmt!H386Pq;Zn=p2I7;9i}8Wp4OFmFChdCT*tH>*4HKFjZgG0!P) zgQg2G_3a-G*c1LV@;?hg)Nfo$9}0Nxl-`IGE13)R59yA6KhmV~89akg*}i|zUrmFZ zdVb0#u4`5JyzEU>T%rEe~;JT7oyG2l` zT#zwwIgQhzR$3G7n@6WKbDvd3yXR33e64wsgg24_d>?1LdwMULEVG;Aw`wK=eTbIVYOdz_ z3*vjpg?-@usu0m~O>V~#T_zkj-M8lTOL6%N)|z@Gd%tbkTGfM1Se6zRhj@o$AYJW6 z9_`~p{Ewm%Y~zEMj1GOdxS!poTvUc}bNvSY70eFG(p_uFUh~La*E0kT5F<|dZuaba zD`fQOus=Tz%6_u9-3^vU;uCs}VJ7GY4CzlXyV}2VQKBbH_F=_aX!}w~1ipGd>x;RB zLEQMoE*}E6!2Mu9>D@z<*q=~az?@TnQdbvCpSv^jXok*!c)89~BGc9Q; z=DI@#`P{tUxPEJNXdhQJZ*0$2WtX}$pI$xO8kvZZ7R*Q}A4tt)C%4f7ESngU+c?%U z)pO58ISrlW;$!DDWbIjftw>c4zg+nT(Q*Co_$bL~D9KApQ6Za#Zm!lGR5`NZAU(X} zy$_n?XK2xLKI{uo){;Dya|5Qqn%Y3S~NK zmIup1F`U_kSnoUHr`l>mbdk}56I9qlfg5d-udhq`_Me8UF8lU&M|;=UunMZW3D+yP zd(XbROR6L(W821|&e>hq@Cg<^KP72Wlt{+r*g2)t-6!gt$S$Nk(DtC$b>e~>Oh5Dd zB+Wo_RGb#;IHArwS}pFF<6`+k+Q}^4IgH=`jOGhV^LZxAY?jJL5oe7bIQ0o*jm*>W zVrDkHN=r1Ud4Mp!rk%`9!+YARk}a_zs>hIL??|&jV;?F`;@=hg5F7fPl<{;TruHvr z=X6$MGQayy;y82j&HYW&Ce7CJ<((fk?d4q)&iBnXpUg(|-l6|G^8nJSMNoN80}@%+ zW4CgZ(xPcqIQ1)B4xFlVY;CR7r)v$DOU#J3^L4}o zpyf-oZj{A^f@jPZ5iGP(+}gbZvp``6|Yma zEuqqk8n0gjJu6lFg>_OA!{5EgqdM`}5UXJYH^ZLZ7rrRfz|9Ed)&6ANFi92vl|M(n z>zid3SKm6MrZk82Mh!0)C+lJh#PB@bes;s%k!v&P60mF?&(nbr8l-%FbhO*24EzC) zXt$Zcxr*g?=wntL^T@oO(=Wj?*FDiFoz<8VQZBYnRj=5*l00-32)L8L$6S0u!aWpQ zS&CRptDWwTt=Srs2X5=K3I?`yBBUgmX>#tnm0bN%HlFtOHj2dTqqTjuDv`$T6*E5+ zOpA%^L`>QlA9333g^y%{S&rFwP`1sJAs>IBU2yq=A47=IQyh2=cwG^{a z9llOc0c!>yXsA8UXN?*dz?)c-#k(G_UhO<{Z0@Yx-ZXZwFdzjA{ocTp9lxE}>sgSn z#HL`7{(_;zGSux-_UY64lAmmXSrTr==8@%5XUIciw&1R3Qd@Fcil`iK2+ark>@K_v zeu+$lSI;Qzz8@oUwK62$jD*D%B#l~Fi;vs@sa_pcSnQb@F7LhQBWHLBw$n^ENK-ad z{TZ}cxq7;1b!T|>XRt|kk)~W#|MJlobHtc?{uHsAJHFR*I|vdh@8k>-Fc>0JX5*$` zS7w&-^OLOc^XKR*AmbE?H{hL+AQn4W<#^f2-yhExefjbMgS=?xG zGF2}lDW#Ry_m_1V;;G!g0e)ctD)jb|KNncSupA;RSUjXoA8gubBpTc=Yh(E#+9jb+ z(w$r3;h$@tWvZ|;EoK%47qmv4DtF%%FlU!DKXfSQD7Sthddk`GqAy9oswKVKK#0|N zV(?2Qd%;pKHcoJZ4Y2s6VqB#G_&iorIRxK8ybXtb(x_G~CYE$J29ww*y8lxD7+dwo zmiu~II~&)a@5iL70ojk*D1*ZDS}!A->t#h={s%X9D&i3FZ!CdDoFoLT;~asXfg{9I z8OyRJt_p3xmdD%06Sg`+dr_SKSXgc1ygqNs^g7w&Pea>yzDh3E962X5hYL;+}=d==JNnt3v#dr1D4518XIDx>T`f&t7R_+aq!PQcntzvD`J1~7MVFmc8v_|a$b z;MX$h@Md9nRj$U4B8A#wPJj0GBo;vc%qOCsbVa4Fcew15nloktg3n>w-ivK1*-7Iv z;7?Up8WWm=v--|Hm9F$LXY{@AJhD|zl1VyI8ChRfrHvlAA^YR$IP4%H7i%@1kiJsKUP+W zW{G^4gm~9NZdFzFZ%%Uxst4|yz_PbLv7~W3Dx1DmYuXGq&yet6Fw0JZ z(*{y>X&EI;O{8gl>S$Rr&8N@jrj$J{QFi+I(u#X8F1I4~%*dvlPO6BZSF-akXvcY- z!}AM;XLn1RZ9H1jziU^hU-ONSBF(RPUVxg5%k18x@*7iGhjvwaOG~)4cI!~EJzFlq zp-i7Aqb+h|!OW%8$c1V_Hr4gsxH+>{JI$Pe>?6+Ot@y**y`N-)yaYu8(Cl8fRFfzb`b~>q$H(r;WXfetP*kJa6=QV%H;~~AuZ|R`Z(w6Wv(93Zh41CTiAFSdX3ID55s~>& z&Nswvt`Ud}imoQHL=wxc+U_LQt8~@>$>yi~FfI*KQm-elH2u>SjJrb&BJEZK4*6an zb_qMDY}!VGRqp06$dnC1zFlasmlm*0k^y8Pr%7 zf%RY$w+V|K63*13j9ELdwc}2#cgzJHCV(nD+h=Yh#K&O>_QzMZ(Gf6=e|qr?Y|8=v z&}#sv2aaLKP~VGsFqICFx^~;1T+gy;D8B>@0f4sC^$d0h%WI#<w>KiUe31cFK$6%tkd)6zv0a{R3Di|R)#3Br$3~lCx0trCh zF;O@@{@l=g0#Gg{$~xS-wi~PorK5!D;e(1WQ6fG5ywH7oP%0*htY^Kj8=?kXrh?rO zfU+^cSsR|f4K938B_=p(10CLppi3(Z6}lmUg9KnhOv6w~8>3I*+t~h;urhor;09Rz z127DnvZ3`9PJ!*o1C7RCGu|K}KjDUgSz8LaQE?j`n5gGHcYIJ!ieS|+fG#xTDI7w8 z)WtzEg#Q;?3)=Yu%Sn;O=t@pWI38G!(TJnU}9;h?gr>U+o@pdWOCKrXl6`t zkoY}sagd4FfP!u&&H&volt9nbQ@ATOnv-?Xj)HE8CiDj;$~BzHXv3N%0DWdL8}c|v zrSLl{7#=>zC=5m1Bl{HIhiz@J0nvlvQNqs2I!e1yF&m|rDEn|A6)c~k<3~3teB&Js zG6P$P2MS?@A)dm~yyat}=zGrJZsc>pf+%76QrfnnHs9p)0A%SwFDKRqy@?6hK*J2`y zDGKgmBn(oRqYZHQ&3w+i+R}p(-)5i5FBG)iW!n3k{|cPx9LjRPW7KL`3F05{JHOaF zUb8P`-eY2kcud5}Jp1VHiQ}G5<~bpWpU4;o#R<%gTERarLmgj!?*kUHE%YTVN0?C$ z!x`qxvAx-o+eimrcdB+P|E)UKk`jOsVNk-(&sZ)mPY2gIa5f2{>2djM@X95z*29$S5zlp3 z^Do~zjrI?IO+1)k;voDr;5=-}(bW^u3feW);$2ykS|hWhBV6?CkYv5y=3_4j#zRyE zM7JOY84A-*Rw6KIBrstaYQZN z0|&0!1V`uF)7Y+^ZQ!rn@5#WuF5*+8Md1)Bs9tZuhD8YER6?7IL1%F%^iA{2T;d2m z1}28CIXxrLh#GzPhN2%fWc8jS6n$4zBvMFOd`+LT!OVy;+0Cab2r6A9JkeQN$tYCC z1K>}6M?K>p501(;@s?cR!<4|4L79?UUjGyRmhYJlAe;VJDYTZ2 zU0l-~|ANVLQ76tj*RzCq!J%`hZmc|DjY;_R$z=w6+@zS9s+vv)`>4yO^x}phke`{4 z-<&sRTA#sa$~UW&9F578fQQNc*003$GMMiHdDDgSVg6G6Y}Fs~1$eA33)9Lp%{Q;8 zD+%c3RG{}$U9+TJ!C<_o^*vay59}O64^IWZJ0tiE=U@Y`ClPhu9n{5?*e(T6r4YIa zc4dc%D)5#GGP-}IrzO|}M%Tt=1phc(8q`8Y>}zp3K`y?D;i-m&THKCCt1~=Mhu!B` z$g4xV%!@&X@RWG4tmw|L#fVwtFMDYaydtJHS%UHe!1?cUwa~)mu^UiwqPh=odeK(j zIG&+9*%n}qY=Si%E^Qs}jA-V|ApiZjR~~Ik$U0m+Ee?Fo2NASx3t&J^S3#`5z}~t< zFS1=2div936zQVc0xVk{s64Z41iEx5W({jg;Q9C+eJh$J2!i(!7kcZjiRSJ%8f;|g zgKrZ;1#t3Xb9sp4pR&MCDzIhliEpVJx!m`oz~L>gz7CM(97JO*V7P^$^&uaAoIcUz zTk|^1r%$sy=D-J#@eYzGGXq$aRjw!saTjz;pZBH@8_$0fvS<*kHa)3rb@Uqd4&>di zBH{^`=RbaO^PiV)zb8Zv@9{SMzblH5l1lyb>0P>L_`px@knP;Ga{W_AT<^gMe>XAu zv{05Cv!|A$5BJ)IrLQu{mTv=eNg@2@2~_(2lTCEXjAY{>Hfe1-{b;IX%9el4=#`qK z^keIcT-i3V{aWzEY8BQ}85dFcAor|t61UTTmi}9!7MP}fX&4q~ly0s6r>A86Qd3qk zcSb;Z-~OdqF2{Q6#Bu9B9KPmV>3M8AjG)~@NNmOO!8+%YP(%2T;tgavD_hsf$HYs1 zLTO7zrqhzE3`!(K%j=G(E-vnv=^DDO=@;>aQbB!@u5p3|u9qm4qfC^98b#oyiPXqj zXBMR)pxca9ai}D@*D`9OUf75Npp2M)G2Pykf=)2aWF)wdiv(_Ip|Ao!sAB_2a?JB&o9_l2q4qB(y{l=qhkGW2f>S%`E z{>CwZg3D>a#i3vC8_S=Ru0krv?Y7@}P~7L&>My6i^ognnV=$Ks5Q*HmU_PTsnxB~E z=KD+)-Zt#lEki>ttC)Ir->mq?1|Rz!N*3apLR{E`bs+Ve(+ zQB6KmwyX%Xq{FOIF=nNIvW#&YdaC#}(+jPk>h=vg4wns|_+Jeb)-B!%3H-w9Hui+# zA6LDeL;kRWL9Wl1A4`N^7`uu{48rLYr{~- zFnkg4aM=h5R@JoKi1C4zb^d$ettw4_kTq%>2f-%>k&&oH+S_8h`2gJ>ic5@Q`_q%i zlqgF^4}Z9jd>MT3*Or#vA{gGMyJ!ru?2^iRsrYUv&_=%eCgU{hzmoOxGU30O@ZbBc z+Bx1FsA~>Y{Xq@w*d|RBS@*ciRhLxE&_Hgb$G288jhQ{Prsl3nI~Cft5N%jazgQ+ zh9RQnu$m!;Bqv-Yj4jq&H{Bq^qcG4r{0{05s4tH|VM3GWMv7ZHbO*^|MjKjIDHsm> zb}NMCrW-+2f%pF!4uH6L)QX~c|9N}-|7174Ct_e)tNm8xgr;t&ICtv-y~XshSB;Q` zb-DTR6l4a%QTZQh`raY7;NAy=)BXj}*FAaGK*65rVy*e1LU0MAS6h7-G$?g0VgkIh zz{bD?5>j6fL#yqI9-LN#FcyVexT=^c@E+3sFZo!D-!26I*d~<(rVoD9pwowKUdju=UAluGIgLT;U<9eR= z^dwHAXo--7VNn|!Lqm|7p2d-?w?xRw?*DDF-sj4nhfdE^=gO_mK|hi8E28J{Xwm3- zwc;x@+Ft%sk&5DB*(chP_e1P$`*^K@ALjI*Ad^XhYr_e%9$$UPM7C_|MelGCLNA}* zTT_H5ny-C$uxGgkv3+BzPf!HaN3An%F{PiEZvqH*Q8z62Nd9a1vSA)rN)-~?5Npa%x?w6s z?Z#iVr+I-IYM3S+a@HZqA`n!T2;hB8M-w+8D#Q0a>eNeL$~B1KP75#XJe4-%eA01D z$Q3a!+5}-5m4a;E9Vm_7;n0psmdj}~(GR@~p|4dyejGeEH+|f%TE6mscR~V^w+FXn z{tB)g&Rj3pR&cqIcc4=;y~7ee#*Knuqh zNbAA#H>Qx<4vy_h7>r=jbK&@~Z=bRFA8_faYCoTlHp6q_HsvOu&mTR8Rd;V3-Gxr$ zud;7oa~kWYOy7ItWIVz;3$n5Q@2h8nf|_|gQ1rHp$qxMC$AT5`5okGN@0e-EagPazczYNQe=T*W?2mGV)pmqHQWEgmw#e6+K!P&iMXJVBuXFoM77I8y;BhxDpSMJhxR zeu?Y6&znCG6OUDHz}NI$>v&wF3%KeTW6&_OHTxAuW7#;)X_gf!aMquYSwk)`pvWN* zp2VzNIOQ=HNtm&v8_tbUK~r3^58hw9vyZ>0dRtn6zd~bDS#f2N=6G0#J8NU2syy5`FI%HA`xcKHX!Z#UScb5Fa z3$C%eG8iCvw`E$R{v{ILTbr6q*=SXC9N<3hJW^4Q=a$8-CR-kfau=Rj7Jq*4HC(gQ zMF-1+vZH=-;y|PVcW&d}(4}ohcORD}0HtaYH&XyZdL(Z8%j?e0Vzs#ZUxqhn>?f1; zF8TZcM#E|^R)+a(Os=ugAVs}-u8-ch$;GO5$O&*(ixD)&HUH|G_fox4CnVPIV`wg1 zc6;Mz3o-xAjYLIK{r7_@t}1k@&|!?RN3{nb_qS30E?4<=?SsoQE6+RWt!v|z2?Dy^ zH-8)3@bM4T(XBF00!A?kLyoeSUb!66w!oJsHQM4Rw?@{EDgS+a+}V703Ok7(8)0p+@)_3U5GHm8?9N&Y$lmVD}Pa_gUuPszIn zu!P^d5kDzR_b}4^+2zh7{o3z!%fcmeZiCt6z2Ul%K@8ys`7$uLSu%03oG!91`CV#_ zGbJMH!v13Irp$9z^rQ5kwsjEbpk|LZ;^W8a>m;ky@Spo!5Q;U?bvPQH0??16r263MX|`{i zYD{a^KO_mZ&uAc=Bx-yE@9iRklO0M13Sq&>i{^ws{XB!r%oadmgj9KShA|s{6t(QZ z3%195@e0MV8V*?$O^W$}ff!k%^UD@L2aU&*4`Pq%FMDcT_Oq~Odc5t1zJCllctccx zs~JSBH$!Q{t^M`X7^6GTv^n}USJ@4(=cuJ>}(>d@H|HU0Y||%)6^@8eVj&ZDwy-uPV`XO}V_{=v z<78%IVfjL)BuysnY;8^Ue+4a^U;r&Bs7sUo3Mkh1|0_q${#SybPrTsMiN#u8DFft; z*Zr&wK%!Wt)P(3U;)~qaeaJz_l#)a;*r1$;>SfDR2(&dlCI$qgCxK%ikl{f>TH z;-letpyZ-~1+(}epzCGg@a#xSrKz;A?L1?7HU8*v^{}9{qw+lcysVVe z+J`*o@2y8zm{;$TR<<-gMB4C0_m@+TX>@$Ck|Kc3a4O#gEc} zOo={V_MfUhf4v-xvp}4PV@^Zz;pcCnF5jS2TK+D3vJm8v5>~5ZzrLPNgRqkndJJyo z!ov26y=wD!>3rU1!AyjxiY31j^Zi=h-ser6UT4^gr?Q|nc=+1^3v=|e`3_-)RO?Ta zN6pq-qA?6pI8k)98Psd9O><|lb@N|bZv%Q$jBuQ`>>~B_kS%BSkWrbmI09B{b7J49 z+}&SSU`LZeA>BW7-b@Q@5+xBGEHj$X>P-77^*_ca$-0vXC%R! z`t)bQCME!*H8ttaYIy*RoK;P>1tSheMgK}+L&|ajPC0#UZ4rEYc1&^KFztullsu3| zanjW1--naqir<=km4H?{DzLfR8n#RuR9D94!qO%+9j3MDD}k0)P5Mgqrsm@IoO+a0 zdxZG<7XgE~q;)FUsVk2FDNXNS7OBy5RBDUI)ox10PVSWaT~}B@sH6JJ$Xl}nI%lE0 zbwT-yCf6SQx3|dJD=qQ7@)xdU8v0Id&XJMxeXRhlPxt-uV|v;60lUl-NIjaRrrs~e zUqg8YgMal)paKUCy7P|c=hwco7owEi-J)&==5Ls$ZjZw(qgJe> zI*-pJ124|I%*kU{SGaOOyUgc0$O}w@hHm(4URnR!TMsD0$5<9R^s*>zol=R~|tiZSO&ru+ei|afip%9zaM_vz$0qIg?Fx=WAK@9xv?@64%)XOm>?V#Wfz=OF*jL-^`LNEdE}- zefsLH(^bU(nQL-~?c?Y~zL2^%Ci_RL-_gorSKM_&1$6X2UQ;ymJ~ja9jN}}#{C%ea zNxha*QFSs2q@(ZL<=#qMzaTUzaq)pBIdXWW_h#uw=?L#Ba(-d#XDzCDSnuodeK`wU zA7#}DoyJOHKJa?&`ZI;Di&}Kd(AynPw(^%62bNOZI(^Cc=^`#L{ZpK26b`Z}spyV! z#%?$qoJja#k5qjP1Z|JJ(t&wB$h6I23hx#g=R>VoXTgFw3)erYjo( z^?|SLxW+Fnf>6iETDB%%B7ltj zBY4CBG5$xY|B)Af+)DzC`veS)ii4h(fZZlfk>6Ko9YX2?%YR|%=z4E#RrNH&LSClZ zM5+}8L$Q$$E#qNlZ6%Y4@cVboCTN(x`2eerM@a)vL>3@EOj1DFZ-!$uOg>VkSjn9% z>|s`wUysXZ;|;H#Kgb}bs|+SCSk4b?iXPopDdm}cEoX&%#jv?reIdHa$RWl65so`-RPPSRRJ#gbb?hnuVpTO6 zFmHAu&wbiuex*K8m3mC3=P-asy0%3>FaC$>LDM(Dwp58>&qCbbh*v{1gjR-glwh5 zseRCVCH-bbGb(QUS##B5$}+v2VD|%2%_#*+KL0tr-F5}sdC~DC{?X-EHE{31pA+}* z_ndjgR7(r%<2~=Qj8ZR>t3F9r!@YrmBQIr4(PwiL!SZM51Od`tndTNXBb&>xsd*j5 z)>BkFlhT#=-3S$KKf>$|UY8s0_N`L5^Rm%>RwTSXRkh{Y$*n4E)UxUw6}Mbv7nZ^L z6;PVC`Jmf9YMCA}o+oLuqBQGc(4vSwkeo`jby+lDQ6@kz?*bx z|Dpf$Wr{W4cBVVjV3I4-)KS3JK*F&#ijrOVLmYiAnMM`+Qs~ZLhNMd}%<%NT`uK9_ zPEop~_$?K3td@2Rwn2Q6sZj0OZ_CeddQieH=TVj?Xak0^>43aDVsnP1?xt|9mAnSz zIUh+5%ZM`G4A%wlP~rq2Xk1%MChuT zL2^z)6BvL(y5w&L#5f@w%3~w_Q_-trc}l`3o)8_$QBQfGEiWEubCsu*nFbC9e#Wd` z>3r#uNrlmMYB-ePhubT=qO}}e>8o{Q8nUGO(4XT94!2KIV1^B!czodEUjBqRC|7Xk zq}xDI4%$s4mCj~$)Yo;mO$8cR@baXYcGbKomuK+2Ed%vdZbIX(nv^wUQd*7IR*sA` zDSUf|Lc6*S#KEZ_HkpH;^`?@d`){nd95&TcqsEo~arNpN{&B6|Vxb2O-8uQ1?HS~X z_PUXHaHfkE$Nw4Sa5V#rl8jqv^CT6DTnGPSWgWhYO5cpVF=OF_DjHVZs1y19yUP`` zA_H&iBidpBhD{oBmxn`bz)dKFd$~eblTpj)XYK!9CC?>j2lw;OvNRRL4Yw1mEUrJF}cLLfBlry^1^`ofwnq7wba6qk$;^k zKc@UAmy{0}D;%Lxy^x+()<}5=F-)b)YWgQ9tp|+2qSO;+!@;C1W zR}Jsr8Y%wBqkplOXm(k09QmLbH~UT~d@quN!C!?fetA{j5gcTo1u0uS(}V+Qq?WUh z(Y2r;etogx4q4vHq`6M2BuY(&@J)2bOv%cwz>nAIAO#g0YdkR-@IiZi;I4yKPsI;i zE__#=(h{P;1H~||+&8WWtv*KCw@(C>R2bY~KnE3mXcWl{#US_B+woszvnp6c+1fo0;&w(nTRUEmt?9Z|2b7haH9ZW98v|3l2k9QW3fg-O1|!@xsqn-vDu- zfc=m4!hr4Dep zUQ;Lr7VeVaQ^M-9pAYL?KFUl>q-Ftfty0O0pBUtrOOpO6N$WKMO5Rg^(}0}XVdn{2 zQen}}Ts<1jw8m2hF+BF0Tb&c;s013ZUZnz7EjEC1;M~xFc$vL zMDVXTk^w_^R;3G@zJt-ZNiC{f_t40?vfIqjD}wa}A;D$?6b~)E=E&->z4k=XG9`rm zFa^1!JLYrJo^U5b%W$|LO9~lSOC6gM2a4m6x9I!2K5_mpZgpiGD&%^;-c;Ujq>eX2 z=cUB1Lzlsj3a*(>!w+Rpze|VpqE5>z(3%^Ts~GSa3k0xuo%CWV>I-@-i!!xmLAS4s za^_6BGqKfPJvTNT&gwJK{mrK zo_p2moElT|&8mN>yEu;2>h7mA-wgRI^1VTNV8f%qwXT)Q>SNx^A;iSv^sW)cgUq23 zIpaEQme_H}VNtG8D$GTOJ=h|bViEiCXJ)+4OaK>JsPSng{a!Qrep54g4Tc_$vG$HN~h-##0(+iV+tNTiF~8^d`n2i+8m5hIu_H*=tmB; zMI;B34F?mrc_M=e5$_+d>e!K-wG2dkX-prJMT8)gh(}nV%~?!Y8w=DSmk-AFQs35T zjPz*S1f}4|>LH7LEF?lH+|AXXp~zSYYDa5fyy$SO<0^$&jPaDXZ*Fx`+s=9QAMB+q zG&P$tabL9?-v?0L;9raL##J0b#wA5Jvn?!s92r|_E|rU7p`<2pwG~X=B^H=C{Cp~w zx(SS18OT8#iEL-0Y5IBS=XhO&IAW9En!Vy(fhZkDm@0%bou6&~y#(fF)GxJ15@&{O zHkD2Ci-cSaHKOH6t%*^#>Q>_PY^Fc^tPE^tz!@9Z8LyUFsfk=B7)nopDN3 z!_loZ9Jh+b?trGz9DsxmiGwo6)jgCe#t3z}IQ$gmxlB$=iwnzjuxAq*%5qa{T~w5n z<|@N1N0wATavE)PrL=?yeGeyPNUHL=)y^x=d4a=p=2dtRP;9lz%fbXm<+ zhi#cMaTB8ZP;$Dz@MD;HR^oiB5{I%p*94qvIGrXUPL|S4uo8PSuxMzu7W$?{Z#z|5Z?-Go*_Vq$ zEy7eeIa$X`$_l9qrDA4h`Z%l_Qd3h3@zsk z@0c@zV<90kof}nRK3po_24`sg4aYf`&8PEKQe2mvDVDczM7=tGj$S4|sw7d_z*%;D z9-++WNKILtczTHdTlF&m?!#qtv7hdFJ|jXH-lqO44*yt4VVfA$&EtL$%#W{E3Xwh6 z3Abh-cTvVJ$>@-1JG(K+v1dXHv7mr4KRI_xq{0AQg@mzMo|)=+_Zpq28W4lEGz5B6S~W5uqjVLM3Yazv6JMj z8_&!%BT3tDL@h|$DFI!9MPg&(lx>wB>aPOT+C;g*F|W7T>N%VwOZW!fn?I!%{Y5+A zUMg=wGE1L8PiOd!09#iZv#X-|h=w*#3L{}|H>pqaos6!e(D4@q)hQ#*(50r0p@Zg4!Gp{;OGw{N zD~nPdGcMz86mCl@7tU;7;TxupE7+28ZQEOo+I9a{nm*9w68$*lb8 zfl4(m21^BFYBbmBct2d8^WModh(v!A_uPfe+6twKoJY&XP=Eygy=GGn82qJ@wpOe; zI9R5d0znWJEvL1TQfDM4J)2=@@yl~>L9QC z*gH10fvlFlP+r1igpPEEB1{guYiQyw_oU_sf!5M{Yp~7u&1tSQ8of zE_wC!lTNO78Z5!0>SAhspq_bO8PTNq%Dc%f&wV>`uPcyB0Q^}P5sFpK77w);<$C75hUI-_kAIj`Bd1x(Fvop=(OyWO0dj}nyMj~0pOUCf@!1~pU0L=F$4^f2boZi}Bb=!P0$td2 zO-{<@s>Qo3w@Dh$Q*DKG-G8b&4t1pIaTet^nQm8TF16Q`G% zHiehQ$3tjycP*Fg1H)YH>_bd@hThqcngC%!m~Ur;OT9?2IXXL`F6UsA*NEDaIfhR%7b@s?eh zTj$G7D{vdK8oPZx{eEGjKHAanR6n^^_>NtkQ#I4!0(;2Zq?&!*?nm};S&i-e0-`Pz zs`Zj&j7pBVL*O7u1`C21;wOk@_(yH$;f1I;HV&9y4QyDUArbG*>5L{dP*u%+pEQjPr;zNaW+I zRGELEu;p`qaN1$^%$*Z<+kAP#842I}-2YB1+wM#uUh`o=?O?f#(obz(|^tJ4Gy4azKeK=Q6VPF}d6UTe%#srPq z&fdW063V?#3fA5p)l%VcCF%2kk<=G9h(2@@DOS7XT)EU@cm2z7njA?LFbgJrhAYQp zQsAuTwFVsVvNU(bXD;U_Yy=EqiykZyAI5d!)UT4;M4N_2SK}671qomB=pE27VqpZ+ zx>iUGF;kYstlv33hsf#Mey)KZJEh|KS@(l`dcwxm4B#mMJiahF{WAW7w%N?7RZE3K z+lHbaO-o9x*b1Az&5*@Xqk(Ow3mA9f*A>$~S%_$Kc~%?7#4N?}eq$fuCqwO{Tu$;L zL%z}sQj7iPzsqw@6iuci0lt??k7Msg?PtVtamAiw-#jT5t`&2?XpYH2P zUEn!nJM#Wv_II&PD=lua7O}zCwLnBf_HcHW77`0n=+9I6{7*ax$xQRxW3@-UI6osv z=kvzsj<($+G{n5Lqgl#|qmM65ux}msTg!6=9E^;@-KDgAtO4fMK#+sG=C9jWI=7Z< z^af5|I*DkpjAG|Mte}O^PAqQFLW$*am!Y+a4T1VhiREZluDl8%ERJ*O5>s{YcIr5m z{@C#V7W00+$5{9(NjNX4Y@!v^L;T?yDJ-(gNDUWeCYulaQOLv=lYCnyVaR4(+VDQ~Yu5`HQ*7JH+#)M(C$j z{4cxhH2*2IAht8?Dy=Uq_%d{c?d$wP-Gnt*y`W&CG(&k6|sbI*=vs{upuAWp_OX(0+(paDr4`I1j8Ej>tL(|~=4R!6q)Iw`@y5%D2 zw?A>U>Jf8Qo~%>V7PyElbhY|@^}+7(Woe6UHI6XbXG6E@8NRMl(sLQYDyeT$N2+Zg2yStaRAkU<>_^RmGG_zDgZM z_|jzV2^`E)*Z?qXvt{+HdctTuD~$u3CC zd$0GQb+Y1td8oFFS0c}b<8W2UNS5{61+s}rF){0Y0Rb=66qGx4=vx4-Dh8?zSXO7n zNpS~V4YEHZg|FDj;fEE%C@H@&W4(J$UtXT4q^^naOtC-vbSybz?F9E+*TMelrt642 z&K57gG&k8q;~WzEbNBTcHh5pE!I_J73?2=iNVhfN3mPH6(3&+>@CBY}&No*o86EZE zT5lrVq_)dU<+=m)qhG+Sq2H0x$o^ApY#M=-xGiE%OR%%79_C23t-63680_{pj;dV* z(b2zW6KY64YGPBUMkD1?&-4u|JE**w@xzBdrX#i*B& zgq2%^p-L!u7Swm}ttxQ&$lR=rZ+rC{Z)@0&_^t5|JzWK3QSu)5`F!Z@(+Is8QIyDX znZ#Oy1?=cP`$?Ej1&JO>22c6nko~bk+Xqk`?Slat)L%YTZ82#nm0E9n=HQ_!lEgHs zk*#+IM8BUlRH!J0Q}%k>hTf$F_2Ry;CM~e*CWL~)==<9(pMxR^uR$9 zt#@bKMJ}q>XkeOKclz2c+)TPmZ~M#j{YP)>sY~@8wG)RDgFGky#;8uhAGRS8h!LIG z-hYSC)}4J`NfNS5j65;*xbVv%b+&F-31VgjRgmbnJh_AUzAt|EX|pdiNx#CWhY#O- zQ54OHt1_LL4LkmUxAVAuMu*@>fe?SpJtak!myc_Gv8&FK|61pS|4u^;iAfiWI(Ju8 zWKDLU=aX!s`QpY<*H(98Lkm5v%U;AG`>(j|o%bT69&m6^mez{1Tj2MvGU`bI4m`4$ zk$>l5gK(G$OUCu4e3ok8a@^=kZf}17{(Xqmuf-_X$rk62pCQujKekn4@K&Oe@b~BL zd#_gqE>i%<>p@b)mW1sKRU2Uwj%Fo`a=cWAk%@M?|)(0bV#??ER7+m zT`r*CYMHo9YTaac^(rh z{op4p5Ruz2xi7Gx+@7{WL{uZrUj^tSax%#FFUto8&@L}@Pz*RH)wHI-7DC$vEmu@? z!9!P)%1;al{_3nZ{Z4;&k7JYQ>O5qMFb2Ud&yI#Pnv|Pli+?v6wBwxj=bnF*kOVxibGW;&{b1AGrNcNKdr=FCi z@7Lxr*>n~m*}29c**(@F*;hbGAgnxAc5NtsqIzu+m1jCjBr#s`B`VdxDILr0OmS2z zFP&)?cFaMUon;Wj*i9tC2@7y|TnoBd=wW{A(Au9VIz$~YjB_ccM1qtP0K@=@01yHo z0Dvz5PXJ&5Kk|e%Ew1s(I5~sDDx`uCDrkek%4vfT0ECqRC4jI}pac+Rq0A^663ED7 z)Ic2!%u=>$uZC57ww6`9zl2p=td&)JH?040>TUN`q@myyaiNF@L{EZ*u8a)eBN93R ztLk+&4*ZM|vYJgJt9HY}fIYVVz=b%{>@&Axb`ZB?aZuSut~EWFYQP|~x8Y~^02vZO z9hknJMcvIAZuBlwy!Si@d1H4-ZCSVY`Q#+eUI$BTZuJ+B)5qfPa%(@vALVvrsZXZY zwq$Tp9cA#$>F+ZxFiKzHt_rSv@s!1O{cSlll8zyTbz+TTg7ClRh&e(MEr>L{k0X-ErT^ycm z;Gb>$I@{1V+gLo?FfK7RTrXCCARwR$(TAB5pPAW!{r`qO3@6tsK}BN{b(AAvI1yD* z5>Hrd5)ZoxUrir~tf>#AUe^Z-0ZQvZj3TAq>{*Ty0>sE`G}6aErI~I8cjw$UWas%a z@D=||_tV9&u+$byCc@S@>WkR!b_IEi{6zjZ{6CNl{X{Ok*%S&@FJx2>41_nx&veu; z4*R%*@zW7Cb5=s`@}m(_KWPLjRzoB!)-6zKN3s?w3E9pJ;)!$*KalDP#zcKq`8b@gpk!D~TWM4{z{a1b z*lYTa;89r*doE*~a1>))Pc%@*0%aVPVtp9AfwM70rp70YvLD7e)^r$YiJUe{KRo}z zk5Q4JuWgEKEfMzeDOvL4-khnDMe$GMz5ETE*t{;A(7bG$P@b$ep~`k{Gb=ArShy}xS3s+BZ+>a3q5dEW)qx=Upe4T^}pgXd&8 z+Dhxq-Gp{h=cp&^d3a%Q*ZH1^JHPB%FieoWCMKP;CX5gg#NXFm;A;*M{eYJG)aG-g z5O>^v5i79w^#(bKWT+uS3YV8N^}e zWKS7VN%ObAPc-&DRkb&{aWuMIhXubwZ)|j-&=$0Y74+lB^!O2vi7>gY=N`>t#7uXE z_Lg7d_Ln&1F(LOPXs98szlLBT%wWBXjtwuNYrm`aj_7MvCQKk-n5>%USxI-8h%l!& zqO|D3pYb?o5Nk_9)_BUoL{-z;3qJ{{#0Mv93r-!S54L#eNHMN&L|U5IED!=gB%dh8 zih4Gs^Xo#4lP@Zl2N%9zL5>ggN_L+}(2$`|XS71#L`4MoZgIAtC(-`Mw+H^mp2pGq z=ph!BIf*2H(>*|=w%8W-5rtd)Ik{blK_Xl!#YG@BNZJbeOV332_e&c_2&Ui?x1ndY zbhdCodcn-i2Rl0iYX;fg3eF363%b_+E)NTtFT1WLG=H=tiRUhc-q+4SJL>9elL>H~ z&Se_fmoO74zgPDtRwy~tU=;`D*K*-N<$!ZB=NIMZ=R8Xrw}ty zly-dIj$_*t;T^d1B(51rP|*G}Eckasawz&9&S&gee8vu}vrJ^Ix?ybEL=2lMN^!7$ zIJJ%$WY~dD8)d84-qq~2uvIvNA6E(?;})Wlv)L*rcGpasUy(v_Ol_t#75OCr4OC03 z<0`h@1DP67C?6>L`J-i<#DTMQs9$lL5e;tT8$}jJMpFWv3wL!z-wDZaDC>s|M z6=YfyvVHB=-=k9{7&e9m5^^RhV5Y{#%{zey3R{AU0qmMDrp|#g@;x4X&`g)}4*pOH zpNWY{qg*(O$pnyG6(2{P(%PlwbtZ{SAHPjOc?E9p%lX|$x`4l-`{8fl_62WN+FZQ3 za&Gdg_}z~K%{m;AzbbOzeW{B~jLgn z;ehO`VXhfb9rG`voO6oxK6hC5c%*zdA3VKyD>erQm%%gRYJd7+{l|L^)IIFJr zS$XoJQcn)d9kZe18rNVC?l1>u`IN*V*GXYOv=%lquuwyARqq5e<-i8mQdKr)d=Nq` zV*JJw?{GY8gY2gJscov3%|B~ zdU2-90a-7nhWNy6ctsPhwF7er2behUYho_oHb6AjvWKu2=gwuKx|grzz_$O(Y`}bw z*0L#gj+Rot!__fm)nC*$4HAv|c~sSDhE9s^XR0m_yAL%2Qx9{wn>vB#EZIBo>QBn% zR|_f_ko8)vb3VXov!&+wE(YXVeXkZh!J0@Z{P{j5*vBB))f@1amK>NlY2&q>ShwD} z7rBj$H|(v+#`I7Z7?&{o+Vjap6fj$Ppr#GKHh6N;(PR$h24-7E1=fRtWQCE<5t@?H z5Uh#KTBlSbYyh93s8Lvg?A3%%@S$|KL+G^d9yv&9PFbi2R2&h`ME*?b&hi^ zx>luP6Tdx`UEQ-uKkK=w7<7N?>lz)`^ZfIumk;&gOivNKuH*&AeZS@}gK!R-4ZeT% zbybzx(z6L(&cR|5C*%_AaVi7n*}ffxerhFFHn0?9?VN-t;&( zUrFKD4qd?aj09`z%9y%ed!?7!e-f{~2bMg@vgg?de$D9QfryYgfxHpsgS-b6 zHGxq|`rX&LfG;BiRuBlM4$G(^tk#=gy8!*0^-kLoejZ|`pyMacq&JFh(LZiN9+c)#{w@{M?Hlpj(XNvBFM^eOeW zL&?u|Dj{kEb+2c$GfCPp!cbhP`6p3ed86FRLVM~332k>9|Hf+x z{@QU^kn23)E^7ESwfAf1X`9&5?ud137Qm={;Macn-hUgvSktb*wFNc_Gr)=H7rLfF z_e~_aEnYFLyVXq}&eNdJ`%S?<=bPg&%zFsb6D|txAp5^IU+aRoaUs)`U^uArFQ(vg zW`ecxGL1Xi&)scA7fkHE&rQG>OMz(n)a}8w!9R<14x~sMusExLkGFmADcKt*aDl8p0<+R7i}0YD!0~o>#-=dnL&GFWEp%Fo*;$oIvhl8 z(1t+jI$s!VNO<8F{ zMe*x9YUo60-RQJI;0?OWLo-5U!X%_!O3>}w?7!Q4djkpD@Qe0^`?o~jkuJZ%@$J21 zC0<#H!36Wcze2CodE5Ap`Lo2(!!HSG((`T}=S2i$Qze6uIB^&;|1)IbJHNf>FkKq$ z=_^^{`IyuVt+aJ_GJV_fA*$dncpHq^7{0cK*aveh5YySIAJtRVJxW0je*)?;uab0C zGLQE(pJHMKi{04Um8S`iwez3GG*V|E&|dH5m3)UIi9vv_rOYrZxN#?y%M+j?`<9rL zs!TOx)}3)EJ=$3qKKH8<4^mPvrMPz*e`uSEv9>yG!ON*rpTJPBxLBW_Y3Nq|n5_88NxdyHf+}+c|E6HW@KTqz~h^CQzCZ^EzoT&EnfRD$H z=3KuKmmSIzln#eUb8%dg-Mr)^m3uE?DufuPz;DY&z;g#2w>(3!7Jctw&Jove2YPX> z6$Y;s(<&8%|$sk`SV$CgPLh1b3}e?e*LK2Ip158j*ILuMu4v)!yAf8M%Hmy|}c%1*E)}&2$AFE>CEN8y3 z8;Tz}Y2IAi*ZbkX?Ixg*%bedJ2LRGJd$DC#RAEc@}mv5g`0Wm%5 z4%2(0&xg0UI#quG89kFNtMyE78Fw2>eycf4w{m-mMnNL`tSYWC(C@!c?)+=&qwJ`{ z1Ssz_!{wBOl)FEoh+>@qAAHWoE&042>?xY21Pd#pxpfJogh6tYuvplaHbRF8^H|lb zqd>8%f^#6MshL8*JQcTbr@S&8Rjoh;c_eJ6VlG4qeS>$!7m=2A7hKgW(8>?a4H_xX zIMlufS?1QLb&6OGNdNk*us)4ZV$pXrX;1;fDK}^5(`g4mPDz@SiIF4ha{8TBq*Tz7 zM%zUKx3d9%DGJ&C$qeim8%g3cNA0zKTu+iz0?i!QIKpYHk{wT4mR0xneK**)RNGR> z#mIu9gH$dttib;3bWk}hP@?_rGDWz}HWQ7U4-1 z2iTGFQHV(I?^knOn{S1PN@B6NQWxyEsCDM$0k_z!q*l)`rfQQMa<$Llzs=(4Gaon7 z-tf`cs#_V6#G(8gV!5AkKIPbGSs0LOvS{wD&8bW+*BjDN8_Ou&bcuC=zE)vHCM=&W z-^5fL%o8RUS(2+lW~d@GufV;d(>go^BcVK%_I=_Pu3KU0NWST)vu?$6Z!t{L}Wd)#UW9L>K>X z&bGCD)n=BSbRLzz+$!nFFj#H6{{*|iFo0WT^tVbeRE<>;7TMK-eqcRfS+!fQ|0oW! zMdAX{V!t=aC|2DXUG8d;^^!}25lQ|$FGq+ET7rjaw~Va z&2lagRV$z0Rh4t*LdKyw>^hb@;g0_PFxZAx9y_y|KI3s;!pkb@Xb11?W^T|%;b_`% zHQGE_ys6gqt0Z?Ji1pKwL6h9N~|h4qyksH1(!sb3_&C)OGxVyGjomz{)3?Bmez zB8vq|e*cu=BCeZwiSpTE->To9@ostFLzy%`@pVDu=?vC+=|-Mlck)!h0E?vDVNQ;TROLe%f}U!B0w@N- zUEMGQ!349vlXuoZydmW;(h@=5EL(31qYh&M2T?`2=2J>yuIkH@Vj==Em*=UGs-;1v z#_dR-v7|gc)kib2vG0j(?e6??;YGCmym}SoMTe(Ri}4z`M!lZZz6uF+T)aeevlX}L ziK=jX^!v(X`_>>CgJYDhpe6b@WkE66fF{439PNnXJ!8c@Yyb5s-B9#4RM#9rXT$ur zT5z0We@N((A3E#m(Mfw=nVQ03pDYY(TEE?bN&uctVCSXH^aE!=STPGS@1Milfp@04 z45_32wfi?juemoCn9n!G=b?V&Y+{C(wkE$ut!M4mNo1ddqbcY}i5NRbRaJTy`Qc;; z1|maz39MAzUl#}lD$L@$yEIMjA+#Ns0dV2Dj$Dv)!#FA;jN=9QTh=4XGcuEIXW)+>k-;bQu@L2Cfkw}kTRJK%Paw}4~gnXuAiW+x>jv3+unt?KA9VMR$rd|LM{ zs$z%ZPowpa=@$b`8-?N2zi7^C@8T-ZZQ2$e(D=*tXE9)(mnt3=7`yaUCrA!is#mQ& zSyC3SOMl?4EWSfBy@Rk3!#1WxqQbJfyC?*AuL6SN6Sn`4;98v(FVdL}H0-p8i&XH> zQ`2pKA=im|KzaQgQmcoH{QqrJn~*+ z5tRQswW`jHPfDaEb=I<@GfdXPZKP;Zg?UCIS(l4iv`ut~ql- z_#IYmKjUXP^{KsWxxb<2?^6eY(sbkGmnP6pXKD&`(wUap&}}+v3RVNmha9uZLY?rN zvLrwQVM!QkN)FQskzPur_Gb%|u;`3u`iGiBbSuH;(*sE^sJS^#+)0Ifr_M$a+gcsZ zDS4NPl(roCsA3?$3%@4?)lE}LkpuO~2V_y1dS|0e2yOCZC%JbNo*oY0W1(G>#Cz2| zSz!zE5(8!#_7CAQ0;z)JYaW7M$xd&|X`R~;Id*oZg~z6nxChOo)$Mh7zR>*=%{1bt zp1*}Z3Pyf)171)u>XnJFU@2l-Xtp7nSgIG3>vdaz!3QeE?D-pWsyLO=^wPtWDU98; zD2Wh@aU(#Z!iJ9MgI)?0owhEyD^B`Uh7Z)YRoX_hig-_RN+{~Z!G zc;S8VlsByGD0&fbHZlY*-O<-idoPDVb?Q9+G)RSY92dA@rgAe%3o3uIN#pi{Mm{ND z8b4~0oH}!LImN-PSe3|G4ULT2+p#sB7^FPC^Mw`(_f0ilVccynM+BY=ZMM%|ztpEq zUZYF3ExMd0&8r|B1>4Nnf>2I@^A)p&Yl;rvm^SXe)UqRV<^OXQH4wI7@oSZzG_JmF zx^ngThz{nqm&?*s7Ui_n{dZFRbFdEIlMw`F%lEm_!4<0h*-HG3*F#liiQjPk?7efM z-;)7hgGYu2Ux$W%+40YrBl3@z7Mn|{eNlTHQ)74(`h;6N2J=Nfs+29EK2|V zLR-lCC(lNQjex`kJKOxKQ&>JxiA2!*Jx!%EMcwd!r@(ct-Ht#=d%`$FoBI>h?$gPL zS4So2`#0-6$1HC8L5OUn8BH-M*9FDE2Q;zJIWF&u8;{xdkrfQ4Ep&9&%o-s9W{Jwa z?Vm|bRLqxfA5wKz!uHHTH<>I8;j;TFddElkx`OA4P+<1B%cYfmz$=PSpD(`;M19o z@yX#THJWgsi%^%ae}N1yxx^BGkB8n^+UxtzBk@RGgCOz%iWK8RDIQG(J;g{=#Q*nysiyXz-^y zFkFW664}<#QQJ>FYME0P3$7Km`MTI3U0BTRfX%lPVS1rS<<*B6K5#Z??JU%Qz%+@C z9VCsYtKlC@zpEBeb5Qq5`n`8yYtZQStP%pzkD*h+%y32zDo$jn$9KV|GnX<|DazA} z*L-8c(ROG=%$o(bFKx#SZfFvyu8V`5DJQKDh1Q4OIs}kEd*Y!UY?|Pv0iX10fvW2< z3x!U+lI~&!X-jk3%1|-3B|h?!pE!X<4h&~<-Q+OA=FO4lj0N?=xjB;rQ&~cR&QqH> zGDyM!Gd{Kdr>ZZHhx+^eFN3jV&yrzCNOnqQFhkU+NK#3*kfq4JjBOaZVk}t`(iAPS zRrYnpz76S(vJFE+vW&5eW%!NWkI(n>`Tchu_rC7E=RD6j_nvcJTM_J3`47zwIRNLm z*F8C0W&E%51^Jg-|7;cgx({*t#4+Ap$?15UcqXI#wF?4~6Uz+`-!(g-_+}I zvBNT5MZwqdwvr|vCgc9tCf^u&{7oY^W&(Vb4cl%8kR7hdBl%uw<$V}oez0+3Go)tm zB7N(WjBI4PP&{De?b6RjExr|DYTxZ%cE69DJIyVTVJbLt?aTb)o2x-2a)cX|RX6|! zHb+w*0N67WZl~_oJYsliOL^AH%^X)`i>&9{TQf!wmw*GlrRJE)Sz0D-DeCd;0@rVr zpPPn`u{Lz$d+cszr@`mnoFGwx>+!SB$m>-3rYl99;@9B~VZsZKkg&UTh}p>NrrLMw z0vsn-_6jF>{EU%JRitko68nsMa)aZqwoN9_HJBiC*EG!#EqhVhadj6A5hQzo6p%aF z)d1^Oe~s~28rI47%~DUH6j#>kB>OOm&;IO-F^xZvP1NbL?$x{H%$T_r$D*;~$llM8 zC*2tXaWcGez%TmA*O?GLgNdHOIszik0iG^9Jy6f<3G6b!smwoBbB|qutgacKg{)OQ ztbSYhvzPB1)@XgZtmaeA4jf^TAY<$p`5eYhnvwHFBd6>+@Tc(h)7^ryoIwG%Q%`l- zyQN83An&k~CPE%@z9C_{*V)&V3J#QleH4}D?Nt&3x&m+Gb`77pmPN~-a^2RBXsq5X zDt->gD7Td_h!=do`gl!5RlF?}E`SgwZT4vlRj0GeR!JdTNF!sOwlhM3qbShA#0&s zB+FaVNV|LnGe`x)$0&$`K_bfsjpel)gkVlv`)?`LF4n-Luh|hmmt^z~HbA z9CXvG5jEXL61|l578cxtCTmI%`j7{cX!4D}L_3=NQ71o#vi})CXw+p84U8_uWEW?h z@Z}cjiNDwMp~;1Ri7qs`;)t+ibS)ndC_I|n{kLVM#kc8*tpa}{ku}O&K*>DU_N;Lh-l>2Q8{YyeGed9KU&;+p@qtMG>ydX7ODkOB2emA=m|$yb~sA# zQg67vPju9YH??Xn!RnCv2$jTHwd*MyY0{tt!bCve7%{5C6drF{gwzob%tNjaTc~Ds zS7IfdgJL27pveM9vwHk#@l85n?zuR!6dZ=rN?+_H@Uk9o9!;`2^ny10C=p9I{$uPf zO8cQWH2L-s0;_?Tc^G;EOyX|vONw$4s0DsBn!-s zL+WUdf}#Kf>Axs;pvmlifmv+%e6fQHP1M-g)%}lN=%_pZp}GS(3ow!(K;v)dG?QAW zd20FfNS!5DjD3NfFA>5RbU}jf9of{0CXYJmP!`4D`PPWs@C#GO15)2Hj3W=!t{ z2qbYNig08bZ<}`*Y+MXEVj~hja61B4hoSJzzrfD5P_vGRSpmF$HrwF_S-d)kapeva zm>(F-AZl{~gt((269Z^6=`U&wT?8G$Fv?IV%hGTR6NRvSk%fPAH`ii=LJ)ofNeHGl zC3I>qt6dMLvIFzYj|2}LLz7F7hEL76P`~~q7^abVgnqLRQS|=@X#`Ck|7(1)0K)U5 z(fgGEg8AQ;bO0f%@bKVPgYw%|&)C6ryA;rjUN~??FS=O?Lt;m zs79DI>0I3O$gEGwbmkhe2v1c9=5spe)Q&WCYKZ4&LDxVS%G@?dH-B-hfnmjqQ!oSG z&xwvG2DcwX2;EaK0aBa3WC6n(fFNZ45{0X<*P*~gYi<8tqTHzU+PsTH#*IDe*%qof zL!_;s4*-Hn2l57GD@R0*`{hkOf!y6H<|-C59V&jvL9bm^k|6MQDQ=o&;bDgh zM~;xst+Sd$nHbC}kSe7#>%oS`3+fpwxFr^Yc~bfOvI@o-Zm!cYTn!&4)z$(CWCtC3 zh~*+<1X>Ozc52``m2`cP(l&YMRU1V!Tkiw${kWt9%OR69N;jEVMS^)fr&Ceiptb#i(43l^xU=WR zyd{$?x1iYhF-!i@(c|ob5}!q$TCM4w(ZBrpZQ{J;>5g$rrppY?wd^@Z#ztPhqRokl z*~%#c@GAzrB0ehrTy7FlQFhU3umh);0XSPX)@Wx$4F@`gn)-WcAjXa$MS3_XCsx zv@ptjwJz)h4kgh0!eK3AyR&IuJDmkl^1ir;yC8|=iy_x-N=mQ*XFDmsAg+5-r420W z2@+cr8j9zEiIA@GjqN}0_&;=6YnE`ppjgt?*I0p!{XPdgzl zh3+W-9MM~NmRvM*kGyr$x*qUoySHn3r>pk~F+<%3`Xy}~m|{BR=~EKez*+009cAz6 zrBKXeo309|uDrwSW0-{ss$I?~VoJe^rDiqHR10AIF&Ny}T)qJ29tjKEjdGqytoGm` z)>zkgq$~qe@sdb42GM1>dvSbWmUCZSF{p_Bajjs^^&Q=4a7wDQU}Ed@ljL}MMWvTt zQWRDog-~{SzawB+TY>;>G zZS9ofW*&*V*CDs^v_iIMm)3Tg=p(9??M&R_vd%?+u~S`>qs`coJ>}PNRjf|G?S1O* z`e>(i%Fz;AN1WpXSn8i+6Q2ZNT$V>VP$%i0r;|-zl86gYL|29AaCvD2fcWm?EGXkq zsKeJNEVXq=Fgp36;?i!*(CKvl<=+-babQN@Y$G>DnHgcqc+)696?;l6*s~pSZkWcr zS5>vU0B;!r5Ep(YI!)HM4pp2~S?W0t^L`P9HI2efM`7JGeEgWSpzr@(W3Y9I+&W|e z*t9bEP| zCXu)h>NSCX+w9zC53vvZWqNNFX#LPN zyA|9OyR9S(ZpXmGFwBtIP=_sFKk!~`6xJmb%$SlQpCw@X zBi<)q4}H8g-b7*N2DApljJbOWsrU0MK>Li3BIqS2+~ocZ$~!hG(Mo6=`pS)wP!dJF zylx^sqKQE*5aZnt<2}$H7C+|^ZVHHtT3Wl$$Y;-A&N|^>`_{b_9U93CxyjypYh-ec zxZpuBW2@yluYC4ibrjYPqBXlK*HmJjSICAr5Duu2si|pIl|8VEe!|ymMXZ#uxTi4g zzi=^HQ^#zaOH2=LUe6tn>aJY!IhuZQJNN?fP-FL>9muuH_9#7AZhY9-d)LoDcgwf+ z4iPg7gx7t;K{bZBD?C;lInPN|BDZ!D{)tT6p8J-8`bJ zu7PDW0EYy64Yo|H&i%mICY4{UA@k@UDtBV<@oRWM5gS;N5-1_CvB{aJADyG+6LYyX z{vZT?ZIJCKR0UB&WaFZwF-gBsXPSf`&Cb}xtIYMVqTRavW9xD2zHcxrc_*6Z8b|Pr za+h-T!2*ZCjo{0PA7Qdh3Vw%qQShefYaad*HCwD|q@uXX7TCkm^1GBkSwEr(GZn%| z8xC_9Sa25W#U>{NuK1PM&!dT#cmzgk17p5E#byu}$_AsBpvJc`2V8XHWglS#GD0Z-a#2KmUhm_I!IoyK3(=u;LbagYx?laKV znr-OzJPqG-nPX$olU%>=j46tgF12!c9O7$?S>m5!p7bxF#!kx5q)jI?SI)p%b6f-} z!oL8uDs`(Rc}je3nM!3bBlTjOrEjmqNT7m@jjZqsHX@q98{KlTO ziCIyvKzL89_z6ND&baMxE|Hj)mbH(1vt_?iI#vD3V5Aa5sI@h$^S8g~q`X9PPjIx6 z#n2@7E)ZwV0C@H!|M(m2g+wJ&^1i?$#xKOy{Vryc4%;KX7xT%31H*dTjNWyRlP zzWlDY-*H-yIX7k?n!Ati@K0~w(A#o-t1v&+ed7JK^5(++HKP)+mg|~Y1?IvMC{G&m zgEwnDwe${X@|gHRj2%N{(49h#a3ec;X$ey{y?d|k$jp|x!~gc_8~aY zz{c%3qRpg0!%s(R_l(wVU7^iQS@=)z{yu;6`|>13y7UMF^zqHg{9_GO#LyKmZ|6kW zN5$MpzR+Vk(<0tl#YQThVRCQ(!M6p%&zkd)bfj&`nbXOyHzxZ8$wRX03gdhsmP zPoit+(szK$#q=n-s5m9HSi>Jhez+_h1pDq$`l|>EJEdd&ba&xU6gei+V5Y3)O7xWZ z_5pn-zmyJ#Lz5xvEa%gVgb({4i39phh11hQbwuk1b=aQ~%E8{gQZ$ zHnh2PVV9$MW}_%P7q1!V$--7Yp0ZNh1mjqYSnm0io69(N@ZCh$roxgCI1c8>zGu*f zKS{hgz@{$TccpGyZz@P@3nloi{cnD*h-$0o$-3$6B)G4qd;J2B4m($w)-Ua|8a)$yYPoD;pKh8-LCTmAz3Rk z@MB?r3mG97)eHlKgA7h##^>{~(K!1Mz`%R85FC5uBaTdn*q2Rp0X|d_2L$%AS zWmftUAP#&NZ*J$MU>&DQ%2*(fZD(kPw zXVJ2Zj3^}ri$}{eU2b|TU@%E=2{mnZ${gS;piqKXS3ky4M8TbHqQCwJZt9F&^Oc|g z{wC>9j{Oy{6!P&J_YFk$ZosRfmstx0cPJqCGrzOft_fji%R_tU&8gVU#9HMAJK7U< zgE4}Pgz?1Fmq8e2{vAlLuvW16h|IyW8By?POpp@J<6?AJXKU&`k}oIi1^HbN!}EW+ z-5jaas_SnVa^l7~M~%lxX4O(b7YIXQ==pZy!YPCCT$JBwoU&SU7_K#Sf1=y>yAFbY z#^P&P>kDo4N)99IdIYzaaT12vkBm3<65s?gDyW|c0el@szeD^mN*~83YYA_<#Fk$_ zBeCIkwbY9U`S<8~_-M3I9P~1|YMdSmbustyQ^0v@c==_J&qnJUo=WxactFypmryKs zoQOpH*`*crFJ+b^;*slrI%9p-n7qyl+oylpOWkjTuKvfMQ5&4;z84)5rItb-gjV-$ z9gNAR1cH$T$>i{VHEhFyDQ!!pM)`$H@`M(jb{k7rQ^OoziP(B|1jfC5N~6!UZ;(21 zds+%wEpd8d?3n);CbsTc-y`t0PXe*((rQJ*jKKnAg#NN93`Kqh( zHtI}nmU|T1x53h3^ zFx*0;77`3BpWHnS=4eJxioZ|rIW0{i}d9r?HCHD}ZYH15+OGY0&5OX0mq>ZnU0>5qg zZB)dtjq`*M%y7nxWL=UIv5~PiqfmB%#<7Q}#&;Hp_Gn-drroY04w{k+3RXXz$NTsi zmh520-j6LE=)q@2+BghE3MgSB&7-Gq*(Yyj=V#Pho?DQb>5-ux0V{-= zVlSC~s);9a`G()K*mPV;R`?SZ>-WEVF2j9JM8sVbDgUK~?`I3?UPHm@uKZK-7h}4E zC?FYE=59W2MvewT(vJTeH7*;agQAdX%AYsNQ>3GFzfoR{=^&UA*c7}oW28qQ2&-~g z9``Ks(gD7umswWdDtHv~n?o?n3Of)eHxlMC(>ky42k)a?lK?Lgpx!t_$gpI)f(EE) z8o>dEO>nfRBxyz!tEBffacF6XmVce1llUm0PHcaz zX}#a;lr72MBM9HqH-tEc8_FRrNPTJKVH`W1w+r+`9Hjd$Y=JIUJv}@+1_O3dpS(na z(7CFn0;$qLNeSv%{u|Pz(ny|~!5~S*@LD~AS9Uz@2m~ja$SbZ(vx;tEbM$u;Pgr+{ zMsI@i9N#BTxv&dtwS?WFzdPqN$)%BUYIGRwlj-MIrirA?Db2IH^lL#R`4C>E)l+^56D*vG2PI(^B8_ zw0W~`B_oA}c}mOEywi`xMm=b(Ssi%hr=5nUg!J0hE?p0 zo%tv{00=8jCG)=#?6Xa=nmRlFaSlOlwPcnQER0RPrI(&UfQcO(6VFcW>#N%9%N$Ua=x3>-MT`!{~#=z z%P91ZnnpzAb)_~L`Cxf!y*GFv*Hxf9&{?F{$98yCDbCx5xj^~StEV*+Zp38AmyQ(F zwHqO|cN7Gi`m6(-IriSkULPw|G`AMm`(mGrRq<*&m3nR+9i*n5CCRn3}vcb7L$EE#2fxXh3342kRpIHMUXd4+?2t-j`5OZQk_YiY5Bl ziag9Y+R#Ak?!t+hj!ikC2RyKOr3Ye(_F34l(ztFu8+*b?f6UcLJ3Wc%T1VT7&D1ni z-qp*m8hMTHesK7@0><`Bx@eCbt89x2fVwM7IiHDkRJK&U!2^?l1*x$~#?5h$5PQ_|Jpcbj- z#Fc>PeCnMZS);v76AFqztNx)X#)Vegn3|Q=dcK1TfBZ|jQ8TP*gNI-{IapB|6x6$j--hc-#fG`CF6A*#w7Ktr}Yvxu^D zd5dL$Adtp&oJy)OnZf z6@ZGWmt0jCq1^z#ciY$AC!2hb*Wf44YbSU4n*Ui#L&J|G_{1o&y-RjxWR}Sgt^0|g zFdm7KYOjZ!y}f+PZxvA+yZqi8Giq9|!MYxdfkVGC%bm4;@*i;wWQ_5RNcdEu4cOTi z*mvc52L6Ft_c08Se+Cw}K8?AX+{#B^xX+0|M8VDPX@JBzbDY+sD+0v*|`b!`1;HSZ)?V_3)Tg6x{hZqt1Y(20z;WQ+x`qs7C|Gz#JQl&=)Moq9 z9F;4&z>OjuJL!P*kdiLTO7+Qx4d{jJSbKxId32Z{1#8kloO1$MsGaHeWiBl1QMnP% zo=NWx#c_e&_7`6V=3!ZCghv&-$4edaUm!ayfLGR~dfX$WW&b{b0zBI2vkyR-AWALF zd#E5ITw?U@vClv*&=b)mZzyg)cV?@HZfy@mcwVus!ZmjMVheolsZUP<$>;KGc38)K z^I_)tM3wf;S{tcAu_8InZ>Wykd4%)Bs3!Pl6pYT3{n^J`VJR2|wX$bB|MWsFfs+>B z;^gH4ki18zGwZw^-ULYM+jsX&6Dvzg!GoL>UW<`CMJ0jE8oVg}-(s-}MkHvjMyf5( z-rF-4JoWnLfTNLtA}n7ffa~mDsfA{^$_CDT6Jn5sqLonBUMhCap<%O}=jzT7{$K&Z z@t%nxUoB);Vr^o&mCu8}C(~0?DBR`heh{2vaklyIIS>DNw=yU%PUX_dNg>RytJ~j) zDOQ(H!}F!lhA0f}lhHM`{xShKf^QjhEd+@8-}sq=os`KP4dMkYv%xl02c-G8zvH#S zt)q{EfBy!g3@1XY4Y_Xh2s#pp?PkRoW_Nd1r8D+!MsN?G4cPVp@R)lgxjm*TL&LR9 zr$YY08)~O6>L3iICL)pG6@~|5)PNv?Zxyl#gr!z6`{<%wLt*}nUj$#lTeyD{G!R|v z9yQnBxXe+h=X2R9nt=VBf$8?^bnt(pKF(G`5yqR<3OsUwmGXpOW(0J%(43wC(ScfZ z(2mIN zlXoU~>=Xi8C1V(Z8%0Rj{Jn|Vbqg#W@kMxc5>Yf7%JZoH# zA#D{h8$`=?RX9o^FD15H3Smlt`PCgHCMaU*>cRUs-6TJ4s~RVp7@B>)4k9vR-)Yh! zLZvK>b}_KzoPq}RM;ucZH1>Za;)f; zdmh|YAeV6hpSjs%Hxd>FYJ(Lz_E(Lk3c;_fBm#8vKvhCsXFh_v>UxjYlt$N%?CIK@ zh~MgB8+iT=<(OA@JGOg!7!!7nsmqlSTujt-`2*+9T(Z%jsfzCIR&>6_#Dsnknq|ts zCe^LFP)uV@?&8uODk=h`45s%~#ZwnT9cI%6y9-U;L1z`GzAw!_{^Dtq{X)3O{Qg)oRr= znF9rT<(we=+CV~s{I>SvFH@`rZz4#Vw;oz@%H%1i7^wgi+$^tE28l>Iys{A}R$|{v zO%YnQI&FUP{qMEIAIVEz8DkkDftfcOeQ)nF!O9)7SCt|H z*0HV>YM^&sc`$`3G@&f+fLfQ2DP4}gX=06AE{^xSq{~UsQWTTbAtwHDAdiw6U z8COXrAiK8m9fOW$jxAyiS@6x~bDA|tC1$1`o*I-fHzU^41>^|dVF04p(JD?k>+zpr zxM*wuv9#BcA3&m9VymePh?G2csH?UN;%6U-Yg4${MR%<~>hAW5l%(XU`md<{KV|=Z z5nn{NA?~CN`U`!-BF@=ZSU|LUt^s$2Cnekc!6`}^yD##1_WDQGdhgVQUPBu-NTPbq zCyD*~=wgz79S1HRM5X*4p!B}E<-lf`4B7r`IRt%CM700%L`F998_e%1S4Zg) zcHd^*J`MAQTPmh--P-oGt>qEkG8pxZ-?hxJ(_Y>TdfKdMsNGUot(A~QyuI7Yv*~{v zCbxfeZR-ePS`$t0TX{~kf49=My(IpP&ikTqt&9aqbn=AzN!5~FW5>;hX-wg1)~hDm zi^SWjzk-$hNa(=9w9UF&?)JakA2(le$iu^wZ`HN$%w~ztDQ2nQ_&3y`s4*GuUI^vW z;DT(g@J#Qpn60zu9`_XcpuZ;Nk;(ARzLwj&_X9iEO<9F&&c8ZM*-Y4f(|6w#Vf)Kj zE)xwl?m$z|LA8w+c}MmDvWC9ws6}^~;<3LD-Y4_+e@&_?Z|RfP!se4NuF16TlD(}T zg`ny-l`&NRcIT-=QSMBQ`<*t+xjygA5Vu^tcdp%8ps~ch{Z?whsg0Y9qg?||vtnKA z>nYFOYee^0uZ%@n4I6$z6M&1#w^QpJxc4 zz2+b6-*A5Smsw-tyzG;csA$=L{(91VpN|VS5f=9SBtWB?$Q~j$uNQf6^4&h+b4cx` zj!nbh_6OYtXwy|NXrG8>9aBVp6X4%3@ zrY3H7TX0#A?B1mHszwGm|4?L>kDOkQ;jrja#Usc3Dpr)2n8p%0iCoZ0n)(_2jN=SS zHNA>%z+4GmF~Ub^n*eRFyd5b1aN``_ppq&F zn!-UGjFJ4Y8&A2zDwTmfPZy}sfm;`NY))5CccV`JEV&N5IzxwS&gK>h?bf6#Ki=tg ziw8sFc!>%a-JI6b+41)~;f|~y8NtY*bGLk5zJn0iWDcb6-j>@1&E>I0+=O~XK&W(T zvm#@CuE|Jq-tXDR%shjGZHh?p8FT;*^h}P8G6wpkN|Ei$<|wBX#yv{>*na5xW_pg^ zSNAK!ja&8=RXGd~CXPJ9M{7zK@@={4%WO%Q^5-uwC>p)R!bGiwXEx*_J?ISnCM`(r zcUaYn_zAc@*BX2|V2b-}S!2ggvs?3uZ<@qfBu52Jb8KLq<17bS9jXSMe^YLW!f|Iw z_-LUnTVMx@U)bnUPh^Jeq?uPZi?Z-oy6j4%&5d%899Txsf6Z~e10wBG_XK1-#chQ~ z9>1Dyp61gu=XDDO2Y>b|hiN+L<1H_x$rQLh;Ii7M6aZJ>jt6qHGhog)&|qKKG|X3?Nhm!ceHidQVU|qG>VAaJjO z7DJ-Zh@!@snjCZ0c?dPg(af`X=%e(w5liHz4N9p+cRQouq^hf$YNmIv9L2h9N$lN- z-#H&6|DfQ<*ym*%;-IfF$UuiMwgo?B@v~Kzukm7XT79c}#G~ynKo9R&84}#ame}#p zFykpkJk+w#v4$m4*0F{y(Tay4&TBg1%2sva2xw*4DyNyKqv$aoy>!6r>&doYP3+x( z-*Y%HDcjUb=~WAqr6A3>>(<4#s$th97|YuQmB{pT470o7TAXv zpS`Fe{w%1CW+M{#FbE_JVSEdqihH>2nE6qT+_*GJne-B@iEfByjMUw-H+nn#)v160 zHux?-N}A1Ee_6|urt^@d^E*vgU`k+Pw|GcKe#GhDpo%a;gqId^P z!)YBJPd)(Cof5ox8HT4jq=;L!S&C!w(=fUgr6~$=$=BMwgsF%X1RxwXuR{(~a+xe4 zQd_>=c|r_tR2z9`UlB1rUzabyR-U`HWb_3v{mPq>nSeD2!j9GiVB_I*k}ptbAwS|< zC)UB)M~o~N6G~oHu7t*utz~+W!n3aYJPiiM+BPNCucZs?N-B)*c-2w`VAC@R2Bh;N}cI-#3dzRe zKCy^n_HpWwfzf8mvPy>mY^8I(lvBmglvDb##112Tcavki)LhEL#^O#~=pO?-jD{!z zPdO2EcBW2K>^6;%bQf$m=ct=T+S&Aqyb1(qX>sL;U6cCQZz1G0|9CIPJ#4WU1(}_t zBK|pLv3KKQ2UoVl%FA8Bl`5)Mn)Cu2DKBp;!khOMNvYj|_S}SWStSh5)||`gO^%Tw z0}mZPy?5pR^fm#*t7i&1)ELvXP&7`~5)`Jz>e3yC<|Jk#hYQwx&!T5=vEn#WN7J5h zBOZ#gc}GcqX|NVwUItL&l!IBRq(|y*Q(sia)Crfc??SY-T0@5uaf|Q!cadGDXJL53u3>DT~?LY9m6=Y)tEpGheJ88 z1$yC`XJ32bdP=&MPNtfcmz+_o5Xxz5fCdK1|FaUkTS$Yi!OO3m>0B6Nk%~1RkU3Tz zH`}uOW2L8f{z*Y$2! z8Xx}OZK>o&o*QX4^(|OHa+wDl9=Wx-O}Mn`GV>?9uhFjZG+Ovb4R&wbDfEKqE4u)eJxh9_;&)y z65lnm+88K2W+7aOhsl`dP_ZK9=ej&|3rnfSrYaFZ*0g(4L_Eo?OVl^~!sdrM1%Dt( z!_Cpt_63}9JSaf8!l4lDs)cz=DWti(hz-q@)oq2+2bJZxc68E@x7K*XQwm9HXvA)F z4hXRRq*l}vdhe=V8hzU?62YbW5S6Pt3}-wm{wrB+Y?^%IsxSC2id6*pLp^V(7_W_M9G=t~#M zM|37?Z&EvEV*wL;PkpB26$qx&?r~25s3x(hOqnr$qkQ_r(M^i{SRVPd)$LLG0saQ7 z|Hx=SXeMm|Fy1%&Pyess@{FXI8)g)9jxP=O)GM0e=Kh25LZc<<-6-ZP9APNm}Gdu`O#!RORKfxW2s3>uw;0(
NMlB40GJII@I^88~F)SI-0Elg0crISrx8!q2O>KmDS-c`G_Lx znc*(sdtryW*zQFgF>mzZgx)2 zy=6}owNF{?lkKK=V7*-*jmn3m^|L!T7LQFbj|4<&iH2ftTiE{`>+TeAavbHN|dF&s4{+H z+h*eLf9)S5)UH^fMXhV<`{d8wEiqZxcTP2kKx-M~ug*t)eBP`7JRG`o248IfNLlt!!{ge2OwivzMOd`>T*VzB#w-LQ zK>OEKE(uWFw90V8f>oI=M2ir9QIMG%{UWY@HBq&7T&rc=%scdA9eS|=y;zGDxP3(G zg-mz!bgkz}pwRKwT8NgBjhLPk7L&3x*Pi-49J`R+PG%pnFhLhF*IH|H?c|d^(G+U< z8=Oka?ozfsC`20mhA^5+Z0#EC-$Nyqajy<4F~z|L`ExCZr=KO-2=a%xM~--uU4$Qq zT5DxmYR8W2GyYh)%vpofkXOAPb10}yYw(mnpaV>qbd3;3^}=N25>)go7NYb}@Tn_1 z*ZzrRkDA`sm6JF<15k&ti+BJ*@RttbdF%{>O<}3b(qhAW(P`~O{-t1~|1S6)O?mO) zc#6Vupy%P>c!a`u_}<_+Tw(mf?%=qy!jZ(D?x~W0kg^LzT$llNl?`moCCIPm9uc~~ zAm7nt>+znsIA7D&DA(&k_AyIVbKf+c$I=)e9V;}C^cBQ_aM+?Y7OGMg3*EdY_OstQ zwIskZu8rqiuR*FGn2hXS9XV}|=efg);km=4A=5bB<2V@=XRuTa`7QwhZG&@OJP1ZP z;C&KW3q4P2!%31j*0>D+hGFSw0@Rx6zi%bknN>4Fm0Bl%lFa~&N>@Lw7B(?cgTFtd zpc|H52c!fJ;x^7gOsZd*%LabH);_agYYcc}jyKkvhF2u}2fRmg=GaH!`^FQWb$1ab zNrwJ9C^Y9`YPKvb?^2_@ZWjTsZf?2W8==I@FBD=v6-nh!5?<$yJ9Toiiyx3VQ7-7R z0+-l~qP=ERa9dVL2}e2v9s-w2nsC~lL~g{^9h#>>KX|il&22F@awtT<(Iaw zqNWFo@~SY$k&q?BXgSiA;|Wz;QZg~Xk9eGms+v%MBW{)#=UYf}Q?#Ze^%*I-Qzzon zJ5kFV^+wyQ#_tD-yhm8Z{|{psRV|db3rYU}benGFg4)-X021^FZ?)AEILKi-Hbl51F?EnTUvR!J`8M58hVBFqTa&u1NtE1|TETQmbr>M#83SToJ}f1zj}bQ%jw1nZ c=@N!w*QoXvOp)e|`Ailu+44%{|NGGY0d#JL4*&oF literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f7c40ad152662b504ab9ffaf5cf4027652f9e8eb GIT binary patch literal 14980 zcmYkjbzGF+^FAyfARQ6{OGpST9n!K$El5e1w4`(_Akr)#v4n^qB`jUiol8i=BGTR6 zoxkP%`981L!};Ufd+wPz*UVfemnC}g!- zW1%Q`Zex!m6P#>B7h;akV~cZrSok?5V7=#iF)-ye)NF0V{b~PTc1pnKe86C#c6{35 zogwN^DMv#;cS5PWv}z=sMWNc9mMij%(^xy^WI7+!tbK!HV4TTwb)Z>nz3Y0!{)G!oQmlR1X|l+|GP4>d2zuSKc`hKa7T<(VIM3Fy`KR^kdp0#vxeAShU)HVP1SV%Jp(xfA4i8_Tk zg{1q5XNXN6%QKSy)x;)?C)ALcxRLS;#o5?8B#FyPSW@hEyVdXUPDR_&61acSO4G*` z+M|wwu~(PEbkgRQoXt@s?<@f|f2cgUeE*3B3Hj*S{EmArHXAXi@;C0bhSeS`0GU8f zOJ1@iV)KGi0dh^+TSZ+e>pCKI7n&O4|C&OQK+&=mzM?plHeRfip7fVax6jaf?w#dQ zPC>&J26kN2D-fnt%XCH3tueQFNFE_^Y#qGKs z8Y<@5rX>kiW<0XyZb(2h06poby$_ z5<4+^+`i*n=G~l6bj0qf@&Fn!F_?pMu7a%mS4GbTcG%PWg9!=}2 znI6*mI#x@$mnI3ElKWPJ_e;a1N2nt>hnal$PJNAbZ}=;VIy+-qJw@WQ$I}Ygri4R= z&<(*lo4gn4FN`PkL*<^9U>~vU(YOS&9e+3Ybj0#lyn02hsnSG`a?WLZMoFE%2^lwv z$z9mxOidF~wa6426xihy=GZ0rY5Y5$45$jh#v!uu`sMx1XDg(z<_Shmc1{(YeQC4< z4iPEcz#&7h@$}nlIClxQGUbfs-%)j8Ao28O$awIWlDU@#PkU`QQtflKR3OhFK9R~c z&)0VZauQ~WH{i^a5=49%*`FgTd5NumiS36WEM|%bnH#<^8+_?*I`f@yQvP$|mvJ?T zg*BN&&@rXyI^4N>;>3^~k!SBZzlF16^f-sPoPI-F72yr}%I)R(j#5i8sP3r?&N5ls z7VBGysJSEmM~KW4?`?i%goL5QaQ^?Fd4Zh|ED{P{v4>KbfqrW^M6N_}gl5UC79T_M zGs7pCJ9dINQD~`*zr7NIvY4^|z=}f!6&2P$Rh?ZwI{z!~^hjexlm&*Rw}@a`%2Nm> zJ`t;Qp5yl%m7C5EK0LVYxGoS(PrDqUCb9TNwrZrtj#k&(%XUvqLJJf}oSst&IwJN} z3oaG9fWMzVJi_Jam3bNba6b0VT4@M$Qby%_{!HmoKiX0ROF{D~y8%H zCuwsa&+Jr_c5;-c9wW%;ot~uIZCP_NDfe@MbvbE;X|_GST_$B7t9UqNb82PC{*-4eY8WAO)JpR|`F(~? z9U-)K1Nt_ivd8aOK6_fe`BWk417mYZSKuWg-n1to7k9ePmz!w2y)R>wzWKmvw?L5N z`%`Do(dFppL4QNJg>t0HBpoD*!5WM_kk4+Q$4G*>)jCO-=@4<9opY-tJUSs6} zUoh8C+Tr?D@jbF_(|7xyxV+!dneoJXIxb`wMw-$wj!dbSe=@z_!2WPFD_pZWMRfPi zyEK23X80uGwq~Atz?WsXlF;|Ovf|x5L`T2Ga#i8SY75;@YeT*S@oQ#&eZsw??;obQ zTHe?gKQ|K3DW(%Mmt}%*k88wKhlhne6Ra$`T&LkC;*!w<@XJah5ZmNdD@Pqv|Kb39 z$J1{#3H+ImIoZ`OmaHo-Ut2%vZP{_)SpRwzV{G&EM1m#5$DS!^gUV1R zWAfSh;QsTY^Q%F_*FoOt;~Mjj{sJ#N0IBLY z4KJ^oW#7Y}2;i;KJGr;q9HiAS_{8pH zPi0~t?qvT;co?FjWV_<+y>|<*w{+mRx06nuj<_vt)l_BYSYNAfgL!+ifOeGg(rRHH z{S=WG+$6C28zt}Wqze1@8pi4@!u$MDt(|Wv=$ol3$l}FYi?wijF;5tpAm_gIMjb;w zALs*ez#Ha74pbW+)~b~=8xrZdQ9MIrMy`%r2R5$ zy4k~+7yirUL1vw+Og$Lw6O{FQi}-pZw>kgiD^ZSf>LK3{kg5x0d769>Zj=qdi4D%(UCt;2!6rVb*I&APpF7T4WZaLMVD~0^|b`@WN&QqM^ zNz0-3_Moo=LeU*UzXrCYP4LGJ3ma-Ryg8<6_CI1Qb(`UNC9g*Eo8>>55gh71w-$-g zRI6)lcC|@-qe4E}yHjs7(H*@AOH}Q0z^|;`%Fx}Abs8+Y|KO;{-vsO5c+E3Z46X_ivO8?KusUnC#*5J$EgZf;i?~)RFT(x(_j(&Aq zB|$88BovV@H@V%+gY!DYFk=*ui9El$5@4O}&S(+Qt@93RU2@&vVTS`#`(WoD3uv~6 zbXIvb{k+2=e9>z8To*J8p+GgUM^p*>p;jJl6Hnc__-$nsj={100kaMW}?7qZ%Mo9eX*eke*@OC`0ZQ zr-u$_B`W_L=%Us149}gjxDv`fO^0)bj6ZJuY2{4w^QXenISqh6&Pqpr7oIt453qT> z=x|VUZFWYfEJKJpxVq*Y9|7J6VyB!08B}Hf|keSi(k`jzjS9olH8E3*5 z_FYp{4)qS%hr1OYyC2Z#D;|s6QnU&tVzh57NNP=IRaF6(iYIew`cbs_4-Obe! zBoc*FXqKq9@zL_!owJX^qk;cOiBd8J&F12vBn>Z@&LbWr+lXrQAFa_-IsRt8plo^M zf{JBb&VV&71M0D)$9aa^Tk_LmC~L^R4SrUe_R(#S6Ta*Dq7y#8;a7Kt!s&BUOYivU zG3u4K&NPo|&C6jk6pv=zL9O$oi@FrK58uh-nL5!J>#x{!_< znvbIl=vP;*1&S)U#7UglNTcLFZ}@Fx*&dyul*5lo`s+ts&pYRxM=zT9!)9_H(YyK8 z`RYF=W_bJWPW+#R?swvyoeuaNT$Z;EK`1vNP4te>A3I^Xbxz?#X-1!R>=`_|2?EVJ zlAwAGy;*|%c+5&8y(7_M>}627)_63D8sn$vE09ivvqE0?7mE3907(6Hj*lFHA>a#F zagh0!sltZL!rph5W?CY%z3-^P90vQfE_`F&2v8F4LlCZbqxNFhTxiEH^jY=j$cNQoyNZ2T@4}D(bDy#;@PO|R|axH2zTEG8Dt~w+G zZA`}PLJDsq;m1I{8oGErbPXnV!cp37F? zTAaLJl7B^0UY2GE{V`?sprB*+g$}4}RzZSyHwSF$BlHo|hq|GJ-aQII4WScLX2Y+F zcwZ8c`}LzNwXEtasX!(pdx0Nf!*CxSn}pnpc!O!r?J967*D?`u%0lLuKMbMzyJf=P zw3Cv;a9hnEjn4v`ItWv~7bCJIv_!&sVqQU+6Ik{!j1(3_IvpI=*FtUK5dj-ip*%xH?UYFd$Zy(kb2tJ=fOm62zji z@f7or{Y)2&|W6IL1zx4E^Gxjs7tGo?$|9qvtc)-eI`>&gR zkfQ+Cx8F$toM-}#zm_Jl`)ih&xNW>nk|;#6>IhzSVL?S$LxjEs8Uhr|fKuTOZ3Rv@TPlO#zYI=Pxc8@X@6O*GfxO z>A+$+pIKJ2&I*X3OS)I9$Uik!(c%~oL&w||`Yc;$8*c58soK3avE zb5}h0^DY4w%(b|}%P6qK83wC9QyxD#uc=)$fVnYD(PejERQ99S)d3LpB@ zoCaht=?)d4!}lwxRcq%pwiUR-8<~iI1JVjm)bRSXL)|z~+6$ch8HU?Lt^&O6DnYza zhGN8Px24)v<+Hurxeh9{mTd^>l?Q$}&{>j%Onya4>;K;{{he%|jlgsySIx)$*ke`i z#$A1Kr3^JWEzakdy@O_}y5toudT-qFB;$hKdUC#n+VG-%B!fW(xX2-HmCmYx1Y2ae z2*Bl5QDo{F;tEp-ZNci};x|e~FT0@}3nqJI5!4qF{VFDm2F%mnQ}^KmK=V4Tx2B|oenLvc@~v$?`qsHPcLE`q|wbO2HtcaL)KnxDKhWFp2@m{*d$ z43|TIyi`%g%q&oQvs-HuTSjbr4^IiN7{M=80wUQ@{e{5&$52!_O~%B?Wez+IemUrJ zBRz~>#2ZdE8XYb#W2XGnO?&mpsdex}J4joFA{f(H9E3rx8q60Lm55tEHk7VC^C}A3 z8W7kqH`pXxG=fbnpOQA+cBp0Mn~P|+u|HVWSxQq_M<;~drPQCiF*OtLRPrb(G$V&W ziIQ+D+ohd^@$PVo5uCY*=*{EZ6)Q8TKy9z+0$$8Js4u0+4PFYl9+XNM`db=GJI86S zWlC;r2K+)OW9L#-CWn$tE-0has+%f7B%-osn~M;6ZBqMkFQFD!O{yl^g`TULwAdxP(8f%NRvK@{$pJVGOU$i~+oT$9cHha( z1{Ob$`2DOgzVHxdz4N7SvbKna{+9M67XBuyVxOG?rG?f_lPk?AsKb*qVTuy#JBV?~ z_mgFeLgSAG%Fyo%l)NJv5Sh;vs7Vgjpup!xqp=rO=;@kkQ9vHi*r(4zHQ8MM)RUs` z3VpUyJsg7iXb7fF&2DT{910ms9zGfT*w{VB{%15Yd0#h6tM++bztuFqINCvi4@{xl zT2UCl|=dPX~#XOI{g^%JD&5XbF;B4M(^$FJ+ z{a_>Q{;gg;6X|{)t)VMQjSdY`#xQ99UF33E1jP1 z`yG@H3Gt%~@7krXm?(10ZSRcp{toLb z?W4vdw&q*iPu%4DJY~2Yw_093YM8T1!Gz$lddBt?*aJwm8RLd%N|o_ z_5L*ab4@d05PAHNP6lqD8Wen|HTh2snqB!X4)F{=hOy46xZsR4I)^AOMdfV-=C z(xX$`nr8VKxc(=aX5jx*j%eF;omqd=| zA#`8hwJO6D)L1`^X_!6JT$)_{_0zrPT5B@BpbR`IsS%Rbg>VOvC&{<#Zz@;maWd1Q zV3}9}v&V*pDfOTwC`40gP_E>c%`YTLO8}hAX(f^rHKxGbBzuM5*9B$u8MunpMQYGN zl;E5iRORk}(D6?-msCLHLPwe}x9raf%E~iv1#Yz_d;ZVSP(R?nv8GwT|0xrol>ewf z&;CzYHY>9R2SE>&GAJ(OD&RN2DHsz|#x(BN)1KMgEF71+)Ar*1?90miS=MU-)2MaM zya;!AUG{dFvz85@AS z5y2sXvP+mddicfe>ton5w!wWWWa#tBqVN~>FBZRR?ELX>wEge0^CUw*nI$NF!>mRh z7V6tNkuOpm_F-tysM|l?A&A7j>}WW09Xv?&cCctPtdR9(4agBO6;b9wIymXhn+x#7 z!J!Vl5}ZppRZ($XJKBZ!QWdf{wsU>L#Z5%h({98Gi~_iPR_VlM2aHke_m?Ts}bygNzyS8Qm+o`LzUu(<#5 zc80?**_dnilzRt!ki2gNh<^7iMK05Dv2WO`R13VyXR%hY6ZP}Z9NHR?bV;Cw-=)3# zlD?esCTYK4+$xWc+s>4tu^K}_wUqG|z9yI6Q7U&elYQ(+riHw+NefOp>gQ-d8@SB6 z#M)Kn#Y5wBCK)j2;B$T}5h)PMd;GNW1vLg``#`i3zzeZUh$yBmDS?#n?E+fPD;w0D?W9DrK8S1-6!@ipsy8!nPlRc_N(Zc%O^+J6a14Db~HCB{tLz09Ua< zC|;U%a~`P(bDv!xXKHOth!+yX7=#4=K8TGDWzP>7JI!i9+ge z#L(L&?(}%&E?6@=Oao`Jh(>dx1NoeLr*n-|wgZ$s+RP;;+|VVLYberp6Yg_oupAb4 zF^bnupIPLXu7^<#W)1{75h6IOp9+8m2%lPEFZv0I8StKTaMoq0Eo5*dU0IwP-W4a9 zuE$Uf68uF5CDU77Fx`WB-jWU6@`{rPoflNuR#w{vQa0Cn_}hN!ln)JArh%j+ZV&Ed zm&8pCZO(Xx6m?ckHlRynas5bZ=5mN0fV^^uwm(7p@iKjny(PJK$RL2~YVWU?&bwuO zvi9B2n&|~2J^3$QGLQbT#jM;-(q?sFJLjP7AL?oh>=d@>*L1fb) zukbW-bjQ@vLf&J2^pGoNG`9r(dj!>4n$GyaE~$9rTcs|0-BYxC;9UUqS*|{IY#PA? zpUUpQ@X#}X`X7ZlH^t3qOVx3K4-si!)6fFjC>WW=wp$pE2PMs|lXsqcwI&^AG}%>N zAbU)hFk>!>u!d7NMd30TDa8NV_7hWb08>(z0;ZR&A@@M1a3yNbzMPUgLw(&piBhz# znnrLh+`>952Oy9imsVB-grwsAQORQ|Q#&eDczv+sNqwP#(zl#Gs{&i%Im7Ujl`40q z&3O&%kA)bUEx(w2w8tIR6kKA8}1PXM>@%mj2avf=+YhrbXF=mME49y5{s|oYX2RA1f;1vA86vcA(*ae z#He(_v6@ecbGoNZ+G9wvMC@Xio=;0}iSvz+Db=PdFpJZKd&)ht0_03PM4PqQ7hk@@ zF3C-c4t8?nMoHU~@b0ib)@ZytFCS}%&Pq8ACo6?@6~ksOohK)Y=n5vT)iR zwGV2fJKA+_AD|KN`!!oh3C~0Y@%(biqO9_&tWtnE-6ctbuOrY-(%BI2Q+eeJp>|~% zluKyl)-QkQ@4q1)*#5JdLOLvch;$RL4h0IIH_z@)c z9wt;WhE^V}E`7uWrX@bbc%|6fYgP!=|5Dsp`65DVpoeuK)-(QN!yjn(unzK`1F~*y z?b*>i-{o0k-PhP;-WIEHrqY*J&H!Y9$g}-NuYYir`O3T_US~!63F3jL0s`kRO!_O9 zHSX?I$^{;a1BO>@3D-MR)T6EZe>?W_pVT*CUcMTySDNk~GRVwwexNfMBbWq>Z>AMP zQh(L(uARv>5_*4lD|Z9$;-=ioJ44hIa!zWouK1>6!%Hp=vwu`kv5?c!bM44|buOXE zimvDG8|rTO*SC^FU**E5LPtTP?`0*u16~796fAJxE>n5Y|I^W?W6P%x$`FmU#>h4T zG^=@o|61wODVK3TW13lzClC4lwBB%+BE3I=GC?dV9^BfS9*!C}P!k3XW3i{M&{aJW;B zv%CMdyUjnC$8d4nP7-u~b6;6N9KBJ-A{e`4-NQKSlEg)@g2B&SEl^~d9SZ-GvJ1YU z?1{PmzyO2fx076v*!f!f$}aF^9`t7pZ4rYQVMD-h`ZpR3FswZ1Q}=IoHW}zw$mf{L zHYwC7!@krtzoQ}i)jK*}PV(3_&0pav&O?k{*4A>VTCv@e)A56-(c2zyQ1c zw-|nFgI?wqEfDpVMtQ^I1vrl~+vq|kP{e=<3MWGOFhkxGV-uCQ{FKYPmg;)!QC=#D zelTe8L2zK_(F;FaVh}$lP6=Cido`)p2K%eLlc*kd4 z@Buv7;b#!cbPUI^JCS0Pd%R&+{o9@%W<)b_<%3=-sYGGg2pq~CMGM|R_^L0s zt%tuq2ft|pby511HZ`8Zofw7_b6C&giYd`FS6Q~Lf57>X=5Axp0VQD>;9{jFV**&6 z2rxc)JaQ)9qbCJU)M7((^YY7@cw6_nP1$<5`(mG&CYKb|v|3~uM zUiY=fT$-=0ErQEA31RpW2cf@rV-|jfhe=?tKHIlW!UD~V#(83OjB#J^pMaLwauH=X zV_+9~E*KqF`lm%&p&b#gUtU5qfHTz|A3ARu(PLG!TO2SSxrbX7|91Y}AzWbUUTU}o zAD!q#J!8rn^icx!9bae6vmuBVmiSpKr{C$zvVGVMJx{k+aP;ddPz;{{?+rjD3voRRdcO!I6=e(Mh@6ECm0`C?T!|~dW5<0(z`4Ju+ikL4h zhBTo7jKz6YpYtrSt%s3DI9Y!6&}{3F+w7D|8#i+`s`aC^Q$_XmH zws|Jvyrw>LW9huw2fudUFj5s^3<;9k@+j*=kPJSzk6eJE3?A(|9fmnPKfVuXnj<~F z4{tK-c@8tT+Fswp@655#b-(GWIs9%EC}7le&Ualpf=@fVE3r&*gPt71B+a9jV2oYF z=_g2xax){HV#UY(PokBKRbB!sxcA?VHobrA^jDxT1}~d+#40 zK%xfy-20N4>B+NTH@TTZrj{4p{JGT9&%08evH2*UVO@4ixPPb+&8nHn)P{*nV~s=V zHw~&NVCI5asnU#*^kVCrdA+eYdS~iq&7gqZSb+nd+6Jaq z1kxsB9>cv~8|Ei03T<1=51l2=nNN!cAYL0J$FkL>Io7rvf+|e3TcPh>d6b7G0?e;H*4cXej90<+8 zuBYka?Oh~uObQj*Zk;Se`AQ>n5$B8P-vsC29X2JG^58iP@0VrD%bUuBNT48H0yk@( zbTkI|chC*Ln_#^ZAngYN={1P_Lv^?5?p*b26<}n<0PD_kc28fov66>v-s#W1quVLv zAO>6KI=g4C+t~isSgEuR7A6meYif%8%oqI$gQzE7htFqJznWIJERAtQiL;`_3)VN0 zQ2<&rm=L=t*b}vcSZIn|r>$?sMFEhF$ykZ0a38!*WE?xd2rk+nzyxM$L!K{&U*p>H zk=`-E2ZwGb^l!?>{Bw>=?@72xS1q^b-{>*Gr5W4SQ6JgsOqjijFe_s!k;jB_&(fAOf;`C9WkdewZ?LtK6 zA;Bdyoy{g#}>xfBb zCkFfEIG@I@Z|40coXoPZ*@f}1`wK9N=Nm_g3-^NhKhQVpFu*qN3$yES8iXUa znWzm1N3A9)0nwbIU;*4t$SR~qjmSlYdf8%mn zYVfDZmGwJsL!3;@rF< zgKZkUK-Oy5i{c2xf8V8Sm7SwmWIIZIEwY@2#UGzq#i{C@0VWa2@~o~G`OE@kx?X=z z4HmqY9u~v{XA&&7qQ3BRwkSVw_BTq64q*ft(0rlOPB@ zJP0Ozg8<=vx zU1Dx!2X^%+zh`=20FM5$9nTQFB${j04rYP_7T8>UPer?T;;+G->h4ZIqhB{iijVR+ z!Thistv-oAhcW5^abcP-V1$1GNS`+iK=5x{nyMLmTfZ@tTB`8ZGk*xXu52DaWvVCT zSms9N_9g8kl-hAoNR3myTB_aQ*TQk#B)&9^qdd{rgt)Mn{`XT@B3aAGEW#kJrXUmD zbNJ_NxFm|e;6?B@{M_N-HHzUEPCUarN<912Xyc0*5dm$#2!8Bi7l>aq$ z$*C;ahe5Mwst^Aov#4$P!Q8TkU7YA=t{kEp%|WCjA zw8&=b7$lMT<&9n#UBe%z|apJBxK|f59f6ke-`tJ${;AtZ0QNN zwUac9UpowRoD*%>cw=T>o{fGiXeH|B3A+gIuyTYF>RZqbT5`#VQVX!V7Q?UL`484H zm`Jy^i=ePOTDP^aJo=}1=^lrkFOS3XHp*&5KWl9ep#M4hBleA{!TMY-m8Yq7sLq@L zyH`5Wh@J$DytB4k3vW3QB5ydD4fy>c+}2OJlbQ;TUo=Zd#`CaB!WFfRmJs=gjc;Gv z8>6Gt(@5q$j8?fsNDsf77>)&R>?(k!>(D@qDeMjO>JP)(^k-vd$mpJ0QR*1qY#Luy zZ135!;JXBHq!ITl&HX|C^`>-BnGY=>t-!;ktDy%#o2Eg3_rdM-YcO2Y0OULZYvtLJ zD0H)j*$jlf$Z9Br-)@>+uHA~6)4FJdUb98RAF#ko0b4bgzhi7tmtxlvn5gllX|5Y@ zH=pcrkg*=aJQhNtgqMC)4~~%EZj#aN?BjYbYJbgY$bi(D&pGxe*{RJEal&0`$#*tI zQ`-B{z#%DfATdL?5Ko5MBDaWDaAQD5>(T^~Yfbz=ElO>bngjYcdgSdZTFo*c8BU=q zsiwfEBFngiCeruwB34GXURZ?MtrnJhO*YD@Lk=6go{2c$&+9^bIv!r&MFk;hS67g94+5F1p1HGKDo$R$bLH%??O_t zz+lpEMmWCwc>hI}c}(GUQh;2Z?tA1HMUjY1br;;PsBNp^qN1h$_F>rkV)Svi^^9)! zrfX@_9}l|k4p`g2U)Y%6 zsE4Lps@vlLRbR$+#pDLS6f;G+Q9S=P*4O?!w;Al5li(ZGMl}~P_D#PK`j4>@|b~k-4Y!{?o zqL`)VVopPw6@14;z!z=v3r{KigZ5@5-Y7dc8LKCh>iw!B_{WA7LiFj4K&lDViOVwVb+2|P1ivGYVkJqyrrgjJhUlhV`MvEsCcGndOlKxBxyE>}K(Q45{uxEiL^}}g zaVKQjzhi0e9`q&Zzu{oC&uf_q@W=29a((aE{wH zxEOkClhhF-Ip!zWy95@j6%=casj+g9dvTEn%NjJD zygE3z^(MCVnRh34pW*{sHsX++W;lt9xuUZnd6Q-;&e9N*n7jh2h zJz?{r1TixIe76E7lk$G#5fUABQF9qOi$Rq|GW9tmdM+&I zNbIK??Kk3`bToe%+VcI+&OXoQEx%|_hOH^Tkaz|rInFEr8|vR~nwt8uY~L#Z9Bhu^ z@b)Edn1r1`YM@AcwZ)g9zUJVj`JQijl;s*AFkTxHug#4Edt5O08V9vm)15ks+Ga{* z^&`{BG?$Mp<6H68zkRkwR=rcxJuS(4B{QmrKBs%k>DTI-z(g;O>n(1^9hQC=sg*zaKY$| zGc3o`d~$*blokA`nTgb|6E%;2bYzqb{t~c)ZZA5!4Q_jotoofNYEFCRgv~TQx8B19 zJC~bJ;EfS5`+%BIkiyQrq&xXT^;CK$DQz8|ah}0UG25vfaTfthF_$-}IZ8Ht3^i$= zzF8D~>Q$N%a38yoso}cnP}#E!$A{Ij{q|p1xGCr6(EZDAiEkLEV8Avj&F+ z10>$p)3kML;jE?0&#xoY!<qM9h9< zd{*P4wsjwECy;me2bn|leeFoELt$KRCWOQhJQkN+AV^l=cGJHNiGNs@8_cxqM0lM3 z<2wBBs5@-amqnnx2+J{eEHct$tDa%L2hZ72^9 zDa^^RsYS2>4$tnbLcN#4nMdr7!Gnb{Juxi_i(vY|R=QZMeWl z>0fW7p_o3>9X~YGk>NATCGyLqdQRlEy8bl&-?rg}MKGM!&mH^Hho+SPakJA9Kwo_b zoCw#4^T7wuf5!d6TYACto9YZH*_Ls;*)Tjk9QGW{sUkv?~A3;z>%-Uj4q=G>JkFWlTrM4&kDZf zl8v0R>>bln3+snXxl81@k$Y+;C+ebC-S_nECV2KuklqB5dI+NXQ|)6+#695`ul}tPN}>icB&W5{z}^t8hj)yXxjaz=?McWryQNb$NIG z5;pC$qmls?E(eyDhvz1D)92#5yk38PBNZP&k3U*`@IoWCuy}&*$UuQ@ZcUlzWlc03 z;Ki`FO-V{db+ARV=$5(C%0iF9^xh9GJOJH~fk1;udwYvI^?AvMC+feRXm>o#He3Jf z)qo|6CF&!KaF-qWr^EXZt7uh9gXif-i#iMNuqIEe89qLzX?!4BXD~Yf#1r2o`ofLi z=m?*%;0t^nfvYnXoUKLIgO!Y{PEAL(OGURuN54f!M!&d%ah~%xh}^8n)a0`i0CSw+ zgk=oZpK=1Hh?3{YSAJfv07b0OPf}`lPo|!o+{t_h{vy1E`hPmBq^_YhQ*`YYU0+K_ zo@b9(?J!z!hbl?QkpIHHlB0Uj`Rf&8b{nsXW_Kh5np-b{Unr#{_p9$M85?fe9PP?o zTGNL#nQ<0hAxu%6*G*FGj5wXo!Vvh_m?3$f2k3CC2-UklpwwGze%ee@I$yPo{kZ{j zLRQ*e=6&>XJDHyE=buZ`Y-;m$sphyhe1tDu-TjUV%Xu{>dGglt^b-Y2x%tY OqDfIb{zmlS;r{_?aBAiN literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..b610390bfa13741df81b1d841aec974bbfee0dff GIT binary patch literal 45146 zcmb@tWmsLymIaCvEVyfs0Kwhe-95Ow6Wm>cySqCCcXu}K?(UwqbI!SaUw7Yrzuw0; zN=A*EbJnc2>)UJX1tJItNF^L7h#v5d0oYbGc5pPewISl5r6&?}Hn%b&Vqjt zrAj}nt*y^(UOc+>=-C_Txp+Gp?&M#djA2h+#AcXS1cB_1?IpZ3DZFH3c0(OH-0?i zXu8@MU(db4?oNb0fQo@l`;$=rUcseGM^eaYJV}2%J=l|LH(IKn5kC^+Z z6h%E{UYAgiu;S)eFOx|H1>aqtMtWdg;8E!3{rV(G>aB|>8#X^{CH$5p5%?z?Q;=L8 zC?q3Okj+>IQuvt{|MAdp@3xP8>PS5wqYLhrHk(knkO!UmADj0cpzc?CX&)>Pzwy4v z4K=S|=6qBJ{TP&w?426+IO&6=&c|^`Qe@54gvM zePw&;TmSva93=6f$lmYhu6T`eTDiNTQ zb+Hc#oy)bgmk<4jsqIM~W@B*2@mM$6Q^-Y;^*rrjnfUV7&vO%1KhDWB z_4Vb))y}FOiatZ8*RNw!LFXN-S~=>=;jZ6or4KjKGrP%`oiFPTpsP^cPaV(r<|MY> z1Ww-XS01+>6xE#LbOE26Z#{GoPOSkC*YyLK25a}*MT7|R*BiVYUJbh4nC?EmOy2}n zzi)D^T4H;}*ca^HYZD)iNxyL2#LZ&I!2}iFNptEPg1S5Yo=j*F&5jwVY|b2O8o$4- zVxOaVMRa{_(|&xjd)F>5?RtIuDXUc!W4qek98)WCNqFA5`he(pVQus_&^*y%KnOE* zSSB5+g#VSYJ_%vn}b-1B^JJ3W=V1n)q+DR21@uur z0bPTiqYHiX{$O>x%G=d3_3-Z*e@%UiL@Zc{aVNOICb%%?QoHT{$aY)+tJd~7U)ch~ zo96qmw;Vwpy}|5rd-goB3iex=3sm9mV`DY*qtBMV`eD#5G_lvhVL6H^4d|-Qn&qj%cQ}a+>*V{WicJgCRRV#&m!0_dp`%6dYUo zEu+GX&0qNP%X*t;!oHDOtDpRK$NjHFW!MmYauRt|pN>(?R&(}f(7!;PyS(@5K=>#8 z|60d?Yq0b1+QrB`pu)VLKl?~Y?cNJM-<(a{o zIY;6Go>fi$Q@HS=NF2sNUa7*@Or4|_`Gnu|WBxjU7AT4mLy@EJ{dQUs2b6B9aD+KU zx5uaR20CJ~zganZWz32VdgtnhyAfhTBUcyNt*MpIC-&&^bWS&xe^%Q}aPGQBwQLqT z<13*EEa%Nv=j*be7kqjzxvJsd-Tlxxy)8ePLSxD6dVrL_5Aop@wXj#SQW;G5-ZyAi-F1ur)Ade zFT4BmFHQmA^PP~!cWjEWD*6;mDjeEs<&YWava%c`%00-I$Y`*botpExlO2W2Jh4|q&Z;dyiF#-*L+ zA;OqqZc|&Xb6tE^`EWScXPfd)`|-TqJO-}Py|r`l(ajV+#NFmpc{u3Z=~Z?S1g7HE zs%P+U4Vd|GD_S5Q2&h$By!3XPsoZvVy}u0jYO?4tsN4Foc$~D@p`DV=wXm>J^=3i; ztDx?2?$tJs}HOL-<|L1J*OJli)*?W{I9~)l%G9~{vf|>hyz6*v4e*0Umk^$|lCjF<^6TTHvNu#f zghgMeGsOFZOt#gV=aqO>W6?qgdU;ZiB@K?LWFGO$6~GYMdJ*8rcZqLlnV+_7@4UF_ z?rmAG&Vwn)jQCItz^zi8JAU{vFt)58($?id&tAaD{j0Y1)HeQx&>EUsLorzgv${)@ zAOeZRmRF)T$|*a#=`7WZtuOwfXFkCFec}N`;=}OfYv#z4kXE+l=E92Mb7;`xi~DQh zJYd7S<9!Wd_QR#9neN7=mXL0#J&V$X>7&rYW+46pW6X5XE;G8b9Kow*_!ytb9+N5|_|^kN1X+XEyeJt>aAd z>ZtB~SFp_Oh|OmYIqLRiEnBuWg8N-$C6e!LfqgP&o1MpL zbE^m#>}&^GgI)K(#1f@^BJQcQ`q=v4!_`V#-GoecpJSlVS6j~MeC822+Y)nqv)414i zUU&SKppmvD+o0d|5~r#|ax)5F?vnF{M};$Rn0Rd#my+XkEKTtznS4^Gql=q|-*o~N#PZJ%`IuBvk< zIUY9pkPZR$oViU{cz=Qs}p7udYNRzSTTLED; zE^rYHgCR5Cv@g}#7D}@KeK9UFtuBei=4$KYl#bS5%h!`O4Ig)+INY_>Q@Prkl(z?O zd(QXXio4Q#aqDv|x<^&lOT_;uO-wd-IUQ%aRyZO!4wfwfa zt5Pp7E}ZW@u9*bSS@^qKyqj0B-v?1HnnwaHXGk`osS-6x?}E*&kT6K zOnNe9lWvQ?oLSIGcTUm%KFSWDl4E^Kl|%7qZ3@kMN=0XK-r?8<>W775n&bYtohK_z z#cL(vBTeC1z{{uAr-$p)((ubw25XT`-bd9Zuc%~zq3QXe=_S64+rVT`W-|ca@DcIj zb!A1**EYcKMJDIO@E&nR&U4!NW8~|o=d2(SAl~m#@ecgxa`^7)X{Ro>Q10a!rXQgJ zgRpL=DGOTQwRSnN<$9(tc^O$2%mo6Ljg8j;TEv*H$_V(KhSTl#=IN+5eyseKiq#H3 zPR%XY`iZZtAHCw^FxIK~j4KQ;%R3CCG_s1umR){E;H(COOUYMT*LPjscU|3gUDWubZCq!P~KVv7`f*g4Urm4CtBhC|geZ(;J1@x4tOP zns23|hYF;|WeU{iVhzp`meQXrLWK=g3S-*dVKxQjzr=hg`8gP(Fxr?oM6PP8hU>zA z)cUP2U})ZrB?+J!sUhEJh3+9)KId(nQ`2G&9%L{F?cY7lux1g5gX9Nl9X%3JD$VNP`J!Jz%0<7@yN5rBmNi1KDwC8s z0Y9s(Hg0mFGqCP7K*uvf>;)Taxtp^SjA_N3QSz}RK z+0OgkCa`V2VJ+g7f|{ySZhKFlmxEYzV_QQll!6{&%o={vluovY#nYZ`Mf1n;!GLF9 zR}R|1lTpq+0q8%-4h&cu->=0@^|-tGqhy3O`_>4%`oz zzRBK8j0_cQc;n6g2PY$DRCy0EH@2 zx`~c)38M!0xi93tXbUSKit5(j!=LXLs7&koZ#a}A)EQQj&<`3B#wRU8z7EnD0t-ms z{<>hxG$&ilt)T^F>TrqZCqwJ1YRAumh+vNMLL{4MPqdEfh41`C_fc5%OBpT098+$8GfyH8tM+%EN+Xcc334<6JSz267AYgrt15`=w-hOh`UAJ0wR?4pAVe zlR&$`&}06oOin~Qa&oR)wPkorBIK0di2zVKdhwZst0fffe2 zsH%PHPMMO$>PzO1+>XK{PXipAi@C=g2Iu)p1THqBmup}g@ZH$RsS{V|z zIyWS3dSGa;oQJ)SO?aiMg-l(Nl$4eszLRW~*0jA7mnbg+N8HKyQjJ%1rpEUw)zj&p zmfYeZY1pY1p8KRj8N9<)h!y@L0*G!P?y%hFGz2qSS5FG ztrPm$Rw%-38x&AB&GJb*XGA4D^P}RPL~+Tk{}Oz-_$gcFo5=9DXwfPC5>u;8=wJX1 zWw=yCM!Hl0LLLY?AY_4%0YVxGDIg@v`YgGG^D@JFU8_TTUAuso0%8-0OCWv&fe0KU z1cFWhH)!rB1;e~TUYUqgXs>?e5W((z;()!uL@t7$VS>6{sIRM*2!DGa{j1$r;Mp~J zD}8Xagg(4()C$&8dbJR+<=2bV`4Gt9Id5*LXW6*{%r8j;Lk;dFwECdxJtSOjSU8Bj ziXlX=Ixnvx&x%}WC22ViILb)S$#a*uVn*wV-ItkC7s61|fztAK6oyQr!INu{BnMfV z@#sTU47rKwNI6=%D(@w?@SKf{89C&u3;=$0BR*)IdtnL96qlA(){mnmZe8=08_xl& zvD?HhnkhDVYqw5h%duMoW34RP$S;?Z@2hWd;^FSoZ-JXsXKRfRO-db)_7`v{XEJgA>uVfM$&!z6xwVL(T|D^dcA=HdQA(gy$M0D z6RE&C z?5YMY=qd&T8xR-)NPg*aT75$~*8}~P&Mk=9-$Kx(c7vkQ2j|0`f0((j9HkQ9y+Gh-)w@K8q$?KIdyUX!lKR*?jk2oA4>nz_dYMgaV8oo;6EnD>t0A@5 zy5IZZKG@)2vey(}hhiTj^2Z$3_}@D~%2_*Xx$dh}1sAF`VaYpatH8^JIrO|-n+QrI zpVY=Ooy4cFEs1Jh^02Q@YYz<4e#;Vh;R2rOoA>bsi@VP*&<|JN>;2_U2OY&daNW?= zXUm`=`^sk03Fr#w?PN^bQ{&BedXdOERg9H0XXT?JarW+9?LDo8@-m9H$5TYiRY){5s5nisQt(+6bkOrpu`LUO#Dw>?bt!ra6$@L zUF>MUhOhz_A9+mg(})||;M8nBy?1MLe3*ax1e6Z@m*oQiL1rHBULW&B0-4y1-MP8B zfFJ0eM?By{_V9y+Zg~5?xHWJ8Y(KOU-*96mz2w7V+(hji%Z*0(V>lypA>bK_^xor!$05KGuidNKZXQ(^vgLm+g4&;mjY z2qj^DPY-0^rxJ)t$PLD_)ycg8f`$pPCsP3=4T%WSED8-3uvA63=Ml(UQ~4FToaY&q z)kij|%=;0&iPf@#=mqJJGYdm#9za5Ya|;01$xuvL){Mi_y`6!fe^4pZ`nCBxAMaJK zkk*5(?P!f#g_;$`X?o?}QGPmcQa>1u*{CL8mJ}tDv0vu<5cBj+$w*(~_b*u}v=HZg z4J?tS9QepW-;K-lyg-z!OX;FC0`ycwiYP-gi-pq}rFWj7+LEr-XKfnMWD2EuJ|7!N zy39;g%a@Ars9$5IW$8+X{{l=`rH-JFDG#i%n`oi(AU#60-sk-Ybqnl>hiYe!1`9!k zUk7|zK)9lS$CQPs-eBc%yPar`j+v?&r>j;)p5O!Cf0l_&%6}sG`$3{YoBQpx;TY-V zrGm1l9>sc8+Cg7y52gfSr|b+NCjzHmw`#5Iwomp78?*fdVP}Vr_4z}O9yl*6rfe!P zkC@3Jp30#L*d^JFv*wI=a|VusiQ-ZLIe^mMUdI?c@+$~P zV$CUJOWR5o6+~~cCyS#YT!iJ3-1xDNoCdA3BWg$lHz*Ja#~cYM`1yEx#RVX_$eZ-PwzZfGbFl zEtehHaNRo?NXLvJ(8$m-iV9qJXsWOm3C|gup#4Saa`Z?X{2eNCiJ2UVYl1+#Fj+R5 z7N2X>9hx}~oCcrE-XHL(E2zxPl>HzX?AYJ#O8k<{9<~v2VkVS{rumLhaR@`>xO`6? zj@3I>63g5E;^1-U_Kok`Q(A%hTAk3V}6DO$_9Q z=^`UbA}x$z-Ds#@GAsj5l-N00DR77gG43cul5z^vS?(TG)argkE-S~W3)<2S0X=;s zBb6NE?cnd%7L?#_Oqee9+26CSldH?;vs6u#k!iWACr5`}$gvKu3#b)K`h*<6UXiKX z*zl^CaJVut!O?~u!t%x|5L$Q2=w$kZVM5MxB#eA8q#c%t;w5yC_ObU z(R%8vBq?}W^^u~p`W+np`dZi(HqdW+!<>Tf{q8`t0`UR_rx36YL@N+4KyV7fC+s3K zaS$-X|GTOXQ;u+2I6e6uQc|SfP+G;qsj0cnY%<~Nl;)^o&OT5WP}o+`Eh*WDEDHi> z;vDgFc$`GyX78rxI^%v90zFi?h)LPBn@L%&hDlkih6xUkRW~Yv%``2-Ni#0ONjEM!re>1CO#Sz~gOsoh zx?!_k7?o*ON~fe$X67MjoUybKoC1BC!e!c)VGYXJ3&3TMU5R*`TnWmm>Jj(q!Zh{j zg8D)e1C#Y6Bfum!m3W=w9JEptS;M%pR>OEbL1jTk#JF-gEF_PCYksMs&Sw1E>0n-+ z>d|=J!k_N&H%pczna|e-YjY&q)Pz;QNfS7evk@S1CN!!G{tTs(&(l2>btX{~$Mdyu zbr|r}Dx;}DiTZ4ebz(xJo>Ph9B(>$j(N9%0$x%)EekDrsQHv<;vu9Sm-q+Eq?=5D& zYJR9uc1G^HLd&f!%kiwQP>@llpg>w5CxDDN0gQq)JVA+-INU0>6k1rF zlP!4#qqs{foDy5|T7E&$^^;LnYozWt&93^CTdl#J!N@0Mj|Z#w!0lwKn!+4NJ#udW z2raWSf?`LoUv&nyv|-}mp)?ti33S+50_Ge|{7rgwcupw-Wq72-G$AN5$wFgfW4Vy= z`$RsHi9$yXTS8$)W4Zfr`_ukb92LfVrV{rQTFl&&4ct0({SFH?X%uw1s}HuDsU`ZV zmfHbJi2%*|PXZcLUu!D#vjx7!bx`mbJ!0h?v0GKJHnaSw_`JR)9bG3;PecS-Oc60( zXx5|QlbKK}?7YBAd9svA!0k-k0PYNkFSOxYUU#N%QUuZotVyjABb9Hr`oF&Uvkl_8 z$zstlviCYYMRq@_Q=0WC-h-bzAob%gg&Ra+aOn>6hVNpv?ZXOvwJ6y0ttRH*y80{^ z(EUp;nNl(px3ZClPreUjS^!ZBrsEcEG{&(Ge(ck?-Vx&#Ptx5gFi=9dcq8uReqr@{ zhj=5Qs$eo9Wxl0}nB12hFyVW{eBYQ*n6>aG1_|+g9zl!I(~wbF46+M9)$fKnO2p9p z6!t7=@;j8H)^%VObrdj1`joL*41=+GO%hGfWV=MV_%?Q$oDluPSOimFEytJ&-6Wgz zGMQ`dgx%2&YnWV!9qi}xhxbWjg3I~4B@4u^?+PrW3h?30^r<4gBS=A+B~E&eQyD_! zjcNP$HKe5y+-zZkjn#kIf^9m@p%=liT2jCt(+TEH5_Jur_Bm5WfU8mXtWn_ktx2PM zqkeBdg4OS4z<(l{!pJ6i%-Um^&%Lb`dE<9EP+xF!b_~ zFMZfY_0{DRjC(i8jNc)jja=Oo5x)0_gVJyqLCn<{*%ik+2+>43ReCDD*)zAMuGEF=t{ z0w@`>g;ttkfv~Ezzq`6-D}_Or!fnfyfYKW;K?U>BWJav@fdGOra{*za?j)6X6FZpa zoU2j->-X8$W0v1JHPCUxdRGRVDsYxxuDdu*n6b{fhYn_^jJ1a9whm+4g+|b>(kIzk z@l?RqQ5&6RC@(&?<4%0m!FP5K zil4hhsUNinwN~`4hU1xT4_D%s1dr2+7K)7|o<9@M^vHZ4l$3FS)V{740esOBxRza? z{9^%vD%m1~`L4oxJnK?LfegY?Rzr^onYD!#aEO)49MJ0|x1uK`VK_j2WMa|nyu=)u zn^0_UI>uuz;8B@RBN zH7gMme9E|I?x_ME%hd)-x?^h8*YD7zd+y^O0{7=1#e{F^mo?PoK&ePQz4Q_Tw3(Qt8GuXE9Am?}IcVUVAIxo_Zw!%M0RZvJ)*q zMfE}PZcRa4#v~Hql^o^>TI?e^G~mStt4w%{q8JHDsZB>f|wcOTA+B)Fa67 zQo0cFhL%l1;RSt73drzn)tB2cr}>*SClr$hC6$>pPFfj_mRcEwRWy_CVpamIaHZ*SirUc^K@}hR78%B4iHNp5YJ7Xi zycBG^NIHggA!M{dh;I!oZWTKf84n$aE(H8Pm$YnG^t!smb`G+54MunFBI8`F}KcB7eGT{$>~#fQ)bLA5FyH4ALTyvHSH$)B87b@rTjtddTv7(c``AK5)QHT@i`HgTN9Y zL)eCwha|z()#0pz*@7TRCkm%QrS^-B3XqPrswp-)bp0j4*kI2bv!07!ZPhYH&xO_P z?D@VVBvFLx5DPGfk@mqz+_w8(_9LlS>JZoT>l+ zCL|nI&Ic-+xOp1vZ@>O={Z?vrlopU<9$r)R;7bu|Ffy=2bu|~*47D)_>rbl9>JmTH z-L73T#Sv{3)hDC@r{5NuY|-fD>h8I-&z9Y8oFvLBe7V%v#O_s2w&V8kKBl3jAKa{=FbhTBPk0FdMXYATH7FwR2O$8{l)g8Bt|_g&&I$Mrd}eO z&^Rq3oaVk)(&Tr&-mWn>HydZqP5{|vt}L>%2DxevhSc;`gnneFyfpF~(j~S@Ye8Y* zzz+ZtIvH(YJwzH%YlEPN}%n^nbs`1jF*({65^b=#H(jVqKpXo%m&k&6}utAiWXSteZ{8E zG9nlOZCee>7J@n_P8R?cDSS{7Bf{)uusoNXf`O&e>(Ob%a~B-io30= zBAnz6Y{<8K3rZ8P|NIVGyRZK6Dpb>v9&Dw!N1l*^t=6~08eB;`pRm+p=Mhm(SxZ8L z4WIwS_Dtc87nuh^Q~@VUZ*^UJEov=!=+?Cq7mtQrU1#1QQH2h@#HZV$)qA=b-4aum$_4qR}6w*o0i&tKB(Y@l>{3MidB z1WLCe^x_7ZF=YYHn~6!xP88m718hGiBthaX+E-+xi+74oJ2R==)@^fJ(RDQ+!AG3F zdADAyQVySb!_8Md&9)MX1N{Rh%L?c`YL(awBrm-4GiHWQ$z4z1EmIDg{P14KlsR=a(6A>Yzjz0uMcz0oMxc*_M1-U`5) z(nGG}anyH(z&}gWH!5%N&241o>3ys8BLO=kEM_8NUw%Gj6rohi`l8mS2oQ(~%~7Q} zmqyAL5JUn`p2w7t7mQ6H^20$E0OOJHx57UiiYTslu*8_a-VBwA9V25d_$1lA4*`QF z@d>3&0)=$042yow#oY4Y4f*a)gWpIl16)Aq@l5tb z(bEsY?II_tu(FLasRNGUhm$0@8t6r}@YDG_qN*U+X<0M5X`H14yF-A3>PRg{E#z|D zPQT*n?s~Lqikaw@XOMs+hUYiLL>9uHYb$`%mq&^Coki|cVl(uL#vo4E&>SY2W!?4d zFg@*5axfS;ycF_0#W)L8R}$85u%Tv7Xh?p#2s>+O`1#TZK$CpjqbG9f7aNXmobg_TqlqdaJaS9)WOq#_5|d8zzg z9SQ?a8O%PzE*j?CuoC5x8Y?$|f}vNm{0ZgfUHG+P%}~L$urhvH1%lozbh@!HGrlD# za@6OfP^#fC|NPjoAm7^YoCO6q3yUrxn%8UPaxz@>{FIhZMB zshZcWZ=-S-ANqmWF(3Ppf~BglH+Hb!5E?>NG)@AR!Xr&wF8phSWPu|%4K<4c3$|0m zrL-8daLQ*n0apCZEN)IgO~#{7rTWMGh&dSnH`WWESI7|@SRfEd1&dJ~u-+(|DD*)Y zp02r5tI#-f*CkM;oO0Bcf{Te|n(M@9?0; z{tf=C40z9>KkWb$hyF82RyL1PrDbbSk%wA98_if=s7pxm{9|UwuHIB>an7kXu++SC zxTvU-GA6Ai3*MqPT-{=t&nbnj){@GVjgwQ`AQqn-A|ANZzFbUj@oQGZ-Y zVXQHr0{U2TpLR-WF~Hx<#>^n;i~n@hsel4mDXhtYgE~4jjUYZX@=;{FNI*EuVF`H_ zLVIDFVvmCV0$ot@wSTlk9;L|V7t~UMq+Hr?^|a~oxl3yJ^22SwZqS^;I@uR^G2zDX zG95@e6y!TDEb-u*WUGp4G%>#lYYkFMX=(sFox_iN*9M(-u*vZ&MFN^z7wYAL`vOmV zOBzNRYFq~vYL=_pmv@vQNDhzQDJ`te@;oD>kGHCtamJ>umT1G8Ng{QF8F|c^n2k8` zvH<Qz#j3-#G1v;p#k%V0W9-iFIrVNZ0 z3v!WZ_o@0YneDrlKJ?VoFJzD z1)RGnZI{q1!;Ajvk@|AJbk0Lz{_l%CEcaS@3FxN|J~d_}15WkotwqKsMyWxMaBSaf zwloHmdWTQvU`QVbM{bF>P!sb4dX>EbL4i*V%8h|fJo>JfmuyFuf8?J8*Q1Iq6eB=- zjs)ZOl?5YVF6^svYGfDP)xbL|BnU+DWp9l0pqG^%2f`=;6k}y%fEU^4AR3&7cmWx8 zg}5{qI<8%w0An?B3ky#D-oB_L*e@*pV4)UK%5rfyf36#nQkG6_jmXHf3DkU(+rSb9 zci>f_*sqws@Z+vt*}25#MLa`HFk z@26IrN#tW!#cCw_CZpuWtKB0E-v;@aKkFyt*o*EWfl5{M^T(?i)Cf{h;+}fZ zDN;R`$f{cS?W@8H2C2dtXi)JKT`*=$^gm%keS|dDQlaq3fPAfquVVk=G%DX36QQpm zRoLxTwBvJ2ZSn1;QBhRw4GrcERQ9+H?8`;OU?h8w?XofmOPv*nt)%?!c(E;S@H}n6| z1psxjmUO_m6^~~2mUcS!&j8?HAz&FUoQh+WM{^Bl9HW_+D`(w)D*E|$9uwXau82eW7ps!lMZ>c#HJiQcCl0~M*S4srdrX2Yz|4N z2>2}(Qlc?no-Q-b45VsKGxTv|twyAj-KLnCgmMldm3>@w2MTK9fr7oi1!DFH#!-VfDjFHWklf&Gy?wGQ>ZRfnOs^esZ)_eRS$tVh1YJ$^B9qNi-3VSs?%x$ zE%hATqe``zOo%H$|0$GDf;2yQf=7(8Ji}py7uU1W#x<+Lhk-f8fie!mfj3{8pfuHI zuxzbX7Bdw^QPp?5LZ)D`D%tVuG+l!16kRMr-R~}=QA^GNm!SvYyI+!sA7nB$Qws6u zs;ls{aI(Mae8+F=^wYI>xygOo&DVI|x;YvexcUChi@ERi*MFpikJho(-q%-rKfpeG zl?dz{iW`8yE2@iE8PW^+7Atu@BSNWKECZnw*>d)tcRV2J?3SbK0st83vhXR*1U5(* z!UT_}l$|0<6a_0AQU;F?FTSqEU)JZBJE~??0j8N3;VU5(wWrNiF3PhVNQryE9$03K` zz`GB`uMi(MkgR7A-$NddEe%8v*GX`YtZQJG3uJ5gGl*-|wIjra@Swp39O!}Ir>s^a z|3liqtr55{t`ER&C$LKwxCI0MrIl$|O*Ju?iMoy*aee_wu(u^WIM5OE%l4XxIQtJ| zlu7Nt|5um$&_c)Ny=GEhDVz@4!cA*qDXd@13s}>CiD&yhwim@VvKQ4gx;OEOnzkCN zzUW33UiTtP8Fbg@7nbR-c4gI~I50Lf5`p?{h@ z7z+_uNV*V1=&6a)%&5~IR`6*7=JI*mjY=paWYADB2*%L$qYrBXv=?gw90*7tpn-q^ z0uBg--V}Jgl##1|)PGmo?>U+|Y-8;qG7`K3__2H;4~0>cf*ly25m2ay37YCcJEXny z3|Iy^MOqm8(MlPTs1=#8Q4wWiC`Klxm3xUm6I$+=_dtick$_c?Wj_XSG?5oy=^thaF;!bH0|I(;)4oER0D|)AaN_%ctvw% z>`ZRTCkZDfTvk=-UYF0iy@|GxK*UTTlN*KZI2}BK*Kl0~#1URYsfuDlhqC_D2QiE4 zhZ(7nBkp=8!~enrlMBZ@+Hd>DGQ;~_i*nPPp>ik6jq;c~Ih?6S7<2AT+=A<(x7-hg zD7J;EKHQ7jtHIlwtHI0LtD=SdZJEsEoy5nccSH|Z(vQQPw%6>4%L^ac&bHqzPZ$4* zaQhA5NI}WzPca<5(z*;8A#{?i7J)%vXExF z@($366J4S6bosFBX?YSV<=)p4d6>&WL9+I2*u<->Z$P39NK^ueE+9b=v2cDgJ9b7> z_~l1$(LkbGhVafg&pp>6G{2oDDGQp!gm?jlFy*WqBdkLgk>qtK#Q@0&n!ftH8(AHc z0E?vDC;u6Dwa^ZZMRLh76&wzRds2}8wsWiy1+LG&wQVY&a|yT_KexsTWVFCRyVcZ5 zzXN2y=i>h0Hm6%}ZT=#*`1u8|ai~mDi>&<}qun`hNcpqTYLoWsEFD#& zK9`FX@D&0$d?bF@$}wlU23Z>mE|;@an=pVFrl0i=FPH`z=|dpKT@du=^YtdL$0Xb) zTPY(n;Clcptx<~W1eIro`Nev)gEh{RlrLO(*X}-IC!DT<| zh8k_@PN!*=n5VmrjLH0tKXr9^X;4WS9G_9hFt80!flx{_yI~Y{LnrpG9mbs!2|q6; zpBB8x?kP(RB)_U=8sf=y$K-G;8&zihotK=u%Z$=KhnkZ&jhX=4i68ADmum11U)D#M|sa(Fw;*_&NofM73_DN#~NxG+dLe%z+IW= z8tNyV6Bv1gBN$1MD)KpOiu=D!)kpjyA3ngo-tfC3+lBB?b_Y{NbN5$_J%w?GlH>uk z___rn^r2o^LXK2r*8j=Vj~qnj2qrO{QLaSA$lZUEKbKtD;_Eid8-u>RT*>F-(M$Z` zJfXq74)FgNlh2t3FeaukSZ)C)GtK`irsD1ao?On}O5pNLhX?+T<@r$P08OEu|1nhn zZ2rgP8C5ZSE(ibkqv_TA|InBlOW8R%I$^+gj;w*gSsL{!0@LaH-Kd(i55jKX?}Q2- z;OzcXZ34bQaYe>#pkF!t_wIzRvF1+lmy+{OkaB-ifx9`Rnag zK@2bKY7N~_1+G~^I^7mX9H6o|kxK|R{jVT8PKMpRpn`WAUz0S*w?N-!4d%ejc%-IL zgn4|oj)RFt2{8b|=OE>|5)jgZN{*f?&*ya)aQE za`T%HTY;bK8L#s(Feb=hHgf0B^vs3kAA{ib)#Z$I2dPv`bOq^ICD^hpk8%gW82gV_js93I{+H*G z|EN*^qsji4X6Qd^g#T!g|D_rDj~eDbnwWoS`u?W|qXl}avC70Pd_#VFW4=rW7a;Z{ zt|IXCB#IR`{_B!PkuW2p>MA{Q;>kJSg?Z&$0E^u$j8WuJkbehq zNUu%)y_Z=zfpLP527yT?=D05Ps}(K4l~etH_)sgFf7V<#(XfupI0jK2bplxlI!0;- z2k8F_Jf=s{z{HEoAKdr?T#S^7hIcFT0p{W{2y3#rGz;h4G5@Ici4#^OmX{x4T47HISct^%3=M0@fl7@Ab$XK6qR-`~-eih=@sG3hI}IHVV0lIj0s z4CsUxz)P8#(*xSnE$&APyj42giJdafV{$JgHh5xlZ@eqRS``37;_QM|H#L1 zYG;kRqyyQH@jqHCJq{hXS_n7ShpaUOxmD2#=h&0HUVQ>Z{kG{jv| zD}N6@hq`hT$|wd^HG^iDy}W-fgVLVTRI(M$lqC<`6hW|8gJdnN9!F&u+e5Np$4X>S zgY4^}beI%}(yTUnIWj)GB@)Uc&bDmEe2L)XQeT$}RgoNuoI)`Uf|h1)&dU2%&aChb z(t1lRc-%EMSh!hbGxyw3bsyTXs&Yr^;ep~>N*MwHt@xzwK>P>XUWl4@RQzFxU=q7#J$r7gY}_Li%E9E#Sc#SmHG%q@p$*4W6-1^=+mV{I)*g=BVe%FBW3>|u~agZSZ+=bI$K z9-E)!nCFgXzq7EPKWTpd)&k<}gUv7Tz2u@y=%@jjr`7^o>wHt)^N6CDe~<40T&H|e zg+sjY|KaVeqvCp&{m~GE;1Jy1ZLq;7xCGY(hatFx;10oIaCi4$!GZ_30YY$>!5s$I zH{Wy4UGM(xyKlY!-mIyf>AkDFtGc^-eYRA!_zgV+$;aS`X!;lPQ!2N{pTd_sOrh`{ zq~6pLoryQBoPh0jS>qxr-w7)_dQ(Hc(`+Zb>{t&27%>|5izFuUjOV<<24@?Ja~fy= zxrM@xu4%UOa>G1|sA6t~&bYVp3Nt-?EBX3GDj)H=RCc|$YY#v5is0b^s_{1e?>ay# z;Q*C``!~?{LOC8Hx=f}Ns1d0;sOPEG?>WH~3d3nTIcfc=B^K`g;Sgi{e{x()BP8Cr z_&0|w&i_)!wOC(jNxQ&*I9{>*FLeO>Q&Wz0+p24+0LQ|iOA=^VYc5=0Z>W|rD5e4 zL6N%*Qn6Tfe|swi1lvnq-OpHHJKK3k4%pNM>ObuM~|9 zAi621HiU5OgCIPfug!I#K0@=iNFF?G;H5SWTvb(bC+WM$_CfE+{y&4k`MJl2N2NmU z-zu^h;{&kXNJClQctB^vQvg{1X$LyVqK@=0!O=cRLnXy={+rzYYK0&EKU(Q+Q;!|+ z&T=P)tE!K+nPF6qid544XFUD2{vYG{|AQERlROTe^>y{Lo0>L?W=|!U1mWWKiMLe0 z(BLL-$WvpOW4S1M@?UK5wbkGX!ei|CT_{BxozJ(wFkkOm7{qy!GMe~B$m^)Wr{z84 zHos8(uE4(a*X@noqG=$VJlw)e#U71;Vr6%I{wYbCyE1lm@SJJ{)xuhRNfnM&Dr-dj zwFOD_iLiTk&f=72e|j&e>q4Wg0&GU3O1)U#}yo zPT(*l6N(_-A+$r(Rc;~%dp%}OHj+gD?`kXnhKvTi0?v3mW1KTGL?zSR-u>?~M+K6Z zKN2B#1UUweo3<&pggNdqnP(amMsX=u1l>a33uHL5sU&k@dcT9A=JdH|Ipe_os6hof z1xQUYwUOb(j9>X-pOV>3$Zl}^@x>y~g!X9q^9oWOebxB81u9XudH$sh+2?M7Q+f!i zHv!D$uQWtb765xNwGDFA#eiC5{+@?`iGKz;u6-oZxnb)+D2yZu#T10?>xW#Ks+zJE z=_;Ug)BUKB{=p?O+A5?)4M_%!TVp#`XNtv#PFX`1P>J6ZpnvcC)R0U#!HtBqrn8<; zLA9tseEARR^LN+zGWBXdD{4>aLJdomL)K-aSW)C2urdCLFc@%b;FrT>+O#poY-{)^}Ef8{Cvhv)IXcpm;&9v0(&;znuW68i+-qx#TA33RhOEh}ZGu)=bwQP&A zCyZ?tr$h*Z{eB>fwJ?)9aTN6Na*D`;?VFbcezo;1Fa2ORiy^6+VD(#?t!b*3Q|mmT z)BRk!HX(oIHr+vPLkETP2vHZtZIw~Z7!@cdB!-hCMn{KWK+<_D!9vP zrk0Tdl5{q{CY|kXO(+l2nyoG2K*je&zM7JD9(*hu6`0;Ri=`}TBCZzqfY^M+gpp*7 zxCf4#Ep@{yKV&$frH{H7NRA0`|FpY5W(Pv99Y8gYYwjLT$;TS~CzBE5`9IO3J* zUoxT_d=GWmHUO&M)bHmXzk{*#!TFrf0Fbn$`b> zaT|o7&RI1-)I-z$1wcdLCdGSYU->74M!%3?6`-ZQZS%K!Gj7tN{42<+l*xpINAQW- zJHsk?2;9=Y&1E3zls0p!Sd7tzaM(^;_O$s2Cu1%4C{Aju{1A!~d5-{>BKl)LCS8V` zT^4>jrOI>+^?f_2S8hwRddl-mwen2Q809r_O6!;0d|8adS^D46A8#i*Awuc}^M3*A zvzlN3125wi14cGdRu>dm6)7h9_(S(d(nsn;EV%8~4AS@q4`=i$n7*VNaij6D>jBWl zOQg}v*RA5++u1NVjdj^Qwzw)|r4hLO-Q@1UZP8EuNz??L5*u6Ptg5_b7u_D7H2%{n zMN%{VN!{Q~s|W37&nJk*mnnuqf>CmlcTJyyoy4uw9a*9y<7$b2hg;sM*Hn-C8H0GOT32=@CE+TQ>G7OTZ!SK?`$$t9PN zT2~EaubjfKZVC*vI0n=haW;PlJtbZKt|@1cW>hy;$f96@lv%+iHlxMg(NM+6G%LD3 zC{a)>@vGh6kT>x>F#nr$4FGJ=&7}XOE7;sbW*8Ee$PK18gMNA;$ipuOg`g?$z=qw7 z``@~n$tJ|Kn=eG#?AhyuvC$ zIG)zjw^)flY6Yd!0d}cyf6C8#dIf9yy4O@KS-@YL4_(IOJ{!;2AI}S10v#%U-{pi( zhBnuxPmqhce)DkgrH}20^;xM3(IydQbsPH^;b--SPtQ+#Fa{&59(n|p$Y_*~O#k&% zE1DMb`1jL^x_s+~F4O&_OPv^YHa5N;RpEEW;ahE8#bz&s@|L{V0wV+)JaH}TbC~>U zdGVZBqKKS;QW|UZLS98=tu&+Xx13SdTZcpnXOfyL~+8kEWfB8l|t ziw`DY1SP6VOL=aiO7Odd+U{e{&yoMk6E^d48&q@~tPwU#4=zoK%;X=VF`78$CYCr| z3dI(=q<%f_jneg=QsT4?kv&3WJyE(6C?rlZE4K9<4IA`BquR=AIbe1k3AFTS@xU+1 zL!=eMn-~t<9x!4Ac~N^@qGm2HQt;z%v<>H@7H9Ol@UO-~@*h$!ZN_+^m=^p3tV#X5 zhT%0)6ZT8U>N}+VTFDn8F6pGLu+$!&;w6ED(UU=hZ}Tp~$N7#c1o(j{!6Yzm@43&F zlq4qw?B0ZxY%%ENOVlImM+AQOV3>^#A~L_};_AT)X`?JfO4lKD2ck&0b0UZlL6it0 zL=YB&kP-A0h@JgJ6=Y$AL6i9|!IEf~#{F2*>U0XBES&na3PN`{LfLvm_5hJZ=nrA`+7E>ms`~sB50#qZIPvP!PPv7emzliuMcIaoMN-V= zKG@6#vQl3(?_&iFO8tjHR?mhCsvch?uw!1^i+qD`j7G}Fu#K@-E%6t=I8eX5v$w|h zji0?lW~BS~EENSz4P&@#jg;Eu-#__RNG(IGLGxGjHH+2c6shySz?0i)l^NK@oSNd^ z#i1gvE^zMdkWppn+vA^BB$Lht@=Av5K#*R@``UZH8t;a2(5Atn^ zPcj#7polju))+7R<_2bGIux%J2&t@*5}fz2{s8ZIrS@%t8l{a2#^(UfR(@TRtUgg< zHUqnv)X5Y*>5;ejBXe)w>m1>6aJb@p)*FcCBAcB>N!+)&P;33FEJLpG7|I@kQGQ3_ zGZyXGp&*Dzu(UWK2tpRdxtoD$8zK<)B-1fCy%s^@UI2ucO;6zGpG#r(zByp zyvPd@*OvLw%k|+W?PLP;L$~KA4dYtUzpq~CPZKW3YZ;LN=r_GMA$b+L!Ce<`Q!0#; zC7zTRBg&#WWV$)$l&h;JY~x--T&-=es|7!GM|*Jh@nYUP;7tk~!kCMPx(JzyhmeX1 zqNFLMmHfaH<33h)N8hrVMi2#^SDw;MNg~BU5lKgaX+2Mrp&TIRPwL6z)B=eiX&+zr zi_)?&%hjy@XaQ|se1xd_OBW?AGrzi(k|roLz_&P9(h`88$RwiCkX#F)_hZo- z>WsoIO{LtPI9o?nH&U~^Pg4Pply-*8w%UN}Cql+qWmhqo$CuG>D!4u0ToU>Dgb;KM zUA8}Oy_#ZPW8WCIA1{i=gx~LvhwIIIKv#+W+Olac49n%AGPxnNiEoQ0pMpnfPR!3GY8PX zn{>v_DIsc?&<-PkzGo`#dI%)1TZz8qiLkP*S)RE{aD_&Y!wp=a z2ZyP^c|dh7*uEe&BnKgRNDe7;@maZ1acX}>)z5~>aa1ItHqB@G~P5lEpC#<&mWK1bUZS`Dkp>|+L^w(!}8RuLtwABGqayepqyMI zkJbGp;!>XPId8c14i_CQBaXb^#B&MQ&5d?BGp$u=$#07J);go>DktHkbts-W?eb|5 z;cQs?L4yoZ6d3)jQ92Q{gQ&XGC%! zuqQz5VoLS=M@S1;5H^vo1xWywV@9yCf1gu;q~FRZ7Q84gThP)8wqk1u>`piN1>^7} z!TPgnkSJ5P*%&F2PT#beOLX(HY9ewshoPV)QA_dp3)gUM7uoF@9-G|k?oRl9aRGF~ zHN<2^%D!~5VuH17jF+~`p#f}YF_8Ha?E5>qIoy;(Cz%A|n{N7~tOFrj1az7Y92TdR z*g%DuwqKFJ8(g9Hhp9Z!Fdx@*>lw2nS7sN#QLYo7`rW_ia0eFUbB}5l+GEg3AUarr)2%z|gcNbS74@{>q}aJ?KPY8M*Y~kM_5e zW0A!rcF0^HwdeT8H2K2nF+9Ohzg6GnOds>@NdI81vg8*icebVhFpx}GH293J9J<~p zfE-@6rj(3Z(qA&LKTa}Uq(@=}dYWN3_gHArS&U@V%b{v^>TMJ5O-`{=lZyZbEbxYw zC57})c9gIv{d+9Z#jKoY!>WdLXVn{xr0h--_ONmQjh0scZPNxSXOS$$K#+HTSna}q zCE6pZPjldF->j$8&-A)UqXZdMoogZE5&2hQ{klJfcY6*vf35TMe{=%-QPP_3m17Ix z*94B6?-bO<)V}-_{G(GRoG47P9Al!43OxsKRp0};QPAN!P%smh|4Jik8z)>wba{;= z24){T1lQA3R`u;hk1MF3ApRTa`iUEKSv0_E=LbFwyhRH*tE{4FTyj3Dcn1AY&dJj~ z0@oKkuxYDLS9KR^HpBqvi>?3+|3U;1W&>+VusTZ`4U2JIOq>R?_*PPV49<7Ukm_F> z^B2$INd@Co*R+O?X2&wn=7TW3l$>^MKWh=G3;Ys8Ummih?mut)S(TT0k%6fOx_wR4 z&7=+`4yy{{nk5w$!E!fBy&SIUlk6U&31pXe(?T0D@w^n@7{uxP1+mQH?02zj=!g`H zosVdUb+L>jDX1caA25W}qH&gSe1)p*65pCzKSw>qQp)R|lzx%?B0INrQ3Cr@=pCJm zo2`W8C;xiyE0k|l!q13PadLcC<6S74?@Q9ZN07z-hzFN;RSHjS%vp-KN*Rm4S?7OS z{w#KE%ngs&-+!*tEktg_ej>OFG-^#j4dDEhg1U#NT>kxhs{0`H4Fd)~ZX#ozvAZH@ zsNnFpNaD|{X2M`q-wR3H_azeF_u~Jw<5IA4Zmq5mmJR1he7}Y4Q5*lUF9caOd5vHi zOhzT841aOJ({gRK{E7(bwrJPd-f;J`YGVl#BzH6Xp6HPX+LD4=ds*s^niiYwQmW7gCjAobE{K}@{@z!YNTRNtgR_z^1-6$2>G3dxE#_+@-va|nEMKNv;}pu zR*T}upVQChQU7u}rKwjQQ?@p5VUN4W1d}lDYyj!zU zO2HLQxn%YGOQ1zBo$6^{+0!t)cXC&?IWBeo%Y*y2kR_m9{qjqF4&(L6Mj!iP6z{bTUO`gIk#sdsR9I9!d1 zEHk8gs5+YxmGMlN=E+Hx)95qe=IZ`uPd`<@1L%1ud`9r{QA=~e1r57mwnR|hBXUoF z_r20^hxhf7KtfNurW_qeT=aL2{CPn%EW($}o=7CYowvE0(Wi{;>h|y`S7hU2(M0N< z%NfvGMQAioHJam&8B`GVkQH~D`ZVTg3XhB4!!-3AOFkVG5Ygo*^VvPBZe7E&h$J_? zh0HS&P}Hd?c3|lc>{^GejCr^oSnt$Hb>x7u!{GzIkFqggp3jGm?|Z0Q%Cjr}iOHBK zeDS2Zm`7r@ghM=gdAYSx$;on=&g`P$E!`gqpa6TCuDeNH3~Uyx*WNC-<5RHeuz>)# zpWGG4iSd>13l1MT`5(Q-8c7m@Nwnc z@ZN#iZwntT_gK&}>0N)(Cabh|ur_H>BlOA^rrD8lNpet*1!{fBTEZ9ZtiS>ZAJ*A_ zHEDSA<~(zerCUz*yYl^{M`hY1KVQPi+1~ck`=qG>IotgChX$ZM?kOrk%-~DF3g&ef zxw2a;Ask+{*MD)b-tcB@;tuyBVfL{^SVi;TT|x$M;#}%02wgpF)J-UO(kqU$Hsfg@ z{;`~=t97@FM1|0UZgPYbEi=;fL)vNbM#d1pJ{R0V`2i&PeO2X=(>b?2deZGi!0c?q zEz+6jkrbC37zgE%r?7y9IRw;o zq{LUoB$(9OT`V_F9PCL?pC}J{(+=rNQ$3KNITt=X#b+v%6rH%HU%MEpZw*9_E!f}L zFCyZRg)TW5KJW+dDzDnLJyl{(J=Ou99ye!IaBkMOJ06V}2Xg3zeKopu>w{H7hM*srR^GUCGk6C;4q&2 zb6NiS$(GVjB=O;_zvba3Pv9o?F?5x_t`o-NyL+$m9v0VbHkO_4P$b37mzBCM*eqLfF;Xg{<=tu*5gvTJWP)<0+PhW00~E2{5*x_j z)8taJKl03A5;b|vag+G;_hy{&9N0KaKKu+~I4!#UxW1Ys_nf9_V)y~;cF*Ffyz^Nc z_S>O&d~MCykdqGlcttofLm_!U*A)Byshn%n4Hwge%(X*D?#j%tt`fP5Gk*;KV9>oO4{t&YxA*_b#_Xc%WhovQDX=EgeW76DuF- zANTGmdpgp(Pe1!TPx_<#&f}e(6u7#G`qXTlk!IdJVc`L{`b6Wp&suAI(^p|dw=@3e z`#~|ZI+nq~=cMuX;@#bixLLkWH6dPlFVMuieT3h95yoX2sD9n;D)kp5>BPmb#Q|*- zW9t-tpZ%fb#ty}ZLsJ_9@D{wOp$s`&kBN6s)nq;PQzP@n7R!B9ST&Mc2fat>%AKN#+ThgwFmH9yn>DD3dG$G(LC;zm%V0dqK z+^A5&(}(II2`}0v#Q9OXH#$YVtudnm6x{t)nZ8Dhkq7B^K!eD4NFC-la8kCBC?b5A zfEPlE-qiDzVH?(7gQYgNWjJS~F{ja9^Q>g@sj2a@J*WHO5(>)W%A_+Hc|;2C0v>UB z`vQW&M(LEtexRH~rF`~#k(7sX7Tl|?Rq1t}fKxY(8!qf83%n;@)Xv0nTm@v;x=DA? z5Qr~#=M|psXQ~HDyyzDDgWo&XGJgwl8ml>0DKUFD<)7c9ocVuPcvf~ic)9MTc9Zhb zLn!9LTMXNmI@pf|`-uznS&Hf*4)1CG!wrn-Au!;S8TENJ;Is$tX$j?-vWR=_{OH1z zrMjvKyK8Rv>8a?Q-*bKuR$D$ZO0a=p%J|rbG##X+_<*ctu#fo7lU+g;byHU7 zocuwpJIL9q^Ki#zP(Ol{&4e2&ieQt2_ zOc<|m673!XHBj{Mf@{VjkMIf&&oztiiU-ei4$TWKxP1!k9tSn!y4%;kyJG^a$03Ij z-W^*Vg%@q4*a#=Odq~20_QRXM^LukTPTPKh9#ma&%Bb(}zllSmodn1;1aqCD) z=aJ=CFPwMA)ZcpQMR}k1LD%naZxQFWC;6 zgUrQki@E$U-g<+Wk5N8Xg!TM`4vt((^$saBx&_j!7=dr$`)~v2fro7S(M$BZC+j=J zrYFMNzx^cr?u4}>%T-=u3ps{%Fiz~~yg4O`@w1?McpdDQK^R?seA;baP^05%Qk}=O zDrWiK=y<3-pxc-y%MIbd&E{@m35rG}7KR(ad7_?igdwhIFlJ$ldI!slBd+?u);6yC zl*P81)qE}?zv-@ytnLTL6Jcg3C1ruK*kTV2Rw}Tk^XOp>Zlo@-A4(bRdvX?V+F5cc zi`)&8S;xW(LhM5ky0BnW@D<9ltL@z7dP-eSsJeOYSDO9IH58mJ{av#D^P0wW`}2pK z&X8_n${W$+QpwJb&s5LXB`=Iu6iik>`1wiXJSQE~-rc+OPEy|OJjen^*%6Ig2uXytWx<|DlA5 z!lT9iX&1I|zkA|%F+VmgYfUVSzUB9c{n}|N6*{?gV?bAO6m+{(vUk7wcU4lHv=tGK}>A|+Y+z@MrQwM7X)&dHsP7yCNE5pzY$JfEx zzg%uhF}po3T~vS1<$X$kss0%H$~3ciZOXd*zM`%IPSAHG(BmLH7P}bD18sz%S0b`j z!eiJG3&KD{#N&RfR1Rz_1)MP6J`}0+Z?9>T#9zi0(*?*iGKK8C`1|JvnS=EGWC2S? zW~$MpbxpKR1L;{3sddkuC2Zd7r?&sy_fGOfy8PS~f}cziw+`ko5%taJ_3L;FIteQ3bgLStYqeCOY}C z#PqtgjW)G%s9rGi#-OI$Q@azrHYvFr@x_N*t zg^>bzpGd-Z`LU!(3Xo|GD!i=t$<2kUWvqmwC-=Dbig&p8`iU79_lP+i28byUgf*c0 z@wTRq=f%@p#P@RQSKAaTavx;NS~hv-LNP!HiAPj_)S5HR%<%SxT3id(!Ron4q>C@^<`yUQS_y?a}6g%pxrTpX` z{ae{0NrpABy9~&@1{c>#7DRN_7h1noT^)!V@l^2V@PB*jQkv|`=JUtLF#%eePytKB zi0c0Z^$dVQKA1Db0_Y*z7VcWQ0e*?cXCQBWXAP zBhr{Z#WZgH0b7utrRR)6XWd<+=4)H6lE6wTkj#Myb$~Qpt8ITK5lLbT4tqSmJ@dVN zaPRc?Z8H)Bt#Ia0It-T--^0w--qIi{FLGrxH*xn2`9V1_2BdyNN&yCcBaHM95+CM1&FYM2rymRO@m&T?U8w zdiM3j|1X*{Dyb3u97RI4&hce|+Z}z4gNoYK7D|D`r5%GpR3Q5rGD`che-j4@d=};p zaF_Z$Cro1utt3flq-Li?^p1^;G(;59JNby-nMCxCwPx=eAB!m)DL$YvDuU>s+=XY_ zR&TizRlDIg>f6s_hbC<;KLr~5A$CXt4SdEBlvEMf*NV{-POTmvaElXA21r#91hgC| zfb=6HtOBX~EadTPTV4lw%sKH2!aV$s+&5R}uy{W70U7KFn!{8fUO1^^8R>Mi(ckRq2-9!<^v0dx&~t z0F9?$2=N0E7^!!TW3w1M@M~`lU@zpVC_)Z410nZ!sN}ZISG9=vp?Pk<=j^=QWUqr2 zL_Jbjl(b~G7(D=sSL_$!dy=aYMtbfXOUsFp#`0!}WZQ(Hd{YTGIXUZ0rp3K*P<_Wz1FPsrsWegB%@q3-Wt*5l@CbLn+vi#C9*6RJOA?oCm$5PgH&vL-(i2@ z+%c1&IbAx~n<2x_-YOELLsnT**Alo8@sNEze!01>w0`lcKXI<{G6_@0mYL?|9X* zuW&p-bjC@l;?nBf3&=;>pZvRzc7^rom`i8u+|GE$s9xgMBaf`Eerb@E>E5$rd&l-U z@3pc`_}lGr^K=S)zJD^jjK9(Qz? z-#0dbdg&Caz_<(pfOoK0fN(QqtI0q^*2vwOMv-1Rhy{Xl5G0ErU@u)fe}i@M=Uzoh(%{C%)i!L>NgP&jqwA5STv-5yE8WOOoe<)=H|#f zr8WQZ%1CFadZr~*nmMubT?>!pzz8{K?pe=2(QGyN{UTAtt+Ii(<~rnD?wtiB;>LTI zVG04o7?ZFlZMQJ|@Bc>}+w)qFAF`{759XEcCL#X37?u0d)Ow!^TZqN`3#}9^oQ=wO z&J(}N?25;+i)K%s$3cv~L<0HyYm|paelfq08Rga-&Qz}Ar;k{h>mZ&KOIA%r298;9 zespFr=jl4j8ZxBmQZ%%D$@42lm8V|xPg+zF*;m-FGw)?P&q_m4!I|W~Qgc_U%bUM{ zou;DRasnwqjUt_G5lk0yrTci#N0$TB88MV%F=Ae`4RgaraYiVYzL5U;UV%!4o)`9!Sn(D@baw zX;Xs(-kYNT6~Z2n+>)O3SKg4F^|vzd8{se7i_AO&${m!GZM^1Ba68G6J272cu zl{H4e|GdE22v3sFIHa=St~{hN3TXIAPV>CVaiD_vvu{eC0x!)LO;4fHrnA&xfpd7) zcjmUX4heR}t{3uS$axGiV#yDr$Ih2>|AnJ9$3cwL?q|O{XuNrXq1**H_@hy0lhjN4 z$4MCXDe(rb%8gr_@eYA#&5e~!c1X?mUrL7W8T>$NY+Iw80?wTYBN)(?PVD*3C{ZqW zHJaQv@hLiO>lS;yLh|9BmWd>7`P=TA7)aUAk8X$#*Aq4T^xdNz!c)3f`bkfBbKoxx zNSWpW1Wqo%BW2-6DXSK236*jdS>BnX;rtlM7Kf6v2(zNEkf-;{(LUcRjQ9J<+8n#o zSWXe@dcv@`=AyRHK$%2v8&Z#wjYB8r%^ZHZ?I)=_q*5aNHJddDQyKUBE13*PQ~YmQ zIpdR#N3X`i?1dJG@rG7c2f<&ghL6u&S)7@CVfM1j7=NV${M1=V3?~%rd?v0D@+C^C zc9%w9&kCjTpY&M8#l)gG?8n6S(kI4AA~&ko334^~-H%DOHXY_H;M>tY$GtEzc`7?W)u72| z|M%5nYonCSJfl1b>0XnqXez-|iE{aKbL76?ULna2cxdFNjDz^rnQ{7gM*fZ`s}Qs( zf9FJ(W!Wc|LvVXtKj>F1-H}RgiyJON;Ok~=y_y#|OCcoCVE0^gpsMA1T*96;q5D-w z_cCRY#w|gvq&&(EQwZr#kju*-w7+SS#9fbqMKO<8FWIc$W7@|oY_wHbtqB}PTj~Gd zi@JAFfjt>ay1zhb0oG$tYvzZDWJ=W_JI;2L*));0-TlVn8`7BaKUr|H9G~j4X)062 z{mPN~E7U)7@}0f}jdwL1C0-7*-zKf{B5Zmn{Blc;E>IpC?Q`Fx{@mboJ=JB<+C-V! z;yc?_QQ~SlFO3!9rXIVWRsNG=3FvZJm8GLX{>#;CX@^3|+C-{2<{1@tedLBHewSay zL*Jse$VDbits6E;BhZ`1Ir7DdKBYvuBSNa&KDF4`%d);P38l5mbn^BF%F%74)P3=s z`&s>~=0wV@x^2F08wdM&mQ~y=q691Zc}V@BTZ#0FB8^BjC|mnHxqgr{7Uiv5s; zoXa0z$J3K`ykuogwys!S&%-@uyrL)e)5it|d+z6vmuW`SX+e4q*1DT^R&houkxTM4 z0<_l8SM05Bph4CLJ6|&`Mu!;|l<~kpPVHlHMRaJ(k=kUmrfxpAij7}Hl_3`+);_xP$ zldhxt>wb$oMmbES#jlx`jov2gdf^XEJO_?WT&_6~ShqxN;ug1vvVW7+-PDr{dcvwHMxLoI z-knOl;d@E^zy?+rZ$dNckfeg^20#y9bFVVTdWa6mWx`s6zBynI`!uN@d&zOED>%^M z+=13!w=8%&=niH4rCCiOxP7W0%#eT0uI3zd-WmJi5ftV|`ka)Xql|m>CYIf+1oNm! zes0D<*wt>8-^F-Vxp?b=T0Md52y50Oy!u5aedQ)kLwwW(jbByrC(5K7Z*^00e0sI? zORKmjt2iaAxKXP()=3(jI28PhvxA+&ByT0%&1S2(og(RuWT~4aF;Jx$TDWoj3Y+o7 z&f4Yo`YObfv5|ir6QRO3fm6;H^I9YSH(@*x|HiDT^WDxn5iB%AV6ldei|!@{-8a9# zpv-1dn8x=#)it+|K^>t%?z$^-xLnve@bFcAV?gfZ zt*CoX!>5C=t!s99X^`5-)FX|KsvJdy2R!*d&zV}jVr84ve-*@H32tq0#Z`QdI$j|U zKs~z{|CUoIe4ODr3z$xtpYGEmtNY_%zIzf=+V{^s99AD&FsqJtH}(&>mOTg`JmYiq z9kqJ{X5Php#ubMF{6@~etQD|kOCK32iP@-zs*LE3`XbmqnHs8%K_p)MpI|Lwwk(** z+Su~F;fEM)EL)I!EP)zU{nRv)L!q#?AeQaChUkYSCrco^!BS09SvK7$>8+A{_{m2F zZ$I^oQM$OA0mSRAzQ8n0Uv2idv47h@8zV>l4$%oM&fJRaNHOQwrNu>5iaSU?SA=JB z0`O;biU#`g0vTZ%h>4)8yV>K2W0w@uE6r5gBBt;xBR8chCPQ;w0J2v)&w*JZj*b-+ zqZ-_}Tu6CciwO;V@f2z)_dyymm0oYDXgcb!Ztre-Zci*!C-xE`VRL2N!K zA3pMTNHGrOTdSkcu~EvbwfV-YOGXCxd7-o6DOxD=$a;#sN8MVJlSg<-N!>Z5Fuq!W z)x%tOvtp8FTp?0Fj=+OIywFxyiMws<)T{4ZXWw``qZB{gx}=Gb6T!{D*x zL@3jZv_(Bu{h9eM&lH2uQh)A!TiKOqg8d0_k zsS+1i5*KB@r4rR*r8l7^9Z@xx|NPTKon!V$4pK{xZ`P%9!WcSDvPaz4bzrZ}n>YF4 zRCaQ29e&9p)Z1y4k?3y1o%GnyyS=Nr^+A1uO5QjcdvrHXH&|#J%K5g#NT*^BJ3?yi zvLWJTe>yTA7f45c+OsNB7n*<@DIe~jsL;m7Tq}iJHnzT9m<*Bg)!YAbL!u`Q#oeC4Co67pZlVTnx#_&_A ze_FYgqtnWFE17->_%P}v7czcA8TUGMsm^DyN^$~c#;>pr9$Y`@yKjVxF&$7pcuCit zvL|gt4=j{6%p|z=#FYo!;s!v0C}#b8hi#g<=E-L62jub!WJ(IqIH~V@xAlXFg(3KP zU?oLH)>VIpk2x-1PJuu=BA-d^bwgTVuZi0$3}@D#=brxkijf~)^>X*b(KnDTM-hj8 zlKCW)o)9c3IF!@tXgcJ2I2TR67@oow4Py$=de7*Z-^(~4#Hej6-^e6*&N815jNjnT z9C|EYQFkcQ(OSmRbDKuGYrkJ{+VQ~<(%gD9Y{zaFD-3p#KszvD|ItRE zEsj=E8q8N7d|RH!72YYv{`aXJa{?eE3Wx8E&V> zjyOWv9KMF)!jK3h#g6ZDBdK%dII!R1V|jpfnI%48b*dF$Nb%hshme+<%Z-PSCJ|Mu zn=VNa(Wx#dj#f-F0a;LpuCSh`!$rkzobc>zFR(^|}Y*!j!vJ zH;+k^6pz(S+vLL?n{;D=tZ7RHz3zyDhV=a?CQQy(DELIV5Ltd8sz#Y5DvasTd#nAn z_WG}`Ogc@bQTb^04|WJ1>j`n*E$W_(y<$M14}Etwg~z%_OV{c4#@1-$flWn<>~>RA zo7=^kkaPdl_ouHYNsCKb%AFsoJ#>7gZ=|s0#8v@=@GXGRzffEY^wF zWojKtQRlvV5d|`W@=Sy0{rUwmDZN}}<~&6*WjLr6!8^(6yfL68B7D)ziC!;F@~!VA zU*w~{$wZwK>)JyWO~ zt6Z(aAnKf&Z#eYb8&(Nd*QV=*RG%4z){KJAO5usk- zZ;nJM4%R61{!sl_LqpQA&fEeEu%-cD=5T#GP@MP&x=YY7OSE{yN2X7ZSfOv)l*M^^dNQ#xpF#h}*S4%GC%AyZdY}|v(;u?~-49{gh&#Kl;`R34kgwEytrGNT(RFx1 z=mSN(W-8c0MDaS-=4Xq*=d65&5{h?vCUwsC#cz)-4-|C>!5>RRZf&CWhlou@;c^L> zk>z|0pS$TVL1A2w*1Dz%{nbUgNkdd!{U}Rpi;{*#>&c`y=&CQsU!+5C>|w#%ZyVGD zFrV})!e71LEx|e2ma*A!e)2PZ47fyI7v~c8 zH6FmSUA8=s(F0;iESCaQXfZ1X7}>e9S{wGFt|Dg(tJF*5~-X*CzX!)K;&t~&C?3{*UtV{GTN&9>0ZLin8WPF50*pvDIB%Nm*C6y ze5f{{J+Z$1fqmpJ3?AS03>ZJ(*Ix1bzS3A&<)`#4I4=@>OsSk3E@IOQg~7HS4Sp3~ zi8c0F=y{)tneKK@%~2$ksOWXr-k#fbwJw~0(eti(vk2b(0%&Yea_SvPvBPna4YQ5l z*fPrdy&B@jL&~Xi!bvwf6XNrtXBlnF+ruw3%r3sCkuL2G7xYVAbBuV6O~%IW=(p2u z^CFENWGnhW?`)gz62@=3mR~f9YwILnkx{JC?JQRIGM|bopPx4HGHNqw2jY?~(Qk4` ztM<&S&6t+Z#i}VV}FBcn#0| zKwkY}N3keEaiy%8@~?K*_{HB+_l4k}m-`;v4L0(Gat)bZPEyS^e2&-?IR=Ah!O0s0 z!M|mZ-2x9PMgIb%X=$WpF7-W|n}E|H2=6tk_Ye))?WI}kFe$TArI>d!lT z?y=tk?w7To$!~m}={NIN;>u1P(=$g5SjuA61TLf*uM*4yr49c{CjtWGd78PFZ~Ft} zoftdI7Y!&Fl8^8OQh+JGXjAfF( zcocmc+cCP6%W{2!X-D0%F4E7n&4Yo2xhm3+ea97OH571W(qpxFyIxUIny{|J-#tpz z)C8BD8yMV)lmxm-B0fNVUWgCG1iE9Q$b;d4W#HvSv;!#hq-gP`7`yxIHU9Mbq5^%4 znJASP3+zFm&?y>p3Fm5*N9QNPfMD?muv#1c6@lE^PLiR&q&Oy`V#uur&3qBi<6EI{ zK;AE{X4`~J&`UeWkfNiJLG1${A+X4@${pm6=P zaicZp2Z;#Q*Pp|Rz_&hEd6QA%ADe;8rJ+~Rzm6=gXG7INGrkYyMXQ1=HlP3&r?iIt zAJ1p?>1|36sRsjhl$>7o6s+}6jH*SKAl?SYS9?9inST~y8giEI3~#_XGrlhiJ|Knf z56l?t-PK+tR8b=A{Eisq9*}tqASLHX-CC$75%Y+f>?m%LqOQqY?l)0)8q^oZ~a+86*UHZxa>TRGt{> z8(n*#0-Gj8!JV~D9j&g8kKdfOxNquQ$iW5Sa7s6v(S_}znLFK*=8kFKw!ObqE=}T| zqV39sUbF?^+DbdaLg^P3i_0-bF2F@L8O1F~{4;Tp4ciAOymFZ%#=+v~!Q6&CJ&=T? zV?~M^O6b^LX`p-H9BdY)femQ)Vv4TcWW{8`cIxZtxm3PW(fY8_Rp)DXTxI8+k#+2O zz>SL(Om9xF-tOesy0{;tMNhv*vT|ha+CskJXH~guSJlWrGxJ#lh|}7)d^B^sz_`mn zkXu_BY0$R1U~6ydl4{rOP2z-BH=Ra=Bx<}}*Wg&&+8nrK)k7u{nVsn&mClmPL&#b0 z+A^AjW>e8xX6=q9&HHy{VTpQvmf!6Ji!c}Y0~#|LZL1z_I3NGFk7hN;1TkDz`D$%^ z5+r=xT#+;;0}{NhN`wSBmxd34f3&!At`s#9%1t4Xki zwqs$Q{&8oU*fpKgTXbElML(-dCC5g2jITID!wEwss8Z2sauQ;)iCmVJnUPh^M^N#x zVS5w0v`HI_dN%m*_T0kp=YpkjcGV0i#T8T^rWqvo%IIS!9PM&`|E2s^ZD>X*&F$7|g`HnRsVYx{inU0x2W%QX^^~9c6QxB#~TI zaf}_9Y>igtn7cYUms6D^bY#MijA16!lbI%*5rGop8p7=zF(TS3Qm2`dQ#MP`whxLE zO~<&DVackWuW@he;Pq$`bSrNBLr0sPrl()19~8?h;|i1}q7K^sHHEqWd~a8&CMu|5 z6T$X6xI_wFnnO%I$00i{Q=|8lA6+=rw6zpTmPADHfO93k%TH9v3_ZhCGif}f?+f6M zKXH*31wY#u=N-!>-flB}C~E3!8sEgEGSm1>oAZz-nRUbL2MkMXBx4%hvWa#Yn| zn=voWKx^n*z*X!Yx$TqwznZ%0sJLQgk9&*LqKmh9p}1RtLMhhb?(QzTIK`dCU5iVR z;#RD5aVWH~xRtW_0?Y2B-+SLV?~gm@mz>FDlFZHI-b_wx+v1$V>Z1=WJjF9qsA^j0 zywyg#_9nb)O21;+=!E0zgnQ_OYm>=Y⁣3vQ>TMsH!Q*_A;An=MBb34Ln_lK+tDk zrSwM~n=kREt~4bY*(J$&CE%@tSc5;4(zemkj?t!q3Vhim`4cYZ$PH#NHmZllrN+6}bbTnQ7pii6z3A#{^$&6iv=!Y-9+ln1$=f}*#dJboCSQPf57Gu z*!}}{k0AOVaCiijl?sX1oV4FXjodUFo4bsa@1I17vfPs2j)dV{jt;X35J=2j#W&WhiD0$?cU&7=Wblq)#>9<#qKEj6nl{t zOtJ?m>qn`di0m)dQqdDvG9qgx^3q^RFuSi-<}js! z$>l!p$yQg#d9{K?4PtjOnB zk;+)Txe?msk-V7^E~l-J3;y;mwSai9Rbqb*&JYCJzmaOwl4|3ZYD;5S?POSuWH2-! zt}j)LC@L|Y;W#0pY|U~U8_;dQY% z`et%e-<>`OT|lTNN(_W;=h%A8G9R2QEilb~$TDx7`3NE(fn?qz*m7VhGkUx0A{~;H zVNr6nxfmbYkZMuVRsT(1!89@IELe!D5`RHIJ4DmULR%s6O +uicdN2gpAF- zgRmhUG>&x+bzqhEZIltkx&JT^0f(u&PG4?iu#oz#Bh7h-~YEDs@RzG89&;?^?9qAG-U5WSiP-iwlHUPx510)2PpXzF_>uk={P~ z_+anm_dU<>!x?86&_N!0DAlX)s%z=-bJtU$?dIU{ahi+k8`k4bGCVQO;CqCgTJ>ea zY8=m~5`TQwZ@%IRX19F&0DL=2Rv(u^t*$iiLYfEU8t$>C`0`7A_hv5sKeNv{r3OU#0^Uw<21eIQ{dwwqo{Q5n5tM801fhQQ zw(S`LEwATu80&}MIp(wz>Xe1-ZaauNd{2dEw>~p?Mj3Qmj$ag83Ggi0y0YxQpv!&N zS|B5QBTI6jFkzEK+H|$eAD$yw!AFG=o~<&0mHoP`fP_$R`!V`VVk6(!RKM5(yRk7p z+f}!8Z*MPe{)&24WXAe+S2p!?j{M?!KMG6F*@%~m<@7M2mI${f{|iK7q64tpcE4$%=elkEm=%r>VUxf})w^Y4b4D-0~C zIHpxwRK%VFLMyc2{XE~bWS&+e7Tjg9=gbwXKF)!!IEZ~T`5gX!jediwqH=QXlbmRv zAE3tf5<|#{-qN;3%dxd|>qT!|T+5!D*do=sAMb~e=AYkH-1-)qA8Kt6pU&r2tcvU& zrU%Quk>~=}wM}Oq$&@XW9`1<=_L0tg`jz~3^6`>mB{e74cca%{&u!v8f4;OF6t7J@ z+W9Vjy0GHqpm=gj8(w5m&DUJ9x@vGzl}DjO>p0ELE&r>`!OFmGntRsryz;mzYkz_^ zw}O_O>-)PZjodpqvu$UIoQ@Jx3XU&w4D4pcpQmYeJ5Hzc|73Ws`Z$dYxB&N**3P2Y=eI-gBSJCck{18R4x^29ESdQEnZ`XI!e6U4ok03L^6x{)s?a z%NuF~Wi|YS83)PnNQozSImPpzQt-u35?;$yCHk=+Y}+1?+aB1wzKo;3n;1KqzCDun z$r8qUb(1I^VC8z~Ep>}W==&WbQ4>CjJx!;r6ke(!g1aUV-Ef4 zLY#9E$lVynogCsh_?&o5owt~gt_-CwJ7{WJekoj~@n}cK=`W6TuCQq$49p*Q*u`-w z#=SK$6|7;hh!GM)Rf)tfzP*uVgzUP!-5BnIUEbhZTGxdaPLD^yj=Z>Uv?JN}x?2BA zjv8ZXYWt_WqIk!kBM{&xPTrXg+vF2H1=J7&kmm}Q3xu%TW=s>=EN1S{2?t@kjA1!* zT0#NI9sZQWIcM%hLET2oNq)&+1;|H#xo zGEZ2YBEdGn;a0~Sj`Q&5_Q>CuW-|rNg=Vw-kyr#J3MV!VD&;AySYp!Ht9!W1+Ryij zGb|e_NSuDDkzWgFt9fUk>pZS(A8``B{jy2edwMiOKmdELUPomy}6RDRWztFyX(oMR`u;S5vh)8kkP zvOO5Ulve;SkkEWR3w^aWF~9b@diFN7BQ@{Ic!H#htnH37X3M93bq%9p3I*loBs6zJ zp))h|IFbCx*@a4%^1TSc%KgPCrsCIL2IvJj%LE6dNoq17>`%ErLB6+QdE5SAD~`Vd zUtTs3N}Rot=Q|D*OCV{}S9vMLtp|Kw^2{Z$TkPNpMvgCsjmin0;f-^V-Ar1nW*Hji zUvo~b4|4QAbCwrszYLWZa+u_Zadt`lf>SVhVCX){x?nd9#zO?g;=zFy{NRtV+)Obx?=eRy551HgiQrr%IgWIs7q*TEzhy)!OXfn-+1>XMPf~nX<(}S3L@oA|EE`A(J}Ed$9Cq~m4xqe(Q9WDq z*L+d`Zox~5D95dScKh8i*_GIQiz23VpO>-@QD2BMan2I!QM{ZQ*^?A?>q_j{z|<8rGm&l!hrm zR#IpShj(TewiP>BN;7qpmHe=MRhd$gq%>~|KaWxbd^1XnAgPl zRY>Y{yb;O8ZlirjBiBS&JY&km2eY}iS7vz8Tc7i*a&_`5X@<$@?}AI2Ctj+iva&1{ z{H^$F>s1xh7vSNdQ|UzXfd%g{L?XN*O?Jk!!#c$@lH;Fi6P#$$q>1Aq%9`6^fQs z-4&h?*+`V5$O(XCZwD85^-jx=-!QV|y<|LZ>ywoaaCk!aEj@Q2McTA8ZAgokS@#Ef zTsC{L{%|CA54f32`+UUl(VM>coS+GiH%>IgdGR{)nADPaM2tB?VU$ToW<($?HSjq> zh~Rv=d`8njvo=NKL5(HFK~OCnHcqc@3PwBw*V`}!4N99x)7nV|MN^+xs!g^Q%(h+M zYu#Lc+1lT|-ZUi$BJ?|`@DB;GdHmbRGJzp58J^ZzAb*mu9`A%bDN{K296vSFYBrw0 zP+Ec}n@bI`!wc)wxG1i-9X8ZVX)&R-V_2Qzi!oFXp@XiApmI?4_O{Vla?tl#$+BhB z`!SdL)b0TJ5q4G?|LWAw@#`_nu(Ly)R3`sarKnA}TfTQBOb2)s7C}RiCL%rwuT|gJ^BSzHE8_N<(~U~MSZ>}w6d5mhdZWK z3ptW@jmprSe29Ir03)58r!>Wu_wi!@6~FcTxr#Dhb3_Z20-Z zY8e-K8Q1T#$w^hG9wFV5WbH;@!-`4!iiMNF>dqmm86^*^&YoMC?~QhAsQ-K8yOnPt z0~2!lh3n{L6N~ipCwnhAcYOo$nVojWjB2Br5&q4;|0el_URKE8*0zYIjM_a;Q@f zjyBIOzHb)Q<^Aw&lItDj#;5URgR{&v)7z0)4d*&?R9+Vs=7v3NM}c9m$G;IV!Yi@8`$nG27BvRj!R1Z-UX;*!Lx^ z0)`IYvB{I!#YsmepD*foVzXqLe^MWgCAx2R3t0igk!_(^AnXe9o8zv=kdsw%23HuJ zpV5sc%o z`MFR(2M2Jkf^nsT#*jw53{MiPsRLXur-MTqpv~^@2sD*pj#O?AY95jX#PHO|DCrD!j?!ZId59 ztGuvKSHV@pb2!YrrTXb*&?C@00ZT7r0mFV>($Cq^^mBu(A?entQy`rHYk13k|7ft? z{gH_q(Hb`Bsru@^>&<$Y07;6Ou8c16_vdv|qPoOO8ipYI2MZZsXb1WB?)asco7w2? zc;OU$3T6WdxaVYO{L__?{KLz!Me2;~0r%vrb~z-KVO`ec3(U)WhwdI>e>BL&*D3vG zd-{271hxf8a$3Ox@snwypv=3%py|b5XiKVoSZD-BlVJ0RBKh(ZE#Lj~VUiib4pV#i zR9nJJ=A?Wjo%TLz`){x9?LB)ZHi&Yc?i@CYt6c1Oo{Vpd`}CT*A(1>lmz;ZC@BVut zCnm9!GYNcG0+*DiXR(05c;)(4Yfzp+?wn z>hMzv=p#cS2Er%&lnmNPieN`avV@;fL1kFs(-;U`to}ElpdzRr0St@>j6sum3tPj6 zPhs_|hxW_ELNE{#Sjl)W6bsxG9mzT9MhV?ugEOEbrNZ^F;YB1p%mb(lXc8KVWY7g2 zX%XIyfr!A8;T_megMCE<5f7d|gPJkHEzprZ;Tm|beLSE_D2i|JloYzb2>*?N$itF( zIZ*Thj*gDR7;KxtmLkwK?Nfnu5Ww6>5xnR~mv9-*femGMwNOwbbRGjygx5d-1F@}; zLQzUEGfJo#dnfMzDiunM2Lmy}NzsvlgRe0Vr}%}G(4rTeOarJSs2Dm@ES&GLrp7v%f~@W{@xzTnEdI0QLfZoeX-)4wt}!E8`=Z&`_d-@fe6kd{YuANC8%c zfoR2o5W@P|;0hQBax73c8p)C&WVjwQoBAoB)hfR1zshv2~=Bt6^%s5B@W zI#LhIj11bZ{HkmB;WI&t&BNBb+~9agq<;mg^3)6_TCXQcoo_&gIYLu%frDsEj0dB4 zguL{Y&7u5p{aQw#-*)hO+neW=2lv5w9oxE-=$ z5fu-dn*=BHAA02=ZMc5fK-9N0AH!4uOI|to22wJ0-t=Jq);TZTVC%s2OqQP)bvv8E zD+jvcZq`#Wru_gRq=3`~A`m;+K}Dt|cn8wYJ2>{qlnfxv9tOsnp<0G0G#3n42HuB1 z{&U%88Q#B(or2spDUi^OCy^{j$UK=DB*v&7jJXhxi6EzwR34CksisZ!r5|Hoe{=Z@ zDOSRj!J9FFJryGZ#uVrWi!8Y?(PEmwcoTV%t|aGtO%TARLvDXRCfn}8Zg*OuJ4yRq zI&UPl1K?fWfe;GEgwNry^;ENJY--qJR;^KZqxO^<>#M1cukpd0^G#>DoW}cw)y?ZP z29utis@aO4!89hYzveqcTZBcyp)2#w%se3ur{VDLb6|G0f!Bq~xawf`DUSefWorb` z&)C~{#B6qm^#NnQd3K6<{laOHim z<%_H4%kzB?F&l{1J}foWJ<#USCFE;Jn$GX%gHVTQ6F-l=RC6%PWMw&nb`{E>KD3(O z(wRuP_j_2?1y3NzKuBKJ@y(j83IeOKU<5p#-)z|BExY<`3JnmRQb%A^orSBVOxFeApX`0K=NdyC=F5IfkFUC^~&?2x?~InoqNN_`+k*ZY|b8 z;9MI`VIftwh&~zd1+x~@33o=e(wF39Dl*O>dO&WJeDQrsq(Um=no8>~yWV1RzaD6{H@%r%we3ySp3}46|?T znfsw${u@dWr7?HKS?~1;InPC#RDv^(+688w zKi6A+wr6i6gXkolDg?z9F&C8WiYD}Ja1~IGZzZ1JHthsZKq5eMnYc(^4tmo-Ydyp1 z^p`PXW;d$)r?x{cXo}uxoQfozg05>`i06q2g?Kl(9NpW0wT7uR0?pIj%i61{fI(h;SdXni(mwBEpyjxjl8ga zld~0<<~TEH`O~Gr#eqPr_emZuXkjJ zFcXCtizG`K)S1iR!;V|PsT=a@@jhToqDzNs2g`oZ6UIdF%~KcpQ|UmTe!hH8oeU*h zAS2WJkE=d#+r)HMyCo0nk>p*b^R&K_%+BlWuSO52JY-Z%vx;0#r_AMWLyIc~MAyDSE_5^I9Y9n!d%`*1QUn! z2UTZYI&I#|djBcLxeS7zU)aqv?KAOk%})l723FAl{H^~RLFH@!Q<|xlX+jR%VIC9L z$>4nps@G_fp1o5%CjSSt^1WNG(n^;#&KE zy7*cCpDxK&d>XjJcI6a=bSY1fGX+l=?J|bL8oKD(;jK{UGstGOJ2$sE!Bb%P{-3f< z{~hQH|0D@2Is^`+Q58PZJS-{Ni3Pu>Z~Qm&{M;``pOmP@t;KCd7zQ<6o1cVz7CcYX zC4O810}Mg_FrcjSzSn~pv0o$f?5h|KqCLfCh%z zG(GkZ3CMMg)|j}xckry{ww+)^WeYIce{gw*pF5GSMon*{m0UeN{M!&Z9(e)Px3$Jm^wss zLh|p%j0U?}KrNska59U}^+h{F$L?s>Gsh+IOTIR@2~Ph<4Khqk>tvemNKZx&n0!!V^MGO0*IA(d@Lz@h zjlp9oDCPFazZ#o+R<7OKug&ibjEj~U>P%vR(QSkOdZGbf(ETHer2$}+)XC7|2+{9u z@nyRMIO+XjH~{0~K9fasT|tbA|259q2r#;@e89!?&#-)7((;AKo%d2|wm_jGP?lD^ zpBJ>$7!9E}TV5Wue{f21C z;&XaVotjC}RU>N&y zCu$sm@ci~rU-#g(bai_#aE*&%vjT}1H3xUtd~e+F0DKJ4OSGyv_;|{L@&;L5d3D|y zKvn=Czi+!{ANz1l9;B%6{S4DdbH}6|@9qW@cJIxokNKQJLKv-XTU^bo3rwd@?ZN$J zSuzI8%5IY@{lP}xu56aJQLuF|R=!;({8@}I=ET1(9 z*UtAczi>~^H~pNK|F-*pOt^h8{Dyoy{kkiW$uA>;1pkHnf#)o0$RL5d+t=eFjg|W` z4k2k*h#$%V*F}|wTALEoQ{byWvP?wfDTrhHZcABU$!zHwEYx4OoSwor@$l=a>(_oY z9Sfh&(i`%?t-!-=gmF6#LbM9M<;6r%hVDIg03dWRelgxT`O}vtPP9wHJ3-Y+R<|jO zIb*wB4L_3A0o!GH+Ie_gN}_>S3cxb!hHN~r;&r^*_-Lx z&T@|wVBy3!qd#8KKLQpmXEaQWRtIzX{rPU6%)j2et2bItYd$^JE0il!Hj%x@H0axs zd|x*`AQrUVyuJ_!p)B0Zw zey4x?;d!QSs?sx{b3z5L^I?OxPHuyxp(^GR2M!9dI7Yk^rS5~F3aD!MOHwVOQh%i1 z-w-#l2+Y?vY&U)oDFDjLP=rLr?PBeRTUUw(w~=@s7)!vTN5!y^hivQ#XGK5X`ihbd z5zl5BfbK&~Y1&xutOheRJGPqPN!2~j`Qt)fZtv)RyMr|6eaSn?DayA@MR}<0AtM6A z#@Rqq5vR6;4=b3$>I-kX9mr8@=EWQH`a5Gx-H!LJ(4e7oL~Yv6!_Wb2@kNy|=UB|Z zaaE3#B=yE~N<>C;Jcj1iIG4HpC1z&-@KB+H z^y?@UZ5I8;iz0SjO35v8=r0t00PAW^pW?mffH1Pa!~KnM3{}uqnvW_!-?bf(>tbn` z?4a#EY_6aBy&Mkua?A3k;jA4;L|J2OnX60_gTZ|*pq^@lK#l*8lHQmXv3S@F`jz@g ziQpF^g!@Sc>+d%NXV#}a1kPg|;>^yX_fwiQo%rl|*DB?nJB~nl2(aTlwEzyfyj4xa zVl~@6NBKdnYoPKbyDM~Daa#F(3WddN%jmBOWz60cJ+n4r@1%g99TJnuJU2g?ZnLe%v7hAMH+vGw=MFZ(fn zRU3YZyl%~L^dqFPJtXRwqGDwzGla&O?)suU?6Sh_`K~G2!yUNjvPliF*6PYsQZG0(NHm zS$p@Z@wDsFLH6s^1Ihl%f?6^a+^MSW7rducWi+x+GOpZ^}lM@z(yndMu3x_ zD=JiN#D*E#%-pjwt^ZR^XI0+Ed1~fNmD-xvfF=xY6W{NHZNFLtdyw+_ewVS=R>)le z7xuvS(E$Pj;$K;Mg*;M!OCX(qW*0*(;>g{J!Z70_Q8yZo`bG2DlVIf5eyqvoev-rE zO+0s8iy1T}NO6a1Y13C~;!%j<>PNXvz$CF|9BEf>U2qWa&V*)rllYwNKkxDX03&M< AQvd(} literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..57580edd23d8fa4e41cb2d210756c6de0288c088 GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=JJru1Y6CO3s=Y>yJs&sT_F bPw+3_A1vr*?XZZ4M=N1cV*Ggv7ASH34? literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info b/bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg new file mode 100644 index 0000000..e219dcc --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg @@ -0,0 +1,15 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:37:38 2009 " "Info: Processing started: Tue Nov 3 17:37:38 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_USE_ENABLE_CLOCK_LATENCY_FOR_PLL" "" "Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" { } { } 0 0 "Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" 0 0 "" 0 -1} +{ "Info" "ITAN_SLACK_ANALYSIS" "" "Info: Found timing assignments -- calculating delays" { } { } 0 0 "Found timing assignments -- calculating delays" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 register vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 29.952 ns " "Info: Slack time is 29.952 ns for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9\" and destination register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5\"" { { "Info" "ITDB_SIMPLE_FMAX_RESULT" "146.52 MHz 6.825 ns " "Info: Fmax is 146.52 MHz (period= 6.825 ns)" { } { } 0 0 "Fmax is %1!s! (period= %2!s!)" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "36.581 ns + Largest register register " "Info: + Largest register to register requirement is 36.581 ns" { { "Info" "ITDB_FULL_SETUP_REQUIREMENT" "36.777 ns + " "Info: + Setup relationship between source and destination is 36.777 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch 35.747 ns " "Info: + Latch edge is 35.747 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Setup relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.010 ns + Largest " "Info: + Largest clock skew is -0.010 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.058 ns + Shortest register " "Info: + Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.058 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.498 ns) + CELL(0.560 ns) 2.058 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 2 REG LC_X24_Y41_N8 4 " "Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.21 % ) " "Info: Total cell delay = 0.560 ns ( 27.21 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.498 ns ( 72.79 % ) " "Info: Total interconnect delay = 1.498 ns ( 72.79 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.068 ns - Longest register " "Info: - Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.068 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.508 ns) + CELL(0.560 ns) 2.068 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 2 REG LC_X25_Y43_N9 9 " "Info: 2: + IC(1.508 ns) + CELL(0.560 ns) = 2.068 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.08 % ) " "Info: Total cell delay = 0.560 ns ( 27.08 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.508 ns ( 72.92 % ) " "Info: Total interconnect delay = 1.508 ns ( 72.92 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns - " "Info: - Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.629 ns - Longest register register " "Info: - Longest register to register delay is 6.629 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 1 REG LC_X25_Y43_N9 9 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.176 ns) + CELL(0.459 ns) 1.635 ns vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_3 2 COMB LC_X25_Y42_N4 1 " "Info: 2: + IC(1.176 ns) + CELL(0.459 ns) = 1.635 ns; Loc. = LC_X25_Y42_N4; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_3'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.635 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 276 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.827 ns) + CELL(0.332 ns) 2.794 ns vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_4 3 COMB LC_X24_Y42_N3 2 " "Info: 3: + IC(0.827 ns) + CELL(0.332 ns) = 2.794 ns; Loc. = LC_X24_Y42_N3; Fanout = 2; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.159 ns" { vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 241 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.373 ns) + CELL(0.213 ns) 3.380 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_2 4 COMB LC_X24_Y42_N5 1 " "Info: 4: + IC(0.373 ns) + CELL(0.213 ns) = 3.380 ns; Loc. = LC_X24_Y42_N5; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_2'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.586 ns" { vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 265 35 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.350 ns) + CELL(0.332 ns) 4.062 ns vga:inst\|vga_driver:vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0 5 COMB LC_X24_Y42_N9 1 " "Info: 5: + IC(0.350 ns) + CELL(0.332 ns) = 4.062 ns; Loc. = LC_X24_Y42_N9; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.682 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 259 39 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.571 ns) + CELL(0.213 ns) 4.846 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa 6 COMB LC_X24_Y42_N7 5 " "Info: 6: + IC(0.571 ns) + CELL(0.213 ns) = 4.846 ns; Loc. = LC_X24_Y42_N7; Fanout = 5; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.784 ns" { vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 239 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.057 ns) + CELL(0.726 ns) 6.629 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 7 REG LC_X24_Y41_N8 4 " "Info: 7: + IC(1.057 ns) + CELL(0.726 ns) = 6.629 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.783 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.275 ns ( 34.32 % ) " "Info: Total cell delay = 2.275 ns ( 34.32 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.354 ns ( 65.68 % ) " "Info: Total interconnect delay = 4.354 ns ( 65.68 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 {} vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.176ns 0.827ns 0.373ns 0.350ns 0.571ns 1.057ns } { 0.000ns 0.459ns 0.332ns 0.213ns 0.332ns 0.213ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 {} vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.176ns 0.827ns 0.373ns 0.350ns 0.571ns 1.057ns } { 0.000ns 0.459ns 0.332ns 0.213ns 0.332ns 0.213ns 0.726ns } "" } } } 0 0 "Slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITAN_NO_REG2REG_EXIST" "board_clk " "Info: No valid register-to-register data paths exist for clock \"board_clk\"" { } { } 0 0 "No valid register-to-register data paths exist for clock \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_MIN_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 register vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 736 ps " "Info: Minimum slack time is 736 ps for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0\" and destination register \"vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0\"" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "0.660 ns + Shortest register register " "Info: + Shortest register to register delay is 0.660 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 1 REG LC_X50_Y46_N6 7 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.425 ns) + CELL(0.235 ns) 0.660 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(0.425 ns) + CELL(0.235 ns) = 0.660 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.235 ns ( 35.61 % ) " "Info: Total cell delay = 0.235 ns ( 35.61 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "0.425 ns ( 64.39 % ) " "Info: Total interconnect delay = 0.425 ns ( 64.39 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 0.425ns } { 0.000ns 0.235ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "-0.076 ns - Smallest register register " "Info: - Smallest register to register requirement is -0.076 ns" { { "Info" "ITDB_FULL_HOLD_REQUIREMENT" "0.000 ns + " "Info: + Hold relationship between source and destination is 0.000 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch -1.030 ns " "Info: + Latch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Hold 1 " "Info: Multicycle Hold factor for Destination register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns 50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with offset of -1.030 ns and duty cycle of 50" { } { } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Hold 1 " "Info: Multicycle Hold factor for Source register is 1" { } { } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} } { } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} } { } 0 0 "%2!c! Hold relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.000 ns + Smallest " "Info: + Smallest clock skew is 0.000 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.107 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.107 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.547 ns) + CELL(0.560 ns) 2.107 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.58 % ) " "Info: Total cell delay = 0.560 ns ( 26.58 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.547 ns ( 73.42 % ) " "Info: Total interconnect delay = 1.547 ns ( 73.42 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.107 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.107 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.547 ns) + CELL(0.560 ns) 2.107 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.58 % ) " "Info: Total cell delay = 0.560 ns ( 26.58 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.547 ns ( 73.42 % ) " "Info: Total interconnect delay = 1.547 ns ( 73.42 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 0.425ns } { 0.000ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } } 0 0 "Minimum slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig reset board_clk 10.814 ns register " "Info: tsu for register \"vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig\" (data pin = \"reset\", clock pin = \"board_clk\") is 10.814 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "11.861 ns + Longest pin register " "Info: + Longest pin to register delay is 11.861 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.264 ns) + CELL(0.332 ns) 6.737 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.596 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.933 ns) + CELL(0.087 ns) 8.757 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4 3 COMB LC_X24_Y41_N1 1 " "Info: 3: + IC(1.933 ns) + CELL(0.087 ns) = 8.757 ns; Loc. = LC_X24_Y41_N1; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.020 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 245 36 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.378 ns) + CELL(0.726 ns) 11.861 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 4 REG LC_X49_Y33_N0 2 " "Info: 4: + IC(2.378 ns) + CELL(0.726 ns) = 11.861 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.104 ns" { vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.286 ns ( 19.27 % ) " "Info: Total cell delay = 2.286 ns ( 19.27 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.575 ns ( 80.73 % ) " "Info: Total interconnect delay = 9.575 ns ( 80.73 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.861 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.861 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.264ns 1.933ns 2.378ns } { 0.000ns 1.141ns 0.332ns 0.087ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns - " "Info: - Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.087 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.087 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.527 ns) + CELL(0.560 ns) 2.087 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 2 REG LC_X49_Y33_N0 2 " "Info: 2: + IC(1.527 ns) + CELL(0.560 ns) = 2.087 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.83 % ) " "Info: Total cell delay = 0.560 ns ( 26.83 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.527 ns ( 73.17 % ) " "Info: Total interconnect delay = 1.527 ns ( 73.17 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.527ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.861 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.861 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.264ns 1.933ns 2.378ns } { 0.000ns 1.141ns 0.332ns 0.087ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.527ns } { 0.000ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "board_clk seven_seg_pin\[7\] vga:inst\|dly_counter\[0\] 12.054 ns register " "Info: tco from clock \"board_clk\" to destination pin \"seven_seg_pin\[7\]\" through register \"vga:inst\|dly_counter\[0\]\" is 12.054 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.058 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.058 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.498 ns) + CELL(0.560 ns) 2.058 ns vga:inst\|dly_counter\[0\] 2 REG LC_X24_Y41_N4 10 " "Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.21 % ) " "Info: Total cell delay = 0.560 ns ( 27.21 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.498 ns ( 72.79 % ) " "Info: Total interconnect delay = 1.498 ns ( 72.79 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "10.850 ns + Longest register pin " "Info: + Longest register to pin delay is 10.850 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|dly_counter\[0\] 1 REG LC_X24_Y41_N4 10 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.184 ns) + CELL(0.213 ns) 1.397 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(1.184 ns) + CELL(0.213 ns) = 1.397 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.397 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.262 ns) + CELL(4.191 ns) 10.850 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 10.850 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.453 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.404 ns ( 40.59 % ) " "Info: Total cell delay = 4.404 ns ( 40.59 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.446 ns ( 59.41 % ) " "Info: Total interconnect delay = 6.446 ns ( 59.41 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.850 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.850 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.184ns 5.262ns } { 0.000ns 0.213ns 4.191ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.850 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.850 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.184ns 5.262ns } { 0.000ns 0.213ns 4.191ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset seven_seg_pin\[7\] 16.190 ns Longest " "Info: Longest tpd from source pin \"reset\" to destination pin \"seven_seg_pin\[7\]\" is 16.190 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.264 ns) + CELL(0.332 ns) 6.737 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.596 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.262 ns) + CELL(4.191 ns) 16.190 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 16.190 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.453 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.664 ns ( 34.98 % ) " "Info: Total cell delay = 5.664 ns ( 34.98 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.526 ns ( 65.02 % ) " "Info: Total interconnect delay = 10.526 ns ( 65.02 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "16.190 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "16.190 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.000ns 5.264ns 5.262ns } { 0.000ns 1.141ns 0.332ns 4.191ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|h_sync reset board_clk -5.344 ns register " "Info: th for register \"vga:inst\|vga_driver:vga_driver_unit\|h_sync\" (data pin = \"reset\", clock pin = \"board_clk\") is -5.344 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.064 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.064 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.504 ns) + CELL(0.560 ns) 2.064 ns vga:inst\|vga_driver:vga_driver_unit\|h_sync 2 REG LC_X23_Y42_N6 3 " "Info: 2: + IC(1.504 ns) + CELL(0.560 ns) = 2.064 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.13 % ) " "Info: Total cell delay = 0.560 ns ( 27.13 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.504 ns ( 72.87 % ) " "Info: Total interconnect delay = 1.504 ns ( 72.87 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.478 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.478 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.973 ns) + CELL(0.364 ns) 6.478 ns vga:inst\|vga_driver:vga_driver_unit\|h_sync 2 REG LC_X23_Y42_N6 3 " "Info: 2: + IC(4.973 ns) + CELL(0.364 ns) = 6.478 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_sync'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.337 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.505 ns ( 23.23 % ) " "Info: Total cell delay = 1.505 ns ( 23.23 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.973 ns ( 76.77 % ) " "Info: Total interconnect delay = 4.973 ns ( 76.77 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.478 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.478 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.973ns } { 0.000ns 1.141ns 0.364ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.478 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.478 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.973ns } { 0.000ns 1.141ns 0.364ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITAN_REQUIREMENTS_MET_SLOW" "" "Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details." { } { } 0 0 "All timing requirements were met for slow timing model timing analysis. See Report window for more details." 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:37:39 2009 " "Info: Processing ended: Tue Nov 3 17:37:39 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb b/bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tmw_info b/bsp4/Designflow/ppr/download/db/vga_pll.tmw_info new file mode 100644 index 0000000..41588ed --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:01:14 +start_analysis_synthesis:s:00:00:09-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:35-start_full_compilation +start_assembler:s:00:00:23-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation +start_eda_netlist_writer:s:00:00:04-start_full_compilation diff --git a/bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo b/bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo new file mode 100644 index 0000000..0b756b6 --- /dev/null +++ b/bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo @@ -0,0 +1,15388 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 236 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|un13_v_enablelto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|un5_v_enablelto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un17_v_enablelto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|b_next_0_g0_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 237 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 238 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vpll:inst1|altpll:altpll_component|pll -- UNIQUE + Atom Hier Name: + Atom Id: 239 + Atom Type: stratix_pll (WYSIWYG) + +User mode PLL + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [INCLK] board_clk LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: [INCLK] DISCONNECTED + 11: [CLKENA] DISCONNECTED + 12: [CLKENA] DISCONNECTED + 13: [CLKENA] DISCONNECTED + 14: [CLKENA] DISCONNECTED + 15: [CLKENA] DISCONNECTED + 16: [CLKENA] DISCONNECTED + 17: [EXTCLKENA] DISCONNECTED + 18: [EXTCLKENA] DISCONNECTED + 19: [EXTCLKENA] DISCONNECTED + 20: [EXTCLKENA] DISCONNECTED + OUTPUTS (Int. Connections): + 0: [ACTIVECLOCK] vpll:inst1|altpll:altpll_component|pll~ACTIVECLOCK LIT INDEX 0 FANOUTS 0 + 1: [CLKLOSS] vpll:inst1|altpll:altpll_component|pll~GLOCKED LIT INDEX 0 FANOUTS 0 + 2: [LOCKED] vpll:inst1|altpll:altpll_component|pll~LOCKED LIT INDEX 0 FANOUTS 0 + 3: [SCANDATAOUT] vpll:inst1|altpll:altpll_component|pll~SCANDATAOUT LIT INDEX 0 FANOUTS 0 + 4: [ENABLE0] vpll:inst1|altpll:altpll_component|pll~ENAOUT0 LIT INDEX 0 FANOUTS 0 + 5: [ENABLE1] vpll:inst1|altpll:altpll_component|pll~ENAOUT1 LIT INDEX 0 FANOUTS 0 + 6: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 FANOUTS 82 + 7: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK1 LIT INDEX 1 FANOUTS 0 + 8: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK2 LIT INDEX 2 FANOUTS 0 + 9: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK3 LIT INDEX 3 FANOUTS 0 + 10: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK4 LIT INDEX 4 FANOUTS 0 + 11: [CLK] vpll:inst1|altpll:altpll_component|pll~CLK5 LIT INDEX 5 FANOUTS 0 + 12: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK0 LIT INDEX 0 FANOUTS 0 + 13: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK1 LIT INDEX 1 FANOUTS 0 + 14: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK2 LIT INDEX 2 FANOUTS 0 + 15: [EXTCLK] vpll:inst1|altpll:altpll_component|pll~EXTCLK3 LIT INDEX 3 FANOUTS 0 + 16: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD0 LIT INDEX 0 FANOUTS 0 + 17: [CLKBAD] vpll:inst1|altpll:altpll_component|pll~CLKBAD1 LIT INDEX 1 FANOUTS 0 + + PARAMETER LIST: + operation_mode = normal + pll_type = auto + qualify_conf_done = off + valid_lock_multiplier = 1 + invalid_lock_multiplier = 5 + scan_chain_mif_file = + compensate_clock = clk0 + feedback_source = + inclk0_input_frequency = 30003 + inclk1_input_frequency = 30003 + pfd_min = 2380 + pfd_max = 333333 + vco_min = 1250 + vco_max = 3334 + vco_center = 1666 + pll_compensation_delay = 3806 + input_comp_delay_chain_bits = 0 + feedback_comp_delay_chain_bits = 0 + common_rx_tx = on + skip_vco = off + rx_outclock_resource = auto + primary_clock = inclk0 + switch_over_on_lossclk = off + switch_over_on_gated_lock = off + enable_switch_over_counter = off + gate_lock_signal = no + scan_chain = + gate_lock_counter = 0 + switch_over_counter = 1 + ======= Real External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 8043251 + spread_frequency = 0 + down_spread = 0 % + clk0_multiply_by = 53 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 65 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 106 + n = 5 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 50 + loop_filter_c = 10 + loop_filter_r = 1.021000 + enable0_counter = + enable1_counter = + clk0_counter = g0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = no + l1_is_used = no + g0_is_used = yes + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = even + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 10 + l1_high = 0 + g0_high = 13 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 9 + l1_low = 0 + g0_low = 13 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + ======= User External and Internal Parameters ======= = + bandwidth_type = auto + bandwidth = 0 + spread_frequency = 0 + down_spread = 0 + clk0_multiply_by = 5435 + clk1_multiply_by = 1 + clk2_multiply_by = 1 + clk3_multiply_by = 1 + clk4_multiply_by = 1 + clk5_multiply_by = 1 + extclk0_multiply_by = 1 + extclk1_multiply_by = 1 + extclk2_multiply_by = 1 + extclk3_multiply_by = 1 + clk0_divide_by = 6666 + clk1_divide_by = 1 + clk2_divide_by = 1 + clk3_divide_by = 1 + clk4_divide_by = 1 + clk5_divide_by = 1 + extclk0_divide_by = 1 + extclk1_divide_by = 1 + extclk2_divide_by = 1 + extclk3_divide_by = 1 + clk0_phase_shift = 0 + clk1_phase_shift = 0 + clk2_phase_shift = 0 + clk3_phase_shift = 0 + clk4_phase_shift = 0 + clk5_phase_shift = 0 + extclk0_phase_shift = 0 + extclk1_phase_shift = 0 + extclk2_phase_shift = 0 + extclk3_phase_shift = 0 + clk0_time_delay = 0 + clk1_time_delay = 0 + clk2_time_delay = 0 + clk3_time_delay = 0 + clk4_time_delay = 0 + clk5_time_delay = 0 + extclk0_time_delay = 0 + extclk1_time_delay = 0 + extclk2_time_delay = 0 + extclk3_time_delay = 0 + clk0_duty_cycle = 50 + clk1_duty_cycle = 50 + clk2_duty_cycle = 50 + clk3_duty_cycle = 50 + clk4_duty_cycle = 50 + clk5_duty_cycle = 50 + extclk0_duty_cycle = 50 + extclk1_duty_cycle = 50 + extclk2_duty_cycle = 50 + extclk3_duty_cycle = 50 + clk0_use_even_counter_mode = off + clk1_use_even_counter_mode = off + clk2_use_even_counter_mode = off + clk3_use_even_counter_mode = off + clk4_use_even_counter_mode = off + clk5_use_even_counter_mode = off + extclk0_use_even_counter_mode = off + extclk1_use_even_counter_mode = off + extclk2_use_even_counter_mode = off + extclk3_use_even_counter_mode = off + clk0_use_even_counter_value = off + clk1_use_even_counter_value = off + clk2_use_even_counter_value = off + clk3_use_even_counter_value = off + clk4_use_even_counter_value = off + clk5_use_even_counter_value = off + extclk0_use_even_counter_value = off + extclk1_use_even_counter_value = off + extclk2_use_even_counter_value = off + extclk3_use_even_counter_value = off + m = 234 + n = 7 + m2 = 1 + n2 = 1 + ss = 0 + charge_pump_current = 0 + loop_filter_c = 0 + loop_filter_r = 0.000000 + enable0_counter = + enable1_counter = + clk0_counter = l0 + clk1_counter = + clk2_counter = + clk3_counter = + clk4_counter = + clk5_counter = + extclk0_counter = + extclk1_counter = + extclk2_counter = + extclk3_counter = + l0_is_used = yes + l1_is_used = no + g0_is_used = no + g1_is_used = no + g2_is_used = no + g3_is_used = no + e0_is_used = no + e1_is_used = no + e2_is_used = no + e3_is_used = no + l0_mode = odd + l1_mode = bypass + g0_mode = bypass + g1_mode = bypass + g2_mode = bypass + g3_mode = bypass + e0_mode = bypass + e1_mode = bypass + e2_mode = bypass + e3_mode = bypass + l0_high = 21 + l1_high = 0 + g0_high = 0 + g1_high = 0 + g2_high = 0 + g3_high = 0 + e0_high = 0 + e1_high = 0 + e2_high = 0 + e3_high = 0 + l0_low = 20 + l1_low = 0 + g0_low = 0 + g1_low = 0 + g2_low = 0 + g3_low = 0 + e0_low = 0 + e1_low = 0 + e2_low = 0 + e3_low = 0 + m_initial = 1 + l0_initial = 1 + l1_initial = 1 + g0_initial = 1 + g1_initial = 1 + g2_initial = 1 + g3_initial = 1 + e0_initial = 1 + e1_initial = 1 + e2_initial = 1 + e3_initial = 1 + m_ph = 0 + l0_ph = 0 + l1_ph = 0 + g0_ph = 0 + g1_ph = 0 + g2_ph = 0 + g3_ph = 0 + e0_ph = 0 + e1_ph = 0 + e2_ph = 0 + e3_ph = 0 + m_time_delay = 0 + n_time_delay = 0 + l0_time_delay = 0 + l1_time_delay = 0 + g0_time_delay = 0 + g1_time_delay = 0 + g2_time_delay = 0 + g3_time_delay = 0 + e0_time_delay = 0 + e1_time_delay = 0 + e2_time_delay = 0 + e3_time_delay = 0 + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 240 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 9999 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 241 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 242 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 243 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 244 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 245 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 246 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 247 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 248 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 249 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 250 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 251 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 252 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 253 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 254 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 255 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 256 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 257 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 258 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 259 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 260 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 261 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 262 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 263 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 264 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 265 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 266 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 267 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 268 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 269 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 270 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 271 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 272 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 273 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 274 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 275 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 276 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c6c + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 277 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 278 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 279 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 280 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 281 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 282 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 283 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 284 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 285 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 286 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 287 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 288 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 289 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 290 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 291 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 292 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 293 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 294 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 295 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 5555 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 296 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 297 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 298 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 299 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 300 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 301 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 302 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 303 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 304 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 305 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 306 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0cae + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 307 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 308 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 309 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 310 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 311 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 51 + 1: [REGOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 313 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 314 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga:inst|dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 315 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 316 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 317 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 318 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 319 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 320 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 321 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 322 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 -- UNIQUE + Atom Hier Name: + Atom Id: 323 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un13_v_enablelto8_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un13_v_enablelto8 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 -- UNIQUE + Atom Hier Name: + Atom Id: 324 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un5_v_enablelto5_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un5_v_enablelto3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un5_v_enablelto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8880 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 -- UNIQUE + Atom Hier Name: + Atom Id: 325 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un17_v_enablelto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un17_v_enablelto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_g0_5_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 326 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|b_next_0_g0_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un9_v_enablelto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_g0_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 327 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 328 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 330 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 FANOUTS 21 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 331 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 332 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 333 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 334 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 335 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 336 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 337 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 338 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 339 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 340 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 341 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 342 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 343 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 344 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 345 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 346 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 347 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 348 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 349 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 350 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 351 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 352 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 353 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 354 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 355 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 356 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 357 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 358 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 359 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 360 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 361 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 362 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 363 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 364 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 365 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 366 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 368 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 369 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 370 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 371 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 372 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 373 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a -- UNIQUE + Atom Hier Name: + Atom Id: 374 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un13_v_enablelto8_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 01ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 -- UNIQUE + Atom Hier Name: + Atom Id: 375 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un5_v_enablelto5_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 -- UNIQUE + Atom Hier Name: + Atom Id: 376 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un5_v_enablelto3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fe00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 -- UNIQUE + Atom Hier Name: + Atom Id: 377 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un17_v_enablelt2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un17_v_enablelto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = feee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_g0_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 378 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|b_next_0_g0_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0004 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 -- UNIQUE + Atom Hier Name: + Atom Id: 379 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un9_v_enablelto9 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 -- UNIQUE + Atom Hier Name: + Atom Id: 380 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 381 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 382 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 383 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 384 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 385 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 386 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 387 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 388 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 389 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 390 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 391 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 392 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 393 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 394 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 395 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 396 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 -- UNIQUE + Atom Hier Name: + Atom Id: 397 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un17_v_enablelt2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fefe + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 398 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff01 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 -- UNIQUE + Atom Hier Name: + Atom Id: 399 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 -- UNIQUE + Atom Hier Name: + Atom Id: 400 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto10 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 3f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE + Atom Hier Name: + Atom Id: 401 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 -- UNIQUE + Atom Hier Name: + Atom Id: 402 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 403 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 404 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 405 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 -- UNIQUE + Atom Hier Name: + Atom Id: 406 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 -- UNIQUE + Atom Hier Name: + Atom Id: 407 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 -- UNIQUE + Atom Hier Name: + Atom Id: 408 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: board_clk -- NON-UNIQUE + Atom Hier Name: + Atom Id: 329 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] board_clk LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: [PADIO] board_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vpll:inst1|altpll:altpll_component|_clk0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_out -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_24_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[24] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_23_ -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[23] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_22_ -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[22] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_21_ -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[21] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[20] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[19] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[18] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[17] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[16] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[15] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[14] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_toggle_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga:inst|reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 312 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 409 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 19 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 410 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp4/Designflow/ppr/download/incremental_db/README b/bsp4/Designflow/ppr/download/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp4/Designflow/ppr/download/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt b/bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt new file mode 100644 index 0000000..6b138c7 --- /dev/null +++ b/bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt @@ -0,0 +1,1686 @@ + + + + inst/vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + inst/vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/h_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + inst/vga_control_unit/b_Z + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + inst/vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + inst/dly_counter_1_ + stratix_lcell + le + + + inst/vga_control_unit/r_Z + stratix_lcell + le + + SA0 + + + + inst/vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + inst/vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + SA0 + + + + inst/dly_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + inst/vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + inst/vga_driver_unit/v_sync_Z + stratix_lcell + le + + + inst/vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + inst/vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + inst/vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + inst/vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + inst/vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + + + + + vga:inst|vga_driver:vga_driver_unit|v_sync + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_6 + + + vga:inst|vga_control:vga_control_unit|toggle_sig + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_3 + + + vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_0 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_5 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_1 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_4 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_3 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_2 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_4 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga:inst|vga_driver:vga_driver_unit|vsync_state_5 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_6 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_1 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_state_0 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga:inst|vga_control:vga_control_unit|b + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga:inst|vga_driver:vga_driver_unit|v_enable_sig + + + vga:inst|vga_driver:vga_driver_unit|h_enable_sig + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 + + + vga:inst|dly_counter[1] + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 + + + vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 + + + vga:inst|vga_driver:vga_driver_unit|h_sync + + + vga:inst|dly_counter[0] + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft new file mode 100644 index 0000000..5aed62e --- /dev/null +++ b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim-Altera (Verilog)" +set corner_file_list { + {{"Slow Model"} {vga_pll.vo vga_pll_v.sdo}} +} diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo new file mode 100644 index 0000000..b367998 --- /dev/null +++ b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo @@ -0,0 +1,11281 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II" +// VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +// DATE "11/03/2009 17:37:43" + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module vga_pll ( + d_hsync, + board_clk, + reset, + d_vsync, + d_set_column_counter, + d_set_line_counter, + d_set_hsync_counter, + d_set_vsync_counter, + d_r, + d_g, + d_b, + d_h_enable, + d_v_enable, + d_state_clk, + d_toggle, + r0_pin, + r1_pin, + r2_pin, + g0_pin, + g1_pin, + g2_pin, + b0_pin, + b1_pin, + hsync_pin, + vsync_pin, + d_column_counter, + d_hsync_counter, + d_hsync_state, + d_line_counter, + d_toggle_counter, + d_vsync_counter, + d_vsync_state, + seven_seg_pin); +output d_hsync; +input board_clk; +input reset; +output d_vsync; +output d_set_column_counter; +output d_set_line_counter; +output d_set_hsync_counter; +output d_set_vsync_counter; +output d_r; +output d_g; +output d_b; +output d_h_enable; +output d_v_enable; +output d_state_clk; +output d_toggle; +output r0_pin; +output r1_pin; +output r2_pin; +output g0_pin; +output g1_pin; +output g2_pin; +output b0_pin; +output b1_pin; +output hsync_pin; +output vsync_pin; +output [9:0] d_column_counter; +output [9:0] d_hsync_counter; +output [0:6] d_hsync_state; +output [8:0] d_line_counter; +output [24:0] d_toggle_counter; +output [9:0] d_vsync_counter; +output [0:6] d_vsync_state; +output [13:0] seven_seg_pin; + +wire gnd = 1'b0; +wire vcc = 1'b1; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("vga_pll_v.sdo"); +// synopsys translate_on + +wire \inst1|altpll_component|pll~CLK1 ; +wire \inst1|altpll_component|pll~CLK2 ; +wire \inst1|altpll_component|pll~CLK3 ; +wire \inst1|altpll_component|pll~CLK4 ; +wire \inst1|altpll_component|pll~CLK5 ; +wire \inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ; +wire \inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ; +wire \board_clk~combout ; +wire \inst1|altpll_component|_clk0 ; +wire \reset~combout ; +wire \inst|vga_driver_unit|un6_dly_counter_0_x ; +wire \inst|vga_driver_unit|hsync_state_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|hsync_counter_1 ; +wire \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|hsync_counter_2 ; +wire \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|hsync_counter_3 ; +wire \inst|vga_driver_unit|un13_hsync_counter_7 ; +wire \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|hsync_counter_4 ; +wire \inst|vga_driver_unit|hsync_counter_5 ; +wire \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|hsync_counter_6 ; +wire \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|hsync_counter_8 ; +wire \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|hsync_counter_9 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9_3 ; +wire \inst|vga_driver_unit|un9_hsync_counterlt9 ; +wire \inst|vga_driver_unit|G_2_i ; +wire \inst|vga_driver_unit|hsync_counter_7 ; +wire \inst|vga_driver_unit|un13_hsync_counter_2 ; +wire \inst|vga_driver_unit|un13_hsync_counter ; +wire \inst|vga_driver_unit|un11_hsync_counter_3 ; +wire \inst|vga_driver_unit|un11_hsync_counter_2 ; +wire \inst|vga_driver_unit|un10_hsync_counter_1 ; +wire \inst|vga_driver_unit|un10_hsync_counter_4 ; +wire \inst|vga_driver_unit|un10_hsync_counter_3 ; +wire \inst|vga_driver_unit|hsync_state_5 ; +wire \inst|vga_driver_unit|hsync_state_4 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|hsync_state_1 ; +wire \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; +wire \inst|vga_driver_unit|hsync_state_2 ; +wire \inst|vga_driver_unit|hsync_state_0 ; +wire \inst|vga_driver_unit|d_set_hsync_counter ; +wire \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|hsync_counter_0 ; +wire \inst|vga_driver_unit|un12_hsync_counter_4 ; +wire \inst|vga_driver_unit|un12_hsync_counter_3 ; +wire \inst|vga_driver_unit|un12_hsync_counter ; +wire \inst|vga_driver_unit|hsync_state_3 ; +wire \inst|vga_driver_unit|un1_hsync_state_3_0 ; +wire \inst|vga_driver_unit|h_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|h_sync ; +wire \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ; +wire \inst|vga_driver_unit|vsync_counter_1 ; +wire \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ; +wire \inst|vga_driver_unit|vsync_counter_2 ; +wire \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ; +wire \inst|vga_driver_unit|vsync_counter_3 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_6 ; +wire \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ; +wire \inst|vga_driver_unit|vsync_counter_5 ; +wire \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ; +wire \inst|vga_driver_unit|vsync_counter_6 ; +wire \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ; +wire \inst|vga_driver_unit|vsync_counter_7 ; +wire \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ; +wire \inst|vga_driver_unit|vsync_counter_8 ; +wire \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ; +wire \inst|vga_driver_unit|vsync_counter_9 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9_5 ; +wire \inst|vga_driver_unit|un9_vsync_counterlt9 ; +wire \inst|vga_driver_unit|vsync_state_6 ; +wire \inst|vga_driver_unit|G_16_i ; +wire \inst|vga_driver_unit|vsync_counter_4 ; +wire \inst|vga_driver_unit|un12_vsync_counter_7 ; +wire \inst|vga_driver_unit|un12_vsync_counter_6 ; +wire \inst|vga_driver_unit|un14_vsync_counter_8 ; +wire \inst|vga_driver_unit|vsync_state_5 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ; +wire \inst|vga_driver_unit|vsync_state_4 ; +wire \inst|vga_driver_unit|un13_vsync_counter_3 ; +wire \inst|vga_driver_unit|un13_vsync_counter_4 ; +wire \inst|vga_driver_unit|vsync_state_1 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ; +wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ; +wire \inst|vga_driver_unit|un15_vsync_counter_3 ; +wire \inst|vga_driver_unit|un15_vsync_counter_4 ; +wire \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ; +wire \inst|vga_driver_unit|vsync_state_next_2_sqmuxa ; +wire \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ; +wire \inst|vga_driver_unit|vsync_state_0 ; +wire \inst|vga_driver_unit|d_set_vsync_counter ; +wire \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ; +wire \inst|vga_driver_unit|vsync_counter_0 ; +wire \inst|vga_driver_unit|vsync_state_3 ; +wire \inst|vga_driver_unit|vsync_state_2 ; +wire \inst|vga_driver_unit|un1_vsync_state_2_0 ; +wire \inst|vga_driver_unit|v_sync_1_0_0_0_g1 ; +wire \inst|vga_driver_unit|v_sync ; +wire \~STRATIX_FITTER_CREATED_GND~I_combout ; +wire \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|column_counter_sig_0 ; +wire \inst|vga_driver_unit|column_counter_sig_1 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ; +wire \inst|vga_driver_unit|column_counter_sig_3 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ; +wire \inst|vga_driver_unit|column_counter_sig_4 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ; +wire \inst|vga_driver_unit|column_counter_sig_5 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ; +wire \inst|vga_driver_unit|column_counter_sig_6 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ; +wire \inst|vga_driver_unit|column_counter_sig_7 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ; +wire \inst|vga_driver_unit|column_counter_sig_8 ; +wire \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ; +wire \inst|vga_driver_unit|column_counter_sig_9 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6_2 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6_1 ; +wire \inst|vga_driver_unit|un10_column_counter_siglt6 ; +wire \inst|vga_driver_unit|un10_column_counter_siglto9 ; +wire \inst|vga_driver_unit|column_counter_sig_2 ; +wire \inst|vga_control_unit|un5_v_enablelto3 ; +wire \inst|vga_control_unit|un5_v_enablelto5_0 ; +wire \inst|vga_control_unit|un5_v_enablelto7 ; +wire \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; +wire \inst|vga_driver_unit|line_counter_sig_0 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ; +wire \inst|vga_driver_unit|line_counter_sig_2 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ; +wire \inst|vga_driver_unit|line_counter_sig_1 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ; +wire \inst|vga_driver_unit|line_counter_sig_3 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ; +wire \inst|vga_driver_unit|line_counter_sig_4 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ; +wire \inst|vga_driver_unit|line_counter_sig_5 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ; +wire \inst|vga_driver_unit|line_counter_sig_7 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ; +wire \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ; +wire \inst|vga_driver_unit|line_counter_sig_8 ; +wire \inst|vga_driver_unit|un10_line_counter_siglt4_2 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto5 ; +wire \inst|vga_driver_unit|un10_line_counter_siglto8 ; +wire \inst|vga_driver_unit|line_counter_sig_6 ; +wire \inst|vga_control_unit|un17_v_enablelt2 ; +wire \inst|vga_control_unit|un17_v_enablelto5 ; +wire \inst|vga_control_unit|un17_v_enablelto7 ; +wire \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|v_enable_sig ; +wire \inst|vga_control_unit|b_next_0_g0_3 ; +wire \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; +wire \inst|vga_driver_unit|h_enable_sig ; +wire \inst|vga_control_unit|un9_v_enablelto6 ; +wire \inst|vga_control_unit|un9_v_enablelto9 ; +wire \inst|vga_control_unit|toggle_counter_sig_0 ; +wire \inst|vga_control_unit|toggle_counter_sig_1 ; +wire \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ; +wire \inst|vga_control_unit|toggle_counter_sig_2 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ; +wire \inst|vga_control_unit|toggle_counter_sig_3 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ; +wire \inst|vga_control_unit|toggle_counter_sig_4 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ; +wire \inst|vga_control_unit|toggle_counter_sig_5 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ; +wire \inst|vga_control_unit|toggle_counter_sig_7 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ; +wire \inst|vga_control_unit|toggle_counter_sig_6 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ; +wire \inst|vga_control_unit|toggle_counter_sig_9 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ; +wire \inst|vga_control_unit|toggle_counter_sig_8 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto7_4 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto7 ; +wire \inst|vga_control_unit|toggle_counter_sig_11 ; +wire \inst|vga_control_unit|toggle_counter_sig_10 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto10 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ; +wire \inst|vga_control_unit|toggle_counter_sig_12 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ; +wire \inst|vga_control_unit|toggle_counter_sig_13 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ; +wire \inst|vga_control_unit|toggle_counter_sig_15 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ; +wire \inst|vga_control_unit|toggle_counter_sig_14 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ; +wire \inst|vga_control_unit|toggle_counter_sig_17 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ; +wire \inst|vga_control_unit|toggle_counter_sig_16 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ; +wire \inst|vga_control_unit|toggle_counter_sig_18 ; +wire \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ; +wire \inst|vga_control_unit|toggle_counter_sig_19 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto19_4 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto19_5 ; +wire \inst|vga_control_unit|un1_toggle_counter_siglto19 ; +wire \inst|vga_control_unit|toggle_sig_0_0_0_g1 ; +wire \inst|vga_control_unit|toggle_sig ; +wire \inst|vga_control_unit|b_next_0_g0_5 ; +wire \inst|vga_control_unit|un13_v_enablelto8_a ; +wire \inst|vga_control_unit|un13_v_enablelto8 ; +wire \inst|vga_control_unit|b ; +wire [17:1] \inst|vga_control_unit|toggle_counter_sig_cout ; +wire [0:0] \inst|vga_control_unit|un2_toggle_counter_next_cout ; +wire [8:0] \inst|vga_driver_unit|hsync_counter_cout ; +wire [1:1] \inst|vga_driver_unit|un1_line_counter_sig_a_cout ; +wire [9:1] \inst|vga_driver_unit|un1_line_counter_sig_combout ; +wire [7:1] \inst|vga_driver_unit|un1_line_counter_sig_cout ; +wire [9:1] \inst|vga_driver_unit|un2_column_counter_next_combout ; +wire [7:0] \inst|vga_driver_unit|un2_column_counter_next_cout ; +wire [8:0] \inst|vga_driver_unit|vsync_counter_cout ; +wire [1:0] \inst|dly_counter ; + +wire [5:0] \inst1|altpll_component|pll_CLK_bus ; + +assign \inst1|altpll_component|_clk0 = \inst1|altpll_component|pll_CLK_bus [0]; +assign \inst1|altpll_component|pll~CLK1 = \inst1|altpll_component|pll_CLK_bus [1]; +assign \inst1|altpll_component|pll~CLK2 = \inst1|altpll_component|pll_CLK_bus [2]; +assign \inst1|altpll_component|pll~CLK3 = \inst1|altpll_component|pll_CLK_bus [3]; +assign \inst1|altpll_component|pll~CLK4 = \inst1|altpll_component|pll_CLK_bus [4]; +assign \inst1|altpll_component|pll~CLK5 = \inst1|altpll_component|pll_CLK_bus [5]; + +// atom is at PIN_N3 +stratix_io \board_clk~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\board_clk~combout ), + .regout(), + .ddioregout(), + .padio(board_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \board_clk~I .ddio_mode = "none"; +defparam \board_clk~I .input_async_reset = "none"; +defparam \board_clk~I .input_power_up = "low"; +defparam \board_clk~I .input_register_mode = "none"; +defparam \board_clk~I .input_sync_reset = "none"; +defparam \board_clk~I .oe_async_reset = "none"; +defparam \board_clk~I .oe_power_up = "low"; +defparam \board_clk~I .oe_register_mode = "none"; +defparam \board_clk~I .oe_sync_reset = "none"; +defparam \board_clk~I .operation_mode = "input"; +defparam \board_clk~I .output_async_reset = "none"; +defparam \board_clk~I .output_power_up = "low"; +defparam \board_clk~I .output_register_mode = "none"; +defparam \board_clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PLL_1 +stratix_pll \inst1|altpll_component|pll ( + .fbin(vcc), + .ena(vcc), + .clkswitch(gnd), + .areset(gnd), + .pfdena(vcc), + .scanclk(gnd), + .scanaclr(gnd), + .scandata(gnd), + .comparator(gnd), + .inclk({gnd,\board_clk~combout }), + .clkena(6'b111111), + .extclkena(4'b1111), + .activeclock(), + .clkloss(), + .locked(), + .scandataout(), + .enable0(), + .enable1(), + .clk(\inst1|altpll_component|pll_CLK_bus ), + .extclk(), + .clkbad()); +// synopsys translate_off +defparam \inst1|altpll_component|pll .clk0_counter = "g0"; +defparam \inst1|altpll_component|pll .clk0_divide_by = 38; +defparam \inst1|altpll_component|pll .clk0_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk0_multiply_by = 31; +defparam \inst1|altpll_component|pll .clk0_phase_shift = "-725"; +defparam \inst1|altpll_component|pll .clk1_divide_by = 1; +defparam \inst1|altpll_component|pll .clk1_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk1_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk1_phase_shift = "0"; +defparam \inst1|altpll_component|pll .clk2_divide_by = 1; +defparam \inst1|altpll_component|pll .clk2_duty_cycle = 50; +defparam \inst1|altpll_component|pll .clk2_multiply_by = 1; +defparam \inst1|altpll_component|pll .clk2_phase_shift = "0"; +defparam \inst1|altpll_component|pll .compensate_clock = "clk0"; +defparam \inst1|altpll_component|pll .enable_switch_over_counter = "off"; +defparam \inst1|altpll_component|pll .g0_high = 10; +defparam \inst1|altpll_component|pll .g0_initial = 1; +defparam \inst1|altpll_component|pll .g0_low = 9; +defparam \inst1|altpll_component|pll .g0_mode = "odd"; +defparam \inst1|altpll_component|pll .g0_ph = 0; +defparam \inst1|altpll_component|pll .gate_lock_counter = 0; +defparam \inst1|altpll_component|pll .gate_lock_signal = "no"; +defparam \inst1|altpll_component|pll .inclk0_input_frequency = 30003; +defparam \inst1|altpll_component|pll .inclk1_input_frequency = 30003; +defparam \inst1|altpll_component|pll .invalid_lock_multiplier = 5; +defparam \inst1|altpll_component|pll .l0_high = 13; +defparam \inst1|altpll_component|pll .l0_initial = 1; +defparam \inst1|altpll_component|pll .l0_low = 13; +defparam \inst1|altpll_component|pll .l0_mode = "even"; +defparam \inst1|altpll_component|pll .l0_ph = 0; +defparam \inst1|altpll_component|pll .l1_mode = "bypass"; +defparam \inst1|altpll_component|pll .l1_ph = 0; +defparam \inst1|altpll_component|pll .m = 31; +defparam \inst1|altpll_component|pll .m_initial = 1; +defparam \inst1|altpll_component|pll .m_ph = 3; +defparam \inst1|altpll_component|pll .n = 2; +defparam \inst1|altpll_component|pll .operation_mode = "normal"; +defparam \inst1|altpll_component|pll .pfd_max = 100000; +defparam \inst1|altpll_component|pll .pfd_min = 2000; +defparam \inst1|altpll_component|pll .pll_compensation_delay = 1713; +defparam \inst1|altpll_component|pll .pll_type = "fast"; +defparam \inst1|altpll_component|pll .primary_clock = "inclk0"; +defparam \inst1|altpll_component|pll .qualify_conf_done = "off"; +defparam \inst1|altpll_component|pll .simulation_type = "timing"; +defparam \inst1|altpll_component|pll .skip_vco = "off"; +defparam \inst1|altpll_component|pll .switch_over_counter = 1; +defparam \inst1|altpll_component|pll .switch_over_on_gated_lock = "off"; +defparam \inst1|altpll_component|pll .switch_over_on_lossclk = "off"; +defparam \inst1|altpll_component|pll .valid_lock_multiplier = 1; +defparam \inst1|altpll_component|pll .vco_center = 1250; +defparam \inst1|altpll_component|pll .vco_max = 3334; +defparam \inst1|altpll_component|pll .vco_min = 1000; +// synopsys translate_on + +// atom is at PIN_A5 +stratix_io \inst|reset_pin_in~I ( + .datain(gnd), + .ddiodatain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .ddioregout(), + .padio(reset), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|reset_pin_in~I .ddio_mode = "none"; +defparam \inst|reset_pin_in~I .input_async_reset = "none"; +defparam \inst|reset_pin_in~I .input_power_up = "low"; +defparam \inst|reset_pin_in~I .input_register_mode = "none"; +defparam \inst|reset_pin_in~I .input_sync_reset = "none"; +defparam \inst|reset_pin_in~I .oe_async_reset = "none"; +defparam \inst|reset_pin_in~I .oe_power_up = "low"; +defparam \inst|reset_pin_in~I .oe_register_mode = "none"; +defparam \inst|reset_pin_in~I .oe_sync_reset = "none"; +defparam \inst|reset_pin_in~I .operation_mode = "input"; +defparam \inst|reset_pin_in~I .output_async_reset = "none"; +defparam \inst|reset_pin_in~I .output_power_up = "low"; +defparam \inst|reset_pin_in~I .output_register_mode = "none"; +defparam \inst|reset_pin_in~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N6 +stratix_lcell \inst|dly_counter_1_ ( +// Equation(s): +// \inst|dly_counter [1] = DFFEAS(\reset~combout & (\inst|dly_counter [0] # \inst|dly_counter [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\reset~combout ), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_1_ .lut_mask = "ccc0"; +defparam \inst|dly_counter_1_ .operation_mode = "normal"; +defparam \inst|dly_counter_1_ .output_mode = "reg_only"; +defparam \inst|dly_counter_1_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_1_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N4 +stratix_lcell \inst|dly_counter_0_ ( +// Equation(s): +// \inst|dly_counter [0] = DFFEAS(\reset~combout & (\inst|dly_counter [1] # !\inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\reset~combout ), + .datab(\inst|dly_counter [0]), + .datac(vcc), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|dly_counter [0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|dly_counter_0_ .lut_mask = "aa22"; +defparam \inst|dly_counter_0_ .operation_mode = "normal"; +defparam \inst|dly_counter_0_ .output_mode = "reg_only"; +defparam \inst|dly_counter_0_ .register_cascade_mode = "off"; +defparam \inst|dly_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|dly_counter_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un6_dly_counter_0_x = !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout +// \inst|vga_driver_unit|vsync_state_6 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\reset~combout ), + .datac(\inst|dly_counter [0]), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .regout(\inst|vga_driver_unit|vsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_6_ .lut_mask = "3fff"; +defparam \inst|vga_driver_unit|vsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X18_Y42_N5 +stratix_lcell \inst|vga_driver_unit|hsync_state_6_ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_hsync_counter = E1_hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 +// \inst|vga_driver_unit|hsync_state_6 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|un6_dly_counter_0_x , , , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datad(\inst|vga_driver_unit|hsync_state_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_hsync_counter ), + .regout(\inst|vga_driver_unit|hsync_state_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_6_ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|hsync_state_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_6_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_6_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N0 +stratix_lcell \inst|vga_driver_unit|hsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_0 = DFFEAS(!\inst|vga_driver_unit|hsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , +// !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) +// \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|hsync_counter_0 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_0 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_0_ .lut_mask = "33cc"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N1 +stratix_lcell \inst|vga_driver_unit|hsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_1 = DFFEAS(\inst|vga_driver_unit|hsync_counter_1 $ \inst|vga_driver_unit|hsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [0] # !\inst|vga_driver_unit|hsync_counter_1 ) +// \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|hsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N2 +stratix_lcell \inst|vga_driver_unit|hsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_2 = DFFEAS(\inst|vga_driver_unit|hsync_counter_2 $ (!\inst|vga_driver_unit|hsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout [1])) +// \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N3 +stratix_lcell \inst|vga_driver_unit|hsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_3 = DFFEAS(\inst|vga_driver_unit|hsync_counter_3 $ (\inst|vga_driver_unit|hsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [2] # !\inst|vga_driver_unit|hsync_counter_3 ) +// \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|hsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N7 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_7 = \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_2 & \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_1 ), + .datab(\inst|vga_driver_unit|hsync_counter_2 ), + .datac(\inst|vga_driver_unit|hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N4 +stratix_lcell \inst|vga_driver_unit|hsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_4 = DFFEAS(\inst|vga_driver_unit|hsync_counter_4 $ (!\inst|vga_driver_unit|hsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|hsync_counter_4 & (!\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_4 ), + .cout(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N5 +stratix_lcell \inst|vga_driver_unit|hsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_5 = DFFEAS(\inst|vga_driver_unit|hsync_counter_5 $ \inst|vga_driver_unit|hsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_5 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N6 +stratix_lcell \inst|vga_driver_unit|hsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_6 = DFFEAS(\inst|vga_driver_unit|hsync_counter_6 $ !(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [5]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout [5]) +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_6 ), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N7 +stratix_lcell \inst|vga_driver_unit|hsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_7 = DFFEAS(\inst|vga_driver_unit|hsync_counter_7 $ ((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [6]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [6] # !\inst|vga_driver_unit|hsync_counter_7 ) +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|hsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N8 +stratix_lcell \inst|vga_driver_unit|hsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_8 = DFFEAS(\inst|vga_driver_unit|hsync_counter_8 $ (!(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [7]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & +// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) +// \inst|vga_driver_unit|hsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout [7])) +// \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|hsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X21_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [8]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|hsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|hsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_2_i ), + .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|hsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|hsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|hsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N4 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9_3 = !\inst|vga_driver_unit|hsync_counter_4 # !\inst|vga_driver_unit|hsync_counter_7 # !\inst|vga_driver_unit|hsync_counter_6 # !\inst|vga_driver_unit|hsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(\inst|vga_driver_unit|hsync_counter_6 ), + .datac(\inst|vga_driver_unit|hsync_counter_7 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N5 +stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_hsync_counterlt9 = \inst|vga_driver_unit|un9_hsync_counterlt9_3 # !\inst|vga_driver_unit|hsync_counter_8 # !\inst|vga_driver_unit|hsync_counter_9 # !\inst|vga_driver_unit|un13_hsync_counter_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .datab(\inst|vga_driver_unit|hsync_counter_9 ), + .datac(\inst|vga_driver_unit|hsync_counter_8 ), + .datad(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N0 +stratix_lcell \inst|vga_driver_unit|G_2 ( +// Equation(s): +// \inst|vga_driver_unit|G_2_i = !\inst|vga_driver_unit|hsync_state_6 & !\inst|vga_driver_unit|hsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_hsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_6 ), + .datab(\inst|vga_driver_unit|hsync_state_0 ), + .datac(\inst|vga_driver_unit|un9_hsync_counterlt9 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_2_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_2 .lut_mask = "0f1f"; +defparam \inst|vga_driver_unit|G_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter_2 = !\inst|vga_driver_unit|hsync_counter_5 & \inst|vga_driver_unit|hsync_counter_8 & \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_5 ), + .datab(\inst|vga_driver_unit|hsync_counter_8 ), + .datac(\inst|vga_driver_unit|hsync_counter_9 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .lut_mask = "4000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N6 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un13_hsync_counter = !\inst|vga_driver_unit|hsync_counter_7 & \inst|vga_driver_unit|un13_hsync_counter_2 & \inst|vga_driver_unit|un13_hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_7 ), + .datab(\inst|vga_driver_unit|un13_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|un13_hsync_counter_7 ), + .datad(\inst|vga_driver_unit|hsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .lut_mask = "0040"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N3 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|hsync_counter_1 & !\inst|vga_driver_unit|hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_counter_0 ), + .datac(\inst|vga_driver_unit|hsync_counter_1 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .lut_mask = "0040"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N6 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 ( +// Equation(s): +// \inst|vga_driver_unit|un11_hsync_counter_2 = !\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_7 & \inst|vga_driver_unit|hsync_counter_2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_6 ), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .lut_mask = "4040"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N8 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_1 = !\inst|vga_driver_unit|hsync_counter_9 & (!\inst|vga_driver_unit|hsync_counter_8 & !\inst|vga_driver_unit|hsync_counter_5 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_9 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_counter_8 ), + .datad(\inst|vga_driver_unit|hsync_counter_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .lut_mask = "0005"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N0 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_4 = \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_3 ), + .datab(\inst|vga_driver_unit|hsync_counter_1 ), + .datac(\inst|vga_driver_unit|hsync_counter_6 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N1 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un10_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_0 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .lut_mask = "0003"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N2 +stratix_lcell \inst|vga_driver_unit|hsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_5 = DFFEAS(\inst|vga_driver_unit|hsync_state_0 # \inst|vga_driver_unit|hsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_0 ), + .datad(\inst|vga_driver_unit|hsync_state_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_5_ .lut_mask = "fff0"; +defparam \inst|vga_driver_unit|hsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N5 +stratix_lcell \inst|vga_driver_unit|hsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_4 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_1 & \inst|vga_driver_unit|un10_hsync_counter_4 & \inst|vga_driver_unit|un10_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_5 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datab(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datac(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_state_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_4_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N7 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|hsync_state_4 & (!\inst|vga_driver_unit|un10_hsync_counter_1 # !\inst|vga_driver_unit|un11_hsync_counter_2 # !\inst|vga_driver_unit|un11_hsync_counter_3 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|hsync_state_4 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .lut_mask = "70f0"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N4 +stratix_lcell \inst|vga_driver_unit|hsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_1 = DFFEAS(\inst|vga_driver_unit|un11_hsync_counter_3 & \inst|vga_driver_unit|un11_hsync_counter_2 & \inst|vga_driver_unit|hsync_state_4 & \inst|vga_driver_unit|un10_hsync_counter_1 , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un11_hsync_counter_3 ), + .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ), + .datac(\inst|vga_driver_unit|hsync_state_4 ), + .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_1_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|hsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|un12_hsync_counter & !\inst|vga_driver_unit|un13_hsync_counter & (\inst|vga_driver_unit|hsync_state_2 ) # !\inst|vga_driver_unit|un12_hsync_counter & (E1_hsync_state_3 # +// !\inst|vga_driver_unit|un13_hsync_counter & \inst|vga_driver_unit|hsync_state_2 ) +// \inst|vga_driver_unit|hsync_state_3 = DFFEAS(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , \inst|vga_driver_unit|hsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un12_hsync_counter ), + .datab(\inst|vga_driver_unit|un13_hsync_counter ), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(\inst|vga_driver_unit|hsync_state_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .regout(\inst|vga_driver_unit|hsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_ .lut_mask = "7350"; +defparam \inst|vga_driver_unit|hsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|hsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|hsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N3 +stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|hsync_state_5 & (!\inst|vga_driver_unit|un10_hsync_counter_3 # !\inst|vga_driver_unit|un10_hsync_counter_1 # !\inst|vga_driver_unit|un10_hsync_counter_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ), + .datab(\inst|vga_driver_unit|un10_hsync_counter_1 ), + .datac(\inst|vga_driver_unit|un10_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_state_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .lut_mask = "7f00"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N2 +stratix_lcell \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 & !\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 & +// !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ), + .datab(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ), + .datac(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .lut_mask = "ff01"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N9 +stratix_lcell \inst|vga_driver_unit|hsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_2 = DFFEAS(\inst|vga_driver_unit|hsync_state_3 & \inst|vga_driver_unit|un12_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_3 ), + .datad(\inst|vga_driver_unit|un12_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_2_ .lut_mask = "f000"; +defparam \inst|vga_driver_unit|hsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N7 +stratix_lcell \inst|vga_driver_unit|hsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_state_0 = DFFEAS(\inst|vga_driver_unit|un13_hsync_counter & (\inst|vga_driver_unit|hsync_state_2 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un13_hsync_counter ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|hsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_state_0_ .lut_mask = "cc00"; +defparam \inst|vga_driver_unit|hsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|hsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_state_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N3 +stratix_lcell \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa = \inst|dly_counter [0] & \inst|dly_counter [1] & \reset~combout & !\inst|vga_driver_unit|d_set_hsync_counter + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\inst|dly_counter [1]), + .datac(\reset~combout ), + .datad(\inst|vga_driver_unit|d_set_hsync_counter ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N2 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_4 = !\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_7 & \inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_6 ), + .datab(\inst|vga_driver_unit|hsync_counter_7 ), + .datac(\inst|vga_driver_unit|hsync_counter_2 ), + .datad(\inst|vga_driver_unit|hsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .lut_mask = "0010"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y43_N9 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter_3 = \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_8 & !\inst|vga_driver_unit|hsync_counter_3 & !\inst|vga_driver_unit|hsync_counter_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_9 ), + .datab(\inst|vga_driver_unit|hsync_counter_8 ), + .datac(\inst|vga_driver_unit|hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .lut_mask = "0008"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X22_Y42_N8 +stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter ( +// Equation(s): +// \inst|vga_driver_unit|un12_hsync_counter = \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|un12_hsync_counter_4 & \inst|vga_driver_unit|un12_hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_counter_0 ), + .datab(\inst|vga_driver_unit|un12_hsync_counter_4 ), + .datac(\inst|vga_driver_unit|un12_hsync_counter_3 ), + .datad(\inst|vga_driver_unit|hsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_hsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .lut_mask = "8000"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .operation_mode = "normal"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N4 +stratix_lcell \inst|vga_driver_unit|un1_hsync_state_3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_hsync_state_3_0 = \inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_3 ), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .lut_mask = "fcfc"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N5 +stratix_lcell \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_sync_1_0_0_0_g1 = \inst|vga_driver_unit|un1_hsync_state_3_0 & (\inst|vga_driver_unit|h_sync ) # !\inst|vga_driver_unit|un1_hsync_state_3_0 & (\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|h_sync ) # +// !\inst|vga_driver_unit|hsync_state_2 & \inst|vga_driver_unit|hsync_state_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un1_hsync_state_3_0 ), + .datab(\inst|vga_driver_unit|hsync_state_2 ), + .datac(\inst|vga_driver_unit|hsync_state_4 ), + .datad(\inst|vga_driver_unit|h_sync ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .lut_mask = "fe10"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N6 +stratix_lcell \inst|vga_driver_unit|h_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_sync = DFFEAS(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 # !\inst|dly_counter [1] # !\reset~combout # !\inst|dly_counter [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|dly_counter [0]), + .datab(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ), + .datac(\reset~combout ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_sync_Z .lut_mask = "dfff"; +defparam \inst|vga_driver_unit|h_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N0 +stratix_lcell \inst|vga_driver_unit|vsync_counter_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_0 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter $ \inst|vga_driver_unit|vsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|vsync_counter_0 ) +// \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|vsync_counter_0 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|vsync_counter_0 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_0 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_0_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N1 +stratix_lcell \inst|vga_driver_unit|vsync_counter_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_1 = DFFEAS(\inst|vga_driver_unit|vsync_counter_1 $ \inst|vga_driver_unit|vsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [0] # !\inst|vga_driver_unit|vsync_counter_1 ) +// \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|vsync_counter_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_1 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [0]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_1 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N2 +stratix_lcell \inst|vga_driver_unit|vsync_counter_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_2 $ (!\inst|vga_driver_unit|vsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout [1])) +// \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [1]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_2 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N3 +stratix_lcell \inst|vga_driver_unit|vsync_counter_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_3 = DFFEAS(\inst|vga_driver_unit|vsync_counter_3 $ (\inst|vga_driver_unit|vsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [2] # !\inst|vga_driver_unit|vsync_counter_3 ) +// \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|vsync_counter_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_3 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [2]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_3 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N3 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_6 = !\inst|vga_driver_unit|vsync_counter_3 # !\inst|vga_driver_unit|vsync_counter_1 # !\inst|vga_driver_unit|vsync_counter_2 # !\inst|vga_driver_unit|vsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|vsync_counter_2 ), + .datac(\inst|vga_driver_unit|vsync_counter_1 ), + .datad(\inst|vga_driver_unit|vsync_counter_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N4 +stratix_lcell \inst|vga_driver_unit|vsync_counter_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_4 = DFFEAS(\inst|vga_driver_unit|vsync_counter_4 $ (!\inst|vga_driver_unit|vsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|vsync_counter_4 & (!\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [3]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_4 ), + .cout(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N5 +stratix_lcell \inst|vga_driver_unit|vsync_counter_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_5 = DFFEAS(\inst|vga_driver_unit|vsync_counter_5 $ \inst|vga_driver_unit|vsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , +// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_5 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_5 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_5_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .lut_mask = "3c3f"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N6 +stratix_lcell \inst|vga_driver_unit|vsync_counter_6_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_6 = DFFEAS(\inst|vga_driver_unit|vsync_counter_6 $ !(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [5]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout [5]) +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [5]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_6 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .lut_mask = "c30c"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N7 +stratix_lcell \inst|vga_driver_unit|vsync_counter_7_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_7 = DFFEAS(\inst|vga_driver_unit|vsync_counter_7 $ ((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [6]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [6] # !\inst|vga_driver_unit|vsync_counter_7 ) +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|vsync_counter_7 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_7 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [6]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_7 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .lut_mask = "5a5f"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N8 +stratix_lcell \inst|vga_driver_unit|vsync_counter_8_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_8 = DFFEAS(\inst|vga_driver_unit|vsync_counter_8 $ (!(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [7]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & +// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) +// \inst|vga_driver_unit|vsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout [7])) +// \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_8 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [7]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_8 ), + .cout(), + .cout0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cout1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .lut_mask = "a50a"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y43_N9 +stratix_lcell \inst|vga_driver_unit|vsync_counter_9_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [8]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ) $ +// \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|G_16_i ), + .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .ena(vcc), + .cin(\inst|vga_driver_unit|vsync_counter_cout [4]), + .cin0(\inst|vga_driver_unit|vsync_counter_cout [8]), + .cin1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_counter_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .cin_used = "true"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .lut_mask = "0ff0"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|vsync_counter_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N7 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9_5 = !\inst|vga_driver_unit|vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_7 # !\inst|vga_driver_unit|vsync_counter_6 # !\inst|vga_driver_unit|vsync_counter_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_9 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_7 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .lut_mask = "7fff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 ( +// Equation(s): +// \inst|vga_driver_unit|un9_vsync_counterlt9 = \inst|vga_driver_unit|un9_vsync_counterlt9_6 # \inst|vga_driver_unit|un9_vsync_counterlt9_5 # !\inst|vga_driver_unit|vsync_counter_5 # !\inst|vga_driver_unit|vsync_counter_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ), + .datab(\inst|vga_driver_unit|vsync_counter_4 ), + .datac(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .lut_mask = "fbff"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N2 +stratix_lcell \inst|vga_driver_unit|G_16 ( +// Equation(s): +// \inst|vga_driver_unit|G_16_i = !\inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x & !\inst|vga_driver_unit|vsync_state_6 # !\inst|vga_driver_unit|un9_vsync_counterlt9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_0 ), + .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datac(\inst|vga_driver_unit|un9_vsync_counterlt9 ), + .datad(\inst|vga_driver_unit|vsync_state_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|G_16_i ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|G_16 .lut_mask = "0f1f"; +defparam \inst|vga_driver_unit|G_16 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|G_16 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|G_16 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|G_16 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|G_16 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_7 = !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_1 & !\inst|vga_driver_unit|vsync_counter_2 & !\inst|vga_driver_unit|vsync_counter_3 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_4 ), + .datab(\inst|vga_driver_unit|vsync_counter_1 ), + .datac(\inst|vga_driver_unit|vsync_counter_2 ), + .datad(\inst|vga_driver_unit|vsync_counter_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N2 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 ( +// Equation(s): +// \inst|vga_driver_unit|un12_vsync_counter_6 = !\inst|vga_driver_unit|vsync_counter_5 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_5 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_7 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N1 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 ( +// Equation(s): +// \inst|vga_driver_unit|un14_vsync_counter_8 = \inst|vga_driver_unit|un12_vsync_counter_7 & (\inst|vga_driver_unit|un12_vsync_counter_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .lut_mask = "aa00"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N8 +stratix_lcell \inst|vga_driver_unit|vsync_state_5_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_5 = DFFEAS(\inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|vsync_state_6 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_5_ .lut_mask = "fcfc"; +defparam \inst|vga_driver_unit|vsync_state_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N8 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|vsync_state_5 & (\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|un14_vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_state_5 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .lut_mask = "f070"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N6 +stratix_lcell \inst|vga_driver_unit|vsync_state_4_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_4 = DFFEAS(\inst|vga_driver_unit|vsync_state_5 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_counter_0 & !\inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_state_5 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_4_ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|vsync_state_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N4 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_3 = !\inst|vga_driver_unit|vsync_counter_9 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_9 ), + .datab(\inst|vga_driver_unit|vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_counter_7 ), + .datad(\inst|vga_driver_unit|vsync_counter_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .lut_mask = "0001"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N3 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un13_vsync_counter_4 = \inst|vga_driver_unit|vsync_counter_5 & \inst|vga_driver_unit|un13_vsync_counter_3 & \inst|vga_driver_unit|vsync_counter_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_5 ), + .datab(\inst|vga_driver_unit|un13_vsync_counter_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .lut_mask = "8080"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N3 +stratix_lcell \inst|vga_driver_unit|vsync_state_1_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_1 = DFFEAS(\inst|vga_driver_unit|un12_vsync_counter_7 & \inst|vga_driver_unit|vsync_state_4 & \inst|vga_driver_unit|un13_vsync_counter_4 & !\inst|vga_driver_unit|un6_dly_counter_0_x , +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .datab(\inst|vga_driver_unit|vsync_state_4 ), + .datac(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_1_ .lut_mask = "0080"; +defparam \inst|vga_driver_unit|vsync_state_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N6 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 = E1_vsync_state_3 & (!\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|un14_vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_0 ) +// \inst|vga_driver_unit|vsync_state_3 = DFFEAS(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , \inst|vga_driver_unit|vsync_state_1 , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(vcc), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .regout(\inst|vga_driver_unit|vsync_state_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_ .lut_mask = "70f0"; +defparam \inst|vga_driver_unit|vsync_state_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_ .output_mode = "reg_and_comb"; +defparam \inst|vga_driver_unit|vsync_state_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_ .sum_lutc_input = "qfbk"; +defparam \inst|vga_driver_unit|vsync_state_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N5 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|vsync_state_4 & (!\inst|vga_driver_unit|un12_vsync_counter_7 # !\inst|vga_driver_unit|un13_vsync_counter_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_4 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|un13_vsync_counter_4 ), + .datad(\inst|vga_driver_unit|un12_vsync_counter_7 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .lut_mask = "0aaa"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y43_N5 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_3 = \inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_2 & !\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|vsync_counter_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_counter_3 ), + .datab(\inst|vga_driver_unit|vsync_counter_2 ), + .datac(\inst|vga_driver_unit|vsync_counter_0 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .lut_mask = "0200"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y43_N2 +stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 ( +// Equation(s): +// \inst|vga_driver_unit|un15_vsync_counter_4 = \inst|vga_driver_unit|un15_vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_1 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un15_vsync_counter_3 ), + .datac(\inst|vga_driver_unit|vsync_counter_4 ), + .datad(\inst|vga_driver_unit|vsync_counter_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .lut_mask = "000c"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N9 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 # \inst|vga_driver_unit|vsync_state_2 & (!\inst|vga_driver_unit|un15_vsync_counter_4 # !\inst|vga_driver_unit|un12_vsync_counter_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ), + .datac(\inst|vga_driver_unit|vsync_state_2 ), + .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .lut_mask = "dcfc"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N7 +stratix_lcell \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_next_2_sqmuxa = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 & !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 & +// !\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ), + .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ), + .datac(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .lut_mask = "ff01"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y43_N4 +stratix_lcell \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 = \inst|vga_driver_unit|un12_vsync_counter_6 & \inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|un15_vsync_counter_4 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ), + .datac(\inst|vga_driver_unit|vsync_state_2 ), + .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .lut_mask = "c000"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y42_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_0_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_0 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa # !\inst|vga_driver_unit|un6_dly_counter_0_x & +// (\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 # \inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .datab(\inst|vga_driver_unit|vsync_state_0 ), + .datac(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .datad(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_0_ .lut_mask = "5d0c"; +defparam \inst|vga_driver_unit|vsync_state_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N9 +stratix_lcell \inst|vga_driver_unit|d_set_vsync_counter_cZ ( +// Equation(s): +// \inst|vga_driver_unit|d_set_vsync_counter = \inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_0 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|vsync_state_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|d_set_vsync_counter ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .lut_mask = "ffaa"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N8 +stratix_lcell \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa = \inst|dly_counter [1] & !\inst|vga_driver_unit|d_set_vsync_counter & \inst|dly_counter [0] & \reset~combout + + .clk(gnd), + .dataa(\inst|dly_counter [1]), + .datab(\inst|vga_driver_unit|d_set_vsync_counter ), + .datac(\inst|dly_counter [0]), + .datad(\reset~combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .lut_mask = "2000"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N0 +stratix_lcell \inst|vga_driver_unit|vsync_state_2_ ( +// Equation(s): +// \inst|vga_driver_unit|vsync_state_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|vsync_state_3 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_counter_0 ), + .datab(\inst|vga_driver_unit|vsync_state_3 ), + .datac(\inst|vga_driver_unit|un14_vsync_counter_8 ), + .datad(\inst|vga_driver_unit|vsync_counter_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|vsync_state_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|vsync_state_2_ .lut_mask = "8000"; +defparam \inst|vga_driver_unit|vsync_state_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|vsync_state_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|vsync_state_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|vsync_state_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|vsync_state_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N7 +stratix_lcell \inst|vga_driver_unit|un1_vsync_state_2_0_cZ ( +// Equation(s): +// \inst|vga_driver_unit|un1_vsync_state_2_0 = \inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|vsync_state_3 ), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .lut_mask = "fcfc"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N5 +stratix_lcell \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_sync_1_0_0_0_g1 = \inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|v_sync ) # !\inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|un1_vsync_state_2_0 & (\inst|vga_driver_unit|v_sync ) # +// !\inst|vga_driver_unit|un1_vsync_state_2_0 & \inst|vga_driver_unit|vsync_state_4 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_2 ), + .datab(\inst|vga_driver_unit|vsync_state_4 ), + .datac(\inst|vga_driver_unit|un1_vsync_state_2_0 ), + .datad(\inst|vga_driver_unit|v_sync ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .lut_mask = "fe04"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N9 +stratix_lcell \inst|vga_driver_unit|v_sync_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_sync = DFFEAS(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 # !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\reset~combout ), + .datab(\inst|dly_counter [0]), + .datac(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_sync ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_sync_Z .lut_mask = "f7ff"; +defparam \inst|vga_driver_unit|v_sync_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_sync_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_sync_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_sync_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_sync_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X51_Y30_N5 +stratix_lcell \~STRATIX_FITTER_CREATED_GND~I ( +// Equation(s): +// \~STRATIX_FITTER_CREATED_GND~I_combout = GND + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \~STRATIX_FITTER_CREATED_GND~I .lut_mask = "0000"; +defparam \~STRATIX_FITTER_CREATED_GND~I .operation_mode = "normal"; +defparam \~STRATIX_FITTER_CREATED_GND~I .output_mode = "comb_only"; +defparam \~STRATIX_FITTER_CREATED_GND~I .register_cascade_mode = "off"; +defparam \~STRATIX_FITTER_CREATED_GND~I .sum_lutc_input = "datac"; +defparam \~STRATIX_FITTER_CREATED_GND~I .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X25_Y42_N1 +stratix_lcell \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 = \inst|dly_counter [0] & \reset~combout & !\inst|vga_driver_unit|hsync_state_1 & \inst|dly_counter [1] + + .clk(gnd), + .dataa(\inst|dly_counter [0]), + .datab(\reset~combout ), + .datac(\inst|vga_driver_unit|hsync_state_1 ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0800"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N4 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_0 = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_0 # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|column_counter_sig_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_0_ .lut_mask = "0fff"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N0 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [1] = \inst|vga_driver_unit|column_counter_sig_0 $ \inst|vga_driver_unit|column_counter_sig_1 +// \inst|vga_driver_unit|un2_column_counter_next_cout [1] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N5 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [1] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [1]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_1_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N1 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [3] = \inst|vga_driver_unit|column_counter_sig_3 $ (\inst|vga_driver_unit|column_counter_sig_2 & \inst|vga_driver_unit|un2_column_counter_next_cout [1]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [3] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [1] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N2 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [3] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [3]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_3_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X49_Y32_N0 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_0_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_cout [0] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|column_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y32_N1 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [2] = \inst|vga_driver_unit|column_counter_sig_2 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [0]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [2] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [0] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y32_N2 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [4] = \inst|vga_driver_unit|column_counter_sig_4 $ !\inst|vga_driver_unit|un2_column_counter_next_cout [2] +// \inst|vga_driver_unit|un2_column_counter_next_cout [4] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [2]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N8 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [4] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [4]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_4_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N2 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [5] = \inst|vga_driver_unit|column_counter_sig_5 $ (\inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [5] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3]) +// \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_5 ), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .lut_mask = "a608"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N9 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [5] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [5]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_5_ .lut_mask = "f3f3"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X49_Y32_N3 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [6] = \inst|vga_driver_unit|column_counter_sig_6 $ \inst|vga_driver_unit|un2_column_counter_next_cout [4] +// \inst|vga_driver_unit|un2_column_counter_next_cout [6] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [4] # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_7 ), + .datab(\inst|vga_driver_unit|column_counter_sig_6 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .lut_mask = "3c7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N6 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [6] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [6]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_6_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N3 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [7] = \inst|vga_driver_unit|column_counter_sig_7 $ (\inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|un2_column_counter_next_cout [5]) +// \inst|vga_driver_unit|un2_column_counter_next_cout [7] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [5] # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) +// \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_6 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .lut_mask = "6c7f"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N8 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [7] & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_column_counter_siglto9 ), +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un2_column_counter_next_combout [7]), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_7_ .lut_mask = "a000"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y32_N4 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [8] = \inst|vga_driver_unit|column_counter_sig_8 $ !\inst|vga_driver_unit|un2_column_counter_next_cout [6] + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_8 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .lut_mask = "c3c3"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N7 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|un10_column_counter_siglto9 & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un2_column_counter_next_combout [8]), +// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [8]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_8_ .lut_mask = "a000"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N4 +stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un2_column_counter_next_combout [9] = \inst|vga_driver_unit|column_counter_sig_9 $ (\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|un2_column_counter_next_cout [7]) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_9 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]), + .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .lut_mask = "f50a"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N9 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_9 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [9] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [9]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_9 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_9_ .lut_mask = "ff55"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N0 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6_2 = !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_4 # !\inst|vga_driver_unit|column_counter_sig_2 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|column_counter_sig_4 ), + .datad(\inst|vga_driver_unit|column_counter_sig_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .lut_mask = "5fff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N3 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6_1 = !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_5 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_5 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|column_counter_sig_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .lut_mask = "33ff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N7 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglt6 = \inst|vga_driver_unit|un10_column_counter_siglt6_2 # \inst|vga_driver_unit|un10_column_counter_siglt6_1 # !\inst|vga_driver_unit|column_counter_sig_1 # !\inst|vga_driver_unit|column_counter_sig_0 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_0 ), + .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_2 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .datad(\inst|vga_driver_unit|column_counter_sig_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .lut_mask = "fdff"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N5 +stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 ( +// Equation(s): +// \inst|vga_driver_unit|un10_column_counter_siglto9 = !\inst|vga_driver_unit|column_counter_sig_7 & \inst|vga_driver_unit|un10_column_counter_siglt6 & !\inst|vga_driver_unit|column_counter_sig_8 # !\inst|vga_driver_unit|column_counter_sig_9 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_9 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_driver_unit|un10_column_counter_siglt6 ), + .datad(\inst|vga_driver_unit|column_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .lut_mask = "5575"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y32_N1 +stratix_lcell \inst|vga_driver_unit|column_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|column_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [2] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ), + .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [2]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|column_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|column_counter_sig_2_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|column_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N4 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 ( +// Equation(s): +// \inst|vga_control_unit|un5_v_enablelto3 = \inst|vga_driver_unit|column_counter_sig_3 & (\inst|vga_driver_unit|column_counter_sig_2 # \inst|vga_driver_unit|column_counter_sig_0 # \inst|vga_driver_unit|column_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|column_counter_sig_3 ), + .datac(\inst|vga_driver_unit|column_counter_sig_0 ), + .datad(\inst|vga_driver_unit|column_counter_sig_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un5_v_enablelto3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .lut_mask = "ccc8"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X48_Y33_N6 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 ( +// Equation(s): +// \inst|vga_control_unit|un5_v_enablelto5_0 = \inst|vga_driver_unit|column_counter_sig_4 # \inst|vga_driver_unit|column_counter_sig_5 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|column_counter_sig_4 ), + .datac(\inst|vga_driver_unit|column_counter_sig_5 ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un5_v_enablelto5_0 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .lut_mask = "fcfc"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N2 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 ( +// Equation(s): +// \inst|vga_control_unit|un5_v_enablelto7 = \inst|vga_driver_unit|column_counter_sig_7 & \inst|vga_driver_unit|column_counter_sig_6 & (\inst|vga_control_unit|un5_v_enablelto3 # \inst|vga_control_unit|un5_v_enablelto5_0 ) + + .clk(gnd), + .dataa(\inst|vga_control_unit|un5_v_enablelto3 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_driver_unit|column_counter_sig_6 ), + .datad(\inst|vga_control_unit|un5_v_enablelto5_0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un5_v_enablelto7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .lut_mask = "c080"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N5 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [1] = \inst|vga_driver_unit|line_counter_sig_0 $ \inst|vga_driver_unit|d_set_hsync_counter +// \inst|vga_driver_unit|un1_line_counter_sig_cout [1] = CARRY(\inst|vga_driver_unit|line_counter_sig_0 & \inst|vga_driver_unit|d_set_hsync_counter ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 = CARRY(\inst|vga_driver_unit|line_counter_sig_0 & \inst|vga_driver_unit|d_set_hsync_counter ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_0 ), + .datab(\inst|vga_driver_unit|d_set_hsync_counter ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .lut_mask = "6688"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 = \reset~combout & \inst|dly_counter [0] & !\inst|vga_driver_unit|vsync_state_1 & \inst|dly_counter [1] + + .clk(gnd), + .dataa(\reset~combout ), + .datab(\inst|dly_counter [0]), + .datac(\inst|vga_driver_unit|vsync_state_1 ), + .datad(\inst|dly_counter [1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0800"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N4 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_0_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_0 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [1] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_0_ .lut_mask = "f3f3"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N6 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [3] = \inst|vga_driver_unit|line_counter_sig_2 $ (\inst|vga_driver_unit|line_counter_sig_1 & \inst|vga_driver_unit|un1_line_counter_sig_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [3] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [1] # !\inst|vga_driver_unit|line_counter_sig_1 # !\inst|vga_driver_unit|line_counter_sig_2 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 # !\inst|vga_driver_unit|line_counter_sig_1 # !\inst|vga_driver_unit|line_counter_sig_2 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_2 ), + .datab(\inst|vga_driver_unit|line_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N3 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [3] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_2 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_2_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N0 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_a_1_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) +// \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|d_set_hsync_counter ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .lut_mask = "ff88"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .output_mode = "none"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N1 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_2_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [2] = \inst|vga_driver_unit|line_counter_sig_1 $ (\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [2] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .lut_mask = "5a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X3_Y33_N4 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_1_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [2] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_1 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_1_ .lut_mask = "ff33"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N2 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [4] = \inst|vga_driver_unit|line_counter_sig_3 $ !\inst|vga_driver_unit|un1_line_counter_sig_cout [2] +// \inst|vga_driver_unit|un1_line_counter_sig_cout [4] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [2]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .lut_mask = "c308"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N9 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_3_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [4] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_3 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_3_ .lut_mask = "aaff"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N7 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [5] = \inst|vga_driver_unit|line_counter_sig_4 $ (\inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [5] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .lut_mask = "a608"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N1 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_4_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [5] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_4 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_4_ .lut_mask = "f3f3"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N3 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [6] = \inst|vga_driver_unit|line_counter_sig_5 $ \inst|vga_driver_unit|un1_line_counter_sig_cout [4] +// \inst|vga_driver_unit|un1_line_counter_sig_cout [6] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [4] # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_6 ), + .datab(\inst|vga_driver_unit|line_counter_sig_5 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .lut_mask = "3c7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X3_Y33_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_5_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un10_line_counter_siglto8 & \inst|vga_driver_unit|un1_line_counter_sig_combout [6] & \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , GLOBAL(\inst1|altpll_component|_clk0 ), +// VCC, , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]), + .datad(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_5 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_5_ .lut_mask = "c000"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_5_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N4 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [8] = \inst|vga_driver_unit|line_counter_sig_7 $ (!\inst|vga_driver_unit|un1_line_counter_sig_cout [6]) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_7 ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .lut_mask = "a5a5"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N7 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [8] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_7 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_7_ .lut_mask = "aaff"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N8 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_7_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [7] = \inst|vga_driver_unit|line_counter_sig_6 $ (\inst|vga_driver_unit|line_counter_sig_5 & \inst|vga_driver_unit|un1_line_counter_sig_cout [5]) +// \inst|vga_driver_unit|un1_line_counter_sig_cout [7] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [5] # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) +// \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_6 ), + .datab(\inst|vga_driver_unit|line_counter_sig_5 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .regout(), + .cout(), + .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 )); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .lut_mask = "6a7f"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N9 +stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_9_ ( +// Equation(s): +// \inst|vga_driver_unit|un1_line_counter_sig_combout [9] = \inst|vga_driver_unit|line_counter_sig_8 $ (\inst|vga_driver_unit|line_counter_sig_7 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [7]) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_7 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]), + .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin0_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin1_used = "true"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .lut_mask = "f50a"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N0 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_8_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [9] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_8 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_8_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N8 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglt4_2 = !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_0 # !\inst|vga_driver_unit|line_counter_sig_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_0 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .lut_mask = "77ff"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N5 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto5 = !\inst|vga_driver_unit|line_counter_sig_5 & (\inst|vga_driver_unit|un10_line_counter_siglt4_2 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_1 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ), + .datad(\inst|vga_driver_unit|line_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .lut_mask = "00f7"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X2_Y33_N6 +stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 ( +// Equation(s): +// \inst|vga_driver_unit|un10_line_counter_siglto8 = \inst|vga_driver_unit|un10_line_counter_siglto5 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_8 # !\inst|vga_driver_unit|line_counter_sig_7 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_7 ), + .datab(\inst|vga_driver_unit|line_counter_sig_8 ), + .datac(\inst|vga_driver_unit|line_counter_sig_6 ), + .datad(\inst|vga_driver_unit|un10_line_counter_siglto5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .lut_mask = "ff7f"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .operation_mode = "normal"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X1_Y33_N2 +stratix_lcell \inst|vga_driver_unit|line_counter_sig_6_ ( +// Equation(s): +// \inst|vga_driver_unit|line_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [7] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , +// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ), + .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]), + .aclr(gnd), + .aload(gnd), + .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|line_counter_sig_6 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|line_counter_sig_6_ .lut_mask = "ff0f"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|line_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X3_Y33_N5 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 ( +// Equation(s): +// \inst|vga_control_unit|un17_v_enablelt2 = \inst|vga_driver_unit|line_counter_sig_0 # \inst|vga_driver_unit|line_counter_sig_2 # \inst|vga_driver_unit|line_counter_sig_1 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_0 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|line_counter_sig_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un17_v_enablelt2 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .lut_mask = "ffee"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X3_Y33_N8 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 ( +// Equation(s): +// \inst|vga_control_unit|un17_v_enablelto5 = \inst|vga_driver_unit|line_counter_sig_4 # \inst|vga_driver_unit|line_counter_sig_5 # \inst|vga_control_unit|un17_v_enablelt2 & \inst|vga_driver_unit|line_counter_sig_3 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_control_unit|un17_v_enablelt2 ), + .datac(\inst|vga_driver_unit|line_counter_sig_3 ), + .datad(\inst|vga_driver_unit|line_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un17_v_enablelto5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .lut_mask = "ffea"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N1 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 ( +// Equation(s): +// \inst|vga_control_unit|un17_v_enablelto7 = \inst|vga_driver_unit|line_counter_sig_6 & \inst|vga_driver_unit|line_counter_sig_7 & \inst|vga_control_unit|un17_v_enablelto5 + + .clk(gnd), + .dataa(vcc), + .datab(\inst|vga_driver_unit|line_counter_sig_6 ), + .datac(\inst|vga_driver_unit|line_counter_sig_7 ), + .datad(\inst|vga_control_unit|un17_v_enablelto5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un17_v_enablelto7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .lut_mask = "c000"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y42_N8 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_5 & !\inst|vga_driver_unit|hsync_state_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|hsync_state_5 ), + .datab(vcc), + .datac(\inst|vga_driver_unit|hsync_state_4 ), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff05"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X23_Y43_N1 +stratix_lcell \inst|vga_driver_unit|v_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|v_enable_sig = DFFEAS(\inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_driver_unit|hsync_state_3 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|hsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|v_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|v_enable_sig_Z .lut_mask = "ffcc"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|v_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N8 +stratix_lcell \inst|vga_control_unit|b_next_0_g0_3_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_g0_3 = \inst|vga_driver_unit|v_enable_sig & !\inst|vga_driver_unit|column_counter_sig_9 & !\inst|vga_driver_unit|line_counter_sig_8 & !\inst|vga_driver_unit|column_counter_sig_8 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|v_enable_sig ), + .datab(\inst|vga_driver_unit|column_counter_sig_9 ), + .datac(\inst|vga_driver_unit|line_counter_sig_8 ), + .datad(\inst|vga_driver_unit|column_counter_sig_8 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_g0_3 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .lut_mask = "0002"; +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X24_Y41_N1 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_5 & !\inst|vga_driver_unit|vsync_state_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|vsync_state_5 ), + .datab(\inst|vga_driver_unit|vsync_state_4 ), + .datac(vcc), + .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff11"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N0 +stratix_lcell \inst|vga_driver_unit|h_enable_sig_Z ( +// Equation(s): +// \inst|vga_driver_unit|h_enable_sig = DFFEAS(\inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 , , , +// \inst|vga_driver_unit|un6_dly_counter_0_x , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_driver_unit|vsync_state_3 ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_driver_unit|vsync_state_1 ), + .aclr(gnd), + .aload(gnd), + .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .sload(gnd), + .ena(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_driver_unit|h_enable_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_driver_unit|h_enable_sig_Z .lut_mask = "ffaa"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .operation_mode = "normal"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_driver_unit|h_enable_sig_Z .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N9 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 ( +// Equation(s): +// \inst|vga_control_unit|un9_v_enablelto6 = \inst|vga_driver_unit|un10_column_counter_siglt6_1 # !\inst|vga_driver_unit|column_counter_sig_2 & !\inst|vga_driver_unit|column_counter_sig_3 & !\inst|vga_driver_unit|column_counter_sig_4 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_2 ), + .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ), + .datac(\inst|vga_driver_unit|column_counter_sig_3 ), + .datad(\inst|vga_driver_unit|column_counter_sig_4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un9_v_enablelto6 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .lut_mask = "cccd"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N3 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 ( +// Equation(s): +// \inst|vga_control_unit|un9_v_enablelto9 = !\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|column_counter_sig_7 & !\inst|vga_driver_unit|column_counter_sig_9 & \inst|vga_control_unit|un9_v_enablelto6 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|column_counter_sig_8 ), + .datab(\inst|vga_driver_unit|column_counter_sig_7 ), + .datac(\inst|vga_driver_unit|column_counter_sig_9 ), + .datad(\inst|vga_control_unit|un9_v_enablelto6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un9_v_enablelto9 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .lut_mask = "0100"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N6 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_0_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_0 = DFFEAS(!\inst|vga_control_unit|toggle_counter_sig_0 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_0 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_0 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .lut_mask = "00ff"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_counter_sig_0_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N0 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_1_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_1 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_0 $ \inst|vga_control_unit|toggle_counter_sig_1 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [1] = CARRY(\inst|vga_control_unit|toggle_counter_sig_0 & \inst|vga_control_unit|toggle_counter_sig_1 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 = CARRY(\inst|vga_control_unit|toggle_counter_sig_0 & \inst|vga_control_unit|toggle_counter_sig_1 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_0 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_1 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_1 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [1]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .lut_mask = "6688"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_counter_sig_1_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N0 +stratix_lcell \inst|vga_control_unit|un2_toggle_counter_next_0_ ( +// Equation(s): +// \inst|vga_control_unit|un2_toggle_counter_next_cout [0] = CARRY(\inst|vga_control_unit|toggle_counter_sig_1 & \inst|vga_control_unit|toggle_counter_sig_0 ) +// \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 = CARRY(\inst|vga_control_unit|toggle_counter_sig_1 & \inst|vga_control_unit|toggle_counter_sig_0 ) + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_1 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_0 ), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ), + .regout(), + .cout(), + .cout0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]), + .cout1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 )); +// synopsys translate_off +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .lut_mask = "ff88"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .output_mode = "none"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N1 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_2_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_2 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_2 $ \inst|vga_control_unit|un2_toggle_counter_next_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , +// , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [2] = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout [0] # !\inst|vga_control_unit|toggle_counter_sig_2 # !\inst|vga_control_unit|toggle_counter_sig_3 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 # !\inst|vga_control_unit|toggle_counter_sig_2 # !\inst|vga_control_unit|toggle_counter_sig_3 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_3 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_2 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]), + .cin1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_2 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [2]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .lut_mask = "3c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_2_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N1 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_3_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_3 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_3 $ (\inst|vga_control_unit|toggle_counter_sig_2 & \inst|vga_control_unit|toggle_counter_sig_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [3] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [1] # !\inst|vga_control_unit|toggle_counter_sig_3 # !\inst|vga_control_unit|toggle_counter_sig_2 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 # !\inst|vga_control_unit|toggle_counter_sig_3 # !\inst|vga_control_unit|toggle_counter_sig_2 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_2 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_3 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [1]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_3 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [3]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_3_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N2 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_4_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_4 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_4 $ (!\inst|vga_control_unit|toggle_counter_sig_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , +// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [4] = CARRY(\inst|vga_control_unit|toggle_counter_sig_4 & \inst|vga_control_unit|toggle_counter_sig_5 & !\inst|vga_control_unit|toggle_counter_sig_cout [2]) +// \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 = CARRY(\inst|vga_control_unit|toggle_counter_sig_4 & \inst|vga_control_unit|toggle_counter_sig_5 & !\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_4 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_5 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [2]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_4 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [4]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_4_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N2 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_5_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_5 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_5 $ (\inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [5] = CARRY(\inst|vga_control_unit|toggle_counter_sig_5 & \inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout [3]) +// \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 = CARRY(\inst|vga_control_unit|toggle_counter_sig_5 & \inst|vga_control_unit|toggle_counter_sig_4 & !\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_5 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_4 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [3]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_5 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [5]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_5_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N3 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_7_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_7 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_7 $ (\inst|vga_control_unit|toggle_counter_sig_6 & \inst|vga_control_unit|toggle_counter_sig_cout [5]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [7] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [5] # !\inst|vga_control_unit|toggle_counter_sig_7 # !\inst|vga_control_unit|toggle_counter_sig_6 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 # !\inst|vga_control_unit|toggle_counter_sig_7 # !\inst|vga_control_unit|toggle_counter_sig_6 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_6 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [5]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_7 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [7]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_7_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N3 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_6_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_6 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_6 $ (\inst|vga_control_unit|toggle_counter_sig_cout [4]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [6] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [4] # !\inst|vga_control_unit|toggle_counter_sig_7 # !\inst|vga_control_unit|toggle_counter_sig_6 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 # !\inst|vga_control_unit|toggle_counter_sig_7 # !\inst|vga_control_unit|toggle_counter_sig_6 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_6 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [4]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_6 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [6]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .lut_mask = "5a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_6_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N4 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_9_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_9 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_9 $ (\inst|vga_control_unit|toggle_counter_sig_8 & !\inst|vga_control_unit|toggle_counter_sig_cout [7]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [9] = CARRY(\inst|vga_control_unit|toggle_counter_sig_8 & \inst|vga_control_unit|toggle_counter_sig_9 & !\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_9 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [7]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_9 ), + .cout(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .lut_mask = "c608"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_9_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N4 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_8_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_8 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_8 $ (!\inst|vga_control_unit|toggle_counter_sig_cout [6]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , +// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [8] = CARRY(\inst|vga_control_unit|toggle_counter_sig_8 & \inst|vga_control_unit|toggle_counter_sig_9 & !\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_9 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [6]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_8 ), + .cout(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_8_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N5 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto7_4 = !\inst|vga_control_unit|toggle_counter_sig_7 & !\inst|vga_control_unit|toggle_counter_sig_5 & !\inst|vga_control_unit|toggle_counter_sig_1 & !\inst|vga_control_unit|toggle_counter_sig_6 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_7 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_5 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_1 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto7_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .lut_mask = "0001"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N9 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto7 = !\inst|vga_control_unit|toggle_counter_sig_3 & !\inst|vga_control_unit|toggle_counter_sig_4 & \inst|vga_control_unit|un1_toggle_counter_siglto7_4 & !\inst|vga_control_unit|toggle_counter_sig_2 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_3 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_4 ), + .datac(\inst|vga_control_unit|un1_toggle_counter_siglto7_4 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto7 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .lut_mask = "0010"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N5 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_11_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_11 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_11 $ (\inst|vga_control_unit|toggle_counter_sig_10 & \inst|vga_control_unit|toggle_counter_sig_cout [9]), GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [11] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11 # !\inst|vga_control_unit|toggle_counter_sig_10 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_10 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_11 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_11 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [11]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_11_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N5 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_10_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_10 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_10 $ \inst|vga_control_unit|toggle_counter_sig_cout [8], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [10] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_10 # !\inst|vga_control_unit|toggle_counter_sig_11 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_10 # !\inst|vga_control_unit|toggle_counter_sig_11 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_11 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_10 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_10 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [10]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .lut_mask = "3c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_10_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N8 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto10 = !\inst|vga_control_unit|toggle_counter_sig_9 & (\inst|vga_control_unit|un1_toggle_counter_siglto7 # !\inst|vga_control_unit|toggle_counter_sig_8 ) # !\inst|vga_control_unit|toggle_counter_sig_10 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ), + .datab(\inst|vga_control_unit|un1_toggle_counter_siglto7 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_9 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_10 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto10 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .lut_mask = "0dff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N6 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_12_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_12 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_12 $ !(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [10]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [12] = CARRY(\inst|vga_control_unit|toggle_counter_sig_13 & \inst|vga_control_unit|toggle_counter_sig_12 & !\inst|vga_control_unit|toggle_counter_sig_cout [10]) +// \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 = CARRY(\inst|vga_control_unit|toggle_counter_sig_13 & \inst|vga_control_unit|toggle_counter_sig_12 & !\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_12 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [10]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_12 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [12]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .lut_mask = "c308"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_12_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N6 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_13_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_13 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_13 $ (\inst|vga_control_unit|toggle_counter_sig_12 & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [11]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [13] = CARRY(\inst|vga_control_unit|toggle_counter_sig_13 & \inst|vga_control_unit|toggle_counter_sig_12 & !\inst|vga_control_unit|toggle_counter_sig_cout [11]) +// \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 = CARRY(\inst|vga_control_unit|toggle_counter_sig_13 & \inst|vga_control_unit|toggle_counter_sig_12 & !\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_12 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [11]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_13 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [13]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_13_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N7 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_15_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_15 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_15 $ (\inst|vga_control_unit|toggle_counter_sig_14 & (!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [13]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [15] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [13] # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [13]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_15 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [15]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .lut_mask = "6c7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_15_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N7 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_14_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_14 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_14 $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [12]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [14] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [12] # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) +// \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_14 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [12]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_14 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [14]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .lut_mask = "5a7f"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_14_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N8 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_17_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_17 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_17 $ (\inst|vga_control_unit|toggle_counter_sig_16 & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout +// [15]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [17] = CARRY(\inst|vga_control_unit|toggle_counter_sig_17 & \inst|vga_control_unit|toggle_counter_sig_16 & !\inst|vga_control_unit|toggle_counter_sig_cout [15]) +// \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 = CARRY(\inst|vga_control_unit|toggle_counter_sig_17 & \inst|vga_control_unit|toggle_counter_sig_16 & !\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [15]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_17 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [17]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .lut_mask = "a608"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_17_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N8 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_16_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_16 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_16 $ (!(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [14]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , +// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) +// \inst|vga_control_unit|toggle_counter_sig_cout [16] = CARRY(\inst|vga_control_unit|toggle_counter_sig_16 & \inst|vga_control_unit|toggle_counter_sig_17 & !\inst|vga_control_unit|toggle_counter_sig_cout [14]) +// \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 = CARRY(\inst|vga_control_unit|toggle_counter_sig_16 & \inst|vga_control_unit|toggle_counter_sig_17 & !\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datac(vcc), + .datad(vcc), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [14]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_16 ), + .cout(), + .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [16]), + .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 )); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .lut_mask = "a508"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .operation_mode = "arithmetic"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_16_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X52_Y46_N9 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_18_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_18 = DFFEAS((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [16]) # (\inst|vga_control_unit|toggle_counter_sig_cout [8] & +// \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ) $ \inst|vga_control_unit|toggle_counter_sig_18 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 +// , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_18 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [16]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_18 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .lut_mask = "0ff0"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_18_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X51_Y46_N9 +stratix_lcell \inst|vga_control_unit|toggle_counter_sig_19_ ( +// Equation(s): +// \inst|vga_control_unit|toggle_counter_sig_19 = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_19 $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout [17]) # +// (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ) & \inst|vga_control_unit|toggle_counter_sig_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x +// ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(vcc), + .datab(\inst|vga_control_unit|toggle_counter_sig_19 ), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_counter_sig_18 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .sload(gnd), + .ena(vcc), + .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]), + .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [17]), + .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_counter_sig_19 ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin0_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin1_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin_used = "true"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .lut_mask = "3ccc"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .sum_lutc_input = "cin"; +defparam \inst|vga_control_unit|toggle_counter_sig_19_ .synch_mode = "on"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N1 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto19_4 = !\inst|vga_control_unit|toggle_counter_sig_18 # !\inst|vga_control_unit|toggle_counter_sig_17 # !\inst|vga_control_unit|toggle_counter_sig_19 # !\inst|vga_control_unit|toggle_counter_sig_16 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_16 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_19 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_17 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_18 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19_4 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .lut_mask = "7fff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N7 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto19_5 = \inst|vga_control_unit|un1_toggle_counter_siglto19_4 # !\inst|vga_control_unit|toggle_counter_sig_14 # !\inst|vga_control_unit|toggle_counter_sig_15 # !\inst|vga_control_unit|toggle_counter_sig_13 + + .clk(gnd), + .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ), + .datab(\inst|vga_control_unit|un1_toggle_counter_siglto19_4 ), + .datac(\inst|vga_control_unit|toggle_counter_sig_15 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_14 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .lut_mask = "dfff"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N3 +stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 ( +// Equation(s): +// \inst|vga_control_unit|un1_toggle_counter_siglto19 = \inst|vga_control_unit|un1_toggle_counter_siglto19_5 # \inst|vga_control_unit|un1_toggle_counter_siglto10 & !\inst|vga_control_unit|toggle_counter_sig_11 & +// !\inst|vga_control_unit|toggle_counter_sig_12 + + .clk(gnd), + .dataa(\inst|vga_control_unit|un1_toggle_counter_siglto10 ), + .datab(\inst|vga_control_unit|toggle_counter_sig_11 ), + .datac(\inst|vga_control_unit|un1_toggle_counter_siglto19_5 ), + .datad(\inst|vga_control_unit|toggle_counter_sig_12 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .lut_mask = "f0f2"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .operation_mode = "normal"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N4 +stratix_lcell \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ ( +// Equation(s): +// \inst|vga_control_unit|toggle_sig_0_0_0_g1 = \inst|vga_control_unit|un1_toggle_counter_siglto19 + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|un1_toggle_counter_siglto19 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .lut_mask = "ff00"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X50_Y46_N2 +stratix_lcell \inst|vga_control_unit|toggle_sig_Z ( +// Equation(s): +// \inst|vga_control_unit|toggle_sig = DFFEAS(\inst|vga_control_unit|toggle_sig $ (!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|toggle_sig ), + .datab(vcc), + .datac(vcc), + .datad(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|toggle_sig ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|toggle_sig_Z .lut_mask = "aa55"; +defparam \inst|vga_control_unit|toggle_sig_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|toggle_sig_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|toggle_sig_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|toggle_sig_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|toggle_sig_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N7 +stratix_lcell \inst|vga_control_unit|b_next_0_g0_5_cZ ( +// Equation(s): +// \inst|vga_control_unit|b_next_0_g0_5 = \inst|vga_control_unit|b_next_0_g0_3 & \inst|vga_driver_unit|h_enable_sig & !\inst|vga_control_unit|un9_v_enablelto9 & \inst|vga_control_unit|toggle_sig + + .clk(gnd), + .dataa(\inst|vga_control_unit|b_next_0_g0_3 ), + .datab(\inst|vga_driver_unit|h_enable_sig ), + .datac(\inst|vga_control_unit|un9_v_enablelto9 ), + .datad(\inst|vga_control_unit|toggle_sig ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|b_next_0_g0_5 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .lut_mask = "0800"; +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .output_mode = "comb_only"; +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X3_Y33_N6 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a ( +// Equation(s): +// \inst|vga_control_unit|un13_v_enablelto8_a = !\inst|vga_driver_unit|line_counter_sig_4 & !\inst|vga_driver_unit|line_counter_sig_2 & !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_5 + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_4 ), + .datab(\inst|vga_driver_unit|line_counter_sig_2 ), + .datac(\inst|vga_driver_unit|line_counter_sig_3 ), + .datad(\inst|vga_driver_unit|line_counter_sig_5 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un13_v_enablelto8_a ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .lut_mask = "01ff"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N5 +stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 ( +// Equation(s): +// \inst|vga_control_unit|un13_v_enablelto8 = !\inst|vga_driver_unit|line_counter_sig_8 & !\inst|vga_driver_unit|line_counter_sig_7 & (\inst|vga_control_unit|un13_v_enablelto8_a # !\inst|vga_driver_unit|line_counter_sig_6 ) + + .clk(gnd), + .dataa(\inst|vga_driver_unit|line_counter_sig_8 ), + .datab(\inst|vga_control_unit|un13_v_enablelto8_a ), + .datac(\inst|vga_driver_unit|line_counter_sig_7 ), + .datad(\inst|vga_driver_unit|line_counter_sig_6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\inst|vga_control_unit|un13_v_enablelto8 ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .lut_mask = "0405"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .operation_mode = "normal"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .output_mode = "comb_only"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .synch_mode = "off"; +// synopsys translate_on + +// atom is at LC_X49_Y33_N6 +stratix_lcell \inst|vga_control_unit|b_Z ( +// Equation(s): +// \inst|vga_control_unit|b = DFFEAS(!\inst|vga_control_unit|un5_v_enablelto7 & !\inst|vga_control_unit|un17_v_enablelto7 & \inst|vga_control_unit|b_next_0_g0_5 & !\inst|vga_control_unit|un13_v_enablelto8 , GLOBAL(\inst1|altpll_component|_clk0 ), +// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , ) + + .clk(\inst1|altpll_component|_clk0 ), + .dataa(\inst|vga_control_unit|un5_v_enablelto7 ), + .datab(\inst|vga_control_unit|un17_v_enablelto7 ), + .datac(\inst|vga_control_unit|b_next_0_g0_5 ), + .datad(\inst|vga_control_unit|un13_v_enablelto8 ), + .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\inst|vga_control_unit|b ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \inst|vga_control_unit|b_Z .lut_mask = "0010"; +defparam \inst|vga_control_unit|b_Z .operation_mode = "normal"; +defparam \inst|vga_control_unit|b_Z .output_mode = "reg_only"; +defparam \inst|vga_control_unit|b_Z .register_cascade_mode = "off"; +defparam \inst|vga_control_unit|b_Z .sum_lutc_input = "datac"; +defparam \inst|vga_control_unit|b_Z .synch_mode = "off"; +// synopsys translate_on + +// atom is at PIN_L7 +stratix_io \inst|d_hsync_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_out~I .ddio_mode = "none"; +defparam \inst|d_hsync_out~I .input_async_reset = "none"; +defparam \inst|d_hsync_out~I .input_power_up = "low"; +defparam \inst|d_hsync_out~I .input_register_mode = "none"; +defparam \inst|d_hsync_out~I .input_sync_reset = "none"; +defparam \inst|d_hsync_out~I .oe_async_reset = "none"; +defparam \inst|d_hsync_out~I .oe_power_up = "low"; +defparam \inst|d_hsync_out~I .oe_register_mode = "none"; +defparam \inst|d_hsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_out~I .operation_mode = "output"; +defparam \inst|d_hsync_out~I .output_async_reset = "none"; +defparam \inst|d_hsync_out~I .output_power_up = "low"; +defparam \inst|d_hsync_out~I .output_register_mode = "none"; +defparam \inst|d_hsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L5 +stratix_io \inst|d_vsync_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_out~I .ddio_mode = "none"; +defparam \inst|d_vsync_out~I .input_async_reset = "none"; +defparam \inst|d_vsync_out~I .input_power_up = "low"; +defparam \inst|d_vsync_out~I .input_register_mode = "none"; +defparam \inst|d_vsync_out~I .input_sync_reset = "none"; +defparam \inst|d_vsync_out~I .oe_async_reset = "none"; +defparam \inst|d_vsync_out~I .oe_power_up = "low"; +defparam \inst|d_vsync_out~I .oe_register_mode = "none"; +defparam \inst|d_vsync_out~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_out~I .operation_mode = "output"; +defparam \inst|d_vsync_out~I .output_async_reset = "none"; +defparam \inst|d_vsync_out~I .output_power_up = "low"; +defparam \inst|d_vsync_out~I .output_register_mode = "none"; +defparam \inst|d_vsync_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y23 +stratix_io \inst|d_set_column_counter_out~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_column_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_column_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_column_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_column_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_column_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_column_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_column_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F21 +stratix_io \inst|d_set_line_counter_out~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_line_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_line_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_line_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_line_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_line_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_line_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_line_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F26 +stratix_io \inst|d_set_hsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_hsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_hsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_hsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_hsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_hsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_hsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F24 +stratix_io \inst|d_set_vsync_counter_out~I ( + .datain(\inst|vga_driver_unit|d_set_vsync_counter ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_set_vsync_counter), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_set_vsync_counter_out~I .ddio_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .input_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .input_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .oe_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .oe_sync_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .operation_mode = "output"; +defparam \inst|d_set_vsync_counter_out~I .output_async_reset = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_power_up = "low"; +defparam \inst|d_set_vsync_counter_out~I .output_register_mode = "none"; +defparam \inst|d_set_vsync_counter_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L3 +stratix_io \inst|d_r_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_r), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_r_out~I .ddio_mode = "none"; +defparam \inst|d_r_out~I .input_async_reset = "none"; +defparam \inst|d_r_out~I .input_power_up = "low"; +defparam \inst|d_r_out~I .input_register_mode = "none"; +defparam \inst|d_r_out~I .input_sync_reset = "none"; +defparam \inst|d_r_out~I .oe_async_reset = "none"; +defparam \inst|d_r_out~I .oe_power_up = "low"; +defparam \inst|d_r_out~I .oe_register_mode = "none"; +defparam \inst|d_r_out~I .oe_sync_reset = "none"; +defparam \inst|d_r_out~I .operation_mode = "output"; +defparam \inst|d_r_out~I .output_async_reset = "none"; +defparam \inst|d_r_out~I .output_power_up = "low"; +defparam \inst|d_r_out~I .output_register_mode = "none"; +defparam \inst|d_r_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K24 +stratix_io \inst|d_g_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_g), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_g_out~I .ddio_mode = "none"; +defparam \inst|d_g_out~I .input_async_reset = "none"; +defparam \inst|d_g_out~I .input_power_up = "low"; +defparam \inst|d_g_out~I .input_register_mode = "none"; +defparam \inst|d_g_out~I .input_sync_reset = "none"; +defparam \inst|d_g_out~I .oe_async_reset = "none"; +defparam \inst|d_g_out~I .oe_power_up = "low"; +defparam \inst|d_g_out~I .oe_register_mode = "none"; +defparam \inst|d_g_out~I .oe_sync_reset = "none"; +defparam \inst|d_g_out~I .operation_mode = "output"; +defparam \inst|d_g_out~I .output_async_reset = "none"; +defparam \inst|d_g_out~I .output_power_up = "low"; +defparam \inst|d_g_out~I .output_register_mode = "none"; +defparam \inst|d_g_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K20 +stratix_io \inst|d_b_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_b), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_b_out~I .ddio_mode = "none"; +defparam \inst|d_b_out~I .input_async_reset = "none"; +defparam \inst|d_b_out~I .input_power_up = "low"; +defparam \inst|d_b_out~I .input_register_mode = "none"; +defparam \inst|d_b_out~I .input_sync_reset = "none"; +defparam \inst|d_b_out~I .oe_async_reset = "none"; +defparam \inst|d_b_out~I .oe_power_up = "low"; +defparam \inst|d_b_out~I .oe_register_mode = "none"; +defparam \inst|d_b_out~I .oe_sync_reset = "none"; +defparam \inst|d_b_out~I .operation_mode = "output"; +defparam \inst|d_b_out~I .output_async_reset = "none"; +defparam \inst|d_b_out~I .output_power_up = "low"; +defparam \inst|d_b_out~I .output_register_mode = "none"; +defparam \inst|d_b_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J21 +stratix_io \inst|d_h_enable_out~I ( + .datain(\inst|vga_driver_unit|h_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_h_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_h_enable_out~I .ddio_mode = "none"; +defparam \inst|d_h_enable_out~I .input_async_reset = "none"; +defparam \inst|d_h_enable_out~I .input_power_up = "low"; +defparam \inst|d_h_enable_out~I .input_register_mode = "none"; +defparam \inst|d_h_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_h_enable_out~I .oe_power_up = "low"; +defparam \inst|d_h_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_h_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_h_enable_out~I .operation_mode = "output"; +defparam \inst|d_h_enable_out~I .output_async_reset = "none"; +defparam \inst|d_h_enable_out~I .output_power_up = "low"; +defparam \inst|d_h_enable_out~I .output_register_mode = "none"; +defparam \inst|d_h_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H18 +stratix_io \inst|d_v_enable_out~I ( + .datain(\inst|vga_driver_unit|v_enable_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_v_enable), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_v_enable_out~I .ddio_mode = "none"; +defparam \inst|d_v_enable_out~I .input_async_reset = "none"; +defparam \inst|d_v_enable_out~I .input_power_up = "low"; +defparam \inst|d_v_enable_out~I .input_register_mode = "none"; +defparam \inst|d_v_enable_out~I .input_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_async_reset = "none"; +defparam \inst|d_v_enable_out~I .oe_power_up = "low"; +defparam \inst|d_v_enable_out~I .oe_register_mode = "none"; +defparam \inst|d_v_enable_out~I .oe_sync_reset = "none"; +defparam \inst|d_v_enable_out~I .operation_mode = "output"; +defparam \inst|d_v_enable_out~I .output_async_reset = "none"; +defparam \inst|d_v_enable_out~I .output_power_up = "low"; +defparam \inst|d_v_enable_out~I .output_register_mode = "none"; +defparam \inst|d_v_enable_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K3 +stratix_io \inst|d_state_clk_out~I ( + .datain(\inst1|altpll_component|_clk0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_state_clk), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_state_clk_out~I .ddio_mode = "none"; +defparam \inst|d_state_clk_out~I .input_async_reset = "none"; +defparam \inst|d_state_clk_out~I .input_power_up = "low"; +defparam \inst|d_state_clk_out~I .input_register_mode = "none"; +defparam \inst|d_state_clk_out~I .input_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_async_reset = "none"; +defparam \inst|d_state_clk_out~I .oe_power_up = "low"; +defparam \inst|d_state_clk_out~I .oe_register_mode = "none"; +defparam \inst|d_state_clk_out~I .oe_sync_reset = "none"; +defparam \inst|d_state_clk_out~I .operation_mode = "output"; +defparam \inst|d_state_clk_out~I .output_async_reset = "none"; +defparam \inst|d_state_clk_out~I .output_power_up = "low"; +defparam \inst|d_state_clk_out~I .output_register_mode = "none"; +defparam \inst|d_state_clk_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H3 +stratix_io \inst|d_toggle_out~I ( + .datain(\inst|vga_control_unit|toggle_sig ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_out~I .ddio_mode = "none"; +defparam \inst|d_toggle_out~I .input_async_reset = "none"; +defparam \inst|d_toggle_out~I .input_power_up = "low"; +defparam \inst|d_toggle_out~I .input_register_mode = "none"; +defparam \inst|d_toggle_out~I .input_sync_reset = "none"; +defparam \inst|d_toggle_out~I .oe_async_reset = "none"; +defparam \inst|d_toggle_out~I .oe_power_up = "low"; +defparam \inst|d_toggle_out~I .oe_register_mode = "none"; +defparam \inst|d_toggle_out~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_out~I .operation_mode = "output"; +defparam \inst|d_toggle_out~I .output_async_reset = "none"; +defparam \inst|d_toggle_out~I .output_power_up = "low"; +defparam \inst|d_toggle_out~I .output_register_mode = "none"; +defparam \inst|d_toggle_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E22 +stratix_io \inst|r0_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r0_pin_out~I .ddio_mode = "none"; +defparam \inst|r0_pin_out~I .input_async_reset = "none"; +defparam \inst|r0_pin_out~I .input_power_up = "low"; +defparam \inst|r0_pin_out~I .input_register_mode = "none"; +defparam \inst|r0_pin_out~I .input_sync_reset = "none"; +defparam \inst|r0_pin_out~I .oe_async_reset = "none"; +defparam \inst|r0_pin_out~I .oe_power_up = "low"; +defparam \inst|r0_pin_out~I .oe_register_mode = "none"; +defparam \inst|r0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r0_pin_out~I .operation_mode = "output"; +defparam \inst|r0_pin_out~I .output_async_reset = "none"; +defparam \inst|r0_pin_out~I .output_power_up = "low"; +defparam \inst|r0_pin_out~I .output_register_mode = "none"; +defparam \inst|r0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T4 +stratix_io \inst|r1_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r1_pin_out~I .ddio_mode = "none"; +defparam \inst|r1_pin_out~I .input_async_reset = "none"; +defparam \inst|r1_pin_out~I .input_power_up = "low"; +defparam \inst|r1_pin_out~I .input_register_mode = "none"; +defparam \inst|r1_pin_out~I .input_sync_reset = "none"; +defparam \inst|r1_pin_out~I .oe_async_reset = "none"; +defparam \inst|r1_pin_out~I .oe_power_up = "low"; +defparam \inst|r1_pin_out~I .oe_register_mode = "none"; +defparam \inst|r1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r1_pin_out~I .operation_mode = "output"; +defparam \inst|r1_pin_out~I .output_async_reset = "none"; +defparam \inst|r1_pin_out~I .output_power_up = "low"; +defparam \inst|r1_pin_out~I .output_register_mode = "none"; +defparam \inst|r1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T7 +stratix_io \inst|r2_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(r2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|r2_pin_out~I .ddio_mode = "none"; +defparam \inst|r2_pin_out~I .input_async_reset = "none"; +defparam \inst|r2_pin_out~I .input_power_up = "low"; +defparam \inst|r2_pin_out~I .input_register_mode = "none"; +defparam \inst|r2_pin_out~I .input_sync_reset = "none"; +defparam \inst|r2_pin_out~I .oe_async_reset = "none"; +defparam \inst|r2_pin_out~I .oe_power_up = "low"; +defparam \inst|r2_pin_out~I .oe_register_mode = "none"; +defparam \inst|r2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|r2_pin_out~I .operation_mode = "output"; +defparam \inst|r2_pin_out~I .output_async_reset = "none"; +defparam \inst|r2_pin_out~I .output_power_up = "low"; +defparam \inst|r2_pin_out~I .output_register_mode = "none"; +defparam \inst|r2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E23 +stratix_io \inst|g0_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g0_pin_out~I .ddio_mode = "none"; +defparam \inst|g0_pin_out~I .input_async_reset = "none"; +defparam \inst|g0_pin_out~I .input_power_up = "low"; +defparam \inst|g0_pin_out~I .input_register_mode = "none"; +defparam \inst|g0_pin_out~I .input_sync_reset = "none"; +defparam \inst|g0_pin_out~I .oe_async_reset = "none"; +defparam \inst|g0_pin_out~I .oe_power_up = "low"; +defparam \inst|g0_pin_out~I .oe_register_mode = "none"; +defparam \inst|g0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g0_pin_out~I .operation_mode = "output"; +defparam \inst|g0_pin_out~I .output_async_reset = "none"; +defparam \inst|g0_pin_out~I .output_power_up = "low"; +defparam \inst|g0_pin_out~I .output_register_mode = "none"; +defparam \inst|g0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T5 +stratix_io \inst|g1_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g1_pin_out~I .ddio_mode = "none"; +defparam \inst|g1_pin_out~I .input_async_reset = "none"; +defparam \inst|g1_pin_out~I .input_power_up = "low"; +defparam \inst|g1_pin_out~I .input_register_mode = "none"; +defparam \inst|g1_pin_out~I .input_sync_reset = "none"; +defparam \inst|g1_pin_out~I .oe_async_reset = "none"; +defparam \inst|g1_pin_out~I .oe_power_up = "low"; +defparam \inst|g1_pin_out~I .oe_register_mode = "none"; +defparam \inst|g1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g1_pin_out~I .operation_mode = "output"; +defparam \inst|g1_pin_out~I .output_async_reset = "none"; +defparam \inst|g1_pin_out~I .output_power_up = "low"; +defparam \inst|g1_pin_out~I .output_register_mode = "none"; +defparam \inst|g1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T24 +stratix_io \inst|g2_pin_out~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(g2_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|g2_pin_out~I .ddio_mode = "none"; +defparam \inst|g2_pin_out~I .input_async_reset = "none"; +defparam \inst|g2_pin_out~I .input_power_up = "low"; +defparam \inst|g2_pin_out~I .input_register_mode = "none"; +defparam \inst|g2_pin_out~I .input_sync_reset = "none"; +defparam \inst|g2_pin_out~I .oe_async_reset = "none"; +defparam \inst|g2_pin_out~I .oe_power_up = "low"; +defparam \inst|g2_pin_out~I .oe_register_mode = "none"; +defparam \inst|g2_pin_out~I .oe_sync_reset = "none"; +defparam \inst|g2_pin_out~I .operation_mode = "output"; +defparam \inst|g2_pin_out~I .output_async_reset = "none"; +defparam \inst|g2_pin_out~I .output_power_up = "low"; +defparam \inst|g2_pin_out~I .output_register_mode = "none"; +defparam \inst|g2_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E24 +stratix_io \inst|b0_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b0_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b0_pin_out~I .ddio_mode = "none"; +defparam \inst|b0_pin_out~I .input_async_reset = "none"; +defparam \inst|b0_pin_out~I .input_power_up = "low"; +defparam \inst|b0_pin_out~I .input_register_mode = "none"; +defparam \inst|b0_pin_out~I .input_sync_reset = "none"; +defparam \inst|b0_pin_out~I .oe_async_reset = "none"; +defparam \inst|b0_pin_out~I .oe_power_up = "low"; +defparam \inst|b0_pin_out~I .oe_register_mode = "none"; +defparam \inst|b0_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b0_pin_out~I .operation_mode = "output"; +defparam \inst|b0_pin_out~I .output_async_reset = "none"; +defparam \inst|b0_pin_out~I .output_power_up = "low"; +defparam \inst|b0_pin_out~I .output_register_mode = "none"; +defparam \inst|b0_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T6 +stratix_io \inst|b1_pin_out~I ( + .datain(\inst|vga_control_unit|b ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(b1_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|b1_pin_out~I .ddio_mode = "none"; +defparam \inst|b1_pin_out~I .input_async_reset = "none"; +defparam \inst|b1_pin_out~I .input_power_up = "low"; +defparam \inst|b1_pin_out~I .input_register_mode = "none"; +defparam \inst|b1_pin_out~I .input_sync_reset = "none"; +defparam \inst|b1_pin_out~I .oe_async_reset = "none"; +defparam \inst|b1_pin_out~I .oe_power_up = "low"; +defparam \inst|b1_pin_out~I .oe_register_mode = "none"; +defparam \inst|b1_pin_out~I .oe_sync_reset = "none"; +defparam \inst|b1_pin_out~I .operation_mode = "output"; +defparam \inst|b1_pin_out~I .output_async_reset = "none"; +defparam \inst|b1_pin_out~I .output_power_up = "low"; +defparam \inst|b1_pin_out~I .output_register_mode = "none"; +defparam \inst|b1_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F1 +stratix_io \inst|hsync_pin_out~I ( + .datain(\inst|vga_driver_unit|h_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(hsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|hsync_pin_out~I .ddio_mode = "none"; +defparam \inst|hsync_pin_out~I .input_async_reset = "none"; +defparam \inst|hsync_pin_out~I .input_power_up = "low"; +defparam \inst|hsync_pin_out~I .input_register_mode = "none"; +defparam \inst|hsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|hsync_pin_out~I .oe_power_up = "low"; +defparam \inst|hsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|hsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|hsync_pin_out~I .operation_mode = "output"; +defparam \inst|hsync_pin_out~I .output_async_reset = "none"; +defparam \inst|hsync_pin_out~I .output_power_up = "low"; +defparam \inst|hsync_pin_out~I .output_register_mode = "none"; +defparam \inst|hsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F2 +stratix_io \inst|vsync_pin_out~I ( + .datain(\inst|vga_driver_unit|v_sync ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(vsync_pin), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|vsync_pin_out~I .ddio_mode = "none"; +defparam \inst|vsync_pin_out~I .input_async_reset = "none"; +defparam \inst|vsync_pin_out~I .input_power_up = "low"; +defparam \inst|vsync_pin_out~I .input_register_mode = "none"; +defparam \inst|vsync_pin_out~I .input_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_async_reset = "none"; +defparam \inst|vsync_pin_out~I .oe_power_up = "low"; +defparam \inst|vsync_pin_out~I .oe_register_mode = "none"; +defparam \inst|vsync_pin_out~I .oe_sync_reset = "none"; +defparam \inst|vsync_pin_out~I .operation_mode = "output"; +defparam \inst|vsync_pin_out~I .output_async_reset = "none"; +defparam \inst|vsync_pin_out~I .output_power_up = "low"; +defparam \inst|vsync_pin_out~I .output_register_mode = "none"; +defparam \inst|vsync_pin_out~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K5 +stratix_io \inst|d_column_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K19 +stratix_io \inst|d_column_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K23 +stratix_io \inst|d_column_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L2 +stratix_io \inst|d_column_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L4 +stratix_io \inst|d_column_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L6 +stratix_io \inst|d_column_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L20 +stratix_io \inst|d_column_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L21 +stratix_io \inst|d_column_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L22 +stratix_io \inst|d_column_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L23 +stratix_io \inst|d_column_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|column_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_column_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_column_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_column_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_column_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_column_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_column_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G18 +stratix_io \inst|d_hsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G22 +stratix_io \inst|d_hsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G25 +stratix_io \inst|d_hsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C10 +stratix_io \inst|d_hsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_A9 +stratix_io \inst|d_hsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H1 +stratix_io \inst|d_hsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B10 +stratix_io \inst|d_hsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_D10 +stratix_io \inst|d_hsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AC10 +stratix_io \inst|d_hsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H4 +stratix_io \inst|d_hsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y5 +stratix_io \inst|d_hsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|hsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F19 +stratix_io \inst|d_hsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|hsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F17 +stratix_io \inst|d_hsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|hsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y2 +stratix_io \inst|d_hsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|hsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F10 +stratix_io \inst|d_hsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|hsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F9 +stratix_io \inst|d_hsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|hsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F6 +stratix_io \inst|d_hsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|hsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_hsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_hsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_hsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_hsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_hsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L25 +stratix_io \inst|d_line_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_L24 +stratix_io \inst|d_line_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M5 +stratix_io \inst|d_line_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M6 +stratix_io \inst|d_line_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M8 +stratix_io \inst|d_line_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M9 +stratix_io \inst|d_line_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_J22 +stratix_io \inst|d_line_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K4 +stratix_io \inst|d_line_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_K6 +stratix_io \inst|d_line_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|line_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_line_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_line_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_line_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_line_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_line_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_line_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T19 +stratix_io \inst|d_toggle_counter_out_24_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[24]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_24_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_24_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_24_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_24_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_24_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F23 +stratix_io \inst|d_toggle_counter_out_23_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[23]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_23_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_23_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_23_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_23_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_23_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F25 +stratix_io \inst|d_toggle_counter_out_22_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[22]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_22_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_22_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_22_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_22_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_22_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G1 +stratix_io \inst|d_toggle_counter_out_21_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[21]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_21_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_21_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_21_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_21_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_21_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G3 +stratix_io \inst|d_toggle_counter_out_20_~I ( + .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[20]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_20_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_20_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_20_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_20_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_20_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G5 +stratix_io \inst|d_toggle_counter_out_19_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_19 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[19]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_19_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_19_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_19_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_19_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_19_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G20 +stratix_io \inst|d_toggle_counter_out_18_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_18 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[18]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_18_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_18_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_18_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_18_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_18_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G21 +stratix_io \inst|d_toggle_counter_out_17_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_17 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[17]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_17_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_17_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_17_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_17_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_17_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G23 +stratix_io \inst|d_toggle_counter_out_16_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_16 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[16]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_16_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_16_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_16_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_16_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_16_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G24 +stratix_io \inst|d_toggle_counter_out_15_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_15 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[15]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_15_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_15_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_15_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_15_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_15_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F13 +stratix_io \inst|d_toggle_counter_out_14_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_14 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[14]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_14_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_14_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_14_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_14_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_14_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E16 +stratix_io \inst|d_toggle_counter_out_13_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_13 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[13]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_13_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_13_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_13_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_13_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_13_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E14 +stratix_io \inst|d_toggle_counter_out_12_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_12 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[12]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_12_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_12_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_12_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_12_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_12_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_D24 +stratix_io \inst|d_toggle_counter_out_11_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_11 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[11]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_11_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_11_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_11_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_11_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_11_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F12 +stratix_io \inst|d_toggle_counter_out_10_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_10 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[10]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_10_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_10_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_10_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_10_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_10_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C16 +stratix_io \inst|d_toggle_counter_out_9_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H16 +stratix_io \inst|d_toggle_counter_out_8_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA16 +stratix_io \inst|d_toggle_counter_out_7_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F15 +stratix_io \inst|d_toggle_counter_out_6_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C15 +stratix_io \inst|d_toggle_counter_out_5_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y16 +stratix_io \inst|d_toggle_counter_out_4_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_E13 +stratix_io \inst|d_toggle_counter_out_3_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_B16 +stratix_io \inst|d_toggle_counter_out_2_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C25 +stratix_io \inst|d_toggle_counter_out_1_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H26 +stratix_io \inst|d_toggle_counter_out_0_~I ( + .datain(\inst|vga_control_unit|toggle_counter_sig_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_toggle_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_toggle_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_toggle_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_toggle_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_toggle_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_toggle_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G2 +stratix_io \inst|d_vsync_counter_out_9_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_9 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_9_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_9_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_9_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G4 +stratix_io \inst|d_vsync_counter_out_8_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_8 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_8_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_8_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_8_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G6 +stratix_io \inst|d_vsync_counter_out_7_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_7 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_7_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_7_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_7_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_A10 +stratix_io \inst|d_vsync_counter_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_D11 +stratix_io \inst|d_vsync_counter_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H2 +stratix_io \inst|d_vsync_counter_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G10 +stratix_io \inst|d_vsync_counter_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_C11 +stratix_io \inst|d_vsync_counter_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_H10 +stratix_io \inst|d_vsync_counter_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_G9 +stratix_io \inst|d_vsync_counter_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_counter_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_counter[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_counter_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_counter_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_counter_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_counter_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F5 +stratix_io \inst|d_vsync_state_out_0_~I ( + .datain(\inst|vga_driver_unit|vsync_state_0 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_0_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_0_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_0_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F4 +stratix_io \inst|d_vsync_state_out_1_~I ( + .datain(\inst|vga_driver_unit|vsync_state_1 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_1_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_1_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_1_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_F3 +stratix_io \inst|d_vsync_state_out_2_~I ( + .datain(\inst|vga_driver_unit|vsync_state_2 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_2_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_2_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_2_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M19 +stratix_io \inst|d_vsync_state_out_3_~I ( + .datain(\inst|vga_driver_unit|vsync_state_3 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_3_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_3_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_3_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M18 +stratix_io \inst|d_vsync_state_out_4_~I ( + .datain(\inst|vga_driver_unit|vsync_state_4 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_4_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_4_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_4_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M7 +stratix_io \inst|d_vsync_state_out_5_~I ( + .datain(\inst|vga_driver_unit|vsync_state_5 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_5_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_5_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_5_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_M4 +stratix_io \inst|d_vsync_state_out_6_~I ( + .datain(\inst|vga_driver_unit|vsync_state_6 ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(d_vsync_state[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|d_vsync_state_out_6_~I .ddio_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .input_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .input_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .oe_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .oe_sync_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .operation_mode = "output"; +defparam \inst|d_vsync_state_out_6_~I .output_async_reset = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_power_up = "low"; +defparam \inst|d_vsync_state_out_6_~I .output_register_mode = "none"; +defparam \inst|d_vsync_state_out_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_T2 +stratix_io \inst|seven_seg_pin_tri_13_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[13]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_13_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_13_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_13_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_13_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_AA11 +stratix_io \inst|seven_seg_pin_out_12_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[12]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_12_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_12_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_12_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_12_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R6 +stratix_io \inst|seven_seg_pin_out_11_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[11]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_11_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_11_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_11_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_11_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R4 +stratix_io \inst|seven_seg_pin_out_10_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[10]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_10_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_10_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_10_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_10_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N8 +stratix_io \inst|seven_seg_pin_out_9_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[9]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_9_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_9_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_9_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_9_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_N7 +stratix_io \inst|seven_seg_pin_out_8_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[8]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_8_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_8_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_8_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_8_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_Y11 +stratix_io \inst|seven_seg_pin_out_7_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[7]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_7_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_7_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_7_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_7_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R23 +stratix_io \inst|seven_seg_pin_tri_6_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[6]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_6_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_6_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_6_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_6_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R22 +stratix_io \inst|seven_seg_pin_tri_5_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[5]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_5_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_5_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_5_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_5_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R21 +stratix_io \inst|seven_seg_pin_tri_4_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[4]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_4_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_4_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_4_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_4_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R20 +stratix_io \inst|seven_seg_pin_tri_3_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[3]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_3_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_3_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_3_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_3_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R19 +stratix_io \inst|seven_seg_pin_out_2_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[2]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_2_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_2_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_2_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_2_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R9 +stratix_io \inst|seven_seg_pin_out_1_~I ( + .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[1]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_out_1_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_out_1_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_out_1_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_out_1_~I .output_sync_reset = "none"; +// synopsys translate_on + +// atom is at PIN_R8 +stratix_io \inst|seven_seg_pin_tri_0_~I ( + .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ), + .ddiodatain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .delayctrlin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .ddioregout(), + .padio(seven_seg_pin[0]), + .dqsundelayedout()); +// synopsys translate_off +defparam \inst|seven_seg_pin_tri_0_~I .ddio_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .input_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .input_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .oe_sync_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .operation_mode = "output"; +defparam \inst|seven_seg_pin_tri_0_~I .output_async_reset = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_power_up = "low"; +defparam \inst|seven_seg_pin_tri_0_~I .output_register_mode = "none"; +defparam \inst|seven_seg_pin_tri_0_~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf new file mode 100644 index 0000000..f5558a9 --- /dev/null +++ b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf @@ -0,0 +1,304 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.bsf +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/altpll.tdf +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc +source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cbx.lst +design_name = vga_pll +instance = comp, \board_clk~I , board_clk, vga_pll, 1 +instance = comp, \inst1|altpll_component|pll , inst1|altpll_component|pll, vga_pll, 1 +instance = comp, \inst|reset_pin_in~I , inst|reset_pin_in, vga_pll, 1 +instance = comp, \inst|dly_counter_1_ , inst|dly_counter_1_, vga_pll, 1 +instance = comp, \inst|dly_counter_0_ , inst|dly_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_6_ , inst|vga_driver_unit|vsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_6_ , inst|vga_driver_unit|hsync_state_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_0_ , inst|vga_driver_unit|hsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_1_ , inst|vga_driver_unit|hsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_2_ , inst|vga_driver_unit|hsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_3_ , inst|vga_driver_unit|hsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_4_ , inst|vga_driver_unit|hsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_5_ , inst|vga_driver_unit|hsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_6_ , inst|vga_driver_unit|hsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_7_ , inst|vga_driver_unit|hsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_8_ , inst|vga_driver_unit|hsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_9_ , inst|vga_driver_unit|hsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_2 , inst|vga_driver_unit|G_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_5_ , inst|vga_driver_unit|hsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_4_ , inst|vga_driver_unit|hsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_1_ , inst|vga_driver_unit|hsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_ , inst|vga_driver_unit|hsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ , inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_2_ , inst|vga_driver_unit|hsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_state_0_ , inst|vga_driver_unit|hsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_hsync_state_3_0_cZ , inst|vga_driver_unit|un1_hsync_state_3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_sync_Z , inst|vga_driver_unit|h_sync_Z, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_0_ , inst|vga_driver_unit|vsync_counter_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_1_ , inst|vga_driver_unit|vsync_counter_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_2_ , inst|vga_driver_unit|vsync_counter_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_3_ , inst|vga_driver_unit|vsync_counter_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_4_ , inst|vga_driver_unit|vsync_counter_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_5_ , inst|vga_driver_unit|vsync_counter_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_6_ , inst|vga_driver_unit|vsync_counter_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_7_ , inst|vga_driver_unit|vsync_counter_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_8_ , inst|vga_driver_unit|vsync_counter_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_9_ , inst|vga_driver_unit|vsync_counter_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|G_16 , inst|vga_driver_unit|G_16, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 , inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_5_ , inst|vga_driver_unit|vsync_state_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_4_ , inst|vga_driver_unit|vsync_state_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_1_ , inst|vga_driver_unit|vsync_state_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_ , inst|vga_driver_unit|vsync_state_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ , inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ , inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ , inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_0_ , inst|vga_driver_unit|vsync_state_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|d_set_vsync_counter_cZ , inst|vga_driver_unit|d_set_vsync_counter_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|vsync_state_2_ , inst|vga_driver_unit|vsync_state_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_vsync_state_2_0_cZ , inst|vga_driver_unit|un1_vsync_state_2_0_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_sync_Z , inst|vga_driver_unit|v_sync_Z, vga_pll, 1 +instance = comp, \~STRATIX_FITTER_CREATED_GND~I , ~STRATIX_FITTER_CREATED_GND~I, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_0_ , inst|vga_driver_unit|column_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_1_ , inst|vga_driver_unit|un2_column_counter_next_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_1_ , inst|vga_driver_unit|column_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_3_ , inst|vga_driver_unit|un2_column_counter_next_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_3_ , inst|vga_driver_unit|column_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_0_ , inst|vga_driver_unit|un2_column_counter_next_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_2_ , inst|vga_driver_unit|un2_column_counter_next_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_4_ , inst|vga_driver_unit|un2_column_counter_next_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_4_ , inst|vga_driver_unit|column_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_5_ , inst|vga_driver_unit|un2_column_counter_next_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_5_ , inst|vga_driver_unit|column_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_6_ , inst|vga_driver_unit|un2_column_counter_next_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_6_ , inst|vga_driver_unit|column_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_7_ , inst|vga_driver_unit|un2_column_counter_next_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_7_ , inst|vga_driver_unit|column_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_8_ , inst|vga_driver_unit|un2_column_counter_next_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_8_ , inst|vga_driver_unit|column_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un2_column_counter_next_9_ , inst|vga_driver_unit|un2_column_counter_next_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_9_ , inst|vga_driver_unit|column_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|column_counter_sig_2_ , inst|vga_driver_unit|column_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_1_ , inst|vga_driver_unit|un1_line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_0_ , inst|vga_driver_unit|line_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_3_ , inst|vga_driver_unit|un1_line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_2_ , inst|vga_driver_unit|line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_a_1_ , inst|vga_driver_unit|un1_line_counter_sig_a_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_2_ , inst|vga_driver_unit|un1_line_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_1_ , inst|vga_driver_unit|line_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_4_ , inst|vga_driver_unit|un1_line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_3_ , inst|vga_driver_unit|line_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_5_ , inst|vga_driver_unit|un1_line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_4_ , inst|vga_driver_unit|line_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_6_ , inst|vga_driver_unit|un1_line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_5_ , inst|vga_driver_unit|line_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_8_ , inst|vga_driver_unit|un1_line_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_7_ , inst|vga_driver_unit|line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_7_ , inst|vga_driver_unit|un1_line_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_9_ , inst|vga_driver_unit|un1_line_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_8_ , inst|vga_driver_unit|line_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|line_counter_sig_6_ , inst|vga_driver_unit|line_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|v_enable_sig_Z , inst|vga_driver_unit|v_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_g0_3_cZ , inst|vga_control_unit|b_next_0_g0_3_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1 +instance = comp, \inst|vga_driver_unit|h_enable_sig_Z , inst|vga_driver_unit|h_enable_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_0_ , inst|vga_control_unit|toggle_counter_sig_0_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_1_ , inst|vga_control_unit|toggle_counter_sig_1_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|un2_toggle_counter_next_0_ , inst|vga_control_unit|un2_toggle_counter_next_0_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_2_ , inst|vga_control_unit|toggle_counter_sig_2_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_3_ , inst|vga_control_unit|toggle_counter_sig_3_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_4_ , inst|vga_control_unit|toggle_counter_sig_4_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_5_ , inst|vga_control_unit|toggle_counter_sig_5_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_7_ , inst|vga_control_unit|toggle_counter_sig_7_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_6_ , inst|vga_control_unit|toggle_counter_sig_6_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_9_ , inst|vga_control_unit|toggle_counter_sig_9_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_8_ , inst|vga_control_unit|toggle_counter_sig_8_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_11_ , inst|vga_control_unit|toggle_counter_sig_11_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_10_ , inst|vga_control_unit|toggle_counter_sig_10_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_12_ , inst|vga_control_unit|toggle_counter_sig_12_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_13_ , inst|vga_control_unit|toggle_counter_sig_13_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_15_ , inst|vga_control_unit|toggle_counter_sig_15_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_14_ , inst|vga_control_unit|toggle_counter_sig_14_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_17_ , inst|vga_control_unit|toggle_counter_sig_17_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_16_ , inst|vga_control_unit|toggle_counter_sig_16_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_18_ , inst|vga_control_unit|toggle_counter_sig_18_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_counter_sig_19_ , inst|vga_control_unit|toggle_counter_sig_19_, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5, vga_pll, 1 +instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ , inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|toggle_sig_Z , inst|vga_control_unit|toggle_sig_Z, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_next_0_g0_5_cZ , inst|vga_control_unit|b_next_0_g0_5_cZ, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a, vga_pll, 1 +instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8, vga_pll, 1 +instance = comp, \inst|vga_control_unit|b_Z , inst|vga_control_unit|b_Z, vga_pll, 1 +instance = comp, \inst|d_hsync_out~I , inst|d_hsync_out, vga_pll, 1 +instance = comp, \inst|d_vsync_out~I , inst|d_vsync_out, vga_pll, 1 +instance = comp, \inst|d_set_column_counter_out~I , inst|d_set_column_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_line_counter_out~I , inst|d_set_line_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_hsync_counter_out~I , inst|d_set_hsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_set_vsync_counter_out~I , inst|d_set_vsync_counter_out, vga_pll, 1 +instance = comp, \inst|d_r_out~I , inst|d_r_out, vga_pll, 1 +instance = comp, \inst|d_g_out~I , inst|d_g_out, vga_pll, 1 +instance = comp, \inst|d_b_out~I , inst|d_b_out, vga_pll, 1 +instance = comp, \inst|d_h_enable_out~I , inst|d_h_enable_out, vga_pll, 1 +instance = comp, \inst|d_v_enable_out~I , inst|d_v_enable_out, vga_pll, 1 +instance = comp, \inst|d_state_clk_out~I , inst|d_state_clk_out, vga_pll, 1 +instance = comp, \inst|d_toggle_out~I , inst|d_toggle_out, vga_pll, 1 +instance = comp, \inst|r0_pin_out~I , inst|r0_pin_out, vga_pll, 1 +instance = comp, \inst|r1_pin_out~I , inst|r1_pin_out, vga_pll, 1 +instance = comp, \inst|r2_pin_out~I , inst|r2_pin_out, vga_pll, 1 +instance = comp, \inst|g0_pin_out~I , inst|g0_pin_out, vga_pll, 1 +instance = comp, \inst|g1_pin_out~I , inst|g1_pin_out, vga_pll, 1 +instance = comp, \inst|g2_pin_out~I , inst|g2_pin_out, vga_pll, 1 +instance = comp, \inst|b0_pin_out~I , inst|b0_pin_out, vga_pll, 1 +instance = comp, \inst|b1_pin_out~I , inst|b1_pin_out, vga_pll, 1 +instance = comp, \inst|hsync_pin_out~I , inst|hsync_pin_out, vga_pll, 1 +instance = comp, \inst|vsync_pin_out~I , inst|vsync_pin_out, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_9_~I , inst|d_column_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_8_~I , inst|d_column_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_7_~I , inst|d_column_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_6_~I , inst|d_column_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_5_~I , inst|d_column_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_4_~I , inst|d_column_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_3_~I , inst|d_column_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_2_~I , inst|d_column_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_1_~I , inst|d_column_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_column_counter_out_0_~I , inst|d_column_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_9_~I , inst|d_hsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_8_~I , inst|d_hsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_7_~I , inst|d_hsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_6_~I , inst|d_hsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_5_~I , inst|d_hsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_4_~I , inst|d_hsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_3_~I , inst|d_hsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_2_~I , inst|d_hsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_1_~I , inst|d_hsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_counter_out_0_~I , inst|d_hsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_0_~I , inst|d_hsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_1_~I , inst|d_hsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_2_~I , inst|d_hsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_3_~I , inst|d_hsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_4_~I , inst|d_hsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_5_~I , inst|d_hsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_hsync_state_out_6_~I , inst|d_hsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_8_~I , inst|d_line_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_7_~I , inst|d_line_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_6_~I , inst|d_line_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_5_~I , inst|d_line_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_4_~I , inst|d_line_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_3_~I , inst|d_line_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_2_~I , inst|d_line_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_1_~I , inst|d_line_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_line_counter_out_0_~I , inst|d_line_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_24_~I , inst|d_toggle_counter_out_24_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_23_~I , inst|d_toggle_counter_out_23_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_22_~I , inst|d_toggle_counter_out_22_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_21_~I , inst|d_toggle_counter_out_21_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_20_~I , inst|d_toggle_counter_out_20_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_19_~I , inst|d_toggle_counter_out_19_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_18_~I , inst|d_toggle_counter_out_18_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_17_~I , inst|d_toggle_counter_out_17_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_16_~I , inst|d_toggle_counter_out_16_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_15_~I , inst|d_toggle_counter_out_15_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_14_~I , inst|d_toggle_counter_out_14_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_13_~I , inst|d_toggle_counter_out_13_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_12_~I , inst|d_toggle_counter_out_12_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_11_~I , inst|d_toggle_counter_out_11_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_10_~I , inst|d_toggle_counter_out_10_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_9_~I , inst|d_toggle_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_8_~I , inst|d_toggle_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_7_~I , inst|d_toggle_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_6_~I , inst|d_toggle_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_5_~I , inst|d_toggle_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_4_~I , inst|d_toggle_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_3_~I , inst|d_toggle_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_2_~I , inst|d_toggle_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_1_~I , inst|d_toggle_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_toggle_counter_out_0_~I , inst|d_toggle_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_9_~I , inst|d_vsync_counter_out_9_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_8_~I , inst|d_vsync_counter_out_8_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_7_~I , inst|d_vsync_counter_out_7_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_6_~I , inst|d_vsync_counter_out_6_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_5_~I , inst|d_vsync_counter_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_4_~I , inst|d_vsync_counter_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_3_~I , inst|d_vsync_counter_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_2_~I , inst|d_vsync_counter_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_1_~I , inst|d_vsync_counter_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_counter_out_0_~I , inst|d_vsync_counter_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_0_~I , inst|d_vsync_state_out_0_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_1_~I , inst|d_vsync_state_out_1_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_2_~I , inst|d_vsync_state_out_2_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_3_~I , inst|d_vsync_state_out_3_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_4_~I , inst|d_vsync_state_out_4_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_5_~I , inst|d_vsync_state_out_5_, vga_pll, 1 +instance = comp, \inst|d_vsync_state_out_6_~I , inst|d_vsync_state_out_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_13_~I , inst|seven_seg_pin_tri_13_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_12_~I , inst|seven_seg_pin_out_12_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_11_~I , inst|seven_seg_pin_out_11_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_10_~I , inst|seven_seg_pin_out_10_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_9_~I , inst|seven_seg_pin_out_9_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_8_~I , inst|seven_seg_pin_out_8_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_7_~I , inst|seven_seg_pin_out_7_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_6_~I , inst|seven_seg_pin_tri_6_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_5_~I , inst|seven_seg_pin_tri_5_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_4_~I , inst|seven_seg_pin_tri_4_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_3_~I , inst|seven_seg_pin_tri_3_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_2_~I , inst|seven_seg_pin_out_2_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_out_1_~I , inst|seven_seg_pin_out_1_, vga_pll, 1 +instance = comp, \inst|seven_seg_pin_tri_0_~I , inst|seven_seg_pin_tri_0_, vga_pll, 1 diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo new file mode 100644 index 0000000..67e0696 --- /dev/null +++ b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo @@ -0,0 +1,5561 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga_pll") + (DATE "11/03/2009 17:37:44") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE board_clk\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (760:760:760) (760:760:760)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_pll") + (INSTANCE inst1\|altpll_component\|pll) + (DELAY + (ABSOLUTE + (PORT inclk[0] (649:649:649) (649:649:649)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|reset_pin_in\~I.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1141:1141:1141) (1141:1141:1141)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (5257:5257:5257) (5257:5257:5257)) + (PORT datac (1189:1189:1189) (1189:1189:1189)) + (PORT datad (457:457:457) (457:457:457)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|dly_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4837:4837:4837) (4837:4837:4837)) + (PORT datab (948:948:948) (948:948:948)) + (PORT datad (1459:1459:1459) (1459:1459:1459)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|dly_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (5264:5264:5264) (5264:5264:5264)) + (PORT datac (1184:1184:1184) (1184:1184:1184)) + (PORT datad (466:466:466) (466:466:466)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1294:1294:1294) (1294:1294:1294)) + (PORT datad (1064:1064:1064) (1064:1064:1064)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1384:1384:1384) (1384:1384:1384)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2110:2110:2110) (2110:2110:2110)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1001:1001:1001) (1001:1001:1001)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1091:1091:1091) (1091:1091:1091)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (999:999:999) (999:999:999)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1089:1089:1089) (1089:1089:1089)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (998:998:998) (998:998:998)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1088:1088:1088) (1088:1088:1088)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1001:1001:1001) (1001:1001:1001)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1091:1091:1091) (1091:1091:1091)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (701:701:701) (701:701:701)) + (PORT datab (602:602:602) (602:602:602)) + (PORT datac (716:716:716) (716:716:716)) + (PORT datad (643:643:643) (643:643:643)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1004:1004:1004) (1004:1004:1004)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1094:1094:1094) (1094:1094:1094)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1010:1010:1010) (1010:1010:1010)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1100:1100:1100) (1100:1100:1100)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1009:1009:1009) (1009:1009:1009)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1099:1099:1099) (1099:1099:1099)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1008:1008:1008) (1008:1008:1008)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1098:1098:1098) (1098:1098:1098)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1008:1008:1008) (1008:1008:1008)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1098:1098:1098) (1098:1098:1098)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1006:1006:1006) (1006:1006:1006)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1772:1772:1772) (1772:1772:1772)) + (PORT datac (1096:1096:1096) (1096:1096:1096)) + (PORT sclr (1307:1307:1307) (1307:1307:1307)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (679:679:679) (679:679:679)) + (PORT datab (611:611:611) (611:611:611)) + (PORT datac (972:972:972) (972:972:972)) + (PORT datad (699:699:699) (699:699:699)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (367:367:367) (367:367:367)) + (PORT datab (668:668:668) (668:668:668)) + (PORT datac (939:939:939) (939:939:939)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1040:1040:1040) (1040:1040:1040)) + (PORT datab (993:993:993) (993:993:993)) + (PORT datac (374:374:374) (374:374:374)) + (PORT datad (1028:1028:1028) (1028:1028:1028)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (681:681:681) (681:681:681)) + (PORT datab (629:629:629) (629:629:629)) + (PORT datac (689:689:689) (689:689:689)) + (PORT datad (695:695:695) (695:695:695)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (700:700:700) (700:700:700)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (376:376:376) (376:376:376)) + (PORT datad (619:619:619) (619:619:619)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1239:1239:1239) (1239:1239:1239)) + (PORT datab (1156:1156:1156) (1156:1156:1156)) + (PORT datac (1173:1173:1173) (1173:1173:1173)) + (PORT datad (1457:1457:1457) (1457:1457:1457)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1217:1217:1217) (1217:1217:1217)) + (PORT datab (1182:1182:1182) (1182:1182:1182)) + (PORT datac (1161:1161:1161) (1161:1161:1161)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1222:1222:1222) (1222:1222:1222)) + (PORT datac (1137:1137:1137) (1137:1137:1137)) + (PORT datad (1194:1194:1194) (1194:1194:1194)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1235:1235:1235) (1235:1235:1235)) + (PORT datab (1381:1381:1381) (1381:1381:1381)) + (PORT datac (1214:1214:1214) (1214:1214:1214)) + (PORT datad (1456:1456:1456) (1456:1456:1456)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1187:1187:1187) (1187:1187:1187)) + (PORT datac (1159:1159:1159) (1159:1159:1159)) + (PORT datad (1164:1164:1164) (1164:1164:1164)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (682:682:682) (682:682:682)) + (PORT datad (1229:1229:1229) (1229:1229:1229)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1786:1786:1786) (1786:1786:1786)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (PORT ena (1281:1281:1281) (1281:1281:1281)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (376:376:376) (376:376:376)) + (PORT datab (351:351:351) (351:351:351)) + (PORT datac (378:378:378) (378:378:378)) + (PORT datad (1119:1119:1119) (1119:1119:1119)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2182:2182:2182) (2182:2182:2182)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (PORT ena (1803:1803:1803) (1803:1803:1803)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (364:364:364)) + (PORT datab (350:350:350) (350:350:350)) + (PORT datac (457:457:457) (457:457:457)) + (PORT datad (363:363:363) (363:363:363)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (363:363:363) (363:363:363)) + (PORT datab (352:352:352) (352:352:352)) + (PORT datac (460:460:460) (460:460:460)) + (PORT datad (363:363:363) (363:363:363)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2182:2182:2182) (2182:2182:2182)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (PORT ena (1803:1803:1803) (1803:1803:1803)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datab (341:341:341) (341:341:341)) + (PORT datac (1134:1134:1134) (1134:1134:1134)) + (PORT datad (627:627:627) (627:627:627)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1224:1224:1224) (1224:1224:1224)) + (PORT sclr (1810:1810:1810) (1810:1810:1810)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (PORT ena (1089:1089:1089) (1089:1089:1089)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1073:1073:1073) (1073:1073:1073)) + (PORT datab (1044:1044:1044) (1044:1044:1044)) + (PORT datac (1063:1063:1063) (1063:1063:1063)) + (PORT datad (676:676:676) (676:676:676)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1084:1084:1084) (1084:1084:1084)) + (PORT datab (341:341:341) (341:341:341)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (1029:1029:1029) (1029:1029:1029)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (685:685:685) (685:685:685)) + (PORT datad (565:565:565) (565:565:565)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1786:1786:1786) (1786:1786:1786)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (PORT ena (1281:1281:1281) (1281:1281:1281)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (555:555:555) (555:555:555)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1786:1786:1786) (1786:1786:1786)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (PORT ena (1281:1281:1281) (1281:1281:1281)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1393:1393:1393) (1393:1393:1393)) + (PORT datab (986:986:986) (986:986:986)) + (PORT datac (4977:4977:4977) (4977:4977:4977)) + (PORT datad (1255:1255:1255) (1255:1255:1255)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1218:1218:1218) (1218:1218:1218)) + (PORT datab (1182:1182:1182) (1182:1182:1182)) + (PORT datac (1158:1158:1158) (1158:1158:1158)) + (PORT datad (1459:1459:1459) (1459:1459:1459)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1223:1223:1223) (1223:1223:1223)) + (PORT datab (1116:1116:1116) (1116:1116:1116)) + (PORT datac (1237:1237:1237) (1237:1237:1237)) + (PORT datad (1195:1195:1195) (1195:1195:1195)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (655:655:655) (655:655:655)) + (PORT datab (1284:1284:1284) (1284:1284:1284)) + (PORT datac (1075:1075:1075) (1075:1075:1075)) + (PORT datad (688:688:688) (688:688:688)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_hsync_state_3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (670:670:670) (670:670:670)) + (PORT datac (1397:1397:1397) (1397:1397:1397)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (362:362:362) (362:362:362)) + (PORT datab (431:431:431) (431:431:431)) + (PORT datac (1158:1158:1158) (1158:1158:1158)) + (PORT datad (430:430:430) (430:430:430)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1396:1396:1396) (1396:1396:1396)) + (PORT datab (335:335:335) (335:335:335)) + (PORT datac (4973:4973:4973) (4973:4973:4973)) + (PORT datad (994:994:994) (994:994:994)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1425:1425:1425) (1425:1425:1425)) + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1204:1204:1204) (1204:1204:1204)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1294:1294:1294) (1294:1294:1294)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1204:1204:1204) (1204:1204:1204)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1294:1294:1294) (1294:1294:1294)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1203:1203:1203) (1203:1203:1203)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1293:1293:1293) (1293:1293:1293)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1202:1202:1202) (1202:1202:1202)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1292:1292:1292) (1292:1292:1292)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1219:1219:1219) (1219:1219:1219)) + (PORT datab (1422:1422:1422) (1422:1422:1422)) + (PORT datac (1163:1163:1163) (1163:1163:1163)) + (PORT datad (1151:1151:1151) (1151:1151:1151)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1202:1202:1202) (1202:1202:1202)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1292:1292:1292) (1292:1292:1292)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1196:1196:1196) (1196:1196:1196)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1286:1286:1286) (1286:1286:1286)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1196:1196:1196) (1196:1196:1196)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1286:1286:1286) (1286:1286:1286)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1195:1195:1195) (1195:1195:1195)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1285:1285:1285) (1285:1285:1285)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1198:1198:1198) (1198:1198:1198)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1288:1288:1288) (1288:1288:1288)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1200:1200:1200) (1200:1200:1200)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1999:1999:1999) (1999:1999:1999)) + (PORT datac (1290:1290:1290) (1290:1290:1290)) + (PORT sclr (1848:1848:1848) (1848:1848:1848)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1172:1172:1172) (1172:1172:1172)) + (PORT datab (1162:1162:1162) (1162:1162:1162)) + (PORT datac (1162:1162:1162) (1162:1162:1162)) + (PORT datad (1152:1152:1152) (1152:1152:1152)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (360:360:360)) + (PORT datab (1125:1125:1125) (1125:1125:1125)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (1429:1429:1429) (1429:1429:1429)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|G_16.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (706:706:706) (706:706:706)) + (PORT datab (936:936:936) (936:936:936)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (450:450:450) (450:450:450)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1374:1374:1374) (1374:1374:1374)) + (PORT datab (1146:1146:1146) (1146:1146:1146)) + (PORT datac (1453:1453:1453) (1453:1453:1453)) + (PORT datad (1159:1159:1159) (1159:1159:1159)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1451:1451:1451) (1451:1451:1451)) + (PORT datab (1349:1349:1349) (1349:1349:1349)) + (PORT datac (1159:1159:1159) (1159:1159:1159)) + (PORT datad (1370:1370:1370) (1370:1370:1370)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (370:370:370)) + (PORT datad (362:362:362) (362:362:362)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1170:1170:1170) (1170:1170:1170)) + (PORT datac (1136:1136:1136) (1136:1136:1136)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2714:2714:2714) (2714:2714:2714)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (PORT ena (1783:1783:1783) (1783:1783:1783)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1238:1238:1238) (1238:1238:1238)) + (PORT datab (355:355:355) (355:355:355)) + (PORT datac (1118:1118:1118) (1118:1118:1118)) + (PORT datad (1379:1379:1379) (1379:1379:1379)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (443:443:443) (443:443:443)) + (PORT datab (1291:1291:1291) (1291:1291:1291)) + (PORT datac (1241:1241:1241) (1241:1241:1241)) + (PORT datad (1198:1198:1198) (1198:1198:1198)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2714:2714:2714) (2714:2714:2714)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (PORT ena (1783:1783:1783) (1783:1783:1783)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1176:1176:1176) (1176:1176:1176)) + (PORT datab (1166:1166:1166) (1166:1166:1166)) + (PORT datac (1161:1161:1161) (1161:1161:1161)) + (PORT datad (1154:1154:1154) (1154:1154:1154)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1451:1451:1451) (1451:1451:1451)) + (PORT datab (827:827:827) (827:827:827)) + (PORT datac (1230:1230:1230) (1230:1230:1230)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1058:1058:1058) (1058:1058:1058)) + (PORT datab (441:441:441) (441:441:441)) + (PORT datac (1088:1088:1088) (1088:1088:1088)) + (PORT datad (1931:1931:1931) (1931:1931:1931)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1239:1239:1239) (1239:1239:1239)) + (PORT datab (355:355:355) (355:355:355)) + (PORT datac (1123:1123:1123) (1123:1123:1123)) + (PORT datad (1380:1380:1380) (1380:1380:1380)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1213:1213:1213) (1213:1213:1213)) + (PORT sclr (1797:1797:1797) (1797:1797:1797)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (PORT ena (1096:1096:1096) (1096:1096:1096)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1155:1155:1155) (1155:1155:1155)) + (PORT datac (373:373:373) (373:373:373)) + (PORT datad (253:253:253) (253:253:253)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (664:664:664) (664:664:664)) + (PORT datab (693:693:693) (693:693:693)) + (PORT datac (686:686:686) (686:686:686)) + (PORT datad (616:616:616) (616:616:616)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (339:339:339) (339:339:339)) + (PORT datac (642:642:642) (642:642:642)) + (PORT datad (647:647:647) (647:647:647)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (377:377:377) (377:377:377)) + (PORT datab (350:350:350) (350:350:350)) + (PORT datac (1177:1177:1177) (1177:1177:1177)) + (PORT datad (1059:1059:1059) (1059:1059:1059)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (368:368:368) (368:368:368)) + (PORT datab (340:340:340) (340:340:340)) + (PORT datac (571:571:571) (571:571:571)) + (PORT datad (1022:1022:1022) (1022:1022:1022)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1025:1025:1025) (1025:1025:1025)) + (PORT datac (1189:1189:1189) (1189:1189:1189)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1029:1029:1029) (1029:1029:1029)) + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (376:376:376) (376:376:376)) + (PORT datad (1043:1043:1043) (1043:1043:1043)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2064:2064:2064) (2064:2064:2064)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|d_set_vsync_counter_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (709:709:709) (709:709:709)) + (PORT datad (454:454:454) (454:454:454)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1012:1012:1012) (1012:1012:1012)) + (PORT datab (356:356:356) (356:356:356)) + (PORT datac (1189:1189:1189) (1189:1189:1189)) + (PORT datad (5266:5266:5266) (5266:5266:5266)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1243:1243:1243) (1243:1243:1243)) + (PORT datab (1127:1127:1127) (1127:1127:1127)) + (PORT datac (1318:1318:1318) (1318:1318:1318)) + (PORT datad (1202:1202:1202) (1202:1202:1202)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2714:2714:2714) (2714:2714:2714)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (PORT ena (1783:1783:1783) (1783:1783:1783)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_2_0_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1123:1123:1123) (1123:1123:1123)) + (PORT datac (456:456:456) (456:456:456)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_1_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1008:1008:1008) (1008:1008:1008)) + (PORT datab (435:435:435) (435:435:435)) + (PORT datac (371:371:371) (371:371:371)) + (PORT datad (439:439:439) (439:439:439)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4836:4836:4836) (4836:4836:4836)) + (PORT datab (950:950:950) (950:950:950)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (1457:1457:1457) (1457:1457:1457)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2058:2058:2058) (2058:2058:2058)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1190:1190:1190) (1190:1190:1190)) + (PORT datab (5263:5263:5263) (5263:5263:5263)) + (PORT datac (1414:1414:1414) (1414:1414:1414)) + (PORT datad (466:466:466) (466:466:466)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (415:415:415) (415:415:415)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1153:1153:1153) (1153:1153:1153)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1099:1099:1099) (1099:1099:1099)) + (PORT datad (349:349:349) (349:349:349)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3098:3098:3098) (3098:3098:3098)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1194:1194:1194) (1194:1194:1194)) + (PORT datab (1151:1151:1151) (1151:1151:1151)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (417:417:417) (417:417:417)) + (PORT datad (1044:1044:1044) (1044:1044:1044)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (959:959:959) (959:959:959)) + (PORT datab (1132:1132:1132) (1132:1132:1132)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (706:706:706) (706:706:706)) + (PORT datab (606:606:606) (606:606:606)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (950:950:950) (950:950:950)) + (PORT datab (681:681:681) (681:681:681)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (412:412:412) (412:412:412)) + (PORT datad (538:538:538) (538:538:538)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1172:1172:1172) (1172:1172:1172)) + (PORT datab (1182:1182:1182) (1182:1182:1182)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (389:389:389) (389:389:389)) + (PORT datac (1067:1067:1067) (1067:1067:1067)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1365:1365:1365) (1365:1365:1365)) + (PORT datab (583:583:583) (583:583:583)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (406:406:406) (406:406:406)) + (PORT datad (554:554:554) (554:554:554)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1134:1134:1134) (1134:1134:1134)) + (PORT datab (582:582:582) (582:582:582)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datac (2328:2328:2328) (2328:2328:2328)) + (PORT datad (1088:1088:1088) (1088:1088:1088)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1157:1157:1157) (1157:1157:1157)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1099:1099:1099) (1099:1099:1099)) + (PORT datac (2328:2328:2328) (2328:2328:2328)) + (PORT datad (1064:1064:1064) (1064:1064:1064)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (438:438:438) (438:438:438)) + (PORT datad (424:424:424) (424:424:424)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1101:1101:1101) (1101:1101:1101)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3098:3098:3098) (3098:3098:3098)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (703:703:703) (703:703:703)) + (PORT datac (688:688:688) (688:688:688)) + (PORT datad (430:430:430) (430:430:430)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (425:425:425) (425:425:425)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (448:448:448) (448:448:448)) + (PORT datab (348:348:348) (348:348:348)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (1144:1144:1144) (1144:1144:1144)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1158:1158:1158) (1158:1158:1158)) + (PORT datab (1113:1113:1113) (1113:1113:1113)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (1182:1182:1182) (1182:1182:1182)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (417:417:417) (417:417:417)) + (PORT datad (548:548:548) (548:548:548)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3075:3075:3075) (3075:3075:3075)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto3.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1225:1225:1225) (1225:1225:1225)) + (PORT datab (1195:1195:1195) (1195:1195:1195)) + (PORT datac (1386:1386:1386) (1386:1386:1386)) + (PORT datad (646:646:646) (646:646:646)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5_0.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1182:1182:1182) (1182:1182:1182)) + (PORT datac (1162:1162:1162) (1162:1162:1162)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (365:365:365) (365:365:365)) + (PORT datab (928:928:928) (928:928:928)) + (PORT datac (1380:1380:1380) (1380:1380:1380)) + (PORT datad (558:558:558) (558:558:558)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (2646:2646:2646) (2646:2646:2646)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (4838:4838:4838) (4838:4838:4838)) + (PORT datab (946:946:946) (946:946:946)) + (PORT datac (454:454:454) (454:454:454)) + (PORT datad (1460:1460:1460) (1460:1460:1460)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (584:584:584) (584:584:584)) + (PORT datac (365:365:365) (365:365:365)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3153:3153:3153) (3153:3153:3153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (635:635:635) (635:635:635)) + (PORT datab (930:930:930) (930:930:930)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (601:601:601) (601:601:601)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3153:3153:3153) (3153:3153:3153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_a_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2425:2425:2425) (2425:2425:2425)) + (PORT datab (667:667:667) (667:667:667)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (670:670:670) (670:670:670)) + (PORT datab (639:639:639) (639:639:639)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (535:535:535) (535:535:535)) + (PORT datad (592:592:592) (592:592:592)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3453:3453:3453) (3453:3453:3453)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (654:654:654) (654:654:654)) + (PORT datab (429:429:429) (429:429:429)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (361:361:361)) + (PORT datad (351:351:351) (351:351:351)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3401:3401:3401) (3401:3401:3401)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (642:642:642) (642:642:642)) + (PORT datab (608:608:608) (608:608:608)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (587:587:587) (587:587:587)) + (PORT datac (361:361:361) (361:361:361)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3153:3153:3153) (3153:3153:3153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (970:970:970) (970:970:970)) + (PORT datab (670:670:670) (670:670:670)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (536:536:536) (536:536:536)) + (PORT datac (542:542:542) (542:542:542)) + (PORT datad (2675:2675:2675) (2675:2675:2675)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (443:443:443) (443:443:443)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (360:360:360) (360:360:360)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3401:3401:3401) (3401:3401:3401)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (918:918:918) (918:918:918)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (622:622:622) (622:622:622)) + (PORT datad (430:430:430) (430:430:430)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (603:603:603) (603:603:603)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3153:3153:3153) (3153:3153:3153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (656:656:656) (656:656:656)) + (PORT datab (671:671:671) (671:671:671)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (675:675:675) (675:675:675)) + (PORT datab (647:647:647) (647:647:647)) + (PORT datac (543:543:543) (543:543:543)) + (PORT datad (683:683:683) (683:683:683)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (440:440:440) (440:440:440)) + (PORT datab (959:959:959) (959:959:959)) + (PORT datac (1013:1013:1013) (1013:1013:1013)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (602:602:602) (602:602:602)) + (PORT datad (353:353:353) (353:353:353)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (3153:3153:3153) (3153:3153:3153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2100:2100:2100) (2100:2100:2100)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelt2.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (975:975:975) (975:975:975)) + (PORT datab (963:963:963) (963:963:963)) + (PORT datad (431:431:431) (431:431:431)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (995:995:995) (995:995:995)) + (PORT datab (336:336:336) (336:336:336)) + (PORT datac (611:611:611) (611:611:611)) + (PORT datad (437:437:437) (437:437:437)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto7.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2276:2276:2276) (2276:2276:2276)) + (PORT datac (2312:2312:2312) (2312:2312:2312)) + (PORT datad (2449:2449:2449) (2449:2449:2449)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (440:440:440) (440:440:440)) + (PORT datac (1158:1158:1158) (1158:1158:1158)) + (PORT datad (1008:1008:1008) (1008:1008:1008)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (1133:1133:1133) (1133:1133:1133)) + (PORT datad (589:589:589) (589:589:589)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2179:2179:2179) (2179:2179:2179)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2068:2068:2068) (2068:2068:2068)) + (PORT ena (1783:1783:1783) (1783:1783:1783)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_g0_3_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2480:2480:2480) (2480:2480:2480)) + (PORT datab (669:669:669) (669:669:669)) + (PORT datac (2983:2983:2983) (2983:2983:2983)) + (PORT datad (705:705:705) (705:705:705)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (442:442:442) (442:442:442)) + (PORT datad (1933:1933:1933) (1933:1933:1933)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (3129:3129:3129) (3129:3129:3129)) + (PORT datad (2427:2427:2427) (2427:2427:2427)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (4015:4015:4015) (4015:4015:4015)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (PORT ena (3104:3104:3104) (3104:3104:3104)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1223:1223:1223) (1223:1223:1223)) + (PORT datab (1285:1285:1285) (1285:1285:1285)) + (PORT datac (1208:1208:1208) (1208:1208:1208)) + (PORT datad (1448:1448:1448) (1448:1448:1448)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto9.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (718:718:718) (718:718:718)) + (PORT datab (928:928:928) (928:928:928)) + (PORT datac (687:687:687) (687:687:687)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (425:425:425) (425:425:425)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1160:1160:1160) (1160:1160:1160)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (941:941:941) (941:941:941)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|un2_toggle_counter_next_0_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (605:605:605)) + (PORT datab (930:930:930) (930:930:930)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (688:688:688) (688:688:688)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (681:681:681) (681:681:681)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datab (624:624:624) (624:624:624)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (438:438:438) (438:438:438)) + (PORT datab (609:609:609) (609:609:609)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (698:698:698) (698:698:698)) + (PORT datab (903:903:903) (903:903:903)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (601:601:601) (601:601:601)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (626:626:626) (626:626:626)) + (PORT datab (940:940:940) (940:940:940)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (586:586:586) (586:586:586)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (918:918:918) (918:918:918)) + (PORT datab (601:601:601) (601:601:601)) + (PORT datac (623:623:623) (623:623:623)) + (PORT datad (986:986:986) (986:986:986)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (612:612:612) (612:612:612)) + (PORT datab (909:909:909) (909:909:909)) + (PORT datac (372:372:372) (372:372:372)) + (PORT datad (982:982:982) (982:982:982)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (616:616:616) (616:616:616)) + (PORT datab (420:420:420) (420:420:420)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (622:622:622) (622:622:622)) + (PORT datab (420:420:420) (420:420:420)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto10.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (971:971:971) (971:971:971)) + (PORT datab (339:339:339) (339:339:339)) + (PORT datac (631:631:631) (631:631:631)) + (PORT datad (971:971:971) (971:971:971)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (963:963:963) (963:963:963)) + (PORT datab (416:416:416) (416:416:416)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (649:649:649) (649:649:649)) + (PORT datab (679:679:679) (679:679:679)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (670:670:670) (670:670:670)) + (PORT datab (603:603:603) (603:603:603)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (943:943:943) (943:943:943)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datab (628:628:628) (628:628:628)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (585:585:585) (585:585:585)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (426:426:426) (426:426:426)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1648:1648:1648) (1648:1648:1648)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datad (660:660:660) (660:660:660)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1347:1347:1347) (1347:1347:1347)) + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_4.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (952:952:952) (952:952:952)) + (PORT datab (600:600:600) (600:600:600)) + (PORT datac (630:630:630) (630:630:630)) + (PORT datad (988:988:988) (988:988:988)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_5.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (944:944:944) (944:944:944)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (586:586:586) (586:586:586)) + (PORT datad (952:952:952) (952:952:952)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (370:370:370)) + (PORT datab (935:935:935) (935:935:935)) + (PORT datac (361:361:361) (361:361:361)) + (PORT datad (993:993:993) (993:993:993)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_sig_0_0_0_g1_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (447:447:447) (447:447:447)) + (PORT datad (359:359:359) (359:359:359)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5625:5625:5625) (5625:5625:5625)) + (PORT clk (2107:2107:2107) (2107:2107:2107)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_next_0_g0_5_cZ.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (364:364:364) (364:364:364)) + (PORT datab (421:421:421) (421:421:421)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (2041:2041:2041) (2041:2041:2041)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8_a.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (996:996:996) (996:996:996)) + (PORT datab (963:963:963) (963:963:963)) + (PORT datac (609:609:609) (609:609:609)) + (PORT datad (438:438:438) (438:438:438)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2988:2988:2988) (2988:2988:2988)) + (PORT datab (2095:2095:2095) (2095:2095:2095)) + (PORT datac (2317:2317:2317) (2317:2317:2317)) + (PORT datad (2279:2279:2279) (2279:2279:2279)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE inst\|vga_control_unit\|b_Z.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (368:368:368) (368:368:368)) + (PORT datab (338:338:338) (338:338:338)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE inst\|vga_control_unit\|b_Z.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (5508:5508:5508) (5508:5508:5508)) + (PORT clk (2087:2087:2087) (2087:2087:2087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2835:2835:2835) (2835:2835:2835)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2467:2467:2467) (2467:2467:2467)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_column_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4462:4462:4462) (4462:4462:4462)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_line_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2677:2677:2677) (2677:2677:2677)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_hsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3353:3353:3353) (3353:3353:3353)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_set_vsync_counter_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2678:2678:2678) (2678:2678:2678)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_r_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3050:3050:3050) (3050:3050:3050)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_g_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3087:3087:3087) (3087:3087:3087)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_b_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2395:2395:2395) (2395:2395:2395)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_h_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2375:2375:2375) (2375:2375:2375)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_v_enable_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2344:2344:2344) (2344:2344:2344)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_state_clk_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2239:2239:2239) (2239:2239:2239)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2657:2657:2657) (2657:2657:2657)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2648:2648:2648) (2648:2648:2648)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3318:3318:3318) (3318:3318:3318)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|r2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3333:3333:3333) (3333:3333:3333)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2616:2616:2616) (2616:2616:2616)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3318:3318:3318) (3318:3318:3318)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|g2_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3645:3645:3645) (3645:3645:3645)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b0_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3422:3422:3422) (3422:3422:3422)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|b1_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3154:3154:3154) (3154:3154:3154)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|hsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2326:2326:2326) (2326:2326:2326)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|vsync_pin_out\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2317:2317:2317) (2317:2317:2317)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2572:2572:2572) (2572:2572:2572)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1976:1976:1976) (1976:1976:1976)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2835:2835:2835) (2835:2835:2835)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2551:2551:2551) (2551:2551:2551)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3073:3073:3073) (3073:3073:3073)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2381:2381:2381) (2381:2381:2381)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2126:2126:2126) (2126:2126:2126)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2189:2189:2189) (2189:2189:2189)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2364:2364:2364) (2364:2364:2364)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_column_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2598:2598:2598) (2598:2598:2598)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2678:2678:2678) (2678:2678:2678)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2607:2607:2607) (2607:2607:2607)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3076:3076:3076) (3076:3076:3076)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1386:1386:1386) (1386:1386:1386)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1827:1827:1827) (1827:1827:1827)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1860:1860:1860) (1860:1860:1860)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1381:1381:1381) (1381:1381:1381)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1810:1810:1810) (1810:1810:1810)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2662:2662:2662) (2662:2662:2662)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1864:1864:1864) (1864:1864:1864)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3694:3694:3694) (3694:3694:3694)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3419:3419:3419) (3419:3419:3419)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2788:2788:2788) (2788:2788:2788)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3562:3562:3562) (3562:3562:3562)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1438:1438:1438) (1438:1438:1438)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1639:1639:1639) (1639:1639:1639)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_hsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2473:2473:2473) (2473:2473:2473)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4304:4304:4304) (4304:4304:4304)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2862:2862:2862) (2862:2862:2862)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1400:1400:1400) (1400:1400:1400)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1626:1626:1626) (1626:1626:1626)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1391:1391:1391) (1391:1391:1391)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1595:1595:1595) (1595:1595:1595)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3803:3803:3803) (3803:3803:3803)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1623:1623:1623) (1623:1623:1623)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_line_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1574:1574:1574) (1574:1574:1574)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_24_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3665:3665:3665) (3665:3665:3665)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_23_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2613:2613:2613) (2613:2613:2613)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_22_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2613:2613:2613) (2613:2613:2613)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_21_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3252:3252:3252) (3252:3252:3252)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_20_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3252:3252:3252) (3252:3252:3252)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_19_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2635:2635:2635) (2635:2635:2635)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_18_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1917:1917:1917) (1917:1917:1917)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_17_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2371:2371:2371) (2371:2371:2371)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_16_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2392:2392:2392) (2392:2392:2392)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_15_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2144:2144:2144) (2144:2144:2144)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_14_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1938:1938:1938) (1938:1938:1938)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_13_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1612:1612:1612) (1612:1612:1612)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_12_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2195:2195:2195) (2195:2195:2195)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_11_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2135:2135:2135) (2135:2135:2135)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_10_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2011:2011:2011) (2011:2011:2011)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1616:1616:1616) (1616:1616:1616)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1587:1587:1587) (1587:1587:1587)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2802:2802:2802) (2802:2802:2802)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2092:2092:2092) (2092:2092:2092)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1333:1333:1333) (1333:1333:1333)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3292:3292:3292) (3292:3292:3292)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2140:2140:2140) (2140:2140:2140)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1348:1348:1348) (1348:1348:1348)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1884:1884:1884) (1884:1884:1884)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_toggle_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2306:2306:2306) (2306:2306:2306)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1904:1904:1904) (1904:1904:1904)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1632:1632:1632) (1632:1632:1632)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2569:2569:2569) (2569:2569:2569)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1678:1678:1678) (1678:1678:1678)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1353:1353:1353) (1353:1353:1353)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2323:2323:2323) (2323:2323:2323)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1691:1691:1691) (1691:1691:1691)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1362:1362:1362) (1362:1362:1362)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1707:1707:1707) (1707:1707:1707)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_counter_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1707:1707:1707) (1707:1707:1707)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2026:2026:2026) (2026:2026:2026)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2390:2390:2390) (2390:2390:2390)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2411:2411:2411) (2411:2411:2411)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3831:3831:3831) (3831:3831:3831)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3341:3341:3341) (3341:3341:3341)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2625:2625:2625) (2625:2625:2625)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|d_vsync_state_out_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3295:3295:3295) (3295:3295:3295)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_13_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3346:3346:3346) (3346:3346:3346)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_12_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (5257:5257:5257) (5257:5257:5257)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_11_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3140:3140:3140) (3140:3140:3140)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_10_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3134:3134:3134) (3134:3134:3134)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_9_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2980:2980:2980) (2980:2980:2980)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_8_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2960:2960:2960) (2960:2960:2960)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_7_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (5262:5262:5262) (5262:5262:5262)) + (IOPATH datain padio (4191:4191:4191) (4191:4191:4191)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_6_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3618:3618:3618) (3618:3618:3618)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_5_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3618:3618:3618) (3618:3618:3618)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_4_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3378:3378:3378) (3378:3378:3378)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_3_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3378:3378:3378) (3378:3378:3378)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_2_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3946:3946:3946) (3946:3946:3946)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_out_1_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3140:3140:3140) (3140:3140:3140)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE inst\|seven_seg_pin_tri_0_\~I.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3291:3291:3291) (3291:3291:3291)) + (IOPATH datain padio (4100:4100:4100) (4100:4100:4100)) + ) + ) + ) +) diff --git a/bsp4/Designflow/ppr/download/vga_pll.asm.rpt b/bsp4/Designflow/ppr/download/vga_pll.asm.rpt new file mode 100644 index 0000000..f571319 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga_pll +Tue Nov 3 17:37:36 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga_pll.sof + 6. Assembler Device Options: vga_pll.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Tue Nov 3 17:37:36 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga_pll.sof ; +; vga_pll.pof ; ++---------------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.sof ; ++----------------+----------------------+ +; Option ; Setting ; ++----------------+----------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002E4A58 ; ++----------------+----------------------+ + + ++---------------------------------------+ +; Assembler Device Options: vga_pll.pof ; ++--------------------+------------------+ +; Option ; Setting ; ++--------------------+------------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFB8DCD ; +; Compression Ratio ; 1 ; ++--------------------+------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:37:16 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Tue Nov 3 17:37:36 2009 + Info: Elapsed time: 00:00:20 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp4/Designflow/ppr/download/vga_pll.done b/bsp4/Designflow/ppr/download/vga_pll.done new file mode 100644 index 0000000..37ae927 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.done @@ -0,0 +1 @@ +Tue Nov 3 17:37:44 2009 diff --git a/bsp4/Designflow/ppr/download/vga_pll.eda.rpt b/bsp4/Designflow/ppr/download/vga_pll.eda.rpt new file mode 100644 index 0000000..91545ee --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga_pll +Tue Nov 3 17:37:44 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Tue Nov 3 17:37:44 2009 ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++---------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo ; +; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo ; ++---------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:37:42 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Generated files "vga_pll.vo" and "vga_pll_v.sdo" in directory "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 162 megabytes + Info: Processing ended: Tue Nov 3 17:37:44 2009 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.rpt b/bsp4/Designflow/ppr/download/vga_pll.fit.rpt new file mode 100644 index 0000000..995f274 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.fit.rpt @@ -0,0 +1,1722 @@ +Fitter report for vga_pll +Tue Nov 3 17:37:12 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Pin-Out File + 6. Fitter Resource Usage Summary + 7. Input Pins + 8. Output Pins + 9. I/O Bank Usage + 10. All Package Pins + 11. PLL Summary + 12. PLL Usage + 13. Output Pin Default Load For Reported TCO + 14. Fitter Resource Utilization by Entity + 15. Delay Chain Summary + 16. Pad To Core Delay Chain Fanout + 17. Control Signals + 18. Global & Other Fast Signals + 19. Non-Global High Fan-Out Signals + 20. Interconnect Usage Summary + 21. LAB Logic Elements + 22. LAB-wide Signals + 23. LAB Signals Sourced + 24. LAB Signals Sourced Out + 25. LAB Distinct Inputs + 26. Fitter Device Options + 27. Estimated Delay Added for Hold Timing + 28. Fitter Messages + 29. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Tue Nov 3 17:37:12 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; < 0.1% ; ++----------------------------+-------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.pin. + + ++---------------------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------------------------------------------+ +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 92 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 81 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 61 ; +; -- 3 input functions ; 50 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 121 ; +; -- arithmetic mode ; 52 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 69 ; +; -- asynchronous clear/load mode ; 22 ; +; ; ; +; Total registers ; 81 / 28,424 ( < 1 % ) ; +; Total LABs ; 22 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 60 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 117 / 474 ( 25 % ) ; +; -- Clock pins ; 1 / 16 ( 6 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 1 / 6 ( 17 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 1% / 1% ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 82 ; +; Highest non-global fan-out signal ; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; +; Highest non-global fan-out ; 21 ; +; Total fan-out ; 866 ; +; Average fan-out ; 2.97 ; ++---------------------------------------------+-----------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; board_clk ; N3 ; 2 ; 0 ; 27 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; reset ; A5 ; 3 ; 7 ; 47 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; E24 ; 5 ; 79 ; 45 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; b1_pin ; T6 ; 1 ; 0 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_b ; K20 ; 5 ; 79 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[0] ; L23 ; 5 ; 79 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[1] ; L22 ; 5 ; 79 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[2] ; L21 ; 5 ; 79 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[3] ; L20 ; 5 ; 79 ; 32 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[4] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[5] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[6] ; L2 ; 2 ; 0 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[7] ; K23 ; 5 ; 79 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[8] ; K19 ; 5 ; 79 ; 33 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_column_counter[9] ; K5 ; 2 ; 0 ; 34 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_g ; K24 ; 5 ; 79 ; 34 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_h_enable ; J21 ; 5 ; 79 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync ; L7 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[0] ; H4 ; 2 ; 0 ; 42 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[1] ; AC10 ; 8 ; 21 ; 0 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; D10 ; 3 ; 21 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; B10 ; 3 ; 21 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; H1 ; 2 ; 0 ; 42 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; A9 ; 3 ; 21 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; C10 ; 3 ; 21 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; G25 ; 5 ; 79 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[8] ; G22 ; 5 ; 79 ; 42 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_counter[9] ; G18 ; 4 ; 58 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[0] ; Y5 ; 1 ; 0 ; 5 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[1] ; F19 ; 4 ; 62 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[2] ; F17 ; 4 ; 56 ; 47 ; 5 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[3] ; Y2 ; 1 ; 0 ; 4 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[4] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[5] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_hsync_state[6] ; F6 ; 3 ; 9 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[0] ; K6 ; 2 ; 0 ; 34 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[1] ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[2] ; J22 ; 5 ; 79 ; 37 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[3] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[4] ; M8 ; 2 ; 0 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[5] ; M6 ; 2 ; 0 ; 31 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[6] ; M5 ; 2 ; 0 ; 30 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[7] ; L24 ; 5 ; 79 ; 33 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_line_counter[8] ; L25 ; 5 ; 79 ; 33 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_r ; L3 ; 2 ; 0 ; 33 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_column_counter ; Y23 ; 6 ; 79 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_hsync_counter ; F26 ; 5 ; 79 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_line_counter ; F21 ; 4 ; 70 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_set_vsync_counter ; F24 ; 5 ; 79 ; 44 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_state_clk ; K3 ; 2 ; 0 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle ; H3 ; 2 ; 0 ; 42 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[0] ; H26 ; 5 ; 79 ; 42 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[10] ; F12 ; 9 ; 37 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[11] ; D24 ; 5 ; 79 ; 46 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[12] ; E14 ; 9 ; 37 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[13] ; E16 ; 4 ; 54 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[14] ; F13 ; 9 ; 37 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[15] ; G24 ; 5 ; 79 ; 43 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[16] ; G23 ; 5 ; 79 ; 43 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[17] ; G21 ; 5 ; 79 ; 42 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[18] ; G20 ; 4 ; 62 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[19] ; G5 ; 2 ; 0 ; 44 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[1] ; C25 ; 5 ; 79 ; 46 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[20] ; G3 ; 2 ; 0 ; 43 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[21] ; G1 ; 2 ; 0 ; 43 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[22] ; F25 ; 5 ; 79 ; 44 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[23] ; F23 ; 5 ; 79 ; 44 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[24] ; T19 ; 6 ; 79 ; 16 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_toggle_counter[2] ; B16 ; 4 ; 52 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[3] ; E13 ; 9 ; 37 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[4] ; Y16 ; 7 ; 50 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[5] ; C15 ; 4 ; 50 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[6] ; F15 ; 4 ; 46 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[7] ; AA16 ; 7 ; 52 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[8] ; H16 ; 4 ; 50 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[9] ; C16 ; 4 ; 54 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_v_enable ; H18 ; 4 ; 56 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync ; L5 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[0] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[1] ; H10 ; 3 ; 27 ; 47 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; C11 ; 3 ; 25 ; 47 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; G10 ; 3 ; 23 ; 47 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; H2 ; 2 ; 0 ; 42 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; D11 ; 3 ; 25 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; A10 ; 3 ; 23 ; 47 ; 4 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; G6 ; 2 ; 0 ; 44 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[8] ; G4 ; 2 ; 0 ; 43 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_counter[9] ; G2 ; 2 ; 0 ; 43 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[0] ; F5 ; 3 ; 9 ; 47 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[1] ; F4 ; 2 ; 0 ; 45 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[2] ; F3 ; 2 ; 0 ; 45 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[3] ; M19 ; 5 ; 79 ; 29 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[4] ; M18 ; 5 ; 79 ; 29 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[5] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; d_vsync_state[6] ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g0_pin ; E23 ; 5 ; 79 ; 45 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g1_pin ; T5 ; 1 ; 0 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; g2_pin ; T24 ; 6 ; 79 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; hsync_pin ; F1 ; 2 ; 0 ; 44 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r0_pin ; E22 ; 4 ; 76 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r1_pin ; T4 ; 1 ; 0 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; r2_pin ; T7 ; 1 ; 0 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[0] ; R8 ; 1 ; 0 ; 19 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[10] ; R4 ; 1 ; 0 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[11] ; R6 ; 1 ; 0 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[12] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[13] ; T2 ; 1 ; 0 ; 17 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[1] ; R9 ; 1 ; 0 ; 19 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[2] ; R19 ; 6 ; 79 ; 16 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[3] ; R20 ; 6 ; 79 ; 19 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[4] ; R21 ; 6 ; 79 ; 19 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[5] ; R22 ; 6 ; 79 ; 18 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[6] ; R23 ; 6 ; 79 ; 18 ; 2 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[7] ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[8] ; N7 ; 2 ; 0 ; 29 ; 3 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; seven_seg_pin[9] ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; +; vsync_pin ; F2 ; 2 ; 0 ; 44 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 11 / 61 ( 18 % ) ; 3.3V ; -- ; +; 2 ; 33 / 59 ( 56 % ) ; 3.3V ; -- ; +; 3 ; 15 / 54 ( 28 % ) ; 3.3V ; -- ; +; 4 ; 14 / 56 ( 25 % ) ; 3.3V ; -- ; +; 5 ; 28 / 59 ( 47 % ) ; 3.3V ; -- ; +; 6 ; 8 / 61 ( 13 % ) ; 3.3V ; -- ; +; 7 ; 2 / 57 ( 4 % ) ; 3.3V ; -- ; +; 8 ; 3 / 54 ( 6 % ) ; 3.3V ; -- ; +; 9 ; 4 / 6 ( 67 % ) ; 3.3V ; -- ; +; 11 ; 0 / 6 ( 0 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; reset ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; A6 ; 717 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A7 ; 703 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A8 ; 702 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A9 ; 695 ; 3 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A10 ; 684 ; 3 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA9 ; 223 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA10 ; 227 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA11 ; 251 ; 8 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; AA12 ; 269 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA13 ; 273 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA14 ; 271 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; d_toggle_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA17 ; 316 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA21 ; 350 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA26 ; 383 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB10 ; 229 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB11 ; 231 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB12 ; 268 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB13 ; 272 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB14 ; 270 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC10 ; 224 ; 8 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC11 ; 239 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD10 ; 220 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD11 ; 247 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD12 ; 256 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE11 ; 232 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF8 ; 207 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF9 ; 219 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF10 ; 230 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B9 ; 698 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B10 ; 694 ; 3 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B11 ; 667 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; d_toggle_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B17 ; 596 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B18 ; 582 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B19 ; 577 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C9 ; 699 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C10 ; 692 ; 3 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C11 ; 682 ; 3 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C12 ; 658 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; d_toggle_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C16 ; 605 ; 4 ; d_toggle_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C17 ; 592 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C18 ; 581 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C19 ; 573 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C20 ; 559 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; d_toggle_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D11 ; 683 ; 3 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D17 ; 600 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D18 ; 583 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; d_toggle_counter[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E8 ; 706 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E9 ; 697 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E10 ; 685 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E11 ; 662 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E12 ; 646 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E13 ; 642 ; 9 ; d_toggle_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E14 ; 644 ; 9 ; d_toggle_counter[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; d_toggle_counter[13] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; E23 ; 534 ; 5 ; g0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E24 ; 535 ; 5 ; b0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F2 ; 9 ; 2 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F3 ; 6 ; 2 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F4 ; 7 ; 2 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F5 ; 720 ; 3 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F6 ; 719 ; 3 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F7 ; 707 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F10 ; 687 ; 3 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; d_toggle_counter[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F13 ; 641 ; 9 ; d_toggle_counter[14] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F14 ; 643 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F15 ; 632 ; 4 ; d_toggle_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F20 ; 584 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F21 ; 572 ; 4 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; d_toggle_counter[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F24 ; 531 ; 5 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F25 ; 532 ; 5 ; d_toggle_counter[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; F26 ; 533 ; 5 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G1 ; 12 ; 2 ; d_toggle_counter[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G2 ; 13 ; 2 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G3 ; 14 ; 2 ; d_toggle_counter[20] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G4 ; 15 ; 2 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G5 ; 10 ; 2 ; d_toggle_counter[19] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G6 ; 11 ; 2 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G7 ; 700 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G10 ; 686 ; 3 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G11 ; 670 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G18 ; 594 ; 4 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; d_toggle_counter[18] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; G21 ; 522 ; 5 ; d_toggle_counter[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G22 ; 523 ; 5 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G23 ; 526 ; 5 ; d_toggle_counter[16] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G24 ; 527 ; 5 ; d_toggle_counter[15] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G25 ; 528 ; 5 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H2 ; 17 ; 2 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H3 ; 18 ; 2 ; d_toggle ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; H4 ; 19 ; 2 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; d_toggle_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; H19 ; 506 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H26 ; 525 ; 5 ; d_toggle_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J1 ; 34 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J2 ; 33 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J5 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J6 ; 35 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J20 ; 493 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J21 ; 504 ; 5 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J22 ; 503 ; 5 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; J23 ; 512 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K1 ; 46 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K2 ; 45 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K3 ; 38 ; 2 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K4 ; 37 ; 2 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K5 ; 50 ; 2 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K6 ; 49 ; 2 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K7 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K8 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K9 ; 47 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K20 ; 485 ; 5 ; d_b ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K21 ; 490 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K24 ; 491 ; 5 ; d_g ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; K25 ; 496 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K26 ; 495 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L3 ; 53 ; 2 ; d_r ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L4 ; 56 ; 2 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L5 ; 55 ; 2 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L6 ; 60 ; 2 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L7 ; 59 ; 2 ; d_hsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L21 ; 481 ; 5 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L22 ; 478 ; 5 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L23 ; 479 ; 5 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L24 ; 488 ; 5 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L25 ; 487 ; 5 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M5 ; 67 ; 2 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M6 ; 62 ; 2 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M7 ; 63 ; 2 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M8 ; 72 ; 2 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M9 ; 73 ; 2 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M19 ; 469 ; 5 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; M20 ; 470 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M21 ; 471 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M22 ; 474 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M23 ; 475 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N3 ; 79 ; 2 ; board_clk ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N7 ; 71 ; 2 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N8 ; 77 ; 2 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N20 ; 464 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N21 ; 465 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P7 ; 89 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P8 ; 76 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P20 ; 448 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R4 ; 94 ; 1 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R7 ; 91 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R8 ; 92 ; 1 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R9 ; 93 ; 1 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R20 ; 450 ; 6 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R21 ; 451 ; 6 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R22 ; 446 ; 6 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R23 ; 447 ; 6 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; r1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T5 ; 107 ; 1 ; g1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T6 ; 106 ; 1 ; b1_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T7 ; 105 ; 1 ; r2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; d_toggle_counter[24] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T20 ; 432 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; g2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; T25 ; 433 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U2 ; 111 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U3 ; 116 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U6 ; 109 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U7 ; 114 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U8 ; 113 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U19 ; 427 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U22 ; 429 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U23 ; 418 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W7 ; 138 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W10 ; 228 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W22 ; 395 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y11 ; 244 ; 8 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; Y ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; d_toggle_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; Y ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------------------------------------+ +; PLL Summary ; ++-------------------------------+----------------------------------------+ +; Name ; vpll:inst1|altpll:altpll_component|pll ; ++-------------------------------+----------------------------------------+ +; SDC pin name ; inst1|altpll_component|pll ; +; PLL type ; Fast ; +; Scan chain ; None ; +; PLL mode ; Normal ; +; Feedback source ; -- ; +; Compensate clock ; clock0 ; +; Compensated input/output pins ; -- ; +; Switchover on loss of clock ; -- ; +; Switchover counter ; -- ; +; Primary clock ; -- ; +; Input frequency 0 ; 33.33 MHz ; +; Input frequency 1 ; -- ; +; Nominal PFD frequency ; 16.7 MHz ; +; Nominal VCO frequency ; 516.5 MHz ; +; Freq min lock ; 20.0 MHz ; +; Freq max lock ; 64.52 MHz ; +; Clock Offset ; -707 ps ; +; M VCO Tap ; 3 ; +; M Initial ; 1 ; +; M value ; 31 ; +; N value ; 2 ; +; M counter delay ; -- ; +; N counter delay ; -- ; +; M2 value ; -- ; +; N2 value ; -- ; +; SS counter ; -- ; +; Downspread ; -- ; +; Spread frequency ; -- ; +; Charge pump current ; 20 uA ; +; Loop filter resistance ; 1.021000 KOhm ; +; Loop filter capacitance ; 10 pF ; +; Freq zero ; 0.240 MHz ; +; Bandwidth ; 200 KHz ; +; Freq pole ; 15.844 MHz ; +; enable0 counter ; -- ; +; enable1 counter ; -- ; +; Real time reconfigurable ; -- ; +; Scan chain MIF file ; -- ; +; Preserve PLL counter order ; Off ; +; PLL location ; PLL_1 ; +; Inclk0 signal ; board_clk ; +; Inclk1 signal ; -- ; +; Inclk0 signal type ; Dedicated Pin ; +; Inclk1 signal type ; -- ; ++-------------------------------+----------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Delay ; Duty Cycle ; Counter ; Counter Delay ; Counter Value ; High / Low ; Initial ; VCO Tap ; SDC Pin Name ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ +; vpll:inst1|altpll:altpll_component|_clk0 ; clock0 ; 31 ; 38 ; 27.19 MHz ; -7 (-725 ps) ; 0 ps ; 50/50 ; G0 ; -- ; 19 ; 10/9 Odd ; 1 ; 0 ; inst1|altpll_component|pll|clk[0] ; ++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+ + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 173 (1) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 92 (1) ; 0 (0) ; 81 (0) ; 60 (0) ; 3 (0) ; |vga_pll ; work ; +; |vga:inst| ; 172 (2) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 ; 0 ; 91 (0) ; 0 (0) ; 81 (2) ; 60 (0) ; 3 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 42 (42) ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 22 (22) ; 20 (20) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 128 (128) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 71 (71) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; board_clk ; Input ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[24] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[23] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[22] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[21] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[20] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[19] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[18] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[17] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[16] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[15] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[14] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; reset ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++--------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------------------------------------------+-------------------+---------+ +; board_clk ; ; ; +; vga:inst|reset_pin_in ; ; ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - vga:inst|dly_counter_0_ ; 0 ; ON ; +; - vga:inst|dly_counter_1_ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++--------------------------------------------------------------------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; board_clk ; PIN_N3 ; 1 ; Clock ; no ; -- ; -- ; +; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; LC_X50_Y46_N4 ; 21 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; LC_X25_Y42_N2 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; LC_X22_Y42_N0 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X25_Y42_N1 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X24_Y41_N1 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X22_Y42_N2 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X24_Y41_N2 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X25_Y42_N0 ; 51 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK12 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X22_Y42_N5 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X25_Y42_N5 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X23_Y42_N8 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X24_Y42_N7 ; 5 ; Clock enable ; no ; -- ; -- ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 82 ; Clock ; yes ; Global Clock ; GCLK1 ; ++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ +; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X25_Y42_N0 ; 51 ; Global Clock ; GCLK12 ; +; vpll:inst1|altpll:altpll_component|_clk0 ; PLL_1 ; 82 ; Global Clock ; GCLK1 ; ++---------------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++----------------------------------------------------------------------+---------+ +; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; 21 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 19 ; +; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga:inst|vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; reset ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; vga:inst|dly_counter[1] ; 9 ; +; vga:inst|dly_counter[0] ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3 ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4] ; 5 ; +; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4 ; 5 ; ++----------------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 36 / 4,620 ( < 1 % ) ; +; C4 interconnects ; 131 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 32 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 82 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 24 / 320 ( 8 % ) ; +; LUT chains ; 6 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 123 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 81 / 4,692 ( 2 % ) ; +; R4 interconnects ; 162 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 29 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.86) ; Number of LABs (Total = 22) ; ++--------------------------------------------+------------------------------+ +; 1 ; 3 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 14 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 1.86) ; Number of LABs (Total = 22) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 4 ; +; 1 Clock ; 19 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 11 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.91) ; Number of LABs (Total = 22) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 3 ; +; 10 ; 9 ; +; 11 ; 3 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 5.77) ; Number of LABs (Total = 22) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 3 ; +; 3 ; 1 ; +; 4 ; 2 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 2 ; +; 8 ; 3 ; +; 9 ; 2 ; +; 10 ; 3 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 11.32) ; Number of LABs (Total = 22) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 2 ; +; 10 ; 2 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 4 ; +; 14 ; 1 ; +; 15 ; 1 ; +; 16 ; 0 ; +; 17 ; 0 ; +; 18 ; 0 ; +; 19 ; 1 ; +; 20 ; 0 ; +; 21 ; 1 ; +; 22 ; 0 ; +; 23 ; 2 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:36:41 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga_pll" +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 26 pins of 117 total pins + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_toggle_counter[14] not assigned to an exact location on the device + Info: Pin d_toggle_counter[13] not assigned to an exact location on the device + Info: Pin d_toggle_counter[12] not assigned to an exact location on the device + Info: Pin d_toggle_counter[11] not assigned to an exact location on the device + Info: Pin d_toggle_counter[10] not assigned to an exact location on the device + Info: Pin d_toggle_counter[9] not assigned to an exact location on the device + Info: Pin d_toggle_counter[8] not assigned to an exact location on the device + Info: Pin d_toggle_counter[7] not assigned to an exact location on the device + Info: Pin d_toggle_counter[6] not assigned to an exact location on the device + Info: Pin d_toggle_counter[5] not assigned to an exact location on the device + Info: Pin d_toggle_counter[4] not assigned to an exact location on the device + Info: Pin d_toggle_counter[3] not assigned to an exact location on the device + Info: Pin d_toggle_counter[2] not assigned to an exact location on the device + Info: Pin d_toggle_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements +Info: Completed User Assigned Global Signals Promotion Operation +Info: Implementing parameter values for PLL "vpll:inst1|altpll:altpll_component|pll" + Info: Implementing clock multiplication of 31, clock division of 38, and phase shift of 0 degrees (-18 ps) for vpll:inst1|altpll:altpll_component|_clk0 port +Info: Promoted PLL clock signals + Info: Promoted signal "vpll:inst1|altpll:altpll_component|_clk0" to use global clock +Info: Completed PLL Placement Operation +Info: Automatically promoted some destinations of signal "vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_6_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_0_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_5_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_4_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_3_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_2_" may be non-global or may not use global clock + Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_5_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 0 input, 26 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 11 total pin(s) used -- 50 pins available + Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used -- 28 pins available + Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 48 pins available + Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used -- 48 pins available + Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used -- 33 pins available + Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used -- 53 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used -- 52 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:03 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:03 +Info: Slack time is 31.223 ns between source register "vga:inst|vga_driver:vga_driver_unit|hsync_counter_4" and destination register "vga:inst|vga_driver:vga_driver_unit|hsync_state_1" + Info: + Largest register to register requirement is 36.591 ns + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0' + Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4' + Info: Total cell delay = 0.560 ns ( 26.19 % ) + Info: Total interconnect delay = 1.578 ns ( 73.81 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 5.368 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4' + Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4' + Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter' + Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0' + Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = Unassigned; Fanout = 6; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1' + Info: Total cell delay = 1.074 ns ( 20.01 % ) + Info: Total interconnect delay = 4.294 ns ( 79.99 % ) +Info: Estimated most critical path is register to register delay of 5.368 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X21_Y42; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4' + Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = LAB_X22_Y43; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4' + Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = LAB_X22_Y42; Fanout = 2; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter' + Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = LAB_X22_Y42; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0' + Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = LAB_X22_Y42; Fanout = 6; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = LAB_X22_Y43; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1' + Info: Total cell delay = 1.074 ns ( 20.01 % ) + Info: Total interconnect delay = 4.294 ns ( 79.99 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X22_Y36 to location X33_Y47 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin d_r has GND driving its datain port + Info: Pin d_g has GND driving its datain port + Info: Pin r0_pin has GND driving its datain port + Info: Pin r1_pin has GND driving its datain port + Info: Pin r2_pin has GND driving its datain port + Info: Pin g0_pin has GND driving its datain port + Info: Pin g1_pin has GND driving its datain port + Info: Pin g2_pin has GND driving its datain port + Info: Pin d_toggle_counter[24] has GND driving its datain port + Info: Pin d_toggle_counter[23] has GND driving its datain port + Info: Pin d_toggle_counter[22] has GND driving its datain port + Info: Pin d_toggle_counter[21] has GND driving its datain port + Info: Pin d_toggle_counter[20] has GND driving its datain port + Info: Pin seven_seg_pin[13] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[0] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 320 megabytes + Info: Processing ended: Tue Nov 3 17:37:13 2009 + Info: Elapsed time: 00:00:32 + Info: Total CPU time (on all processors): 00:00:29 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg. + + diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.smsg b/bsp4/Designflow/ppr/download/vga_pll.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.summary b/bsp4/Designflow/ppr/download/vga_pll.fit.summary new file mode 100644 index 0000000..a11bf3b --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Tue Nov 3 17:37:12 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 173 / 25,660 ( < 1 % ) +Total pins : 117 / 474 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 1 / 6 ( 17 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp4/Designflow/ppr/download/vga_pll.flow.rpt b/bsp4/Designflow/ppr/download/vga_pll.flow.rpt new file mode 100644 index 0000000..4b20ac5 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.flow.rpt @@ -0,0 +1,125 @@ +Flow report for vga_pll +Tue Nov 3 17:37:44 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Tue Nov 3 17:37:44 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 11/03/2009 17:36:34 ; +; Main task ; Compilation ; +; Revision Name ; vga_pll ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815334056.125726619431726 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; -- ; 00:00:03 ; +; Fitter ; 00:00:31 ; 1.0 ; -- ; 00:00:28 ; +; Assembler ; 00:00:20 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:02 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:59 ; -- ; -- ; 00:00:50 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti14 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti14 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll +quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll + + + diff --git a/bsp4/Designflow/ppr/download/vga_pll.map.rpt b/bsp4/Designflow/ppr/download/vga_pll.map.rpt new file mode 100644 index 0000000..386c1aa --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.map.rpt @@ -0,0 +1,685 @@ +Analysis & Synthesis report for vga_pll +Tue Nov 3 17:36:38 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. Registers Removed During Synthesis + 8. General Register Statistics + 9. Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component + 10. altpll Parameter Settings by Entity Instance + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Nov 3 17:36:38 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga_pll ; +; Top-level Entity Name ; vga_pll ; +; Family ; Stratix ; +; Total logic elements ; 175 ; +; Total pins ; 117 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 1 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga_pll ; vga_pll ; +; Family name ; Stratix ; Stratix ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../src/vga_pll.bdf ; yes ; User Block Diagram/Schematic File ; /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf ; +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm ; +; ../../src/vpll.vhd ; yes ; User Wizard-Generated File ; /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd ; +; altpll.tdf ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/altpll.tdf ; +; aglobal90.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc ; +; stratix_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc ; +; stratixii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc ; +; cycloneii_pll.inc ; yes ; Megafunction ; /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+------------------------------------------+ +; Total logic elements ; 175 ; +; -- Combinational with no register ; 94 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 78 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 61 ; +; -- 3 input functions ; 50 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 123 ; +; -- arithmetic mode ; 52 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 68 ; +; -- asynchronous clear/load mode ; 22 ; +; ; ; +; Total registers ; 81 ; +; Total logic cells in carry chains ; 60 ; +; I/O pins ; 117 ; +; Total PLLs ; 1 ; +; Maximum fan-out node ; vpll:inst1|altpll:altpll_component|_clk0 ; +; Maximum fan-out ; 82 ; +; Total fan-out ; 834 ; +; Average fan-out ; 2.85 ; ++---------------------------------------------+------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +; |vga_pll ; 175 (0) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 94 (0) ; 3 (0) ; 78 (0) ; 60 (0) ; 0 (0) ; |vga_pll ; work ; +; |vga:inst| ; 175 (2) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 ; 0 ; 94 (0) ; 3 (0) ; 78 (2) ; 60 (0) ; 0 (0) ; |vga_pll|vga:inst ; work ; +; |vga_control:vga_control_unit| ; 42 (42) ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 22 (22) ; 20 (20) ; 0 (0) ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 131 (131) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 74 (74) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga_pll|vga:inst|vga_driver:vga_driver_unit ; work ; +; |vpll:inst1| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1 ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |vga_pll|vpll:inst1|altpll:altpll_component ; work ; ++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++-------------------------------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++-------------------------------------------------------------+----------------------------------------+ +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|r ; Stuck at GND due to stuck port reg_out ; +; vga:inst|vga_control:vga_control_unit|g ; Stuck at GND due to stuck port reg_out ; +; Total Number of Removed Registers = 7 ; ; ++-------------------------------------------------------------+----------------------------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 81 ; +; Number of registers using Synchronous Clear ; 68 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 22 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++---------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component ; ++-------------------------------+-------------------+-----------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+-------------------+-----------------------------+ +; OPERATION_MODE ; NORMAL ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30003 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Signed Integer ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Signed Integer ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Signed Integer ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 1 ; Untyped ; +; CLK2_MULTIPLY_BY ; 1 ; Untyped ; +; CLK1_MULTIPLY_BY ; 1 ; Untyped ; +; CLK0_MULTIPLY_BY ; 5435 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 1 ; Untyped ; +; CLK2_DIVIDE_BY ; 1 ; Untyped ; +; CLK1_DIVIDE_BY ; 1 ; Untyped ; +; CLK0_DIVIDE_BY ; 6666 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Untyped ; +; CLK2_DUTY_CYCLE ; 50 ; Untyped ; +; CLK1_DUTY_CYCLE ; 50 ; Untyped ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Stratix ; Untyped ; +; PORT_CLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKENA5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKBAD1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK4 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK5 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDATAOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKLOSS ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_INCLK0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBIN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PLLENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CLKSWITCH ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ARESET ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PFDENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLK ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANACLR ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANREAD ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANWRITE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_CONNECTIVITY ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASESTEP ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCANCLKENA ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 6 ; Untyped ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Stratix ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+-------------------+-----------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------+ +; altpll Parameter Settings by Entity Instance ; ++-------------------------------+------------------------------------+ +; Name ; Value ; ++-------------------------------+------------------------------------+ +; Number of entity instances ; 1 ; +; Entity Instance ; vpll:inst1|altpll:altpll_component ; +; -- OPERATION_MODE ; NORMAL ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30003 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; ++-------------------------------+------------------------------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:36:33 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll +Info: Revision "vga_pll" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0. +Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf +Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf + Info: Found entity 1: vga_pll +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd + Info: Found design unit 1: vpll-SYN + Info: Found entity 1: vpll +Info: Elaborating entity "vga_pll" for the top level hierarchy +Info: Elaborating entity "vga" for hierarchy "vga:inst" +Info: Elaborating entity "vga_driver" for hierarchy "vga:inst|vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga:inst|vga_control:vga_control_unit" +Info: Elaborating entity "vpll" for hierarchy "vpll:inst1" +Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object "locked" assigned a value but never read +Info: Elaborating entity "altpll" for hierarchy "vpll:inst1|altpll:altpll_component" +Info: Elaborated megafunction instantiation "vpll:inst1|altpll:altpll_component" +Info: Instantiated megafunction "vpll:inst1|altpll:altpll_component" with the following parameter: + Info: Parameter "bandwidth_type" = "AUTO" + Info: Parameter "clk0_duty_cycle" = "50" + Info: Parameter "lpm_type" = "altpll" + Info: Parameter "clk0_multiply_by" = "5435" + Info: Parameter "invalid_lock_multiplier" = "5" + Info: Parameter "inclk0_input_frequency" = "30003" + Info: Parameter "gate_lock_signal" = "NO" + Info: Parameter "clk0_divide_by" = "6666" + Info: Parameter "pll_type" = "AUTO" + Info: Parameter "valid_lock_multiplier" = "1" + Info: Parameter "clk0_time_delay" = "0" + Info: Parameter "spread_frequency" = "0" + Info: Parameter "intended_device_family" = "Stratix" + Info: Parameter "operation_mode" = "NORMAL" + Info: Parameter "compensate_clock" = "CLK0" + Info: Parameter "clk0_phase_shift" = "0" +Info: WYSIWYG I/O primitives converted to equivalent logic + Info: WYSIWYG I/O primitive "vga:inst|clk_pin_in" converted to equivalent logic +Info: Found the following redundant logic cells in design + Info (17048): Logic cell "vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1" +Info: Implemented 293 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 115 output pins + Info: Implemented 175 logic cells + Info: Implemented 1 ClockLock PLLs +Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 204 megabytes + Info: Processing ended: Tue Nov 3 17:36:38 2009 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 + + diff --git a/bsp4/Designflow/ppr/download/vga_pll.map.summary b/bsp4/Designflow/ppr/download/vga_pll.map.summary new file mode 100644 index 0000000..92ec552 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Tue Nov 3 17:36:38 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga_pll +Top-level Entity Name : vga_pll +Family : Stratix +Total logic elements : 175 +Total pins : 117 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 1 +Total DLLs : 0 diff --git a/bsp4/Designflow/ppr/download/vga_pll.pin b/bsp4/Designflow/ppr/download/vga_pll.pin new file mode 100644 index 0000000..3168e60 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga_pll" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +reset : A5 : input : 3.3-V LVTTL : : 3 : Y +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +d_hsync_counter[5] : A9 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[6] : A10 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : A11 : power : : 3.3V : 3 : +GND* : A12 : : : : 3 : +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +GND* : A24 : : : : 4 : +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +GND* : AA3 : : : : 1 : +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +seven_seg_pin[12] : AA11 : output : 3.3-V LVTTL : : 8 : Y +GND* : AA12 : : : : 11 : +GND* : AA13 : : : : 11 : +GND* : AA14 : : : : 11 : +nIO_PULLUP : AA15 : : : : 7 : +d_toggle_counter[7] : AA16 : output : 3.3-V LVTTL : : 7 : N +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND* : AA21 : : : : 7 : +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +GND* : AA25 : : : : 6 : +GND* : AA26 : : : : 6 : +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 11 : +GND* : AB13 : : : : 11 : +GND* : AB14 : : : : 11 : +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND : AB18 : gnd : : : : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +GND* : AB21 : : : : 7 : +GND* : AB22 : : : : 7 : +GND* : AB23 : : : : 6 : +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +GND* : AC9 : : : : 8 : +d_hsync_counter[1] : AC10 : output : 3.3-V LVTTL : : 8 : N +GND* : AC11 : : : : 8 : +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +GND* : AC15 : : : : 7 : +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +GND* : AC21 : : : : 7 : +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +GND* : AD9 : : : : 8 : +GND* : AD10 : : : : 8 : +GND* : AD11 : : : : 8 : +GND* : AD12 : : : : 8 : +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +GND* : AD15 : : : : 7 : +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +GND* : AE8 : : : : 8 : +GND : AE9 : gnd : : : : +GND* : AE10 : : : : 8 : +GND* : AE11 : : : : 8 : +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +GND* : AE16 : : : : 7 : +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +GND* : AE19 : : : : 7 : +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +GND* : AE22 : : : : 7 : +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +GND* : AF7 : : : : 8 : +GND* : AF8 : : : : 8 : +GND* : AF9 : : : : 8 : +GND* : AF10 : : : : 8 : +VCCIO8 : AF11 : power : : 3.3V : 8 : +GND* : AF12 : : : : 8 : +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +GND* : AF22 : : : : 7 : +VCCIO7 : AF23 : power : : 3.3V : 7 : +GND* : AF24 : : : : 7 : +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +d_hsync_counter[3] : B10 : output : 3.3-V LVTTL : : 3 : N +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +d_toggle_counter[2] : B16 : output : 3.3-V LVTTL : : 4 : N +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +GND* : B23 : : : : 4 : +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 3 : +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +GND* : C8 : : : : 3 : +GND* : C9 : : : : 3 : +d_hsync_counter[6] : C10 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[2] : C11 : output : 3.3-V LVTTL : : 3 : N +GND* : C12 : : : : 3 : +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +d_toggle_counter[5] : C15 : output : 3.3-V LVTTL : : 4 : N +d_toggle_counter[9] : C16 : output : 3.3-V LVTTL : : 4 : N +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 4 : +GND* : C20 : : : : 4 : +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +d_toggle_counter[1] : C25 : output : 3.3-V LVTTL : : 5 : N +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +d_hsync_counter[2] : D10 : output : 3.3-V LVTTL : : 3 : N +d_vsync_counter[5] : D11 : output : 3.3-V LVTTL : : 3 : N +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +GND* : D16 : : : : 4 : +GND* : D17 : : : : 4 : +GND* : D18 : : : : 4 : +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +d_toggle_counter[11] : D24 : output : 3.3-V LVTTL : : 5 : N +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +GND* : E10 : : : : 3 : +GND* : E11 : : : : 3 : +GND* : E12 : : : : 9 : +d_toggle_counter[3] : E13 : output : 3.3-V LVTTL : : 9 : N +d_toggle_counter[12] : E14 : output : 3.3-V LVTTL : : 9 : N +TMS : E15 : input : : : 4 : +d_toggle_counter[13] : E16 : output : 3.3-V LVTTL : : 4 : N +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +r0_pin : E22 : output : 3.3-V LVTTL : : 4 : Y +g0_pin : E23 : output : 3.3-V LVTTL : : 5 : Y +b0_pin : E24 : output : 3.3-V LVTTL : : 5 : Y +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +hsync_pin : F1 : output : 3.3-V LVTTL : : 2 : Y +vsync_pin : F2 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[2] : F3 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[1] : F4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[0] : F5 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[6] : F6 : output : 3.3-V LVTTL : : 3 : Y +GND* : F7 : : : : 3 : +GND : F8 : gnd : : : : +d_hsync_state[5] : F9 : output : 3.3-V LVTTL : : 3 : Y +d_hsync_state[4] : F10 : output : 3.3-V LVTTL : : 3 : Y +GND : F11 : gnd : : : : +d_toggle_counter[10] : F12 : output : 3.3-V LVTTL : : 9 : N +d_toggle_counter[14] : F13 : output : 3.3-V LVTTL : : 9 : N +GND* : F14 : : : : 9 : +d_toggle_counter[6] : F15 : output : 3.3-V LVTTL : : 4 : N +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +d_hsync_state[2] : F17 : output : 3.3-V LVTTL : : 4 : Y +GND : F18 : gnd : : : : +d_hsync_state[1] : F19 : output : 3.3-V LVTTL : : 4 : Y +GND* : F20 : : : : 4 : +d_set_line_counter : F21 : output : 3.3-V LVTTL : : 4 : Y +GND : F22 : gnd : : : : +d_toggle_counter[23] : F23 : output : 3.3-V LVTTL : : 5 : Y +d_set_vsync_counter : F24 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[22] : F25 : output : 3.3-V LVTTL : : 5 : Y +d_set_hsync_counter : F26 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[21] : G1 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[9] : G2 : output : 3.3-V LVTTL : : 2 : Y +d_toggle_counter[20] : G3 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[8] : G4 : output : 3.3-V LVTTL : : 2 : Y +d_toggle_counter[19] : G5 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_counter[7] : G6 : output : 3.3-V LVTTL : : 2 : Y +GND* : G7 : : : : 3 : +GND : G8 : gnd : : : : +d_vsync_counter[0] : G9 : output : 3.3-V LVTTL : : 3 : Y +d_vsync_counter[3] : G10 : output : 3.3-V LVTTL : : 3 : N +GND* : G11 : : : : 3 : +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +GND* : G17 : : : : 4 : +d_hsync_counter[9] : G18 : output : 3.3-V LVTTL : : 4 : Y +GND* : G19 : : : : 4 : +d_toggle_counter[18] : G20 : output : 3.3-V LVTTL : : 4 : Y +d_toggle_counter[17] : G21 : output : 3.3-V LVTTL : : 5 : Y +d_hsync_counter[8] : G22 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[16] : G23 : output : 3.3-V LVTTL : : 5 : Y +d_toggle_counter[15] : G24 : output : 3.3-V LVTTL : : 5 : Y +d_hsync_counter[7] : G25 : output : 3.3-V LVTTL : : 5 : Y +GND* : G26 : : : : 5 : +d_hsync_counter[4] : H1 : output : 3.3-V LVTTL : : 2 : N +d_vsync_counter[4] : H2 : output : 3.3-V LVTTL : : 2 : N +d_toggle : H3 : output : 3.3-V LVTTL : : 2 : Y +d_hsync_counter[0] : H4 : output : 3.3-V LVTTL : : 2 : Y +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +d_vsync_counter[1] : H10 : output : 3.3-V LVTTL : : 3 : N +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +d_toggle_counter[8] : H16 : output : 3.3-V LVTTL : : 4 : N +GND : H17 : gnd : : : : +d_v_enable : H18 : output : 3.3-V LVTTL : : 4 : Y +GND* : H19 : : : : 5 : +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +GND* : H25 : : : : 5 : +d_toggle_counter[0] : H26 : output : 3.3-V LVTTL : : 5 : Y +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +GND* : J3 : : : : 2 : +GND* : J4 : : : : 2 : +GND* : J5 : : : : 2 : +GND* : J6 : : : : 2 : +GND* : J7 : : : : 2 : +GND* : J8 : : : : 2 : +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +d_h_enable : J21 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[2] : J22 : output : 3.3-V LVTTL : : 5 : Y +GND* : J23 : : : : 5 : +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +GND* : J26 : : : : 5 : +GND* : K1 : : : : 2 : +GND* : K2 : : : : 2 : +d_state_clk : K3 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[1] : K4 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[9] : K5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[0] : K6 : output : 3.3-V LVTTL : : 2 : Y +GND* : K7 : : : : 2 : +GND* : K8 : : : : 2 : +GND* : K9 : : : : 2 : +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +d_column_counter[8] : K19 : output : 3.3-V LVTTL : : 5 : Y +d_b : K20 : output : 3.3-V LVTTL : : 5 : Y +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +d_column_counter[7] : K23 : output : 3.3-V LVTTL : : 5 : Y +d_g : K24 : output : 3.3-V LVTTL : : 5 : Y +GND* : K25 : : : : 5 : +GND* : K26 : : : : 5 : +VCCIO2 : L1 : power : : 3.3V : 2 : +d_column_counter[6] : L2 : output : 3.3-V LVTTL : : 2 : Y +d_r : L3 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[5] : L4 : output : 3.3-V LVTTL : : 2 : Y +d_vsync : L5 : output : 3.3-V LVTTL : : 2 : Y +d_column_counter[4] : L6 : output : 3.3-V LVTTL : : 2 : Y +d_hsync : L7 : output : 3.3-V LVTTL : : 2 : Y +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +d_column_counter[3] : L20 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[2] : L21 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[1] : L22 : output : 3.3-V LVTTL : : 5 : Y +d_column_counter[0] : L23 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[7] : L24 : output : 3.3-V LVTTL : : 5 : Y +d_line_counter[8] : L25 : output : 3.3-V LVTTL : : 5 : Y +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +d_vsync_state[6] : M4 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[6] : M5 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[5] : M6 : output : 3.3-V LVTTL : : 2 : Y +d_vsync_state[5] : M7 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[4] : M8 : output : 3.3-V LVTTL : : 2 : Y +d_line_counter[3] : M9 : output : 3.3-V LVTTL : : 2 : Y +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +d_vsync_state[4] : M18 : output : 3.3-V LVTTL : : 5 : Y +d_vsync_state[3] : M19 : output : 3.3-V LVTTL : : 5 : Y +GND* : M20 : : : : 5 : +GND* : M21 : : : : 5 : +GND* : M22 : : : : 5 : +GND* : M23 : : : : 5 : +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +GND+ : N2 : : : : 2 : +board_clk : N3 : input : 3.3-V LVTTL : : 2 : Y +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +GND* : N6 : : : : 2 : +seven_seg_pin[8] : N7 : output : 3.3-V LVTTL : : 2 : Y +seven_seg_pin[9] : N8 : output : 3.3-V LVTTL : : 2 : Y +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +GND* : N19 : : : : 6 : +GND* : N20 : : : : 5 : +GND* : N21 : : : : 5 : +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +GND* : P6 : : : : 1 : +GND* : P7 : : : : 1 : +GND* : P8 : : : : 2 : +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +GND* : P19 : : : : 6 : +GND* : P20 : : : : 6 : +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +GND+ : P24 : : : : 6 : +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +GND+ : R3 : : : : 1 : +seven_seg_pin[10] : R4 : output : 3.3-V LVTTL : : 1 : Y +GND* : R5 : : : : 1 : +seven_seg_pin[11] : R6 : output : 3.3-V LVTTL : : 1 : Y +GND* : R7 : : : : 1 : +seven_seg_pin[0] : R8 : output : 3.3-V LVTTL : : 1 : Y +seven_seg_pin[1] : R9 : output : 3.3-V LVTTL : : 1 : Y +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +seven_seg_pin[2] : R19 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[3] : R20 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[4] : R21 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[5] : R22 : output : 3.3-V LVTTL : : 6 : Y +seven_seg_pin[6] : R23 : output : 3.3-V LVTTL : : 6 : Y +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +seven_seg_pin[13] : T2 : output : 3.3-V LVTTL : : 1 : Y +GND* : T3 : : : : 1 : +r1_pin : T4 : output : 3.3-V LVTTL : : 1 : Y +g1_pin : T5 : output : 3.3-V LVTTL : : 1 : Y +b1_pin : T6 : output : 3.3-V LVTTL : : 1 : Y +r2_pin : T7 : output : 3.3-V LVTTL : : 1 : Y +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +d_toggle_counter[24] : T19 : output : 3.3-V LVTTL : : 6 : Y +GND* : T20 : : : : 6 : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +g2_pin : T24 : output : 3.3-V LVTTL : : 6 : Y +GND* : T25 : : : : 6 : +VCCIO6 : T26 : power : : 3.3V : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND* : U5 : : : : 1 : +GND* : U6 : : : : 1 : +GND* : U7 : : : : 1 : +GND* : U8 : : : : 1 : +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : U23 : : : : 6 : +GND* : U24 : : : : 6 : +GND* : U25 : : : : 6 : +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND* : V3 : : : : 1 : +GND* : V4 : : : : 1 : +GND* : V5 : : : : 1 : +GND* : V6 : : : : 1 : +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +GND* : V26 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +GND* : W6 : : : : 1 : +GND* : W7 : : : : 1 : +GND* : W8 : : : : 1 : +GND* : W9 : : : : 8 : +GND* : W10 : : : : 8 : +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +GND* : W15 : : : : 7 : +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : W23 : : : : 6 : +GND* : W24 : : : : 6 : +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +d_hsync_state[3] : Y2 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +d_hsync_state[0] : Y5 : output : 3.3-V LVTTL : : 1 : Y +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +seven_seg_pin[7] : Y11 : output : 3.3-V LVTTL : : 8 : Y +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +d_toggle_counter[4] : Y16 : output : 3.3-V LVTTL : : 7 : N +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 7 : +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +d_set_column_counter : Y23 : output : 3.3-V LVTTL : : 6 : Y +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp4/Designflow/ppr/download/vga_pll.pof b/bsp4/Designflow/ppr/download/vga_pll.pof new file mode 100644 index 0000000000000000000000000000000000000000..e184bce687545134451c3a9eba1c74c810e9fcba GIT binary patch literal 1048717 zcmeEv4`3A4o&O|mCB5ThCz*txfn<{fQcA&)00Ba>vzfrEkt7h6yQYOev}mpWh!xu? z+0Bw{X#`PPd#%^{uO50_717h*9jyyNNNXw}_R8JaYJgfiXx#v{@uc$me&77tKQp^C zI};7|%_cK%-k?hj@zz_4=FPag$b09FH@6j)mCq`=eAdiavuDn_{PKB4%dRY1bmz@C z%O06bLb9&0wvxGs`7F@A=9cyw+i$+UjbWLwj1w%xs}mwWPcMo9MSvne5ugZA1SkR& z0g3=cfFeKGI^SXI;}t6L$X~DQE=6B zQlgM3#1Mk$c!mU)D8#rbK@|fedlFm7-p(czLW~{)Pvi@^=lLguE1wXSs782$Kd%^5 z7zmWHjEFBnoZO_uEgNKZp(03;5|haJL=EmpT-k&>F>2B41YnBfqL`Pl;iWJTC%ERx zsYU9*I+B7oCqQV`gzSOeNngM9D}Vm_j>5|p>;N~G!PH7{v1$}$XlBE?E1Jr3MO#dR z>^CNI&0;2D2%KOB%5UeLHXxB*y!DG{dITUjuiq--~u7!nsLPw!XnvkOmz`0P!-1}v%E~S#4CcxJ-q!`Lxuyvr$2^mnktz@q z2H(S7BpeAq!mSHHogj7<4YlPJVRC1}&o^B4;q&AsT0rDjcGQ?v3J~*>_l}=k@`ty6 zTm1)QVmJ^(3SQ1s$hYzeAoN&qF^PLPKzzrLf|qpxOaPV%#FqT=m`ze8?c6@_3-Y%I z?Z5>0ZJ|wKob3&Z=e8q~VEA)8x!t+qxJyQw zI?z{M6()BEA7`rMTX_{03I&i&@0}Rl1h>jfQCTq@hzY;zz^fnbBDaNa9bUUoY#O92 z=~=&SxCd^PJ;b82ON_sAb60s~nB1B0OPjy_;Y;KuT1Y68ER}Cq6iA7{`?{{>A-@Oy znXqqj@T?DR?LK@ZtScBY0Oua~e=UaFjsyGu+(B-{TFx^9*8cS!=k~*`YCjVO3qXrl z$Aq_rhvBNbB|8iK)^{T$8 zG<5V?Knue}ZR z=k~&_YHz9{ryZ*dZ`A>P+*HmXK|8Cqg#s9RxVEO^Z0+Lp?PnKD#TG1{uZ1>)IOwDu zvT2&e4US%&yr%)%q`vWMhdTY zrn>_B#N^c_j)sc8l4=N_4d~4{R#W}avB@izo}Nr7hc!XaC8uPB0-|P;R1mcbpwwri zDtvNmVuvqgnr3{u{3^*Ot(KU0BPmw1k(5YCEfzg7yg3e(@X3lm6kfdt`iFbrwyw8j zKq+|JLL1=^ItQi`o?;&xQ&r$aZKQTqL4h@knGOXd)kaWDq=CR0&$nc#>+}*zLsx%b z@7a2|wbw^AbQ-vu449on#~dc`-Ov1$hiHT&Zq2&UP#Vu*~ z7+2uapr95xt#(cWICc1?4k)zvHHViL1taacRtralvT`{gqsf>dMI2@-g_`qc*48X5 zFGJKHFRwmR0~Ruw7z!$8X*6qU9vO}=j$@TVRI?_PGfjSV!%L`t;jx+1{_im|SQn*j zCHdxRyWs?>04jw}8I&X~a>+BxNP*EVv~qTvOD|+ZOeHr5K7=MMwAwamhEE$SjmFGG zW2E9_Ih8RO8ObBl1zg1_9Nlj!Wl9<7p4;2pQ1_?ivcep!=9*%bn(*{$R|4!fRy6^9 zV&Y-i!zFj0M&MIZpk$rWi)e;V37;%IR1KuUOo|cHti)-gCs28oQIAfwX2pnW)ij$1 zls(T>l9t>E7x8(Qk_R2+lmQ#aSpA!O&-KHts$UyBHNQpdqT|%et+;fn$Z0jdsT#C1 zRZlT$?<#n* zsKuu{TGr6a>p0w09>mfng(ourSH1lF*{k4IaTS>>sHhcZ3}Vs{A3woeKXiMoEG0yb zI>N84Xxa4&nbSw4w15+*F~#vw>@4ig3S%OcJ_VS3jfPD#vvpyUvknrD#eH?nocC8- z1Fqrx*|QCBt7wRVT?H;h_Zl^ilr+U^1<^@wOlWwKCadS%y86r_0 zcUeK0+!=ff709<81@bJ^?!tm|yJ24N+|SA6AQJ|Qs@-@$w_B&E#bHi3(5&VieF;d%pi%H22rsfY8r^TLDn=tt3Vi&Z&fg_7sYQ(Xfn(pH?ZEp#iTbne0fdzneu;m z`QPXNi%JUU(n~=AH9teS53Rp@-a`fM?p3w<**quQ*v>z&YRZ`tC*b4~SCRqno` zEw6OWRyHqtuYaoVijG+Kf_1we5N_B~JZpk0<7$8R)_vpMpSvM@LWw^^;M+c53c;tf zaivqXve|Xt3xV^Z2Ubtn!d~jlU*qN7g#6X~s?sSAKXG2PBrxxW?8{0$`Mv_-biiFz zIoOch7A!6Hd%o98La!K;yJ~4&8Rxp5ov?xH%J#qFtK4|h_b7MmlvV$b z*WO$UuD9oAFU^~f-@|o%-@jaS{subVQQX8WW0z)6$cNDATuMSODK37pu5FHc$~EAc z{X>6~&$VqrsAq5K##L8(7XfKuv3tWErPpx0YY>7K1itUz7(`c}cgc(?Yry$QaBkvu zyB-bk5H{rV^*&bG?QVNwM(FW5?DUJTF5~mB=O(na<+e^)nd1vyS{}^*Ja=`le9zvz zH_E`dZcFy{75>?)>cK;3j^81B$a#I$&HTjG?t*1pzH7XX-FGc}LtssgFT1ohRJLeZ zWl8<^;)%5fxusnG^=%<`-^Dj{tzoC-g`Nv$mb@W=a|=H`?+BMIEML@}-`ciu&79B- zzt3OVmsN7s-3!qddS5T)`dzPNxw^+f=s;fR}kT#Ih!f``y~ z?3HKq6`u7kZ+nfO{MeM-M{|lY>KtDP@HP4MPqeYqmT{Nz&7tVf8?s&j_uA84 zyXzklwoLPWwy}TX^0r?z&w`kXmWDPitq)zF3A8V3^H1|;Nsh%gWfnJv8U_E?0=?x= zUES>Z+){ob=XU4vpILO#l#Hd`Y1;x<@t1Bb-u6ZIirfch<-XCxdzZ4^;QFMibn+8j z(_Z49X!=~>`?=s+d=0u@tGWizmA?^OuWl~;+|s&x!)GB6{~J5bL4rOIN$YKT3wawl^HXFZ?`%&mE>Plz%9tj9`9xI_W0}k zEqN329;;-%`PJa;9<0m+=ki7EWn63P)qbuY18>ZElzZEoHOVV9pmSZ>YGHgWoA;mG z@_fm?HTd?Ptm%8*2f%&$;s@piZ*vt~5m;W(-@2wOFkw}9Xhv`G&C`!mW-cxWPVELq zUm(A?pgZre(v`uty}l!B9Gllw5+#gMQqnXuyl`=vA)|wZn|C4^o^fWtLts1^of!=8 z%oP1_#IBJnJz$t((6>7nt`i*V9N^-~;ze&Erbr6F_?gH<4~Vu>DDuKG`51|E0UeA` zkSshIKwG?=NLjw7bK@i<1Q%JvJG^)@W68@Q#Ajy~GE1%vS(0IMlguMpkmQOka$+Ta z8jl#Bh7Ti8JR_qL?_Z)UAyOLUEV3js#xRj(eNnEeF(x|I8Zgtkf=p+{YRLiej$sJa z&dgkblgOruGav_92)^I}2tr^PAI2%08vguQB_fd*3{Pl__6R)|6+%Vw3dxdUDMC7a z$$IZA7zz>QLF*sUzV@| zK0iZnV@>syGWkJovEa`3b51T3O0}0M&hF&$g8??XDI|D&-VCPG(HU@+3O;u+@6F(O z=ThENoK@t`3}yR$rMxRY$a;iKPeur+6@~axw^t};eSD_N%{Yro9Yw|d5ckqQ{7o7h z#|M#`v3P5<@Al@b+1TU#W1)v?%8>fXFhx96ILS=Ba##b!3o^5;xLjIkVse{)(V1Hr#a)i9rbo<-^TlyTZ8P zpLcRHYsjPEVdYmPfMVd7@U)z<5^vbD6lIVXXNCt#7+k<2ulRO41fWOS{>KP6iVJWi zUXiQ*7Yu3;v0Wm(p$CrP6f^om2Jr&AaFNCfn?i|PN7)Bp3kqN23cN_odjf6}&CaNb zO3Y#&G_owdZRUGIrQ1RhF@`ju5g_R~ zV=y7!(8kHBK$H?&ay$5M(oh8AiY`B@k7$##8JSWGNp!IYPuUR)Yz&pE(LhL%Erlzk zFGivSEVS`PM}cMz%fYYK{$0Gi=DUQsL@&Whc;{L>T4HEI<<#Xy)rExUz(Qe2%aQTG zie+x%f3Mz%6(*qRMYfDaS0pM{X4xH{gc@732uC&^eZC}07#s;DkdY}4OjUafQW?u(Lh)~SLLP0$dW?TlP*DsqKEj2^#>QCazmN)|){0meF0w^n^G zNXjs?2u3hO(B|vl{}`$kf=5ah>DJKwe& z0XHq4m~-=f45KGUaZ!ff>2Q%jO;MJ&C^Orc1=9&+z!r#g=4-PSaqi5#X2CD;jb0ek zd7wnZd5WUJFf;Enl5nR0Q~$hB#|Z!=j{s!yLz#2Zh>Zp;)MG68v=>q_Rn9 z6qrUKipjWy0#r5oKcfj)tR(;oTsH#HBHTP+9_vGTd!l^e{&i&obNN#xN6)%i-}irg zeEYhbJ36-bk9AcQo){Xwy|<qq|g$F~oUOz=tB?@U^AE8jEI)z<@&AM<~7>4bwlV-|KC92~Qx#yg{M zRo=|&)^%N1@oLZH9n}Rp3NQI!+&#kw`^FWXn0n%D$*I$>TZT?=m^)mzvtxPo$v*bO z!TZl2>>0Q4T*QBGU`frM8HGplX0BM*wIZHC!&(2m-N(W$;WUviSF!1tQK8>M2a- zX}3VcYxurpW8%W3^J?$dMdCn~#Y{8wY=g0(OLD>{XAuOwrZuu)dGd&ixk?j6q)AB{ z0{Sj;#kLr-=*PGszq~1Fc-c|u0AmO#beMy)s>{Hy$dDqp%AvKM3dLB*Na1f{Et0waMXxzo5noq9`Msnvp0^;e$7-8Nx z7m81iPgvSWA>zA@O)eiad~x z^&r1ZFSu;v1(>_|WGvqRm2 zJie0gO60>b?7K~9KA=o%6>Fl7;cNcOlc^Dvwv~1ZgnkQ!`?3NdiAC+eTDI{?b@CY# zr#^0Qnp!JSY9ufJ>0_OVRU_6inG!subR~cd_Tgvx?vqptDTl&Ze8_g9W})zR2D2VZ zrT%qpfm`3vxJ$ze-Kn)EsmX~dn8BQY9x;vbj)=z>MKLB3r~{Ab3MKIjAsIcm!gFiw zD3iK{DKTZ*iIR98nDgy;?$dcUwai6-nkRhk;nWgaYW{S=(F>~GiiD3JHjz~@IMd7X z1Ma`oi#ggJf)hsIrcEh)eYw#Ab~N31vr%}&e1axO8y~26f5wEeV7CIyX_* zpz_6;0fTlJ#_4KoV3pY#L$gviX2YSs(?Jd_(nQF!@OiVm-X_SZC7xwK_mY%V=B-08FFAPSpvZdoDIPBc0#ykU(E5r>YK zoI2{dW$^TdIm30&b>u&XqDn!<(@-iC)F`o{1zC5dC4qDYB@N7SQP+awJS~5*!3@lt@ zOhu8LRfZ5NoMdEdmx2;wGkT$5Fc9$bKA#|Xy=2`&2zLIJmgeUNgM#38laJ{pAly+tWJq|Z%NjkIAbcU&*FD=802Xk)Lt`*A+ z38*O|fjpmLNN^SWXc}!`Xu>PHw$7lj(E^r*xO}<#+J~ z^?8eOYH@c!DA2!W&8o^-y=D1(cek}xlyRU6>nsgT@3qmZ+r@+@o-sI_(nx}8WmNcA zLui_C;DL+2EnQIj-}i+^w)o zkXrZ%O&WWe(x#J0}}k3Xqf(Z0Evd08`z`eHn8FgaRQO8f2EM+37GA zCHm4AG7 zfyhr~{PEHU`MK*V`WBbn>-(^_^uyNt4;CNkS^P%dS4t;V@7rB?^z7;Ou7>h+1uxwj zdcLOjxx!6b&Ij)q78Z7NzdpFS;GAp8%!awc6@3T%CwqJ!_LY7(nEye;k)DPTB2eA? z+@wugJ_>$qSolIm_nzuKr(7Ss_%oPQaa@@>Eh8^$gQG5Uat02?Ly!0#aX*s1(%jFigq0s0epz9D{YRsv<6tXQ9hZM5~Lp(R> zks7IC8PO-1W-0tzLo0kz(Y0@~Sw5O0RgB5p#dVxB-?ep#sU6C)(Fv0sVz<4e+RB6^ zsRskIzL>0l7#+fKIae%0=6dDzaI1_~?4iNR#PG~Lw$%7dw9;w2E zDm>EAi%^A!+7u<4>};G8shhMhF|DS*atPu)P+-SU(*!rk()qEESUrv;>_i5JlDHal za%O~uoyHD@O-2y}=7#50GHI$iFox;#la_~MOhmhlA}KZgs}||$J0Nl4!dcNtOxJ81 zG`46d$T{mn58Psh`h(<%@sacuh63%kt-I*Jc*n^S--qm)KZUMa z+&g*M zFKiqwSYYS`(nJnpZEPD?J~_(N9yqKNj}VScaC&dH!DPqkmycbdO^TUSXrvl*xuGMZ zl5zr$R+$m$+tU8TOOUBp*K#MrHP38Y4*33*K||oWP-oAy@>;gDT)U;Nti<3^r*gcS zPr}Ry$sP>z=Iq~T#%k1(R1cV9fu>`GL=TwSqPsjUuw5PluISZPr%>{!xfkYSFGR`1 zj!uNsrcvEe>JOTew*)`B&+1VjNZ)dAFHM|Jx1+(e%#4UwTqhzml*!T5aP6G?oQADw zt5GxbAUX9Va&D)-z-KIZ;Tw0_q2!9oC}VNQ__BYDm~LrN*lL+Kx<;&{Pv?OOltXMa zPQF;aY^zbq$4|VYHenEsfh_S3Ofor8jMj_x$IcQB5wj7T>#mUGDaS&+Cgk|!W2{M(tNS8 zkxr9?s9>Y6ibe63wvmo{bfKfr{PjTt-`Ok@KU@jY{0`^QhHtnNSKpS0P;w=oN|W4^6vbMRBH)<62+ z&ubsfp4AaL)>Sp>#4}qm?pb%RZ_J2)dDD+y-rO@o{+LY#`It??j>1bm7?@C{c>o&L)8VZdr0=f>g z)cmzUV`eF&E;jNSKReEa9>w3Ov8v{lVbaCXgoCZJhL!n9qNa>Yx8>+9Xnsc93g{`L z@LK>NXIubMN5I@?KaC~{PEv`SwChy-3tjA(dSr0Sk{a)f!c}=QuUpr3U3yZ1Ww9a^ zf!J?oF3%=%$L5`|Qs+XJJ1nUp$zrR96#=U4NYUxp+R>$mE2XpbbwVTx=;uDY)A)9z zOjp~H)*3}|pLEw7DYMsrhtVw|k-?aORK$6C?_cdulu~s^9IWaqg+|39&P1V!14Mlt zh0qusrIU8rxHg2UJE*z?qyqNC!TZl2>>0Q4T!gAS)OJ8Njqb6B%%!=feIsgf@oM}2 zlnNW#i8W_Sq0z;QLi2GzgP-RPlEJQ(Z_bnVU$?iJeH6&xBT9snnvj&V%QcaO=IF&Y2M_8b5+J%rw3AUE5Zu#bsd{uXG%#3mQPv z+Z5W+BGg@5Jb4)lCn+(V+d><+3s*i_JneCIQvQbJ{dLW4lb6oQZNmB++PJO%$|u^U zJvQsoLI21GEJmi~_>Mksakk)h^PG#cAihAUH@`R-Wfkglhl+zPZz<3DvIRC1n1w_1 z((wkdN+ECkPmApYroj5;c5QPURW1(%x)otV%Fs@{-&X%*lz z1LHPu&2HCZ7S6q7m`8lxD~lh?&d$qU?&IsSmu9o1#056GpKupXbG7>YQNBA%w^{WL zQ7Tj>U0>dyu2SqwW8tE~^^Mt>(xMQFVCWa;)UTI9@*f$Y51KY+L!9y#Q@2;0^E(}4 zH8MU4M~YoQcZLt?6JqD@vT5L8$1WY(?qj}aUKAQlSkRI}L!r?{K-Ynm8VZdr0=f>g z)KF-25zuv@rG`SIi-4{JEj1JxT?BL;XsMyl=pvx&KuZmUMi&8H2U=< zq0vP^*MXKA3XLuTx(>9|P-t`!&~>1thC-u@fUW~AH53|M1auu}siDy5BB1L)OAUoa z7Xe*|kGs?)UpySSZoV$3CLCrn}l9Sb{0;pTUvd7|&{Lay+7sj{td(Nd!WF)cMZz}WkxVY@e6i06XCV&gAa`@X7_ zcv80pDHQ2YbdW>^OJ< z9;uiAT=m$KgB=Tg23s_O?m)4hHFF-f(QPeck8bSg-V9T-3wHNfzWz#jduhwAIkBFP1R?Ibbb;?>5#f~7+PtWAnOdW38p2{|+LBrLt?EniXC zq*Zz)2EyOjX~(XyC~mBzOw6f9iwHB!=Ev>Z9v)o*(qruM8*M%FKs?PGHhRlfT3nIS zCw{$4reWo2U;Pr37L9lse36u$oBt66wNf)k%n`wsZ}o}@*>NzfP31cJY=|@BJr%#xOVW0b|~c*Qw+sV*R&l8XDO# zc(7F*ih(PgmybK6lJZEQ(S?UX^H&JX1t4MAe&m&`fuY(Bs@+KEyfdoZ&}}H~RlO#O z#+VcB(sXeeZP4uda`@Kp+|Vw6{e4TiuiCRbclW9A`{8efe;WR+;;^Xus=g!Kz$G`2 z+coCRzdiML=PvH(>c6c2jWumARrFq+7~osi>Nwn|N$Jx5y%QFFcZYl8k%PM-j_qTD zXI_or>UDozVkFwMl5q8pZPvKjT$6e1GiNSdTT*%1oVMES$#4FwsYO!s*&s<{aU0+IzZi=FRFg3d^+52Z@R~QQpCL;?9$2 zn7BjdO?ZLB>s=*w9CA&t>%^QDJeg#EDTHr9kHbwS{opWSm>SJ8=Lk7_s65MRwnF$( zn6s8sWoMQ2d3|2UIlis6q6~FZ_=$;Lx5Ja~E2z&~lv9f*8^fn4_JFUTfA4Nf-m){9 zH}h~sRgufDYTna=WBq1AApcT}bRMn@r4@f%AUZo32Z;Jgp;57jGf`;b08w9UgvN3O zXPL;&OH|g|B!f9#^gVEk4GZ}xx+T+rihrWe&9DKGVTBoW>H8ybE+w_WW#@U#ERdddK z*ys?s8I5dj)mP9exr#D6kd_%38rm>lURDk>v>I91hBoxdu#6LuGhcutCJ3?JZ8>rZof+vdMaV@~>r^u- zGJ_90S|x}orp0!W4`LW(AjVsG4X&jPSb&9LNTI3u+TX+wHvdA%JUqvi#fuU;=@(}) zRWR}&2k4kjOH>GiHgfn%#l`~E;SUenv0y-y(V*QRe^9%i-PdmV6{d9!^>a4rx|wiL zOLN+F9b;>mhg~&`B~qm0A^S{BFd})4{7yU^fFsLsfnd5NDa;eGnsu^pW#qCjoFHhM zt*{a-2iK96?}QtYd#2%%ieLC_F(g%K&`gt&A${xTFvjpX;bTvpCf9Bd z1TMq$JOtrGoxxz3j=9$$pB&qWADbe7_+=@UB_IBixiJ2W41XW^g+;eGhHB!uT=>u< zEZhQ`Y(f4Z)&c1-Id!74%;Fpjurja^)-Ez^F3)EGU0fdw4;)6oDsQvpQOcob->e_A z=%NPB(dxS$es>0&OX{21?u+-0!QbYptOHyye^bHcmd*Wl?(Ltwr#`p!#L9E<>xlGs zMe6y`VE<76pF%B*ds|8dAmAnY#=*~)fSdZS-`hWVPyNahD^EPK?aY-^g>r#gy_LU* zXXU@#H7*or>5tBfyfRwHP$(kb=e(nqm11w&iOlJLyWQ+t1kZR2W8ww+8V)f&Z-~kWY z%X%blFwYAnAVVBI_`x>~QlhUY$_faO122zbTOL2v@9V7&dkVbKZtURA!3T9@IB?Qa}9!s@E||pH|wIPr#6!KYi6P93+ad) z7~~^{ck*)coP`)Av^AuLARWRTp_`Oyl%quUx)VrBVoD?9(KXeDq^cJ5Mte(>5_8*K zF)EIjv`5oVVP01vW?D??A;gd|5v&v>MCZa2(dsHOASsrBBo~EdN@C%)XTD9&SWyFk z319Hv)L=wBX65enA>2QdMZy!tBz~}+;!N=-9s=|41T!{+g-#4v3Th5mz#9X>zzdrNc=ND4&;(l0ahb^8fm#2^U~&mFKn8+h?||Tu3%HX^1|E@@ zp9+bgV6p%V7?var5)GLFMul!FY7k=Lb+z}2U-(5DFscUJ805!8I(%#e4JVZ3?O<&N zvKPXZ1_4B_fPzR}toNzdBJLPJ%Q<)dUoBQDOcF^Jl7cjfDVKZLR0L%}8XoS-3WR_i z;6_d#7$l?YD-|{3j?h)@24gNl#<47BC>9GR-vosPNMZqmffSCg4N)YX-m353hE*dA z2>=BckrNy^EZ7hQQWr}h=8QqQH~?>ACw{ryh5eJ0hcXP~at}%Y@C5ETtSW#BsU;8< zW|pA~Qad;q^1IUkLJx_iDVNU?>j{5|&G!qE^_JYGrrahMz$96)>_mU=AvO&u2@qu; zE+d6fOc>k&CCCU4#U%Kbqrg|R6W;+j^lA8dfawf5IN%q8@wrW995e%s@WSp!#+%U^ z{M?cql<&ny5-s+{lVrgZ;k?wJhpwDIRciU($Wa zrWI>_Q-z&^uWXU;ZU^}ZAWD^jDAm3Peh(5ssUh@6AqY`h4s2B%bT!AH4KELnLG({;%AagO{ zyIqj!P;;?efD(WWj@lwZ1tE1Lb-94B&Wy-!Uqhb(DNI~YgG~)oH>iorOUPIOr&UR3 z3}mA_IP9+sUL4ja?!7?Ns;fB0q6wi$mE;P4sq1sHu1E4Fw+8YvNodsx+PvG(h-dtW z6J)BB@g`XeQ#JoDq9IADBmJVnXnaC=;sKvKvkxl%#>G8{{aV7n6Ej zw80m{gfQHJ7c%3NA`)SEK-q|+1qtL;_ZSn@m)zYwr->a-w&4Zb$c56Zq_zHs@$zhH z_(`0xH#kj3OkQ+CqRp}1_20vEr1McJq{S5>c4~ou(P54OcH#x&5mWgmhT1$3 zBhpB;%s%W~LxgdQX-W$p4BoNu=WtIuI02Tx`OFi5uyP?+5>Xj+#VxsE`)qkK3$rZk zEAq;Ra#&;_Em{~byd(!}2+QK=6%FvOP=gopj&?~e5sJv~9s^%kRWVdrEI~L3+p+Kv zMu)>;6uoe8jPKHAity)uA_s$~64G>e=%^udkQo#aWB5@Tr>K}Tj8YR88M+xAMOH9{ z@@1B%mWuZvD2yNFf+!O*`z-uF6o4Um1s<~$i2v}f){1>MNGmWjffA*r%_PAmp&l%x@Z@8lK+=r(}3CP)7mv7$sb@Kr7Ki?%lzF_=5v;mIqNFhlQB| zqES&5ImjuD0)22dsa}D)7(^v32p0^pp&J{oLKTDfBiiWtO!%$#qGu z-4DOu*ZPGo)OUM9R2lx-^H^%VAfI>yB9xE@EK0qDath>Ih&86)Nz@Xl3ZMC;SAuFP zwRUO)XQtc&Ge5jbDoG+CiClE)GGyLfhC@jRtq_n4rAdBf?!fsJ%}ZW_9?EgL*epP<@Lg8H1J{uT;mLc??_0mES`q?m*4d}v5 z7-9_navw*?%bY41p%JNqQT^{2Rv*ZRplW8c@z9VdDZK(G-{S^e+x1WE}~U3>^)kRpsMjI)tb~ zZICM|#DOJvmXvrQI?)Kp_9>pEFjdX2mA%FG1yP*I9`v5>#$s?Pto^K7X=7m_G3kYl zx4(J1r7do9>1cQ=YGMN7FryNPV#!@!nWxU?BFb_E#FH`D#jJhi#jzwTK^6k0GqAxb z?P9jit(hPmtB>>JV1*=OMsG%P54m!|SOcWep{?x&r7!tIm44s~ruIdWu>;kZbb|+- z%b6)Eecn2TgH*T(W@NS=`NpaM}<$Ef}<_mB1KPPo@iU)sxAv74BSC?kpf4 zsE?QxVwz1b=z=V0a$&CC=Oro2fu0abhggi|IR~Sq59+Q9R1lCzXW#Uki;xfC2`mT_ zQEVB?(#epYEH(%3NbXRG3rxrYYCuHf1{R`-WZ~V=<;--GtN>9sm;DUPa6&Rsz(N!1 zOMpvQk0nsNCh(ZQ|z8pm|ex2D~tRk5V*XXMFQTtUeCChRX zc^o@}BoeFD$=%}T3ItFviINU@!1zqbK4I_VrNu6nYx449=)~E{{L+#y{9!3h1cNLA z5dz-$0<(TZ4kAhyNLiDZ6qGmQIl^R#f>P^UT0Gec7bFg1gunAMuLhD^`~}TKO~vv} ze4`5lL|D`Z5rSqXe>W(CKm$aC9g=)-+v4wZm=KlR5D^iq7&qHk{Dp7%fg*}xBr&M# z0j{MN*-A+o(f%Y)mFSA;fn>=E`|6KnIjT9&cl(6q#lG3E^IYqil^rWPI9v$l8>;6g zBrc)j>{=$Lzc5c!`fMjkpGm7uxHj!SQ#Y5d_+l_u5~l87d_Txi0+FTW?w0gE`pf4; zt#iv>KZ)FhCEhR>6o5uVtEyL@s=vw)s7L#K*+34!!1u=U2KBbPsOVv6WVYN*8a z74K62s7*ppt!3l(=`$tm51BBMJc#s+w1P54-05@EcjdRJCu9Wn1yMFBjLytcm6yf% z!MrqmanQS0530d1NeDe51}{)fRLA5d=xD-oyFg@%y2!j8WJe}Uv60m|QEo|%oXdIo z4^{F`7Q_XzR2sPul;D<}H78Un`EojN%-35JE0>Z|FVU2JrhdAa$WO|}=?IYklqQ(A zlk<+~lQgNgv}{`{|4CAFsYoYJmlhSU6F2{yI`BxS07l+~GeQRj8!3QFAP5x*>IcyU zJ?sKm2Z@QgSdkXKlRQWWnZ#oKAy|G7rHXV>qCYvE#tS}h#xSSKAC!O>OFRspFpoNvA>A9pyfpwaK+3R6Fg?Tfc9I!ka0Xf;S|qJ{{>*Df;qDsUq}12Jg)$UxW$8!~i*XgLr9r{>_)8EA=>=7s3NwEU;A zi_DygKFBy4p3q;$1~8GO1@r4LEOdN`575Cy7t$Xt77}~JSn`^061=y)L|Q;BlVAhQ z0yGsMbER_)uZH3*TBoW-LUV2S5ge08=NzhEbRx z9J#g_L&Rj1I9C7^O%<;2A8K(u1q8-mkX>R4L*S(>5u1tF#OS<5?)ZCT3kK#*q&$GE zh9WZz+ChFG#R8L!+mTGHi(tavJp+XTJ21cq%RHHZzMqxiF{$cZf5+-9*4w9+W<)@1o)enr(3j8?03W0S(~6 z83okRfW(9~I`t)`G4h}Pj4CAPUox7xTsVdW`2>2fqHhb2y%?MO_o!Pc1E54nYPTQO z#6cz+S15_9GSGiLv=(O}p=2PRkOt&ilml^EDRGmLp@c|Dz4{+zxrpoGdYTtcXfBy3 z~5;81yFl z(vt7-f7#8FzuY4aweSnC?p`*yuW5+<-QH7>yLR9Z`1+U@#o^|n6-S|JqZGujLZe$; z5(ir?U`3pe!3DVusC}}&-$+q5g&#uIE|z-IWQfg~sj4XzjmQb8q2!7QZKRe+;l#(T zs^6hOB4VN)i=o0W2l}NRD25&IWVFSWqV6XvOf^E8V=c1(CvglWf7PKLKCzQ*3Q8V0 zrEB83OEOA2@oG@S;br)$!aZF9#$joO8_D6N5R(%qS|_=? z(CuqiiauiMgF-7w=vb%lhj^F(>F&S*1bg5@9O#Pv3^C;t&+Up_hW)h06-^}Ctl##LI+n3QegGWDHt4KibQAZFVRG3L}L|g7QM#b!)G6l%eQzRDc&Vn z=lqW>>8Yeh>|TABg7*~8Z~_EsN4CNE0e4E099Eag5X9q_q8MB_LzGPa@k?oAQ3@_n zIOEXb5L->^P71Fnoz>m_DZM7~0GTL$Gu!J0Up()Ey%b<5DlK(87-xPy$7N@eL3bd4 zKK%Z4y=09$BL!o;G7Wdo#jcdoXG?AZ?}+2Rmtz8i|v5(qaSyjk+!>?UY0Xw5{QfU1bs# zg9#%oHM(FCXac&<#vEWAGcad~F~7-u*-~scre*fOV>}kq1-p3e>I&gPpyW)abOoJ! zE_>+Fvg49ZP)~R=(F{r+9A+s)cFCRS_jotV0m7*?aTiYnbgvBT9&`;J&M99!TU4ow3HUN!XyEv+jXCt9F#(Ri+Cjx_i-o186A7pBoo%ybI zvu~2K`q>s+05PGqG)kkVnKdrv$vJw3YdZLzD%XCFEFUb`T(G~tyuUuDjU2$|-#5O!Kj)76Eq*+K zZ^({-m+YIg=4QTUx~mV4{L9}o{N*p)zxZISW8$Kf?OyR$w^LZ2ePh9v{rB%b*fVzF zK*YZ!um}&&o7u9itEJ-A;N&f@vAA98p8kV(LByE@g@%ZWOBF*8T;hRFE z0g7k^(8w!bb^RD|AWJ!?&$~tUKouiIWIu#h;UpL>SibC=xV04~%jp6FDH}sWkw^ep z(p6zZZ@p+;BSPlcr^KCsWGEGJo^TC(`Bib2X}!V_0~A%I;t7OlQ6Pa}K^y3**5g(l z%a@pAUZ69&R2>YAiw5{t3Wi^$KE~m?vFt< zNGgyV--EvmbNcI^LxBVYhr8E8^}i4V2XVJgeHDJlqSK0?jECNXI1ArZu|t)Oi$f0G zK1*6xlcJ<26(c}vvEo<{>iaFtUjqv)chEHl4&=9f+1gcEWyikJpfs6o3};xMpm{ZB zle{m%73Nki`$ju<=n2TadR(Ps2eITz2XnyL2a~yYwSC(=ixJR{#ISAsLgg?F!(lW$ zj>Z}v(%{pKRrsErc8qcDYk$lzujh9(W|SVUM(ypbQAT6c@iz(^n<5ABYVPCGv1=T~ z1q{kJt6>;)s7ojLrmRWE*)2OzokP3#g3vh>*{5PiR8+tW#bFzktc;!|0;FP%(w5HH zh*+;__>nEk9&B%SEhS1>4rFb77MVX;4>dFPqqNwVNkW2OI;={7M79`p(Ac3R5WgP# zz_BNB{>92f4x%I;Z82!eej58K7r>C-5Mt*P05=+RhK*+NG1LhUE8e4Y9K*u!&)-!Lkn)jm4;l1CCwfb>%%;-1gLf+dx zt#^K444;TlW~+(dUJKz3guv3B~Z3)=R|4DO+9S@{kUp zss-?6!cNOw18Sq$_wr#^q~*&#Im-?;0o6Ge%24~psD`3T?nx>^R@+9VVIj_ITD|Nm z&sI6X@M1<;Zh#_xdE7p3fRZ(aZre4wl%2LhV~yCSRvj~fItMFbGgxxAtBLM&Fuy^9 z?sLGwQ_`&ve@*)wOt*TYZcfs43K9d1#-T$ZmnLmKwLOwa95lRBXf!|(twtL(wyxf{ zLwzA0eoZ$y=$4=nC`Xp07Mvi9oV82+IvO<&0c*NxI3op5nHZXCY!P#cj3%=k3wq!;!W*E+ z?bF|KeQM0#i=SjDyzjF`_GlYA=X_t%Gg#YDTsNjDlm(^U?1tT#iUPWZ zmRUV9R%yPBw!u!C$%qODd!(=4!I1R_L|c)z$^w_|Gv|-nv2NN%>B@$M70}gXbd=2# zF^WRYypcdu6BuO>nNLSTh|#EINMO>W1)a9g1&l&Nq0vP^*TK$GQ{*Tv%J4_+iZ05` zjycRZJ!e=tevKUm>CQ#+{O3Ib?qNN zp|ZOFjDOPR!u`YL{T(^SPm~-z=W6}P|Ni*);hZ}zp1+T zwZiOo3fHXXdum1`_$gQGAN}v=wGU^{>IgyPlTJLdCF7oT2m8iMy!Y+1CC5*@ZWy{_ z!`yXsJ3E$VpX_5l9K8Sh!Jcso&qe(A2A0(9nNfH&Z{~`1T`MYH?U}rzx?o4)B_E8t zXZT>>xWW@tPn<0|b=q~y(CH0xhewD&^`04%j^@o+v8HQ<`_&%T$-ZYNz4qcU*rVX} z6_;k`$DOa_4ix*@xI>ui@CHhXzHgc+AG%&?Z%?7oML^eqmKq9;E&{p^pVm@i!%hY` zMqK?fwYev3^LlJtl(ZPcY%4QsP>Ksqx0i`o&Gv;DNzEORJm`XZt3M!P6V&4A(tJ#2^qdHt&SfsxLqx17`cfPj%r3@GNz0t8>0nJ4 z8ww4DMi&8Hhf!E+MrbV0M85PpT^LL_5X44`M`q?gxf0%Q62)mrgj?GiG7O!3$8_$bYVS?8(871wX?@ zQzNCnP}fhX+7LKaVAmKEg;>w4jVn&{qA+1U3L7UA+-rNaQ~RqOgBe>oM$|=%LPMd^ zML^d9N{tOm?Ql>3?pMm6h8Y{(n2b6Asli)t>8RDqzPoV3t1Xjj$d2{TpEK~yTCVW-_8odKQsa0VWewPLk=`P% zIAsPYTt!(*ieZ8C%fGd0M(=_bHUbyo0xDR-1=7a3${$>y(gu99rhA`HsZI!JI()AgP;S2r>5j%yjo|#Oz<{UGQ%v z)1v9!mU3Xrc#G16Uh>ffByhq{KWI6}fsaqu#E?|a$tRU9flLBhEX5K7mdlMu$IgsWmKw#6&QlED zQ#f1Szd<5knCDQ)i3DQJ*&`2?SJHHXg{o6Qae;)$GE}HhTBS-#IU2IPm{;v4Nuvz zTs^%WR^~y)^qC)9`*6*tX!IeA6$&^FJ8bGS?Z|MbQuLfDC2MpIcERLfGme*7&o@to zXK2G$b-6X{kekuS_Evob{9Dm_KyZ%XA~)K#I_J}Q&{QtyyK;wqzs_*x=z4T!9BitD z+#ea)!Ld?;Zfk?)gmd1RnTe*aBFNt_yK%yb5W-GQ#%`N6$Ho4bO;C_4+aIn?ItmIhC;z$AmHbHK0)w$F=F7x9&eCU zB@2O`<%>27x)4%mC^WhV=sM6+GxE^v%iplPzplA$^3qwkaF{4Gs~flVU-?Aaw8v&$ zI_Pf-ZD&&Q2QPfVf^qiEqY@MLg%r7T8Q=vC|zY z4!XRhsugs0fl_aNaWGr(yLrxqD$Y=P-Vg#3a_ENpz-;-luMo>e@7X__GU>zGMe66_ zJ4;7yKM{7^MIjQw&@aw4`uMu+rP(aeyliwo;Vz!$YW4d!aLsPlWR}GeSGvvTy|VbR z?CiY!GR+L8cF z6CoB^KbM#--t4O~78$|d>FJpgBBp|80wl5pg+@jq;Yp!MfJC;S(8x$6JSj8@kjNGk z8X1X%Cxs>f64`=6BO{UUq|hWlB3n>sWF!)v6q*D`WD5$7j6}kdLX!ZAY(b%skw|z_ zXc8ciEhscH5(!TVO#&pc1%*aNBH>A)Nq|JQpwP%jBs?iJ36RJZ6dD zY3Gig_2F(I*f`twO)CY4VaUD{Cj5_U$02nKUykr(Ab;;YX44__hR!|G{n)64$%-p& z`o5MSZc=1~osDIRsG^+7&Zek$+4$@{B{ z0OB39PwGZt!eMk|l$>ob?KIM7FKWTH}{^9ce zj-2BsN{*)b5Gb6DUASgF-&5lniQuPPt$*~tpLgeQ_N1rG z9x@>9fzS7=`|fR*F2p8+?k3l5Dy>691h|8v<5L@AGCvtXM-SrBF)Wj~qtNJO)2Hl< zC}J|{7*RL7DKx2|u{Ie8)Facss2hg~2kTuFiR@F`4pY&>L}AkL`YBLcOg%COC_i z9nG7uVolcy_p3b@@Zu=3Y>mpsN!Igg6@Mo#$i-uM$kTptiprgZJo2XG)Ko`LtHpyeU1)l z$?~p z_Cv}PK761s6>A>7u_DJZk>CDPs^CW4?USSNEA&QUOyUQ16XEd>UStC)RG36c`jbRv z*vUSK3`eQ&@lzoc#V5~}%1)J;@vy4zwA83r#F;2Gae&|}?iz(_VE$Id{?F=VJMmL% ze;s?krK!sB_Sy;i*Od*-XTOjlnIY#}-3|LD>Q2YbdW>^OJc5G|^#AotjkTy9k(^8jU0~pTj!h;N~ zUY{g3Qac`%gvdWwmMn2HApD)37w$O*G91!yL4IW-+`lMh3kDknuScv-ZNMCWr~FCA zG93m!7`@_^(YE@|x(XKpj~+*Ue1*(eT2D#w7k>J|OBk!O zBQPqDeC^$|*oX^+6|2a)awCJ83@w=DOYF$KKu7+RcTpC+5h;P_PvL{i4C6QH2%4#d zS(bT|RILHKxr6@XFLr$t`gUJgeFfjPvK@c?qMSQ~E&kU2+ws?1)iqGTcVDt^+`hs! z9ehufYd<$3e^bF``0ej6@2}5kJF)WI%5(mGBd+@hmPJi8V z7;DzM{dcd0Hrnfh0;GHZ9XDb-7-yX70ZL}BA2^ zw;=>te5;)$XeKyz;?&yAB>X6YMa7`wIr8t@LPa6VRhi`umX%+n69-zWlkGgb!9PB< zuOB9%BS0$#p;T(xB+xee`;sIwgU1nvj6Od?;DE_}%Ev z19Qw`pRlM|sDnSpD-h38p^5i!oFF*#1Qmw)=9iWDGz_G85cHfPU8oBlPG}Z9_>WO0 zT;X3fCF2@-^a6x+9O_!GaR94zjz%F+=Z1Tu;IHExt~#zs5co#;7xWpA{6c^E8o&*I zkbyu1a0ea<5viA_!7T&EB)MD7LhN*m7t|3;#j=R#B?qiOf^0cmTQP z`Yo?D{ber?e+Gr38TIgMS<}_xel_Sq+2y~^V2Ms4yL4|2dVK=#Cv=&&mp%4gAj^zdxUa_!fT%J7r_D~8$3KRy% zf9<*f4nI1rJH-ZVLqa(1QVMj!f69qUoq*Fh3hPNmNYb4g*;#IWK;hisucPZmX5NwyqFe(tK=A{!ZL9hwU*FaT-O;HflX z#6sl}{i(i~w;xm`MmB0qn^U_~$katD@*Qp0OnOn23Fm`6q#ylehqV80~(aG70_nSVegnh7AyYl{yc>Nm05=Lj%ykYoplD8)!{3#3m#!8G>FTnBs|v?+?$vRog+aCD4gffjS?Zbj1%EBJ0+s01ka&ImZ+e zwvbcpDny_gn70IjB)gzYvngWJ4iAbYS&5DhLIVZp4dnq;+CxcSph5a!URUi%WiGv5=fF#M;xCC=JgLbGi)sO*# zqSRA|-4Eb~%xO^r;@cPgoPo7mU;=@Fj7qcz{f%BC&Nx6ySnR877eE5315v~$Fs=MG zqIA+Mz()zZkl!d<#FB#Q@!zk;B_;lq2g!+ne<;I5Ucd;TM-b`+KpLegbke#Wp8F1{ ztx_k!>E*5gsRrZWZwodHi{)sVeE>T;lBjq_8v$n~l-l=Rj2~vjafEUc5C25wk=X|P z!5-59u<4~@UY(t?&xRO{&Ejq8t8?OIpO4sYAARE{v?e~t*q}UPeb2x zJV9yGR1WnemEeQ>MG}-Y&^|Z%>Xr*atGkJVHqqnI^agFZ{EZLS2fCx?m^uix9ZNIT zc+INGvJ6|g^K&q=OhQj7dq@f@xKv+7VcX~)g@7s^o<a9Zmu$a0x!~G z$-Gch8XEpy>SRqd+qoIAOFfDT7`GixBhMflfQ-$LO6=;JRI@=72kQ}`7$GS*dI6*k zxxR(Ju~x}LgS5aKm&<1~k`nHeiWG*u4@3{M7p%8rSzK8!7AC1c)h~c=@)a2n0pjEm z5*&nYl4v++;UlbldkaG!~31WyWUnKD&K(Wma*742RxyxXh3`n}7)}_IU zvTu%;OP}#4)L3W-psBe9%Yxsyt5xPdcTB_m6fE9u)0}aN9=ig`` zP)aW#o+a0mB%=_OG@?5=SJRa)F-Vy-s=^2fwf`AT7Pq#WIM9nNNCVYf+Mbiyl3}Ch zL9YLiSN?23*P=lb2t=h#O5OKLQq1XU5R$TAW(a*mZk(^-F@+T=*hGp{M~BR=1y5oy zGZj{eMy@FY{>a1cDGo*rBuQeroFY$vSopnGV=9*TSO`u^;RF$fTd`JcY~y|FykmI6 z5q`HAgi_I4_&Yhp22?8vGyxePNEnkvH=5Nzo{(5o()I%Y(`W$4gcwJSWi{w z@IrX)df;fn|Pf5v1J@6rr>|{0yiVWQ}<$K4tet zmIZ1s*T81Lcprp34*wzy$^ zpUV+oy$}i}0DHp^#(MPhI5LxoPmp$iZ4jYA@B^_5VnKOL;k&2r(w^qp3t+R$(=HHC z7JpKkUAkM(jxP}ZHr(~|ri%Z8WnnGs2juUb{@g=-Ei5iOixyMFO)suxP-VS7EEa;x zO~!J`SAXeync#r3x7^R?a!bo#P5^2mw=zGp$S+GcnapiJ%}gu+DQut`l0PV5NKy8^ zYi=zX(`rX08l;(6G5qb1Y5Pm`m`6?pJh1_cyCPF=Nk?-MPYF)u%B>Dcv=!i@<3bTi zQ;domjx)@y<1fgz1)yLpPr?jZvVobmanKeh2RJ>Q*{w-q)53Mvt(I?uI zvg$)dHqt}|6OF)*G@z33B7MiuPfjNIG-44gM5F(6^H0D%1^;n`fg?HV#~#_#Cwni&oR~yEsJ?I z_5#{_$)lWi;SZLXmspg@)antLyg;!vX;Ab581CHMH0eN{h{`YKz_p(>$p!>R0wORn zJWTk151Y?KV3Uk2-{$AbpuLtDzU@0%W^;+0efwQrPc!ygy74S&x5Pf&5vmcMBIZl3%#t$V1TZs*nc54@JUS) zUdtg-kxJ%pV2 zuaFm$n~-S9ef#W`YeMwLl~E9F=2J8*3S(eUS4|;2QB>ej`I$?pF+ygm7rm=y3y&f@l!L6L@=Mx04mmFdlB0hq_biFKPnea_Zlg*$cPp#tWvJFLRXa>m1C*tL@vqCRdxjDO(DSE?lDz8cSUYrW5*u z1Mk?-fZKF#G@O}cY$jYckB9@b#aq`-PDh0wPZzY<*qvO~9`BIOJJj>h)aj0{Ji~EP z<>Q&@;2IkSMsi!POK%ZREFoN%da7n-oMl?Cw)I+1+9@vB0}}4VpFZ!Fv~Y&L4Ap!4)AtvJP-?UUb3cmd*Wl?(Ltw zr#`opoKS5?z`_3Cg<2N%wiFLIx-aRzWYd=qd#4KJ0=GK2b@Bb=CoB}Ym$vTXr`Auc zpW9#2H{d@R^x+}XAJiY|sehxdtu*(R0z9C)y{o=_px~t?p{;N}_5BBe_w)-3>${f@ z?&I-@YCD3yI~IQRN4o3Z*wa>eJa9Zv=!OY+UlB+LLZiDe8w-4A)<#%*>dD&RsLPy8 zb`^#mK_9_JUz%QAOn6zj9rL}Tu)7wp6ia1Pd5Y>4Pom7li)CJ%R#(gao0HN}f2rX` z(`Z0Po#T&uV1663)ZYI8_U;Bss`@Y#_<&UDtBK4oz^cG9y9=&91y|%FR)JX-Tn!2s zKX~uOAOtOK&4hyK}b=FyJ>S&w z!Hzt+~$)jnH-k)aJ;TZa_Q1bigZ|wLF zYP4T63jG7BHT#f{XBfL}+3@x|vbxjUeWO&ZYjCGtwscW{h|zps{f(gKfiqSoCv0fF zEBN=xjvMP&1v!K>pF1o3H?}#1A@d~neek{mx9>kNd+(~-j^1|k<)aHOxu-c8!sZmn zK1`Y0I``zKpARw&;hSp9BFild$a4gJ(q6gXC!;y*ebxyZ`+i}RO^thRDCi7p?c!e# z2Q;a;9y@688B{&odtaq(e8T7j4}x>D6DzL^4vN-?9MPsX#gNk@xKH(dvhTaiph0!l ziyQmS8_l<{lat+Lw_O?{8xf?U6EjXkQmP)j_4#Ujb(La|_O0(erzH2%ckRsaQEV*V zgzCXps*9=5;g0f4#Sf_Y9M{7OD;_a4Jb;R0lT)ZTGg`=HheeT@z&C!I- zG%IT7*zZ|AXm5X2`%rrwHY|S$i**LSjOZiRx$pxOm8upZ7yIfLuRn#d4$E7bRBC3wKPvXK(Ot%T)yy7x|xO#C0M78`G)%K$*Kjx*EjPizEdM{;$R9&ixqM9Jz6UMwBM^b;0+t`f5 zLth8W)Q;}T6D8B>eQ7T9)C{&*P{cp6Ejw7qNah8va?F??#p^L)Tg0&8XURbp%8gY} zi57qHuFHa3PvxH~%{LSdER0og=EJJ)DSr4U54l9H{Nd0iD=RuaJ!x`fj)%SxMO)d^E!E^sc(q-ahfL>X2A;Mh z2HT=;4OIE74*64(%cw`5Z-`Y|;ZR5~r&hkfn-016C6f8qBZ8(%PoFoE0nSQYBXUW! zRABk@--T<-5N&5JUUF{vQ7^sZ&-+ba2;r_j`uoyuWrP$fWWTaJil?(vHxZYn@>o^U zrs`Jn>BV;!Q{VYwYsYxaiiQE_( zZEfKanan5`xgimbPWyLnmP}3M2P8Xh`b2QK3gg>rG>PELz>&mrSe@ z!gIQ8T<1++s;I3|A!UR&%!<4F30f`wVBwD|PHVvi3J+Q}=T(??A6}fRW=kY$DvMRu zzg4(_{O;d{hvL%5U3E9j(oroxv%nnH`_?qlq{eKg~FcCTKXIQ4T< z-Hi^5hZKu#itL`*v&~UYMRrqo?n9j)>s*w5Ii`^DjDoSX|+^ZtfrO>*?GY4{cr%Z0e={n>z2@ zRbN=SKCs=U(X-OL)Qem z9}usX9tn;;2>4#6|1l1TSJ%mZyYxese(cgkZBM19Uovyf`SaJs_r3SS=R9@R-~7@m z@A=7@pQvAa{@xciZg{e(eMZyqOW(ZoH!uB*OMlqC!qi}emnvT2yuIH_-8}Wl*-bAc z!+n2gXVb=4exbPUBjLWMjM=_R9o?5wADq7N_SAn%v|pFo_h-6pT{r5q-D}0jlDTGO zy;OToo(^}h-p3P8OEvvPy1%38rszL`roTw{_bQ}mo;5zvr^Kvo*0|oKVxtQV`rx~@ zr{ksW;~)7%PHe2=7@PO+5%tA1_4zGL2N$J}*B^N!wc*XoZ%n&q%jCPaK9G5({mR;- zCr;kAdue+ReBY9~7itr))o#8wy>n^m`Dy1aUUJ|knVI+3K7VrlfvuBYJz9U{?bL>! zW!{{2&&jb_eP-gdnVUbB-Z?L|Z)dRcS2904@7zN>&$?>sp~GigzqEO7?Z&C|ZrRd) z%jMtMIs0o%rhl#Wy+1wYvnLPjJE!*O1xMegKmK~^qbFY9zUbtNr?#$39NQOv>+o;C zb7oQwPcAw8*x{|e z{FgyFbw@*6T_)aI(~@jXB?U?bNHu6m3X}}Ur<$>PYL~M(rE(T+Gd}n78}+Zgp1R}2 z-P;#!d6#ky!{%L2IaHyaOOW46g zFhtmue-O#*sX>Q#$@q-d+N0RGyFPIcFuWtK|d)z01Y!|8xW|vc_YpF8^{Q zt=+=T-2P>D1W}4;RHNK0*Wo*r6UzY|Vwqyc#iLRxw7Koxe7=FTB%Na*} zH2tg8W4BgL6PPbs@TVh=O8*stpX#9>Rn|FNev?r=UWe)ELy?Ss9YLxIBhz*BKlKM2 z+4sVkuh$lRaJMK@O|a$Dx7bk5WAKDi*4#piol76QYxlH$TPN>dQg?lA;*Q$QpGxms z^sdxd3{zuryeZzlPUFaH>NG}L^`TB)ii4L5+TEYhzh9nOsHigh7Y zaeqf1JTuXfX-FqixtwxqTVr!gT}Q83*=-Hkx{g$HV>;QIXo-&xA{z{JHJZhOy%yH| z*xy$AO9&4k!iTr?r0}?cPt;EwFMn<6rk*Byu`z7Lmy3U)nc!Csp0ho9V?%0oJol3O zp4R4T>mE%crq--$O|M7fdseOkB9>ro|nh6FL z4%ht3m2X$x(m3}c3|{Rowo?wbpZogGr>d*QDU4X9pVRcZUnxttKAMt>XwZ}tC>bEt zpeZR(GC-<9Q&OO0fK-E~q(I34sRm6+fsz4I4VsbyB?F`yG$jQ}21qq%N(z(=kZRDB z6et-W)u1UUP%=QOK~qwoWPntIrldg00I3E|Nr939QVp7t0wn{ans=RMSvU^du%F`# z9v*g?G8P!7DPv0+n+O-Vb3qiXsH?7FWn~SqLzti;?ZJiDhf|e)hB;GZZobfzF*m?O znX3#~ENs))^~UT-y0?gS^!2J6p?-kfC}vdKC3#0<*aCkP2hw|$e6I};ezfe-3&#tead>juY0Ff3pDmF#sUB^z>9t;DDF{t3)!vhl-o1Kncr??eZx0Tm(de_z z=}Xhy(mntF!mJ58?Cx{s%lHyJJnFDphuvkQlzxju_ySeGEsy4_yM0MDG-yf+lnjt+ z{sU-ook*12Pk8}hkCIcKeejjX!?K%7-;B*|?8Mf9RA^UTBbh!j4HR?U{60IxM`ow|RVddmVDA z{4>ufkn5P$7)@5F{~N_Y(f2bye9w?Z9$uxupO-X^00acf3qAbQ& z+fnkohXKV(p{lzuS5j;j%az-$ULR3OzS@onD>Y#yWZ;k&cyo{b>3XPxLG|%Bi4EuwG_UUqrp#SKXarlxM1EwVrd>k<~Xv`mh>_Qf`Eqi{BT?t2&V}Ro%nt=#ougt?*&B>&8E+Xd8u< z-QEXP3>!Z!%Ma~6Xm~j@g9~B!>3p!9g@;?lc`7M%+R|*B5XqQdxpWu|a8evGEEfC0 zf*+OCEGM8>%I@B3Zh*mTL{SbRaq(5_%ZbWx%dGy!%CmMZ>e`zAvn6d`ug%kr-%zi-LQZ;yNJoHx(9 zf9b^UPV4yQlD4O7GatJDF^>qi&3U+>c+&`c9JLyGRF5h=` z)8|^>THg59hMJ#V{nE~>zrXKS8fPqd?%CQSZ@hj_`|9~`PygoUvR_}i`^nn-9(bqY zvnN}w+PdR=hd(_1?bP-2Rxdhv`M&RFj_quHYhUAAhiiVi`lX$#$7lmfc0W1uz6XBR z@sX1)mu%g!cgf!4sh|D%Uj`$tL^PsFc+(qpe3VJ!V^?1Jo5LlFa>6}&lvF~4rldg0 z0IBBZjV5?$BwKM4`>?bnKJUkow5u%kwGc%qe{@w~Q8Go5&#j(9)+lmeg&*DOZ&Y1p zFICt?JV-uc^+4Zw>j$DJG7W!r+p=SnF^b2YUh?-PuhJt3IQ4TK-`SabW#`wIc05_T z1v z4gTk>wj-ZGDESy1CRP{7Gl(UxzxN140>DNqSLVPUsuy-MzY`hvW>Yvxh`gkD^G9gv%}tDy{C(d_xszk$jgh) z=odDcuY>c9cuUb`eMY?NK~@-(bUes@%9?eW(nL~GQS8|uimHKJHwiDsm!!%%E5j@l zSqh4jX_!ct6&z(@(6)({G*`}D=~rELO%UyhUTFyryKBKWva_-i%Muu3p?LC8Q#3tq z1@$o5u&47Oc2-W%q_9?S#s$m!-j2#ybKo+$3sFQ3m!fZLRfuo+!^@6Q7Aa^Pf9!9f z=y@xUyyxRv&iL-M3CHSN-->Vk*X%7<@4n?-X-zd;TdtXz58Ze2_WdVk@7?-x&=PFs z%YWK*?mg{SKee^>RBUbay~FhfXC8Uyo4**;-|cDGlejIjrgcrjnnYP`rYcx073{@@3?(b;2Df&;K=`Yg# z9Zfey|A{DRPK9j8n8mU4dq4Sw{~{_qIm-yI+W;s-TKr{b+1xsH;oGYig3oL?RyE1KQe}o9pTl ziA*M)PNm{u-R7*uXcn8Dd!J@?HmJC*_>+GSJ{7u-t@u!bE8FS*{>Zfxe(lUF&bU7_ z?ptTye&&KRg6>yhd$M~D?zv;n{H~gsH3!$+v1b07Fe&bu`0cZ!Tr_C2@ee1WxEDs4 zF{`-ZEf$acVBwD|Pj~LALl~Z>_tYVdxa=S_C8Qnz9yv? ze7WQSmmjd~#P5DNs@D?N#%Ih~9z{+m5PFx|Foez92KjWo`jJ8Aj&xQ;rz{!2?w3cp z>%o?v@w-p$8sQN1gYtwA+Od20oio@zMmR6J`+218sG`_dK5sMc$5r;&Gr|)z*y2hO z22;t+LxZNIK*@kANi~HA)?c}z>M}K_LIK2B#j)bCYkE%WUAw34+d6swlDg|_6L-{Z z{#1JBqSW)#&Rx9Zz)vzW@2`FSa;@4L{4gIqja4lkeX8K<1V9D{GIQ zICHvDtu&8hdCOkB1#8|-}M(XT!*?z3AC z?K^A6=U#rJ{?*r0cbvF;`=Tu?p4z%Dacp1wt;4_l&Y_*>T=jNW=5uY=FWo!0_Q=$E z>$kM8zx+EpXMb(U^sm*v_owH4_T-^`=hPm(;OHCm$6rr<^u+7i7o8lV4J_F^cjl3) zbJuTfU*GVZovCB{{%qz8fBs7FoIHvVXyRd0;o*nJ9AvTav95iQbdy#kSEu~dGr9-H zl2_vq1oWd>HZI+>a&l6ytVQqXo<)KzX7RKBnO-%6VVlnUr^I^2-~oTP%^SSBy=RL9 zRvB!@AMQGPu-UQv7z%?24Knx6EB;sCxxIK|rKbC?k^9zl{?=Y|lijh%%(=p~ncZhdPMhcIB3erb6?c$qV*h9-<}G$k*w=xCzskRsAX zqh+4mAs4GorYQ2?RhN#2JP+js26xh`Yqeg5E&GGyGgeRbUAI=&M|0skhd;YnjT7qf zgI(tC^s^>L6zAY&r)Pc`#d||RYMc7}mZl*8aJ>G=8>tO%W`1MZJzL(D?8R`Io0*B% zW^Vpidgr{j;z>ns%?a|LxUb{RWe2K5Lnek4}+eHGG@xIl|({=rldg0 zfGATKb$tm~IH&#m1!b>1yo+HZN6 zYBh$dS9!Jm_>t5{55K;B;mH+GZmoGT%yw-3>ee%G+el(9fDHsN(HS0&IBouD+NcRfR4W5rsP zUUsQ`(7cr;dKMeJ0;Ra^UK`49=do9E+sUuh5{o5*f4NT>$KqP~Il=##?x|iALC=4= zC0EajsQe|0XW(*@a~SbHp8-0t*zqOb>a$3!a7t$NU$^g41HS#s=g0M%SHumPoEJZ- z;zaRpPqkZdPUqUg#mP$kMtSqEBp$hS`<$!XGeGXYg7hE>df9{^r4~N%kl305vn_U=uvEllaP4e#w&39@Qjt{yZWp?rhG!U+l^(H+meO%a1J zii_jauWx@On|&}S9_pRWf|vMuKKX-b?3oeEO&8920g2UPZdq=~+wV!k!G z_o%vVeYUa#%C8;VRqC_XY{`t9(lS0cQ`nMub|@mO1|xo%ryJll~-wxw&vwKT+%+a?CvYOCpJ zu4`#XWMb(cDFns$vo^-F=iXTJ%(u_(N%DgoMlRtG7SLy2=eM8AmF0w~rjk!G+QA_z ziAr(Z2$Nf-I>C>$>mz*{%f+{i%m2*6+kMY%ru&x{-rv%(WcVll3BD93W3h+lol$sB z2sV@dOd8)4UfjyEVqnjjeWrpW@^}B3pVNJvC@hffvtaj^;+DH-6ec>)-8cACL*eq8 zds(b_jqZt7cu}e=Y@(y^x9h7jdTl+wY|k3Kf?_RwBiVBZg*Ub~{msOjQm}SSuwHnB zm^2}k+d?i{!38zV_l)bL-9uA`rx7TbL&D8^|4Ty0GD%Gn=1L++^)F1+pBjXPf6+qidSSGNAr{SPhL@$uC3YuajdKeS`l)JGfJ zR!*GT(eZ^K@c%9U+myAJTiIKxD^vV($>}f?4C{WiaqyCHtXxWt;4Lv=N8v_IQ&?bJsuTk%M|W&1aKt-L0?X4Rp( z#SKeq4mMnye55YfvF1R}>a%XhHh!zP=Laro{>3#1cCFiV?LBRe&dJsVtF~l5(suFu zV-=^;U;~j|)fdJuemp)s(GhP>ZrF6&!rLad?rB<{{o2aR;`*8U6Ei-1-iyf_k{7OB zIeA_Eb2rBCXxm&^p!vm?#i>i4o0h(K<=&=rO=?9YN@n{TnmarP3X@Z_37>JM)hA&571btdKwh;L0V@DpHxi@|Hd39~6ap{&{-PG{D zI}+#CkE>Y~-?X9rK>PXATYuw`)SQRnQxp3$7qnipHT~emIS(`?wmq9nPrbWse`ean z*QGaJSl6B!cU@-3L(ffXxG-ElGp;4QDOi2-?oCr0gS8VYem@(8u6*#ra~_DF*Icu? zIo)tU>y?Y24OVWPGa=I}IJK;%b$Uy%>GsJ#NH*-K8=vX;tIe&ydS}ChbK1stWcH^w z{pZGc|Nh21J_HC5AV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 s2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF{09h3jE#@QVvjcbf8Z=$od5s; literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/download/vga_pll.qpf b/bsp4/Designflow/ppr/download/vga_pll.qpf new file mode 100644 index 0000000..7d1e046 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 17:35:41 November 03, 2009 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "17:35:41 November 03, 2009" + +# Revisions + +PROJECT_REVISION = "vga_pll" diff --git a/bsp4/Designflow/ppr/download/vga_pll.qsf b/bsp4/Designflow/ppr/download/vga_pll.qsf new file mode 100644 index 0000000..812df02 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.qsf @@ -0,0 +1,170 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 132 02/25/2009 SJ Full Version +# Date created = 17:35:41 November 03, 2009 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# vga_pll_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY Stratix +set_global_assignment -name DEVICE EP1S25F672C6 +set_global_assignment -name TOP_LEVEL_ENTITY vga_pll +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10 SEPTEMBER 29, 2006" +set_global_assignment -name LAST_QUARTUS_VERSION 6.0 +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" +set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis +set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 +set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf +set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name BSF_FILE ../../src/vpll.bsf +set_global_assignment -name VHDL_FILE ../../src/vpll.vhd +set_location_assignment PIN_E24 -to b0_pin +set_location_assignment PIN_T6 -to b1_pin +set_location_assignment PIN_N3 -to board_clk +set_location_assignment PIN_E23 -to g0_pin +set_location_assignment PIN_T5 -to g1_pin +set_location_assignment PIN_T24 -to g2_pin +set_location_assignment PIN_F1 -to hsync_pin +set_location_assignment PIN_E22 -to r0_pin +set_location_assignment PIN_T4 -to r1_pin +set_location_assignment PIN_T7 -to r2_pin +set_location_assignment PIN_A5 -to reset +set_location_assignment PIN_F2 -to vsync_pin +set_location_assignment PIN_Y5 -to d_hsync_state[0] +set_location_assignment PIN_F19 -to d_hsync_state[1] +set_location_assignment PIN_F17 -to d_hsync_state[2] +set_location_assignment PIN_Y2 -to d_hsync_state[3] +set_location_assignment PIN_F10 -to d_hsync_state[4] +set_location_assignment PIN_F9 -to d_hsync_state[5] +set_location_assignment PIN_F6 -to d_hsync_state[6] +set_location_assignment PIN_H4 -to d_hsync_counter[0] +set_location_assignment PIN_G25 -to d_hsync_counter[7] +set_location_assignment PIN_G22 -to d_hsync_counter[8] +set_location_assignment PIN_G18 -to d_hsync_counter[9] +set_location_assignment PIN_F5 -to d_vsync_state[0] +set_location_assignment PIN_F4 -to d_vsync_state[1] +set_location_assignment PIN_F3 -to d_vsync_state[2] +set_location_assignment PIN_M19 -to d_vsync_state[3] +set_location_assignment PIN_M18 -to d_vsync_state[4] +set_location_assignment PIN_M7 -to d_vsync_state[5] +set_location_assignment PIN_M4 -to d_vsync_state[6] +set_location_assignment PIN_G9 -to d_vsync_counter[0] +set_location_assignment PIN_G6 -to d_vsync_counter[7] +set_location_assignment PIN_G4 -to d_vsync_counter[8] +set_location_assignment PIN_G2 -to d_vsync_counter[9] +set_location_assignment PIN_K6 -to d_line_counter[0] +set_location_assignment PIN_K4 -to d_line_counter[1] +set_location_assignment PIN_J22 -to d_line_counter[2] +set_location_assignment PIN_M9 -to d_line_counter[3] +set_location_assignment PIN_M8 -to d_line_counter[4] +set_location_assignment PIN_M6 -to d_line_counter[5] +set_location_assignment PIN_M5 -to d_line_counter[6] +set_location_assignment PIN_L24 -to d_line_counter[7] +set_location_assignment PIN_L25 -to d_line_counter[8] +set_location_assignment PIN_L23 -to d_column_counter[0] +set_location_assignment PIN_L22 -to d_column_counter[1] +set_location_assignment PIN_L21 -to d_column_counter[2] +set_location_assignment PIN_L20 -to d_column_counter[3] +set_location_assignment PIN_L6 -to d_column_counter[4] +set_location_assignment PIN_L4 -to d_column_counter[5] +set_location_assignment PIN_L2 -to d_column_counter[6] +set_location_assignment PIN_K23 -to d_column_counter[7] +set_location_assignment PIN_K19 -to d_column_counter[8] +set_location_assignment PIN_K5 -to d_column_counter[9] +set_location_assignment PIN_L7 -to d_hsync +set_location_assignment PIN_L5 -to d_vsync +set_location_assignment PIN_F26 -to d_set_hsync_counter +set_location_assignment PIN_F24 -to d_set_vsync_counter +set_location_assignment PIN_F21 -to d_set_line_counter +set_location_assignment PIN_Y23 -to d_set_column_counter +set_location_assignment PIN_L3 -to d_r +set_location_assignment PIN_K24 -to d_g +set_location_assignment PIN_K20 -to d_b +set_location_assignment PIN_H18 -to d_v_enable +set_location_assignment PIN_J21 -to d_h_enable +set_location_assignment PIN_R8 -to seven_seg_pin[0] +set_location_assignment PIN_R9 -to seven_seg_pin[1] +set_location_assignment PIN_R19 -to seven_seg_pin[2] +set_location_assignment PIN_R20 -to seven_seg_pin[3] +set_location_assignment PIN_R21 -to seven_seg_pin[4] +set_location_assignment PIN_R22 -to seven_seg_pin[5] +set_location_assignment PIN_R23 -to seven_seg_pin[6] +set_location_assignment PIN_Y11 -to seven_seg_pin[7] +set_location_assignment PIN_N7 -to seven_seg_pin[8] +set_location_assignment PIN_N8 -to seven_seg_pin[9] +set_location_assignment PIN_R4 -to seven_seg_pin[10] +set_location_assignment PIN_R6 -to seven_seg_pin[11] +set_location_assignment PIN_AA11 -to seven_seg_pin[12] +set_location_assignment PIN_T2 -to seven_seg_pin[13] +set_location_assignment PIN_K3 -to d_state_clk +set_location_assignment PIN_H3 -to d_toggle +set_location_assignment PIN_H26 -to d_toggle_counter[0] +set_location_assignment PIN_G24 -to d_toggle_counter[15] +set_location_assignment PIN_G23 -to d_toggle_counter[16] +set_location_assignment PIN_G21 -to d_toggle_counter[17] +set_location_assignment PIN_G20 -to d_toggle_counter[18] +set_location_assignment PIN_G5 -to d_toggle_counter[19] +set_location_assignment PIN_G3 -to d_toggle_counter[20] +set_location_assignment PIN_G1 -to d_toggle_counter[21] +set_location_assignment PIN_F25 -to d_toggle_counter[22] +set_location_assignment PIN_F23 -to d_toggle_counter[23] +set_location_assignment PIN_T19 -to d_toggle_counter[24] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state +set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin \ No newline at end of file diff --git a/bsp4/Designflow/ppr/download/vga_pll.qws b/bsp4/Designflow/ppr/download/vga_pll.qws new file mode 100644 index 0000000..9bb7bd7 --- /dev/null +++ b/bsp4/Designflow/ppr/download/vga_pll.qws @@ -0,0 +1,11 @@ + + +[ProjectWorkspace] +ptn_Child1=Frames + +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames + +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +ptn_Child2=Document-1 \ No newline at end of file diff --git a/bsp4/Designflow/ppr/download/vga_pll.sof b/bsp4/Designflow/ppr/download/vga_pll.sof new file mode 100644 index 0000000000000000000000000000000000000000..c16d2dc4517b27223affdc2cc140e628dd793a88 GIT binary patch literal 966463 zcmeFaZ>%lJaUVAKyt9vYSIg+^lA>2OMfP2-%x8zO9%=n^K*_ytpNK0;ww|QeFpNMs zG9_CI7|D`FKoJz7clEqnNHp=B1QG)T&V_6T0+Il9picpmTq`m`1o}V)0wM%4umXaB z@h3u{0YUko)URshR8Muy-*?X3KRmT~zwY`~cXdtIbkEE==g$7n_kXm4oYKFO>bnVl z;FCY{Ge7&ue-OUsd%|l!^`HFxpZLU&{Y?0qKlU^K;3t0SC&OR)OZUTrPyWOwel&dO zFMl}P|L`||_*=jE!}st1mGDC!3m^UDCqB_MtX9=K$<=p1`p^%3_**~vZQuUk*S@W~ zQ$0)6-}uR&{fVFb#E<=G^&G9{f99Al;nl#7k=~K0ZEen=_iS; zs(1pds?~E%?d3mPRnH;zs@gnqYt=IthYs*wR%50Gk0Prnt#bMziFWc#Q+wf^TC37` zTiRIjAPpU7= zsZ`~@%TaWX<%!Jwch#F~!0%<(0KTSmUKg}Sr8}MOyG^a@df)`oc+9Uu+0{VzTCG)X zg2eaeMXEb%v%jr(dmhzFIos{Jx$VJAtbLa7>rL&Y2UT_dx0%fLQ)iS*-DA1+7^trS z&!1&igK8IH&lQ4tRJzCNzT4F5HPFQH1+F0eQoK>JtAXydTI<+F;d}HV)rDI%`4tI}?fzFyWI z-if=NPHSbH)x-MU6?d#EZ|&~Yn%cu}X=>|tSL$(B`}J3y@pe}A++X|A7v$_(Jtyfx z>ownF)&E#uAzparADz-81IfuVzn-MW z$uoX}#JXFWCtA}*db+#m+0`D?T4{E=bygF1IW~8!4|8&Nua=tHYi~8RcO`0ReZTY| zAEmI?3#T=Nr-a9xy7X+^S!0!UTyr&uyBoT^R@Hm|^JlBwRiLR6xATaKM%bcR@kZIy zSBTBa_ut?~m+P>*B8BW8zLg+qIewAWJL~C3Ps*K+cE3`Z9hUA`GtqmsrnY@|Q#+*v z;q9UYr@O5#p==DV`tDz1mhPl8YihVA*8Db3xvJUw-}r&1_VT8wVL#18W6j9Asvfmg z{qn19rE7q9t>{Ql>H7eToY>cygHG_UZMovp*uzV$w$RIZzXvEBU4QK*L;bw`mt%%x?CTZOrk| zQ>E9!(2Utt4mRlOxG%mrYlZ^JPxi8H8Wqlcv}(3BoupRZu+y4a+A(>h-Cnr&ths&!H~ks@%hYBu|p z%~u+CyCGgdJD#LycbcXxHE1F%vrN4lY0d%P>U2=58Fd$-nwnFr@s6-xq<<3KstQy` zwrIq-NHFMxHQl&unzhJA30=LK23{#aubQc`O`14@HL%`c ztv_3HyI69SETiWLWnyP-CaTqXlZCzJueqCuTFpoamgv?o68$@g-A%}Hx;sm}AzL}_ z^|Nmz|JZ5H3}Bm{ykV9D z?dcpT9Uqymo*6jMZhbNPOTVy;afLr3wEp$2{S zHRb&g{d>^YXr%3_0vS2RsAl^Ozd0Ke&xw1E5h>^dHGsKwC!wxS4>iBwp5-gE6gbgkT*B z;1rzjx_EwAsQ$oG}@gxwWO*S17!+HQdU-E(V4rpz2so7oz_Tq~>)ZpUINtMEe zE{_=C1d#1Bohq7n(h6k!_RHPGU;p)JYlHKG0A~V`W;`(-h`C8n`B>^&B6@iMzL-TJ zOKb%iI5=w5o4Qzi8Q-OUh2Y)yHZKP|Cjp}n_y!m~X$4YDV82!T^{4LKhUosGAA-^Qina!0*brj_Oge8Q8v;_a6BQ zOYc9WMMU8N_E>%{;^pEF`NU)0v*E83L&|tSTTfbn%-VzduSZ)O zrWXV_6Noh9iSaVZ{#8#kzgQG?!VXBG)eyk%{SFgN4 zwg7f4psgpZK#B?Mw~D|1)Ghp2_t-neYruW*nQC?VJgvIw?IziKi)!x<_ZHdBca-Su zfGc_r&EG$vkHG%;<{!^NkANmCe?X|m(p}8d#BR2jx|1yeh#idkwyvsv{uO$*`+0OT zql{3glP3Y>Oh8u>Uauaob?I;Gc+e`LP=H2a5~iw{%`6I8U;wj&ao^Uht8b@H@!PAD z)g@Ir^XGq!$3032pa|&OlDd|~HNc?*$w?Q0Ps@H=han;N+aNb536TQAu2#R239YNk zHb5)nVI?oU<0p{jF$AXouRg$fS6kI9G7x)7|28{N)eed#mIGBt8Hk27q9#wwTe$Ur zrU|CYHb5(+3`CmTMd5*bQ&1$RaJ(Zz*j1mVf-Ds>@W-c{jr*Zxb5C5j8%4N*jOtl8 zq7Mi9B*s2#W}6X+d>c8WhTSI|*L)Zn?0A`lH}M2*}fI@;%P1@VAr zygk?iM@%V2AkyR#C#wfUM;cah!?n_{GV7@Ir>IdD3W=1JK3N620%DRB`kIfkd4Y`U zS+_djji9s3Y3ek!4buZj{lC`c_Z=$8E!`sw>VX7v4~2q|ZH#iZ@+m7o_JB5g1Rlt3 z++RGS{QkuUfaeHwlo2(!w-Sp$u!^#{`c-NPkNjS459l<5-2?~9N-PGI7Fb!lyetrl z7MdHbfvN=^D+OUsQFtI`j#fd)0wWj&Xe6l%z?1x43h?p&leSg$pS?&!=qMv?B$^wp2?>mCfJQP9X{R^oT_f)^3N;&0dH|kI z7y4~ztlo*K_)qc3V20{f;lUA&VR}v6B@`ZjhnTp8EYJ)uc5j6+C+3Jk0ou}Xciez$ zZucE%{KKo}DSlknD{GZFaaT6{p$AU9rTC-79lfcxcj(*u?VUu_H}B|cUI`1QF3O^G z*DxloXMcz=D?syvleGihgQ?lT{;)lv7C%mN5gwgVY!Qyf0@`}Ai@{!!Mi|6jK;Z#+ zlGp}lo|y5Z2~Ug%VlESO`mCHPuD5_*9)K@?OCe;5JU|1fv3o%>Ck-~?l~8R0DVr|> zz>Wp9^`wcRxFn4*h`)jJ%|_@20nUua%@WW9@FZn|HlJZXVqO5l$T0#Q8!py#Fxxw4 zrqBF{Ir+xh{?z&t*!?-|_w;F=huGg}kJjv-soQI+s$a!f_NxyGKIFVSq3%lUu9GZ% zH?_V`M<3laJaj!O*RVH{5u`$Z>TeB+Edswt+e#v+(lf6UnxXpD%uQa6P-X(UlJJi1 zftYQWHEW9qLIIk8T?%Xw;3cL&6Z1q25rqOY5-&*R#H^0ECS-xMNv*PrKy42wJpfPV z%r~I;2AokRuTzZ&7z^m?2=A6NLEI%2El8q*hnNV3EYQRt_JPZ2L@NboXZL~EPF5dG zbPE4%#Pu*p3Y-@y6#Wg z8|xSUG7y&ug$LmC{v@S9ijEhvjiY6#o1m>H zCJ+s2L{0n!6dr&liEV)9i5X9t@cHS1m~DdC2b)}P0VWT?=b2`|LYC<7|6Y*H!9l7v zF$O-B=$n>M3}uePsAUMkm_R0};-UY1E5aNZ2scYW55SW+3Br50_#mXkp>aEMfGuAA zcCn(ldYhu#<#c54t7*3IW8Gtj5idLiAqVycXAQRxj~7b>r@)-QH6*lTIj!i4ExC;7PtAQRi<6RQt{sXh<_uzl#2C;r1qwNvgO@C_DfUF>wi*qZf$S z2bM`hD+Oo=l=Labx&3ZFYr?@d`gc_H|Bd|jq5TtHVhum1bOV{=sJ{+0Icpzrudy*<13QS;T z0=km$Ro4TyzGS~>VYY+>N&#Aa2NK&VG!o4X*ND0MJ8W<)#2+Aa=Q7-_Ox=sirtYJU zo4GueH){s)I>mIeLOw=|83^rJ&U}gfklJI1CAZCqPYBg(%1VRCte+7+f5pWXpY~WBF%k@Z|osMJbI8}6i8M4G4 zt2b%}68#a|F?}~GWp%LWr+EX)9t-k3_Fs<@d?-Nkq`kx4v&88&04mD z9w2q+&433<9e!`yDG8RDfUYE7)|g}K!r#{MnBLpuFtvO)=z;X&Z`T!SSFK`mQoinw z?@+dA=0QEX>UMe!uC0`T+((nKAU7uoli~rd#w&zUr!h>f1@cGVjd|}UWRA>_ z=%0y|m{Q6>q|Iw6JRq9>9;8f=baQYcw}6gi66OBU<-T5THzg7`kSPwQWtG@YRL? z>htgil#OsVtKY)vLH~RtUg?A--WnDR;f%-PgI1`=H@kwWVjs7L{rx|{g$LkCjPFUR zcqq^WGD#I@355sXAtp>A3p68(-MfNj7pI6;3Zyju2QAlIq6R&YMwk_#d15Y8b(3k4 zM)VpBXzPgyWRfcW0tyenlf*Va^TdoSO;#v85VJ`TxrpDf>;|3qyp(8e8*Z09p!>^a zj=o1;_V|_@?fhv63*#MNd2Z-~Y!Y`32Ut7+e|)UVo}FYu>~A(|wkUYU71*8#1E4Yh zilj);tC4rtj_d2d^{DVBgBXm^6`+x%E&xwrd{0uvLxB;@Oh8u>o-7Zp*;XU;<1i5 z#fp=qAbqQ8`-9mo`qg@btZ)O-kVe$R*}yJ8{8;A(!oCyG1Mnnef<9gEU?zHLJ`ic? zO}g!n8$_rI&`44jfG07&C#m8gM=y|w24ewjNe0e08?|)G(F;WE0fh(PNy-HA&j!V~ zcYGfoY1{4oc8s>)Ud;=nx5GT+@JEUZ1u`%EtXGmVC1a?1&~NM7L+vy-kezjJmBdS` z&)q_S^o20e_OX%e4&Lk-$iykWJmh9PC6-$n$o6@j1am_o6BAK*0KT}U3Rz<7(w_Kg zON~13JH$aiF%!_$lU5)-w;WzO@z;4{%jT^O*b4%j89&}&TY&}+i5lI))SWM!x_9x+mJ@ztuKNr;czoR>JjT~C0}qI&JuJbj0F7i| zQ)}&n(!;?3ZU@a{T?Fd^coO4#k}4hw3}9vgx{}aL`2fDUYolhigaAqbTJg!0URA}M zP$SJIW240a{cSzfU_@x?yo2!^I5TzngehUFz9jH|qm?aX`bFfUcgHZFQ0={`3N5 zYV~jdr2;fh%*fKDcP}pfwy3He(~@IH$JCkmG^$LmNtmj2f&xkfXr8#fr>5@A^L*rP z#teM^c&QtkDBk18Ha>j2&&#??7)b#d$-wozQ`!u7goYGO_(6iA7Ddjk3>#~C=zz_K&2)U!c4sgG-5 zFAiun6VTNYb7`KWioe}fcDk#{Pr%r`wE=rUfHNaYGoBa^#Ox-BO|B)vk#sL{<%o%@@;g}<$9W}3flRgkIWyFm}67k|61(74LWxUMdtE07ZDUqT8!AR4(= zx6^CXy^u1HOSBma)D!{VrGGBeA9k2n(b`HGh_u+E@PMWXCe01kKy}HA7fY9QEFZmw zA$Y8EV_$ElJ%(#>xJiGDl=|&!-bVV{z#4~}*cNd{AM0bf{$zTW!}PelfoyTDQy}Gn z{=G*3TmWCCvlcLCfo9Bkn;gB4YvA>E#`h%E#=v?Rh>5FTB_H=_d)-j!0nw2*R1+o- zY@rN9T1Uu^PDnsK#g5nZ5_0<>B-C>4ZFC_Dg9$Iu37o?zfgp>A@D(gU$a z6f*%`J<%AZ*Ti2y;Q@G(*am2xnBk=f&gaSlF>7wPCM2WlEx_af_&n3ro7ii_nOZ5- ztQRD6VmFaaQtJ_lLSvX-6KCG3##}v?3A2cxCd}~6CZGr4N!$d9X(8M$G|p8F(|jj# z6=N?j=J^6+9Da!C4$*uk;u;S?J{F(e8g?&5+5RwY4Mz;10F5Md0eBMQdy*<13XEW8 z0=kk6?D95h=~Q3@qX5mnE(Nv-6ba)0gBIB$>8hI!UOOS6Q+;_nvumma2btgr2y>@7v7-xLqH6C`y_GV7CWv>WG*f?T$Om| zTW-81M+(cPj`GHn>8{~~1NQ)YzI-F=9l-t->Q>YC2OeN7bi`3<4AX1kGobJQJV|T= zG*8U%(q!P`R3vD1QvV3k^%mgN1MtVky6i4aCM5evgJ-&Ci-K3D0^1YN1Mnm!K_}H) z)k}k4{z%s&Ubh+e{KqK!d;(mz$d2*!%s`|?_|I#*F5fJV1J@(7hC4=z;1!^eq%HtY zVth|h#Y2G+%uGO6l7Z`yjaoVt7{Mq&^RG*REdp+WV2W*kMluj-r#I2mj~cS zJl4sA_V<4;NakGMCh&e$JmlyGV&dvo#oNI7HvCxU2Ex7*&;#%!CP5VKr#WJ=?i+uO zkF@P|+g6v+yg&{x^6-bj3kCA@$F|}#rS0dutuCW^fgE7u;cx5q9!PXn$3Bki-T_zi zr1xEEng%mIZ0DckSkKX1rLHj@S7WsNzuCZGZ|Pg;SdCYl?W zx-Aa=9LF4Sq+k31o%dXWM*nxPghBzDC#@N28t}w;APoWv1yZ)($9}*cWpD=mAo7Bx z(+;!t+~uA62d;x157FTCh3r}C5ChyQpXr%ZKR<2V;T5#E~Lh{8x ztM0paGs4mT)%7}F*(_ZCEg2dszY04*g@khrIN8;NV`~B`K=Z_0rs^gGdvQXunSidI zm_R0};xGO>eS{KmZxZQWi0`XwRIntV0yIy|$kK$DvIk-gC=@7;bxM)AQzf}Z6vQM< zRkkLe0yIzDK=oR|X&g^GR-CbFu_`bK7_9V__^oPPE%$c>1QtKa#W7x`??+bmc={*rI> zWN*S{wTeO8zx~XWX6{oG2`@VZA$1osH8H#EUJtCUC6qhZLWA%@dmv`xdy*<13QS;T z0=ibK>Sr-Sy0d-d)tAz#ZfXlZ)}1~pCr*>KL;>!^BurIlVdit!tzAh_cW@33;$=-j zVYBf)No5BmBv3N}UFpu?y9iR9I+~|X(l5s=P2B+>>rNysO_n&CGsYu~nt}AsD|)QE zU;q94=8fOn`xmF9!ZKLfnopgA7vs{e@A**$2hAI7S?Y6%EH0U8Mh<^fw1Pyw1J z?Y-`1ep?siz~cXa$GUH()Df}T#P^@9P^)F(Z|j<211bv8NW82u$JPW?faXanb#kGg zW_sN;HF1$p%mj4xq!mcL>5b*Y-`hCxzVQ7tQ4z%3e~${TL0kIUIv$gtc$*w1bPKBo z;OSR@ZD7vA3*Ou0kbPc#El9%2oEqOyPaV$2z)frnte1h@0Y3;)n(*Do`m^-1^y7%u5Pm-3HSN*=(d7#2JCer;vl5_uC*gN48(L)4lCI5FA*X9tYi^&gj(FrF@%Q zq9OX;6Gz_OghvI>KLxtQ@>M{qd-UlaPErquj&x$G+vzn7VWkX2LmE*dcNg^UwFIDl z^+t;{uuA1K^v}dfOd(|;(q!683JbS6aWZxT$U7BvWTB9Kz22^H+(Wp5^t5lA5}i-g zx=OF^=zv_)<>6W|X(v z|5xd4!{5#_+_=Cw8(OFoox6quV(KH_d2#`h#uJQNtg%mj2Lc@a04deZ%Ed~d)iTh}&f zW=n{m6rlMlB(O!GouEkMUWxTdB_6)B{oxm%Uo(Br=(cd? zs^P!-oN*9Kb-Db{+auvC^Gp^@UF2aO0J*9FiiGz955(MF!@`y5@BaY}JpfN)d{0uv zLxCocNvb%zd`DVUPf$+`zuVNHK}?uJ7HCEmySXH(D&~knfo?Qb^$#svZwbvk0G~UG ztakvPiwbos4b9ZV9#PB$boE4Im|hcq7w@W8`T6!4%2mjQil{3<^TZ435;VnRa?z>~NM z;`7M!whJ zU<9K8&0isbEdnM%iP#63d4cLpeypR{Iz{{q@=kt%luV~jRt_YQ&k5uF?0~6De5SMq zypqQ{FOYUvqqImbrjNUp>0$L>Z}a^r?lT`7LVdA<8WReO8vAV?DYA)VSJrgGD60b~2MD3&rGf4b9 zzNsXxn32WEtJecDM-&RAT>gRVV6L}B4J0&E1D`vJtQR0O9bSGBs9SkKW_u5JoeA{_ zS;3Af6UZc0oL#&?vv=`CO$87W`VjF$h=|Sv93-p|8djz@`qZNx^2UEmir5&8GIH8}v%BxF2t0y(YL51ypz(cOnt zLPV#3_SqFZ8$2kY3k6dXACZn-=8630xqSjEKqKK*pia`btmCd>$FPmfDMx9??_OtP;d>JBLQI$)X|{grEVj?mJoA7p#bGWXW))9ZU#PZ zesZ_^Cfbg8J*@u{P5$-{WpR1gRN-OY#c=tEuJ?$SAD}e-ud7r?`PQGgN4584`_ORs zp`*$4G=zFw?n#g~nU9EJJOE#>_OPp=;z_-Ukcn!kIYoI~55z@4F%!_$lU5-0mNu3X ze_wppI#s{_jn#?#O5=;~`rs?o7k3w=tqs@<0-PCCn(@SVAm%1Ptp`aqxt0i%2jGjD z6|%%upn-#xPuBxxRi(c!5e67Cn55vjok9S{_ zpWCRtAi$ZSK-GUfGd6Vz<2PT|q5n~OXJAv^KdDaQmrv*~LTL75tWM~$?pK?WvA6F5 zj34_)BSuaK82Ea)VK7!^?C|zaM|8_2POJw*PJZHTi&xVRIU8(zLL@ z*|lQN2iYR^%w*5X|{{tSm~I7P4#8W)R%vI{eTLZ<*>qI zUHv=uj!sAVdd$GjpU~~(p9K2eXZs>$F;(}N* z6{;$do^a9hfM}A-Hb5)nq4zd9oDsbG0P9^Xf4$u$JhdUTRb$OmbS#$)dg-s9q(&WgDOsQU)ST?xOHOzA4b$aIJ8g5g}yC{3^0k z$g1y@q$#0U8Y$yjx`8Bb7@V(;G!IR5W9eA&QS>-*1L^N7pF-Acc0=Mfk=}}oDd!m9qAO& z+;FY*tIRrT{V8hsN3)HaSoN>>RcKo7vGf9&L8HjSWwqEyF1vKI#@IXFRP`A@-aBn3RfnXJ7 zarLXz5*}$~t*RFu5PaRss6qW3FA^Y|Bphpi!ZlDHYy&isRTr?e+nbnc#2$_vr3O?;LKlE1q4;`! ztYMDBsN$hOjbXSZKh@i{I}>M5p4*(9Ttpf!{S!Kbi*NfSs(m&aXjUN7-&-Nfi8-QB zfVQ;UoiHFz#Dw;HY|2S1{3S5yeGh0WdLAvp8^qk93Fb)C6~>Fe4lIec6n~KD)61}7 z%rGaX;kmSWi#CJ}or3Xy|0b$^ZkMpItBvvXbZxL6qb$nZ)*xG(_)RE00G}`4$a)9( z`#%rsfp}q$God4n$^!|iO+5UYZ=!knW!$Cw z$6rVACH(Kl&R?pMSnp?7bPiMK63y`3AOSr9Pf{jm^BMLd<^^!Oz!--c;tyV@6ZR5s z-&j|7nA*_w}#y(I=M7*pK=X*2M|FjKqEa40h`;%dv zs=xY7NMHQLP@T{Z!|I19DiNl9RLlXB=1Byp0L{NH1-1wj33@h(A)-)#MzZPxwo=$6 z3PKiWE>5*Qp!5JdiMpL$6AuNNK-y|-tNv9h#VzIUyY3LY@1i<={fFu6s`Q!qLko;Y zvBoU)A_O7KP(pflY}qo2Xr(~op2}Br;BTb#o!kdFpb%CkDFpJ2wN}0V0m0pDfdBuKuxpjr@4(DlYGJdi$ay2X?ut?m5MRhzsZnG?J5JxQ%c zC<+rumwM_M#Mzggp%8x@XWdKqOW^k9%Fx-X#Q*Vgl)wA*CkP_EiSAaQ_S;*n87Q*H$8wJC z&3ns{^GWl0tHDx=6UfY=Y1RE}daR@SJNgLh=kOI8E_HJ5%TL{U$LcbUUOXY~0zdmZ zQuWsGQ$N=61{g7b0yL7;1>i~eSZ0EF$k7WVqQO`|TY3b4ke;b7-b>Z=Kd-A*qB2x} z6{cD^;P<^wn<>{CT1zhwSOfjXMG4?Zngr3`)Cy63e9M&xRDedp19>3k##`-I$Q-$W zzyAlc^8h@F@jXcu4>@{)n7AA@DksiTpfdgS&B^Io|KTsu4{Ty0^-Vd$xdo5&k1A@$ zHRf8tw7TV*G~mt912IPw3Z&qtyoZwXDaUiyj^Q-j8|4Nv!~02mlGfF`{~@}*JK)zT zAyw6Tn=4SN)3y5vOEJX00x2Btn+UP=NQb_>c!A7_f|ke+Qg#wu(jwihq-ymezwt)( zxz`(4fm64>&>POi(v?|YiRyl;nd z{p~Z5P4)KoGG890sA$s4^b7BZ&InJnFf#=8Z>ycK6(>h^f_ zf!@Iw~D`rBI36^Qezds zl1>sz1!$g_p`^(gg$H5|C={U85>P5YBjGf8z}5s*faXan(8R$}vzwZ_SpCk|t&^L& zu*cNVU#gQdlSS14T>;urrq00S3nA;0vih-l&1c|CUmveWgpVZ*epXNra$r+y?S!32 zlVDMR2JyCG=EQ7#Pg2E0feFk^Kvxplz|N|F``|%wQ4+JgeAcWj5(ouo#l^CCNQ1wMz0k{5=vcF{ldvRmrq?)Cg=>o z9A&}`Y<_`ujBJ0H&~+b8!_G;P|G~jdKUM~2;3E9+CV#26VTG>F-ORTZ=G zJxLW01tu_);PI{pDkN*{l>b+JIeRvcKk8xY(%;tcpzim02T9#|J>HE-T|%&LG!aut zsjK35ozQuPS_aUng}<%iG3jSvC>2Pd@aX>*MdAT?dTl`)m@`0sS#ckf0x4L`Tl&Yq zZ?;pF*aTDKo8J?v>Zls}TPXw4kWf2qs~WHv@l2Vst5|1nu(!AcTFbEN2hv8{xH;@5`oQ9fVD zpdh3{3iM4-3Zx`Do#pj*I8#lH82WQRbvwOA9!e<#(U68~m;A+MZ3!bcfVzE0!GCmVZQZ{O=9Z&hZ)g4X>24r>9a=Uz zN!PJqR^EOYs@C?}v@OdSfviI5Mj2XT`AhcXQ-+A_c_95RP$)nvggoF+VKS(1L-+_h zko&d^beak1T1Xj)iK}0gTX3wb>OWZ1|KWgZ3iZwS1?&pY3Mm7TCbY8rHx~ETJ(dZ$ zW{bj%%=QF)1CZJPjYM<9H6a;2Z#F&~X}7n`aTTE`KqED6xuaLkN0XIRJ{qWTT{#DW8gU1Mzu4gKq$6~y@1#_#2 z>+bL={iUn=E3!zEsG+;SN><6$VGat-4QlgqQMfzPjB{#huk{QW<`=mB^V z_Ba#7LxCocNvb$YC@%4;XRav_!_#L1X1WA3vNX`Ek*SF}qEH~E`9Em6-V!yiK{5wE zcNAIg0Du2C@u@0a*yBv-h{IlpOkDk{_zWoMjW_lGc}Y}oEHRtfSaWV+hLSb|%fu$= zUKPJ%*$q1JdFdX|O(EC6lKfDkPalfCzij5Ja0^n`m5YT_*L+FtuHk?nJpf-kbqQIb zzyD9$AIx^qua+SQV*;6^iU;1-|2NcigXfx|&7KIs^?Fr}scoLhSRrTP3SUhhw zJ`Gp;SZ~5wj35-Ck)$pFPhxyeQpH1o5zI_LSDFqv-!NBF1Ism3NKBYQ78t=OK=W5f zV2ePRpvRf@SnZvGDAvt={!Xs9>4L_43yyX3hq|~{hY%5s0yGk{E2t{wat#aLMsr=N zjRBTe`|85= zmeAY-@VTSNdI$LXzll#(@xmTwLPs3-LS*9VSH)+*L0|m6RGf76kP)Q(nQyV zsfpQ1P+&ja00-d`pO*)5y_D0-cdR5mcEGJA4y$ioUdw&tA%<~2X%1JCIwz3%$NPWN zD^qx3SQqD(DE0jXvZ|WbDY|PoU~CV-k9e%(U9j@^f3qv7>iRa@MZYQ@a&!YRarLX> zjs5%yeLeg#*A(i(Ok8;gnFDu55*l~_o}^6BV==hip8Bzlhl?0N0UAl_0`Mdz(n+d# z$k7WVqQO`|TM|CO{?GHKX3sYpHTP+O7l9CqjBOT)nR0M&w=y}^^~@c zJ!SvBbw36&aVjsb%jZS6I}p8B;+iBWqVNEGaZMGnM7@?%E%DWs8g<@xh=YJ)CZMY) ztw4G{De~HhKXU`ADx0@9U@r)8W&mksZbMWRvy-6u?;;(GpS38SfT0c0JZS|QI3#Lz z3sZ+zu#+f2^Q1K+O#_}752Qhvpwk!FPt*Tc-kcer*C`(5X3oF^3Y%7GLi7sINCq}F zcil_R3mvMn0G?!0u1sU`P+$Nv6VR2UH+6~rcNJ))0L_sAP668F)Jdl! z9%tY<1B=eU!p{b~V;k6u0~*c*boE3xvgtMPrxzeotA`6H6`*-yhLMWjBx4!_&mnIdIz|H4qZms<4ov?BfAEfkVdHD(1MtPn3Rz++(7?e_qg$A|g}<$9W}1JApdeGrcY_{C zFZ?}qrO>#_%ebyCp(~IQ>0d$$Js=vnR=3k@)V+{0kV~`~3)B<=-=%*p)E{=3Skc-_ z8HlvlqVRyG2`0@A*Fbd%{jKyKBzitV@L1*CS0ku-RxQrzm32xfQ=Dq{GE>K@RMhI& zTAa~mtnco2Pr6OZT5fM3TU_fDNV%YYuhBmjz*p(4124dpsSINgc+FmzQdO&of4b_Cn16wEqkrw9FgsPI6ekQNE;hOcD>#^edh@6^J zf8=#qM~~fcfiHGDbw3q1kSVSQUQ(DUzR?@@I3tkL)aUjBMun;hAbQ>tN7jU^p#nM- zLiwk&H3w4AkB`6u`9w(FPOo9SLdrl)T>YxthG1oNyreKLz@SdLZ_QVkV%gCnk_ds`v{iJOED;+W^fI zGqN76MKy~Q!9m<^@3zh>?YDlYCS?xXbjV9;>J#<_}Nn(sueV(bORJYQgps~V#DPGqU^AIm`A z8kTz|ZFKlr$N*IF$)%{>R9!w*aRefImLg zWp{BhA=yV7JkvE>6udeW*q(qMfF~&vbo{?X%FG<`I0MVifG%IINdN=aqjC*<3eeI<2-KI5<73@^W|`9V z7M}w9ac8}3EkS1r?|dbHSjGwD00RbIbG?rD83Ync>C0Lg9g!%LFyg2Clb&ULJt&NVk{- zWkLJ7J2HR#lhhrd9 zr|@g1b~se~TOCNitSLYv>AZ5YH31c%dD6bLH;;9lw;bXkp_mEi>Pb70?4#om2Jsi^ z)@(&k-0Xs)Kc7fk>;M%{%y`no+=i$s=72&0TK$!el3as@WdEs491^*{si`Zn_A1X5 zAS|1@@Q_b|-SEfCjhTVt>lANHs%)UAyeAe<@zQKyQ**a$JAk=hZ5w<4UOeqWG$#-f z*MM3_&AYt^Y=6l{-5jea6LbY=#Woyw94aK{6AU4lbu9---FZFcz-6>O38!tfc;V7D zN!^P!%;s24nV>5`o1D7DosMvvf#VD;Is=h6n<`*X1!yGw*Q10c3eY_9ce8=LIKk6Q zKvz#pAd^(_7g2Zso+PyankQytX|hJ)ftUjd1yY)yJ}b#JvOtchqe|LTqIrBBJRFZFD&ZKk?^FSd8tW{c@pn;}e@ zpw9$!CGjpr%&~Rh$GYaH&r7B5oDY)V7N%~=$2yw9vt}xf<#7h~KLcU^E^m=Xo*jky z$oe<6gjNdBJZWE-Zu+-%J&$!ue_K~ic0j2Bjb#6+OU*_77CwiXW<3!ZE`pxc=dT8kHE(FB-O^idKm~m6paPBx#S;wwP9WV2rOU}6DALA zp$vpuBut72MB^56#Owap55Xz#bB#8;DI4$f%Nw<*49f3`F_0B zV6$nd-O7wWPG*WlU%qMxCECRVhz;?GF&YC?TeAvt(NTj?2< z3f5~&KN-6Lw0c5E@gJn#$x(YsT*%^U^s&DVY8p~cO zM|BL@^PV`EpKAj&E0q5Wa0;Yg8S)W$KqfL<-)5+O75%N0fn1=;Sg5Li=2%%S)HmZM zF0{5%1|lsUq3}TN7>fk${6^FippkI0JrFamCUMffJQQdG znWT!dgu(;x5EHAA1)7n?&Ub|#h&iHAfL4p^Ey3sk_}o!sy#sikQK(yaK{6-yh+-z7 zt0x-6^qTk!C_Dg9659aH6EnOt@m2_PVs;bcIX@Ve_`E!r`=uNwZ=kJ5-X1R8j?}pc zId|E^k@c74?ivml)&ubQ^@~@tkR@`m6zT@k_6M_F^s8kE!e|WBYvN&Gm$yH~9~lTY zOF$36lh_2Us|OFnVh%>gfk>@4>0~j2P=H2~x&Sc)W*o zop@_F>=-TYXRJk_0yL8L{*b9+F4wT|Z8X=V+89uJ0G`D7o}`M00!<*3RB@J2cmN(^ z!W6PVGqTtRE>01x6i8|Q$H=a?L=Ac(jW8=f^Tb@H>L$}7jp#KN(AEH_d2#`h#uJQNtg%mls$WtXDtiLN%mxs95+5+W!CX#NTb zY!NUCO2j_M%nMX+$75Y^XKKmEItt+IfT>G-rnHapN*?RHK-yvLLrc6h^m^K7AkFI( zBSut!MzZPxwpL~h3z=c!dU;n1I&=Ye661T4DjqUSTsMJCQpFkj@j%Q))D68Wxvzgk z9Jn||_)vgSKO30iK)b=~QA8UBXl;qCn#)w(WLl)`X)M$uWCi!M7a|i^zbeiG3J<`O z#5O={OJvmyB~1pFiJPFd^F3;BpOwxMFQ1Y>DB}fkfC0CIJ{JmPFpj|nr+lWgn}w@L zofk+uti5RGgI*R2WH64w1{b_B3^qG_gJU35=lCO2I~=P0tqvq%))b(Tn9HfEVm236 zAqxy(cF;W5MX(-#Co#S!sp6r)0A?njD+%xR93~Z|7>lANFu8KGV?}YU$UGyng+C>x|fX|nFV7&ucdtKr!hgzB) zSXJ>7P|O5$^`sTZ#4TC;=>^Et*u1p?dqIFRfk-o+7!Sm(x#60SjIJfZk#sFCK%)WktRF%!_$lU5+b1om6SpGlaiY(BREdqIFRLrF6)Yt3X)3pYX1^N7b8 zIL^RQGjPTOC7=Q{60?=5DrR@xiz&l^x&kzk*ahH8jPFURcqlM{nF;7h!W);mv4#`h#uJQNtf%mj2L@v_F8 zm~GTGYl{Fv0oshz$yYuX-1!JMYX-LbLWi3b^D$b?!1leo75TTG5iL9bpD+2qdIz-j zy3}khW(QVPys*ca&=H5-&028{?a<;=9|lZ~&D(4fpFY|#AlxwKG^ zh+ZCmpO?CDi&MAoW8GuP!V!-%aGZgqXCPrf1!yFC0Wvi)n~Q~z1qLuXIDT7~O@>Sh z=4ZyUfpp8WmKusmlQ31K&79O7zpdMM>h2>M3UYIja7*EVWbP7$0<=ONdT*1%DZs1G z139uwc$x|5T1Xj)dCOhDDyw1(w;t5z{w3@R&%LZ-~G zB1?s|UT;@etI@BwE4y|xZXn&wOUuAZ3S%t0xXg?|4)T&hH@KX9Hdn5)etQFX6Vs|d z3ccw{Ukqnzl7p9Uty2)v;Ir9_{vN%B!wm;d5za#&H1(Aop>au0=qkZp`|wzgjPR|T};Bk({zomaQh zYjAHR7J*Yx}3@AJRPZHYz%@Z?}G#R)!*#xl}E$VUj8y za`Xa;XfPJgmW2IyAZ8nN&1Quch?oNk55SX@2|5!)M4)GZXq(;r(JaoK+QQAT(;1~Ouj zT1~#((ymasrGeDXA}h?Sg3NR0m0Qf_!YX8e3Cs?*&>-f5uBw=g?@6k7C@_JU3Fu0~ zyS)c&eaYUDGh0Fer2s9z1Bq=F8j0qHYs6f>$p*(l`~gyTF2mi*)V;WD>VEriGndEm zX3YRzrPb5gySepS#a~3>0eJc=#Kgr8Q1QeJB~8{SJP>n0p#ZIxfKmY(iAk8MY)wD~Xr8nJ zO&lCGyM?I>3#aapH`~{di`Oxz`qaIe(7yF*hCPlteFk{blWviU^m=5bd}<)$z^2yP z3B{#@37i5nh;F{7CT8P%k}4hwOkidLx{~m2?}3=@<+EmOkw7Rw^RJ+hEdrFF{C(i` zCzz))hl@Sd?Se50Q&n1&xmw$aDVx-PO|~1OT}e=90=klTSz}JjHo}^cg1UCh+jo`4F_JZbN1 z$H%(jZ-iyi2KM5BRtlu>PoGmxvY9LplQ31;nt%$>JZYsaafH-t;0`Fk(@a2DPg;Q# z6WDJRe-VWT;H!ln>o$C6$s-@(I0MHSSab%KdaTv&9prBZj^3}_Ojsx;_NPQSGo!juX6Oh8u>FKf)Pb>VO8c+C7oa+fuO zx#YV+52Vc<6beGNadVQr>F<7R!yU>Nbr0&|gd0dt`@$4LLA8hz zWORhg2;?9yDI5&-mBAJZHMqTjly8%}N9z-3hzBy=TU>Dz+#Jx1y$OQ?DTy{0eV?7F zk)2+`rEaI!FkT^LAR5we4HGf&lEO#S#Kc+z0ip~LrMQq<5pm9}Q zv;kTn} zYAIJk$Yk(ya5lmbhK|b@}s=X|tNf zG*7(d#lj4Vx7C_E5znV|R`%Wlw#&r6Bsw&8Z!1G>L#=IDFmWsh&k(askfj2AAiQU9(s zAQlh6A0O+oXD68u`IHEBL@Ju1CPw>Czo3eZSW z7l0=*z9*^Tp}+`cCZH<`Pn8E^wo%utEg}d7X#NTbY!R>tN?}bKX3VmcH9l6a_Y8cF z&!OubqeORc6M|eQ#(NltAz!{R8{OL)vQ*nOob}AA zGobJQJV|T=G*8S>(qv$nI0*{u#~a`vT;lWcAg-_6>2}!&HZRwIJ#&a*olly>m88xI z>{NsY+UR;6*C_Df^;<1i5#fp=qAbqQ8`-9mo`qg@btZ)O-kVe$R z*}yJ8{8;A(!oCyG1Mno}Cj0oEVlmMRIS^^-O}g!n8$_rI&`44jfG07&C#m8gM=y|w z24ewjNe0e08?|)G(F;WE0fh(PNy-FmnLiA?kB_wNdw)Ad+i$Pt1=8DLo^kji#f1Wy z7k<_&$(fQdRK1FC>)b%vVeLapyrlX(Efh#!2qSGD8`Q8QaY0Hpw}_+(12s$xzk6lChaWh9%KJ4ou9$GV6iJOEEJDOaYk zcqlM{nF;7hqPa3P7*qZZC=Lm%BLSQOw8^QHPDeb>z;Ol^oq>g)4R*&ia2X9~I1|v- z6SJ*OQpKNMfK06(E}&F^=7||unwT9}RWX;(2F*{OOAGag=;Z{~z>JE;Nb=hRdv|xVbJR3ZCz#S7%0UF5xQaAsxF4;?jIdfE%;0p|3 zW&*mBoOA*BwCrPDo3}P#F9>iZ5NX!C7ZGeDcg~nB0#&vZGU4fKH|9JIzKsKC|>b9$P(q*9DrIdkOqS08Ora0&B(mw+y z%+;&HgoM^s%0Q&W7KH~iS1@UAxCW|AR=ldatYi7;H4MRHl^gqdJMA%Ci^EO&Tcp%) zU-K29zYVN$xQT5MXY{c?rt43pcR5Ut+Z)Ih*E$7KF6iHD^v?zGRXS?{a~5dEoVUr* zo4D@dB=vykM}==QRKIFtV7&~)#MP@N@^O#0*A0~(5FKelHE|JJN*Rc>F|Q_6mCW=O zHO&pzO25j)YUBo>UA!7)p_Fwjxr_;XvCD{kRNX+PI39ROVXF8>Z`k9EKu%Mi+Y1;K zsw#l!c~2Z!6Rw5|=uimdpU&1CNI^e70uSU9A$2>whVcq112J*+t8yEHmDTZ*!iW(~ zte^@h1Cb`)`w5woJH`vNQh-*=2Bm_q355sX=@{Ao%@c|>e~PP{@Hy&%*dvOWfUcgH zKqjf;FQD)MJV|T=G*8UP(gf#o<$;(rH(V2v(e)N!@&J6EY3ohwHR4RI6l&HBk~y)P zNGGZF2t}bWOs|PE?^I*19?OJTL{JlEcxDsO1Mnnng2c2CZWkKoDu!vk6S<197Z~$= zfibRXh~_(yrN)0O19@v$?wPzzLi&tb!x4=Yppm3508e6kPg2E0ff3A1Kv$B1UEW45 zoeGR#6rlOnrN9<}B0>Cr&>~xeT>%=&K%|8?>1EL0{{vci0G`D7o}`M00!<*3RB@J2 zcmN(^!W6PVGqTvd6_Q;>BU&jy`@@Ad==uXc41L=qapD#`s>_8lmw4w}?C=&HC@hw` z$j23BQMzk5p@j$F^W_^^?*Ma|s#{IlA9#SV&=H5d5Sh68Rq+{6cmSRxwgH+aW+-Vg zaB(UVbo#8EDz3KxryhVmKGtP-aWWyON$(oV>njYQ3&4{Y-;-4FP@oB9k}A#;3J<_TOqfCzXhs(M zz%q$wr2s8$gg|`>nf+K7`8--8#JOW6PTbty6Z=ux-r`eWV!x5iw`IG;J73AqU?!x} z31r5ALtVmf>h>83UZ)6g*KmTx1MvCsjjR_CnBf5w>Q>YChlpNd0d3n7Sk)M&*Tf(D z@j%Qr!kWzr?pvAR#m;A<2VyQ0)I1xw-gqDny1WU$Ls`)N{_h3Joa@^J-mmV)-&Nc| zOkDk{xEeU$`~|R!f+}tx+#mrx08ip3NK6O7pW`EKJKna{Wi&641B^WUk>WyuJpHk) z_)Ka0Id7}WXkH)(7+)q$L0X2T9p zA?dtwvo!$~pn1~1v^S4+oi`fdBB7WG=;}#3knE%55eD%WQFs8J{)QrPu>({*G2=-S za~qE<7Z*%jYUc4Klzg(^gg}om9%rC?26*pU_}O3=&A?uq z&~PT8t0(4)I7t@sQ}kSKNa|J8Ct5}P4RnV`=EbS3Fe-I9-W6wDcibcEv!9A{wh83-6r z0UC*3fJ}|838(?G2=Sn_Qwv*B8T? znoRMVC=|STry1K~`6{5*J^#&im-UGo=_FIP(`(}b(U3;eD548;`x;z(K=d>8&%}z> zR{R<9-%rTzEF=eyXe&LVQo(v{=_g}1fL1T7APa@;>-Bbp;~v5dq^EshidA!iRhXDq z1)sO`Zh!LtLSf~ z4CDe$#zIvEG{?$vp?;1saiO)9G7xF;2!#i7GhQTU=QpCJ0F8u`?SYtiMJWhbAjO(L z3e@(1(gW}$>UMffJQQdGnWT!dgu(;x5EHAA1)7n?&Ub|#h&iHAfL4p^Ey3sk_}o!s zy#sikQK(yaK{6-yh+-z7t0x-6^qTk!C_Dg9659aH6EnOt@m2_PVs;bcIX@Ve_`E!r z`zv+4z0%PSK6tprsXIUT?6n7|za)3pa6nTJz~|R5Ud=+5$jMTu8%*0D%y!YQmLUkE zF-)(Chk;$*ez-R>5N?)$9)Kq)6ZGJLSj@o)IS^^-O}e!)LREl9lDYsqiSa#26%PeQ zFf##NNe0e08?|&QFoIEl=C6>z7J)KBk3XK77pM;Z)#n019B7EU2lCtDcHzub!+-VJ z5$KiDY0T(}w}vq-_tfT${Y0PwG?Ib+p#Zv{}|czmZ(8bq!DHXXr7qMRNZ7+q!GQw0@`|F0-2-bZ20hy3x zAL}qCHdy!iI&eL5(_J5g77^r?lT`6gU<5N0(3Qly6fq}e8+FavB7#tW z=C6>z76B(g4>I!t)#GE`-X{6%fT>G-rnEzy|5%sWj;3f{AXEB}Ynym$=xw{tK$_Pn zMvSNcjbzmYY^|I%EQT5rm*rh8=+Fh=NsRAFs(8pSaoq$mNfl@8#{)4JQ8)Ci41MLQ{M-golptU8kYA#cClWCE%r?F6vkQLn1UWiOw{i-+%C_Dg9 z659Z+Es<3-lr$MwCT@b-&iAOjeO5Y4ynIUjpo|yD0S4R-`dlcG!8isRobs8{ZWiXJ zj!(fupWRXF}^3M;-SC*W+tF3 z$w?Q0Piq@BSVsal1!yx;m+qg*1WNxS-jo^GRL9pT-jrMwaR%NAJO0QrqPYj)^Ccfx z?|{}`mw3yemSzW5RlEcgGXY&aX$3NIOBR260WviD0eeA! zGeb!;FKf+YQ41$Q{O2Ij`G_}a2I4z!RJg}rbq2O!jpdubC_p1ITbZh2cGta_G7P9I zKqHA=0G`D7o}`M00t1+tfUYD1o0^SUIu#heC_tO>Z1CJFqFS< zR|6CUXe6-^r?lT`6gU;r}{(3Rvmr7m(rN4SYIu*JI^_++|?(SJ3^ynQckMc%FF z;HDNZaSG5pY3+5XIZMnAtg5&OC}sk>deRDH;+8D_^kKl%*u1p?dqIFRfk-o+7!Sl; zJ{#~)pQ%G>p&k*vJOE$JtdJ$P0u3A-HPT#}nm7n3W&*l;(h8)Qz<#UvGYM0b%?m%) zJ@$_A$Q@_kI0J{DfrKFxpplrZOjR+PEk?)!1DG8gzpcwAL#74uGvl#Ny5(6*4MnBq z%G9LIoYWn^t=o6%?jsora&wY!OW}cJ?h=Ipv_c-r+vNC_ast!@=K-%i59G)$;b|tI zYawMI`hvR=HCYu~a(fD>4AkfTB}@v?3Mm7TCj46k59Ah7bHla5ZAOHUDf6qyQX%_# zys*)YwotapR zAV3s>NGpCJp$BA+bm7w6a7{Y-xQ;$Qk>yvRnLd#(c81KF=U%X8YE9wzidmvu!p->RAjZx0l*6aS{j+l?Y1NlHz-A=EyLlCVD1gj{E zt6!y-@YC~>LcWBVSkc8wEC!VpSXu6y3W-IFB0(To(6Lew@)U&!V&-TSge)+EQGiC0 zx&SYfYJl-B*yn7RXh}^F-)(CvxLF}@DLNGkOi9I#qO;T=ENLPG?4M27m~XR zjdKOVBu?BF47|*ciMNzx##(xmuyE?4EIxM)Cmg&7;C1=dH6cs%_x~yTLormuD4hVK zCnk_ds`v{iJOED;+W^fIGqN-pxHy#wYW@#euD1ZE9)QoUU+h=N68T(Is2fa+)CAtI zmLUkEF-)(Chk^4gyP{8?k%SRE08fGhZ8S^JKEsCr<07(MWR$BJr1?%{q0v9RZ04%- zg^ufR!*s8w<~xzYjotQ~L^WH8kOTXJE?=&-{qD4gngTSE)CJ&4jPFURcqlM}nF;7h zGO)|rsHIbZ5sU&f|GE^|B2XkKRhw5}ifw>KG7xFuO?nwL*QMGTP0ZWkEis)ktjvFFSG@s8N;c5PU(+OmD9Nis9Jl5?ikVu=> z8UMndhjNlZYk)$pFPhxyeQpH1#ULX+-#sb=supbY^Y@@E(tndO6 zb3ow%c#?9H{gFFt`2X2^gBV$sD?2Q^*?j6hriab18R3mF9>t@+&9t++p@A7cPx z=h`t$+CkS9GT38QF!8z!b=^qFkc4Tm5c774cfOoomGOkEFkl#6y7LRUUg336$~57$ zJ#l3DKSux0ys1s>(rI=^A)TxJEFs*N)`QFg@MKt<@IDa!6Z!}H+0UfZRpXkF z-l{Pt;WxyK<)nc&X^bR`>Jx=*b*>6BptqX5rewa5_x zEKB5l^6OufHTc=bPWV(EJ>Q;Mp0m<<)7Z2J5TAa-(!W&xb@T8$zt-Hv*Zg*E(%lHQKG9>xK;-BDe zYi?xWnz#Qx?O^fAy$X9h^7wE4i2mM)|0syG>Yn}Ngs&#(7jJ0I-d(+=0b<}${`e~L z#&Hc#fn#Hvn%QxrPlgGM0zBC8UTjZ9|Icp({6QfJ#Gvwb!De`Mk>0TcZYH2B8SnNU zNZ6`wSz9C!3h>&)CptAyWdG=gffneVYVB>^w`tp?9XfSZjn|J_kn#TH0jpmkbZqH@ zF2pTf<^Of_AGd$T*A0+F&xLfy5;y}tayZk3-F8y=bp!NA$WlWZGNVSw3=Y z7MsxgH&0*Zx(d_pbN=r{?1br$_!y^oAya@yhP8D8eCLo)>=&TFiB#U!HP@Uw{b_6- z(yx+YaWPb}hM;GCA$D^cj!M3W!UOQD-qu|OtjiPc2YL<##HKI#RMuHFYH_mj zFI~E6lY3`Sk-`lUkl;>M=;7~u`?~q3Unhe88w0fPY{oAB@QPH=syQ83WIN0b1rNf&sI zrR0$Hlsm?p$J@mzV-GZPg-pqLY!kw<=9hev?1y^nkPv!5cqYH7@*-<;pPeUpj7#&J zNyt(eO>=f3`P1ay(xHiWhzIfl4miaqxUY(Yeu01jIf*tGeV(1EQJhX`nwDz;cQOYY zttQ~Plp(iVh)No-1xiRCAHKVx(gUJD&_APwnA~z9(&lp%9uQ4mn6wK-0=Oo9e7tK4 zN3Y+!#Nxstvl)-K!%z9{_-Z7}HjQwFOzSGGZsK6;ASb)QHXNKG`lu>X}>t0+8>E5_gcVRM5Y#1ULy;ov_RI|{-F6dr)b zJoW&dXQZ`z7uPo73o;KRZgT(HC-gDOAN+dC1~u*2ZAZuoBc#feWPI3r(2ix)WC~is zjLd8TdH|k`*X9*{dYS%r2mPjjS=Q;v5wFgo$o{gUo0NBUOjhW!8`Wq2`ADKK5K?M*Z`ep_^)QKd zfa-3OIwWdEzr6f)D&p(V9{z90>mI(5eSwkv!QEs#-KE;!|Bw4^K+ps5WWC%e{z;@g z_P-?P2Yi=WdDa(V&(yg3d`GCj=u%|w^E?;E;$ zx#d;+!#9+Q58Ox2=^n!YArHV8HdzUE@d}PY8}haW<|N+Vz|+6^JM>A)|M!(|e$DRe z{dN*W4CNd{eW z>62juqX3UAl>j`MrsdlI`|nVXe}j*KOu%y`gT)xZ%mj2Lqw#$JPiE8znPCK@0M9={ z0!IX}Eb-SN;OZXl(0JGUtP_5^)gQe2X48B>%G}J8$r?6#oBxjFeEX_N|Lfm> zO1g?#e)FoidH>Iw>ord&+2AQ-`UD?ieQGUFUUvwzC&#}dZ{YaXWw3}s0UjB@Q9Y0_ zZ>;pW{%*fKjJ3^R~@ZBBV(ean%|BAnjr}+z<#~WZ3F7f-aI`!>;PQSB{ z`04W#w!@m*zW)_k$Jg&^hzG1#pmqG_P5<_nkA^d8a6V}c^Oo-MKc!W}dypizmQXUF zGHi~J;SRH+*wRL9zK~yF;==C`{NYFX5dr-??jt=WKatPJo&qssp#wVnocTp=oHLQQgV9uL|fjiiY}xLE>v z0G{lx;yc)nf!g2`XxJbB_pG}y>Luujlv&`ot~A%APZybvU=-kyr4oQAqpo*=_D%KA zze6?pwk~^*X%nrXSKl}m!OaA8CG*~D%t^Q-m`7~cPT>iWa6sV!c(Sk30UQ4TYV=2T zY~Pb&75uI4t7=DhJ8z^P&_S2xb){aOklD$~9mhXXTp%RSxOe{r5TB@=Ob)!0d!|Z~ zL%E;rSNiTm@9v+ayPK3Iwzkb&A-2(SKNXHN&AdcNM4G>h>HBTomf@~v-#uUI5GdEj zx%tj0WUd71_hf{Oyo|@Mj5MeS^Be7Y=5P7VDWpPk-t^2uzQ!Y%3lbSMqVNEGc1{&C zM?T>lAszQ--dsG81OY_{Zm4O%W8piTX>xK=@$9H!;A*4TMDm#nNOd`Uu;M%s;LIq} zj9y#zVGMH;&KKzQog5l@gnC5u@&J4`i$dnu6B>?cyj5dP5(E?q4{(3yGlGEHFrbBJ zJt5fy4o4-Qu9c~AnEw0^r2;(9%uv$g3WWy}&KKx4eQW*qpz$uW^)Lj6yRl_OzDO!;eR^?g!)^XL4+E-voL2zVa96vXEqzp#ej|l za5Dj2$?yhp0erLNZ5<8w{ymSHfC}))R#>`;w{>!Y(RHEQx~q>rf*$3HHP)U(05ucP zm27b7(zdsCkj`6`|CPq?NBR!>vnMR_8B61fu66XM&m$%N3cu;s8R7Y6%`QFh zQep|fXM2y3IrjFobe#Q>y*MC`!UO(_GeD$r#TvD8ttVvC&Z|8np}8Yam%|4u&Q(FA zrsbM6UN>6Ov8fa8wfNTA3P$H~zNn_!{}^Rt1?xz8dsEdhxgG3ddC4GTt_aswv zp|!PKh_pDM@PMWXAzd4;fhrjuJ$bTj{X|ZwM6Xc@9;@8c$J=R-@md^jGTb7i;W&PO zF~#DPM*TK%MxTC$Io_9ZI<3*?i{0PW({K6vC!^w<*@ zaI$l3(Z1mdaRw<;BM&J|4PWRLyPpCxgxsc6Z>RDAPN8W6h_99ae3LN43d~uc^{01h z7sx?Begz)Lx5b)PYY*2=?2M-YQ^R4wNQGom=_T;wVh|<6T?q^l5z>cnBkdBKo7u^xeFwrjqp%04o}3feQ55kudgD%f+P3D z%Hww;PfXUi>a~$&2-utIwvI&H|-dX zXncYIk1Uk{JekSO|0|n64Y${SDGTI{IYAB))J#BEvXNchs+LX}MlcHS{PR-ah(NYL z$Nz&?L|g$L*@)6CoAff+-~aiIKrI4Vc>tcQm-~v`>n8kNJe95&Fzs4bGGy2nGUcSH zJtSE|;Q@G<5hrAZnwD!4KOG_FfN6V2lnU_veB%w8-whbJ(o#bUeSLMP?6zg|#0$6C zh>ut~-h;LoGR+%?-<@Gz-_3f3ZI_OYL3&PKcF8R-H=*zVeE0B;>=(eCoZ41X_J?ny zZ9KsT{J$yGE!NP%Gb5zRm3#q(2jIzKAK-arMwTYmC_IpGw#nXHwU1kOvjz0>0DNJ& zCDcWJFDkSlPb6~^H%jI0^?iz&fai7x@77gAeNFO=yx*+2?%>_J8J(MWNs8OPSm$&QRcp^eBKNUDUUqyZkJOMES5<(lJi%kAdb)e}lQRp}o-Z5;iQgyqK z2{OlUVb&se1$bns1mMX|x$jQ3=1;LZs#~maf*c~KnSicj*rr?nPi78GI_3_71NSMQg2;Z_-j{uh&d3$$!M;kN)U zGzUY!$s+HN_fEW}aR7r3cT_c(16Fc?q7|l`tTLkCCY$dGrltYVD9l z%|s#GECD?LPj+0OYpKyp@XrP%cy{~&zrKFjL*K3O(mlRtonxMmIeA91|eon zZ^DSEdEsk*r}X>Y_uUFFUO#-NqItKeC!`xcQ+@o~x^oE$XHr~D^LG5SUF>_-z%HbA zI=^1qVXgKrQ%HL+5-@8D@W_hiaE>OR0zA+9C-mcOojIYaD=89+nSidI^@Wr_De~G$ zzKFsD@bu>siHj4U;+Yvhn()cR0|^Hd3h@3R=jo6fMuhDAOP5$2rPj4FH6D2GswL^| zqnWWPS29Kv9)PFkOC%Hu@H{gkOA~L^j*~?#0$M4+`F&YB1TJmi(gL2>__xpFaf;7t zQnyii%X?yW7cYaGtxR1ByT^SdJApaD0Um64FRnWg%@LxKrqo1p!yA|f9Di+9x4mqU zKq$cTx8cYU0lEe6u2LHT>qc~~Oien@nX&tJ%$K-ypKiExiRSV6b=`4F_wu>Sz=tWn zZrJ?Agw02Mh6BF7eFN2@5Aeu_&qoPO6ySO0Z>wepR+m$xgkmP3t7k??l`Huo3J<`O zr9Qy(%#18eZcun2;ebMc>OYeEs}9Lwv?Dt$ojjQ9-EH$X+ zPywE2{T*)qwyu4Wm`;mK+VEc7S({)cnSF&e>a7}c98W+6c%G?7swGxP&CGR=x{@NH zmPbsXZVDxC3xa0AAC)>jQHZ=r61I=0ky6 zVDw`S#j_35o1rsQam6U>onnU zf1HN^e}Z@h(_>VVrKEMQHudp#Uf&|;2`M)A0^OS?Cw_P5kRCNd$kmKK-q2NC>>Sut z9nanP7iTc6LT2ZByj_-f zfN+Hjw9i7ZIbL98AttVa&s%nekkAb6T?s)2s${g(`kG|O&dH}wgD6(Gw-e(lrR-Q=aBpy-B1a$RGHPqK6UqImjc(T|Bc%GTzrHOZh zFehPmfxP6aaf#oTtEIna;=@HpUw!cKf|u@o_1WtW&_1EiJ%$6CdH}wA{^Fxq$Q*gG z6xs%L`vV4$dtS3~cy2C17}Zc;lMExfy!~)*q7ZJDfF6J+%NOYVdx@AABjkwEJezdu zV}z>!k1Uk{JekQ&ZgM!Uo%DAwf}08GN;dL-vkIkCh7pVcJpTv@91+MD=;QY~;SH+e zfAzV6h?RzTwNl= zyNM$E1>pChLffiNDGx9e3OekGNF`0ENzQ=61MpW1KK2Ec1Y*7(VcmTez$x5h;S8x>CP~HAuwu@o6J9xLQ8tQA3 zXJnW6Pdi-+Qa8ghnSdUECv!L12D5m~7No|l6BpvqX5r8LIOtwjtkT-RU-C%C%i#*zpXplB)>6Bm(G@%jx2ts z^b=m>w$2mMmvs&=@zyYy>1;y2q{H^l=(IswV#J6F@W`%9z|qDW!$Nj2aowDk+IT?e z0eCW#yULXe9ZXy|LaJOz#(6xDaHjf5pGxlQpAo(D5_8fyqELYIe}XDpaU?`M0N+g% z+0Q^|bDC;f)hXpnQ=uK9Q*cjvA~L#$T}c*DcmSR(_5ohsBD-cNX)0i5Qai`rs_n2=`xh-FVb&Djk(tw}x)L@gRv|MCU`}wnt&3ni08eIeSGkfQ z!vJO`peq^g_8xHbl~v7b4gr(`yzDwruSz7GP$ytK6yVKRx* z&w4^Cw`9quCm>Vf@WBf9M1V6wq}de;4V~;gA64A>8@Y&1?nPX3AU~$w)*UHo+ zK|nDR(ABe^kZb~nqms`on7SOkw}L$p;LK3c%v-hNWKj#VK&SPK(tF3}Gy}1-u+K^N zuC+P5;Ymn91$bm;D^pj(?r|@n4hGZ};E}}=fG0D#t6a&DVE{7|(3One=N?Gds%}|Z z1P}`F>hBH0-SvC!n1BlK$aJktO~PhAh0HL3QGiDlO8}nCu&pUVs!*8CqaJ(o#%mO2l=$y<@V^pw{UQFs8pd&md& z3((u^5^p)w((J(MN|u0PCZMZlJt37_vgFg70aN4f!3y?7fHOj*8BdG{5;pe+M263J z(l%SVz~X3NT`N-)r2)lEKv&Pqj8(ak&n%d_9NzM_jt2bby~dr}XJDU!^=BYq2nBd# zW-C)y!e+-2GQ$Ao1pBvjoym}C!Tij)H;``KRZB;TN?j{clQwgfZvVFK+)MWki=iOb zl?j&=9>~f)qELXB*>}9B$>Dv#N1q4s${vwv5blambj>UmVxDq0?8;GbK-xaY;|kmN z{v+ZF@G{GVNE7~Do(J;5v#t%-va}r{gpM=6idD+2@OV2uh^aCCssHI?@lIcl>x4(D zu8@&Fz3|9G3S;cGE;}=XF|4}RLF;F$c|xj^k36LCHciY{FC@9= zkJE73)8ueOeL&a)>Gl?df{=ZT@^0-t?ym`G!>_;t`E*{>*4L2UTFivtDC+1McBv&Y z4m_lg&pt*gx>$?Zpwa?ImiweaV$mX7Adqb6*eD2ji^2m5vswiqGmKyq;E|;gfG5+m z^)<d<%Lk?GoSO-Nwu13a=3rNwO0yG88b zoxe$H*?`gm@MI=;l`9!CG(xIeNtRG}03K#!3Yno9S>oOi!kmO73I%vu+pDby+-|(Y z3%A{P8;_KDOWAnPjfM*wFI|*_(mjR~Ub6?_yN7RNzX1OJU$;N-08^o$!=8wYu3=Yl z1{5BECyRZ6=b0Hwnv9&B@&!8nAGF+T0ZBao-#veEULkYj_o71EpiZd~KJ4Zagi#Ik zHOVmYe(Rjkt7jx(1P{QI*#-KQ=I$T!%aHH}c-UZ!OAT@SPGqC;|9IP#YwkCCU6&Z< z_?^gBV}F!^%oZZ#$o^oDVPA3ttpJZKl>j^$<-W3;gc+~sN`?$0n3;gCWFx!06)c@H zj9?Vt`RAp;5rJ%hQj$$MBCY_BY(#06O?nwL=cP6pP~RXr(|hZh0`!PtW+MdU~=hp(xowv26QqFzu{7MV}8zD~vwW#PRDM z!wHQ&0N*`)Bl`s~r=+%3-TuG>Oof6Ddm=KrhF!@SP``n`@nu~V3d-3Yu{dZlU7o9@NQi-)Yl}>$otJI><-?o zo8dW>fF6J+a~5dhlih)JOaorsQ`2~=J=My_w{;)aTsr5I=KG3y*FC}O6g;xPIYJ68 zm(UY#>&_;G=H~b~Mei7Pzo_e+Fr8z#|H}EWiQp9Ak);xVC*$1z?Wtyl8g@BB4?H0e zEv5q6l5rjnB<$^KZKN$cArcBGJOEFYFVH`bKt!PckIWOvoP_gi!k>^Em{U?43@AMS zPsUyUgHz26HSBVN9&mdjq{@|S355sXVMdpbUG#)VeB?A5(Mkc{iu-f=F$OSJvty*c zY&y?$T_H1b4RlMqE&~mkjf4zIm=+5$ZcOkX&_>0;OYqfu=LcYQib`4aJNi3eoC2UTtLS~r2oZtWtW=`npO4#JC zawS8C3Cv7DS2Eu1J>ckTdqvJ{4hfV3yzUi9?7Q&DbZxjs%&ULN0VhKI3QKn{!@bC* z`*ho-`%gX|=kjTm>1oP(0;rkJ z0z5LaVCr%-0TtkR))Sgo95tJ|bRi*U6`+G>Jt6+D@rz9)Uqs;n_$F+ zvOJKmJ$<&UEfNR?c>XpVIU?XJ&?oZEv=iBvE@9RfP$BbHjX4Q#x^!r?222{w*Sx8-uCZGa5&-$mS{kATm_hi3Fu1Ztr~M2-T2!&9<%!(xyP2lg!0v(2hxVWT~}y3_KLf!&XfM$ zwIN)g98mY5on1{^UqfnZxe!(}73AV1VNyIGn&10Is}%u17b2~^=M%QS)eF?(5v>&9 zl`N%Fq>9HXWp=K|+hvId2v^8J`z#bfM)im*-aLAg>&y^B4=KEWeRhE{-63DDko;+K zZ|V5NYI`7)bHEu#p)_M(AfP}_qRnOG@phWFzBW;aN}5okob=t;&_fD8P!pqdq7Z5J zISLPmrl+Xo3nbkfyp!8;CsIZ6qtI-Rb3NY9<{k1~AwwN(>?T^K=Lb32^*Gm=A>=x^ znOc&LvHtV*a70CH-yWcG(>(M6US^abdzxHAtC7dsJ)q4@K-bK2Ax77*E0-ZSvI-9= zIu@N!Y4xSzAO93h?|RBydE)U7${U{pyN;RkXhNkr7^v z#GiTisr>oK3e%W|_MS73$2;>I-n=4GE5IW&yMnqBo^}lT`+q zawSuc?5x zo*5xkuH*|SJOED?`vA`~GqN@eL?TGT{-$5dE3{w zs4u5<4s6Jme0+Ok=}Gp@k#1oQwrnO&fCC%bFm{5osaTc>sRGZ5?ll z4G*9oeaB9tW`C%1+Yvg2D?}wts7bPsU4Hzw&K1JB6VLj`M$zA12hAw(SA{tBuv?UukA6eDXsf(Tvi3b!OfG0C=FJivO{5tSk zowMw5gfr|I$5hixPssG%lUt5|q_~lg$%;!2le|;<;Y)m5=L+e|I)|6|kQ&Ogk&qz? z6Jy_<*lzV^yO7E$e*8*hhqao&Y$4`?L?$t!@Bn;vP8Bl8-l;vwMbW@zh$ILoW&*l; z))O*t$>Ft=e8uZr4j-&wPXstKfHX6gA?ixlS)lgsBAtl8YEd!)Lm%LI))N|75;ZGc zGD?boVkV%gXFVa=1P(_f-|*7iTfv?PaAqiJ#uMX#g!2Wu{RZce@Q%-E2Ht;sPHK0p zof&vfbvjBDCCZH>sx1TY`(N|VA zvpED%3h=T|rgUqTa6+LVQwOd?a+tYQmhO047cqnf;K{1i$~2Y?83r&j0bR)kmoD8{ z)zT@$07d~`_0mbF9rqd7XJFGA*!bSy^x8&FqX7+P0=jx;w$&WgbS8|#KQ@0(` z2%acJnpH2|$5Q&8fATZ%vnQ``7wFHx&)zVffC}))M$SiOi;+GV1~4bsZ|gdfA=85S znR9RO{yle0Km~YYD=gi9TX(Ldi+p(^3$^1u1N#hYIRjhW8zjC2rdKwy7YDrfnSidI znNxF>EBW+hz|=T=u!21i;LOOqe3A+o#AvY2c@&J4`vqI+B6B<|?HF|+dxAC`i z$C>6|A}Gkz^3|XR((7v|6ppF7WxSC;BYFhMKxL$V2}|e!(O7FuTVJE@ndL$r(Pk=8 zQwV-V{{ZP!Yq|Er4x<&Vt>r?b#Q}u}7>!KVhHIcocFhM%DLR#pUc(SPR=KHZu zwK(2nxJ63C@f+SohT9+-$D7y|aYi4Tqu&3rzRNm2UapV>&UFgpJkY<->7NVWoAjm! z%vqorbDkzgPvRPRyq(EimPE8PNUIdPh8-W-N`*q#T8PMdgLL6Y3g6x%n)*$hJ-#KsL(V4#K3dn zD4K9KR6vK!X#MHk+68jZk6(cY@`;e9t*>Fc%yJ<{*RU&>Avm%M4=Ico(P#ygSuRAH z)IFrIllBgE1&JgS9)PFU&dS--FxsorS@Blnn>;pW{ z%*fIN@8`w?3G3Q$O-M#JTR_MI@ZFWR*(BZ~-l>g3%X%W2leke@<+dYag=(m;Niy%P z##}p=k(nuI2{Syi3FrZMGIxO_v=JUQ8s`$j9KRE}#Mm2*dB4FJmm1>uoyb<>f0Ti| zW7s_vb@qp8$8f{|3h>BM3BZ$?+*Ph*$S{JL3Ft~Tvddf5(ka6TMgg9GUJ4u$$QJ1M zf6$7EE5IWgQJQ6wUIzXBKcJNd;K@wxDpxXOXoOU`k}RR{06fgd6f#3IvcyMDqY6$3#F83Htc;y~|?;gI9{Q{WN zRNJa>uH+0TJOED?`vA`~Gn6zLIXPtubaVBIyj9$60ZBaozu(q% zuHs}uIv;89Ot)-S@RTcXJOMobPv$HTFSbN?d_FTkEBS)28lKNO%u~vrFH=`Ne7Ppc zM$SjhG3~ub&M?7_1I4~nq$P6PG1$h2>DR4xf zzd%tVTvccTMxVM8?`LcKWJPv<x_5E(Vas+3?%SB* zC4P;<0}1B~^o|=B&aVNzJOE!0qyVqp2${Nak}sn006hKqMB?HEsCZ_^lP2aeL|q976bkU<6?8}r zb7YOBODu`fzrdvn+b&&bm#$r_y?8z|a4R=~&qwgC^f&{&?b7yTZjdEBvZ=XS_A&9P zOsJjU01q~N8m&7K%@LxKrqo1p!@Io)9Di+9x4mqUKq$cT&!CYb0`3Cwapy)>Si1Y8 znisfqpKiEx={S#jxzE5p0~^kOoa_QdRDee|ys0HLQGn-}e1YyoqIo*pRf`g0Oh5&Ao}Fju z_|5O7-?=A01FuIPKx8-de&ET8kRPNOyUMj1*w2OVP0>`4i%b5&mj~^RWn@ki!p#yU#RH;o37IVr z_iA^&w%!)EywCNy86F?Ere8{iFz(dH+nH{|t|w%;hl!3nr10*}v@f|cgj~(&QyP9U zANihRa`W{XzFZ;s)8rCO`p|RYXqLagOe=WvPBV7E;j4gFZ~Z6RJ+^P$NN+MtTVIB~Ks5f;&1gkyYyOPXKBUlQ?fF+zH-KI*>tGc!JJ;jwvcv;~D`cR3 z7K+XB0xJtKaUFc#vNME)W@zuiG1hscTzmE#cupJ?(E}~J0M8n&{{uJ$a&Q>(EAW6q z6t=m|P{S_zTg!z!pvhEdnt?K*4HFMhDJ!0E6EZH55U8WRv|MqBTJmG3O$f;M4+WC-I14CZMZls-eCn`2q?Lz>~#3!1K%uFHO87ggFVj z3*;qVjZ6H#TrK@Y6CW-*`s#y+7rb=$tIuA40Qvc4;~v8SO+5hLJ%91hEM$(nSPE@} zy8Xdy7sGBYK^WCgUy}?YyS)7={zM_%ECD?LPnIvx`}YztFGk1_rFk~#*2f4}0UlW@ z0eCW#yULXe8AdQO0bR*P-fvd5bjmP-QGn+kA%Phunr-)Vx@LF@TB?LVH-%S+RFMv5swXN!u@&Hqzpu?VsjILo< zat0J0fG3N6fajSRN}7xuChh`x$#-s_fqe#6p8@w64j9%0@cV5Ye~K<36SD1X9md2i z>z-dn&PVQYw=Y7Ah^hiSvQz@_WF~i&D;YA3U}gfkl8u~?tZM0$VFaT9&p$!}M+Dpj z>crQt?zeU8&+!>XNc>LeC%VXOohPI(>l|LxA%afudHfja|oam;ANjo=~an@6AA@*ttFHS@W^znOijXOK84IMfH}eO zwl0G806dw=UFAxK3%fUacTsxgOPy0NOkIu^hwz?->rk-P5jtY*Nu0(@5bcd;}B z<_1~jB%<&DeD{zK>=&T7*QMk3Vs>D4B@1Vq2?ZT?H|yyd+o2_=o`Cwb#Nk6{6AaS9 zM>DcC;)(GJ_hX@H&IXJDU!HD@3pxdJ>gvz4hUVfVO~PzM9* z3h>Bc3BZ$?+*Ph*$S{DJ3Fu1Z?PttM*s5+>TLcga@Mhi{pkwL-JThG?QxmynKBZ5F z0gM7XvRDG}WF~i&D;Y8jU}gfklJV>IK*Cma%i1D7@IP`wZ+eu-y!}m!1*h zdjP(B$OrZd(A(=0?>5xZ?7-?umVja=psQy+A(dOQI9S1+2yjM-G~V$9>^pV4Q7(TBtL}Bs`q^rpkgDWE4=JQ+zfBXf)eA|zzu(J-$(|;MBkBX1c_7{2 zqEHaBk5S&Oy~q8@6*0d859HH%O|JcW`jx# z99iy@3W-IFY=JC4QGiF5N&ucr)7IA{LxvH|Oh8vMthNi_ z$&6MZGmKyq;K^mRys1Oqg-51q!!;p+u@CUbMwAw_N$(c1huhz9R4X+@4ZFSJ)iFY< zT*;D9cmN(|bP1WE8Cl}q5yG5=BMJq0TidIx2i$JF#0$6GcpHzDcuU!M(2a%*msvXZ z7*2T29)Ry2zLEU``1^m|{=frFg@O)yA~L#$UC9|xcmSR(_5q$}W+-Vga&mGPhlcH3uQpMeX^0FGbx7)}u50r>9W8`&>_ zIZd^#>h=d7U@8=J*b|Y_HS9{xfC7*JToZst7W)9tGc%Ml896!G1>zjs)6EtT@&J5c zxh2%aD>w>ms7|R7KJ0b}@77gAeNFO=yx;r-pesS@W_TtO&;#&fc7b^QB(xD8HX7#= z!)$t6xAF^gK54#Rc}PFKBgAjGh@Eg-w}ue!7rX~ zC|5|Y#aW!h>oSyTBOyZ)ro}?c+a=!la(-3D6SBg9VRY#>60-cYr3t6)<)ci?GQ$Ms1P6F9b3#{F!X|f>D;Y9OU}gfklJRcu z0Y_ikD{^LYNT3wpb+15T--Sn}Yr{1m%aIk9?*2HYu9c}tgQ~SMjgLc^GQpn-=t}0T z8gm@|^fF7wWAE_HW*|K?y}MkRfpD4yt|_#<*~--AcmgWG^Q^y{9dGMK_Tq$0GXY&a z>kF})+i+C!MHC)@r@ul>T$}(E&&*KLWOy%@r3z@ufJ$otr2;%MT`N=LXaXw0^Q1l$GUkQ>>Tj^-xG)G>Oi#$4ORyu&&@yVV3o0p60O zlm0vIGqBIVW-|~lk^($3Jpq{-M-xy1o@f0{WWTM;{zh0PZDcPFXr%zJwSZCq9+_D% zbvc@V3h+GZEnQ-T)NJH5nviKGpsQy+A=v~DMmM;sY!$3?Q1xC9Kw_d{!BnuGT!Yy;OPEs9Z$pX;tWSQK@JJjOh8vMZ`GLN=w-gG zd&JlZ9H$F3qjt7^Ss^3QaK=$^=bdKk3j`F%Nwm3) zJl;;z*4HKqQArbOlyl@Eg&(Mi(K=CxH2WNd2Sn3T)ba(AZVuk@$<7JXQT!-0+hyqS zcGPzAS1;)WODXqGfu1kds}HbDbGNu7jJYCFvOJKVJ_=RK)h}0U9^WLm%K} zMj5iF$tAQJdA!{N+ROxW%`6vUbPc<58G<9L@Q^}29X%kf057v#h%})iix001Dq8jNJcN4E0Tpv$8xq#;wHjTfJc@}0G`a`u5u+qh7rt6Kvyz-gPEFy zt?HJwMFgP$&p$!}M+Dpj>crQtzNEkUw6pcf5--btDt|t*!mK9V8dgX=oH8P;0FTV< z3hGLD+A-|!{{bmH08eIeSGkfQLnEZhm1GHp2jF2wrjQw$ktOb(K|3d>h*k>lT641{ z1U&%XO%&NLfH_UIt?HEW08^o$!=8wYu3=Yl1{5BECyRZ6=b0Hwn&@$1Y7%xA$VH+xuwytyUBoktPv(d6y!Eav$jwhf8 z;K|$t;)o{V8gm_K=FBAFayE$zMidJ0$WjTwlbPIAu4KqCf|&{EN@mVN>PpzEZdqGI z5DM`8BP4J{z+IqDe6Q{^u+P9|GY~P70z5L^5KK+N(~e>Psx}~n2jIy}?kZO@WN3s` zxsoiQ@Blo_$P_X|GqS|ZDM?)kM-&S1T641{1U&%XO%&NLfH_UItyG$+Nj##M3FzvX z5mMz!zJS65@MN(M@H{gkOA~W&s4HQ2fxP6aaf#oTtEIna;=}f%^V@!nVVzH!!$p?P z5wgPTy&uncJ|TQm@__C!91!vV{Dj*&c~9_SDM;T&Hw06YxY-}7+;)Uc;R;bn6Kax- z^LWsXWn^{~v{hFK#}d#3@MP`+am1)+Zt-m$4;PV30UlW@0eCW_w91tXUG#)RG?)r# zOJ?3>>PpzEZrM)Z36XF>;Q@FucY!3d0{#}iw0`3A?HK*MgPtd3u*1CI__uW%37IFq z(<{k4rNdD565rOjLi)1K;Uzw#hB9p=WJtoq*mozkTfNyXq;iTM`8V8^oz`gnvW1wd zCYiv9!UOQxIaSCU^;}N1#An;QQ5_S|ya)(-))SIla(F%JxPz5c>TZNcGjkcDu7uqM z;*cAO2zdZLn>!(M>L)nQZJim}xERo}0ImoKF0@zvPMBp4U=-kG zpG@h;G7?TG6q*R1Eddqak$J1eoP_7h*m-X2%*e(Sbr}XQML=+wrJD_xZkg1*_dWyr z3@n)e_tG;WqX*!#y+_C#r|o8WzX2v7Rs;k+GeV5(^{C|nIw-*N%pLg(Ej^G7?gDYh zjYNbz0N>RZ*e`%9w9s}U9nh`_2zq9O7}x7j%LQ~$fajSzvbSo?Nd|X;yyQE#&%izd zYtKN!01EKPR=79VZ|k}{YT#*R(EB)3lO_p;0z7WlB{_@;*$PXy-`1Ub>13&P+-G2) zf$e6%z4VM2-vjW4<6c5t>|F_`&gE{mQC&Ttc@YrwtS6-K#f#T(DtEAwN*#?5X~q-d zfrQ-!;*cAO2zdZLn>!(M>pkH@Cxu2n-1#Ly!5${M>JD_x7dstFJCT1S{+b$(8zVAt_|1Fx@6b<9xX+u z@^Nazwc9;sA@L&Ozg&AsrsjXSLJm0BDUkC(|30UGE`V>+n;tM{fo81YX>zuA$u}b# z*X@-HSzp&gA=0ewA%$-0mW&PGjt@1N68kWv(H3o+D2nc#+gc#TBQOiYiP=M!|J2H-g#skTqYs0lpS~puj0}sG=SK4Ni zc#C`^r_hVdbj<58ZYim1@M@)AHT*mwJVF9`0G`ZUAPH@RhmFR$#4zXiPUI5GCyjYO zsnzprbe``-s$aX=LZtsK3J)Ya?HG<|tN?GZnURfKNFTu$0l~#KONfjbP}%)g`PoK;ng4EBnRsCf-smE@Kq|;WA6-?j8xR&;#(@!#A>DfNA@Gp|ej%&_zJd zGb6;fUXNNXqJsiF&)kvuMC5^Fa2JR}Znl7s2jKVHI+=womgl$I%DLk3kC4>q|4L@Nb&WRY1p5}) zHA0Nr?=jDd=%xU#Z-HHR7Zl1&y zvc9f~LbzE1dH|lxT_6dqfIo0P9zCou&S*+c$Y`hf2IJq>Z6u^#y6thz@031!-*>n1 zJRvKLJpOInMnaaqwzuP_?d5|^$*>EVGE3byU++4XtiNa>0W+%rkIbCN)#YdcD!}us zf2(Yuq^sFZ&``L!qqmB!gS-Lurd+U7$_8C|{1LiJX5}G?*btP;z zpn`}5{saeji_MH|+(P;Uz6b~|wAT|)p9$kA!0Ubl6Z@zTb1_HV&z#}tv1nP1$0TtkRHf=ZadKS5a zND&b9%m^{A*Q1t8=%4`4Gk4@0wDdqS1QZJJS_>!@;F0NCnHonEPywE2u28SxbS|N3 z5fJpu2r;hLqn1nPpa9P^cVutXn3D_vg#x_Y_8Hh`;6gL7)xE*tEU(Y(IL0juk-!%L z!G(5#+d3%TYU%D5PLFgqYh~QR5D9z{5L{?m-qtOYx_94aV4r~nGZ4^M0UnvTBT$#4 z38($0cG;p655M0)_fY2NjLISb!t8h(bHf^9L!HzOO@&6o>ONnCS# zRg&|B@E{2XhX+LC5;9vL?&ZQQzen9r4arAqO^3DGK4&3Umk=NMo@1@$sL1 zpsn-`l?u|QfLC{gKouP?aj1I=pRB!>4D+Bq%4qY3rb(BWb72uKaVtXKAKB5$a%#e>>g)BaY z&_TUgA){*WYK2a9v{6&&Y=)Os#XA=XM-&S1T641{1U&%XO%&NL0QT@kq0p*|j%Zy3 z1U*v?Ud`)K%SCihfajSRUYdAE2y+s47syM#8pk&-t7X4z;=^T!UwibxTguv_Tqghh zWrlT+;Q%cjfS>SZkqz>~QP#1Z#(jGQ^k z**Ikj5v2mW#b!n}ZXtaHUjzgf+bkh6YCz!ucrtf^B(xEZ|JCP4LRJ{&)e1SEP|ESY z`g}rS>!c(;=IZ*Kp>;$K1$bn9ZR&x9ryau+_kT$_5zWZPEhI5Qj9chbN6!)>LyVl9 zB3dcHYt4Nf2|*9QcN0bS3ovc}FLd_l2)YOedS-+e*XvQsMRZVr=b1aQcZ4t}8QcZ( zlJDF;1N#iDJ_GJC95Ad0;P=})nT0M++||4@VnQAdz>~QP)L=*TvNa=TPCpwD)Pab) z0=&g$MmBCCeFR?w1Q**ZAu?(};Q@Fuvp|W-O<}*S+jP3;4wm?x((iqd+d5B3U)DLi z3Ac4?2#L_H0FMkisSDtokX$B}4`qIXOkN zQh?W*`#KVW9)RyAitJ|~^tAmy>pVno;v(cdxv4$Tudi#O5NS4Yn79j+4{#>t=Wp^v zYwQ^LD2DNbm?r0N52Hyo5;Ce|w81UEQ~J#UZz+9lbk}zuu@W{-GTwMv96RVIJ1~4Z$&uyI<*|-?cu>h_J2rjf& z_F}w{FMwBomwhs&S0xfoC=}qemQX6dBh$4qH3`p|vGd&4nURev>M{&qih$rUOE;S@ zU0kMk?|q3GaIOF^A!8qN$qblVc}W#fcmO`zdxXrfx0|J_yg@w^(4`0nde#$?eMsc> zsN)V+QmMNUBF%VWJdm)vKpb)-5g`x2XLBcHjy<7)#pR9an1JR*K+vzy8 zAh_6O36W9tKF-u6oKPshYb~KvfJdflWoi;$AXE~}Ml-T;3uy!RA|SZfW(ko|&4Q^b z;e@#qo8E`K>BgA+BKHGbQ%(1tLq|W7T-gGJx(69&yde#$CxI*{(P2~<& zQmLa6BF%VWJdm)vKpb)-5g`x2XLBcHjy<7)#pR9an1JR*K+vwWj0`UmG2nc4D3#oX@U6!ptJc3t%msu`En(&{) zdLR#e%oZq1d?G?PRhLwFk6n`BTz#LjkeiE$|Kwa#zckOw74ik9Mu8l9(v|m!IOb#? zU*j@GK}dt2bRHzfkCZdB>h5VDVvE_0Acp&y^=>7ZWC zOB%ZxRE^fWPSu=I*`0?CGsje?N3C_IobpF9eyMxhs*>zLPJ z+(K5>;MEG9>gZWQWC-sFVNSvkg#x^-?bX%;ZZ}>shr@OwZ!%KiEoGCTHXkHhX6eip zA}>3k@Bn=G@Qv&jVA}p)=4JbSSPv$NV z0=b7r2ucYeFpX!xWEix z=WutA1Th|f?;gI9{Q^we{|lXcI)W|&f}R;6#`SvCauFRA;CbebJaTff3&c4%xtlE@ zQ%$f6T%}TpaFUXx$+ybC%nTgWwLRzc?3oXFLcusN{`nPCERf&;w8W=1w{A$1CE~q3XT+MP?vfWYm4oMKcgiy}72)^5zCpU5+Q90zA+9yV+T8>k`H(0)n3Pg-qPs zyhH^qT_r>m;CW_*NRt~B9!NN#P=ME3K&b$aOxMcPIGTV8@I31YO)So9R>LJUE&_s{ z^@L=<#`k*Eap5vcS0{3Bz0bft1IuT?y=yRc1nSCoW&~QPRF3FcnHqPQc%DyatN?GZnJF@EA$p|=4J_z zQTTV$9>{g&BU&lID_KgVNEOd}Y*mky8b4o%ZDl$)6_o)_+7CKQ>M# z?toK_f;*cuV_zVk;5Mrybx^P7Cd-AWB(Ax=DoLZp?VSseW}l<*fM|LuUA{ol&A~gl z9d{yC)UQ>wUa|3W7IGbiCWel&{`2*4L`7Vxc!0)D^Uw!)nNf!F)8w+&Q;7#OECPa= zUs9UGi>wd<_ zp#<~*JejTy*MwyBvNbsJT#%0CY!VlYC=}o=HZ!tu3+W^HA|SZfW(ko|0}2noler5d zp^fk*{ne+PvR9UPS^iV`^N|&1HSsaGLgG@&HynsS1$boUb4_(6Jnb0Pt^a&CmS$w* zinV6pL=74bz;_cx_6sm=|1Wg*=?JVtiodt*2A%kQ>Ajxk&mFdX3GcRDxhA~erMusD>Du#| zf7cM8#RKsBZJo?Q7xP`M8$H#%Gh#y21Mp<|CVL&h7w#HH&YXER$<@aQR{`E)Gb0yI<|&9wdTH#grEoDyNM$E1(>$~7drcN1YHCKJu^a# z>-DJRB04C*^UNJtj|)?i4Bi599&dnExWw0w(1cXZLohw2)`I-fL$i!7ZZ zWQEr|;kNF4Lau4yWG>xfINm+tG}SL{J4oA4*(MTy;V{Rzb zMnZ-pOpJYZV!PFw?LsQ2_>q6ZUD;`k<}X`_xfYQLj3_(+pPf^M%#lxcM@VP6mqW5_T4-{kupf;;&j%Jpok(c%Joy2A1SCtKk9~ z7Xd-fdP1`G^Lo^A_f}G=yAdMIcw#(|aK1pd-{3r@L3aM>&cOSRPgmQnY;XqNQIe+1#m?`aG||wW(ko|&F3)cN;siVXd-;J z1XO@W=B*lY5}qUUJhydbWaEmu3&10iI{>$lj_kCmHeu`sk(Jxo0s0KYJD`caixF{Ok>L38(;%Y=wJ+ z{kE>VqXwR42EC6nHEEJiD8PIF9@rrh`vNO0-F{nluBD57_Uo+HUfpM4pMi~LAiwma zfg=X@0DR%NmrxgbSHh`tx!Y}2R}W}j1Oz?n30dJQpM#a;i2!GWNHd-o4UA20f5oUqhjA zOw}#pP4fs}0p4QMLA{!nJ{R(cW(x2Yn^EKC%Y{g*0}2n?OGsTCuAz0wuK7J$icaO@ z)P`%fd(J}QMZ|x(_L5A^|8j*KaIRAz=YjrxPXAm0-=sG^V9o-~SjE%iZ10k9MmDb7 zD;Ki9u8BgV+1GFp-~rL}R61Q7uDPjOGB$iWKGbAN?8B5sTeNx3LT=O03_T#I&|ba` zJSUEtC!7rx$jOb?|1DUByiGl$gL*YLUoJ!?an0>jNg6e7?_7v9)fJ&|EAZlYeqJ1p|25Q+(M^1 zdX^9w!aG8klW;_#0PoK?-k@J$(3fe67jCoH_PFuNCEijtdwH7<6t-QuCdxtS?j8xR z+yn64!#A>DfNA@Gp|ej%&_zJdGb6;fUXNNXqJsiF&)kvuMC5^F$QS7L6M3t+*#dfb z0Dix%lUe9uKEK`Qsm@cbz5d>3 z;4L;YvT+OPBlsd9xY%Y1kx>H*55SYT3xq)I13WVCq{*Cwi`gXH;>7*G*JsQzBOABS z+Xyjkp;H|_ONb0Ha+pN4Qh=B4gg|`@+i&a6Gt0DWKjAmudD7V|2TRa9g}0QPUzc%& ztT5n&+q!cKx%;NfrMr71ygm=WcMspleg=V=Y5RZHd5DMz76HM&1$K=P5v?z2u#nAV+`{NX!z#`0(aLYeT&+g(S znYq(dSHflkDhNp6PjG;@*v!brEu>H2i-6!ldp+^=nJ|t5yzWOZvG2koGas`MgI5hU zj}WPAWoi^7n=@ng(sd!4k&RnOp1>CY!G-qetQlzsjVL_;Pi7V<5!D`bi0<~BW+46j z(LZf{PA}(|N$cb5+aKcfhCaX}Gj{~)ax?)I;CVJ}H}iTHxr9g&5cJFlF|OC6mP_cM z0M9dbPpx>?j_X0 zg!%~%@D`gH*|>%D349R{TxeV0*0n!<-fHRY7fz3KH*00w!Vn335fEHxTi(`@)He&| z?%nqp*k@qo3$LC;i!SMz$*auFRA;CW_-mnPm3!kmQN1@e-w z#_^5IYS}NF_;A_b*B(9ama_IJm&t#>@v!aRMY_jufEEwHXFv24GRJBAf8K9^F}sIx zOC606tc>fZ$@A zB}7IIC_Dg9mM_r9?@44U9RI7&t(2U9oLA>hIh0XW?qR6OS!3gW^?BCewoI1znA>o~ zz+*+A0z9(o5^&TxhK29r#QneDX~Z+Thj9y?jS%A&I@Qs$gvbyhC#Q&33Ur}ybMujX z_jM#{-FN`Ln<%nhfNA@Gp|ej%&_zJdGb6;fUXNNXqJsiF&)ktm4ijgAzVGGUxu-q@ zw34silE}OC)Rpe~@)t$<3&YUJ225>BbQ-HVF%*e(qq>tc>fZ$@AB}7IIC_Dg9W)>(B^&b3ow%^vBXRhgR zdqYbX&XX<6lK7p{r!R6_=LzY{I)^vmw(gul;-B&MZ1<7&mC(}q_jVFD$8g(8i^SE5 z`@eRZP%|SNH%pM1F+z-+b(%xW5+VbQoSY(BDUkE{XP^pK90?H*z;_cx_A?NA+Wwz) z9wIn#5%Qkg)Sl?q*ELayG#fcg%o~js`dyy>Y|PK!2s@lo{$v=y&uiFk&saxqYWN-OB!vqeuG^|?Hqrrw!>QOU#JlI ziYZ~%6yTAW6S=w)HYZjgGYnu(aGu*bGqQ0ppko1C5fEHxubNpxWK?q*qOOD!3I%xo zi(E=5$u&gCbgfKH!gGY4=eEv_Y+O;7VE|JE1eaO5*>vgByEjc-rg-mti5a+S!gu&i z@DhXXV=kM4(0*~i3#cGTBMJ||XM2y3Iret5bd@)#X9Btu0YT4tLb4BuydHJj!AdH1 zH$tQtPmBi=*0r(6nj4AeDlX5bL$;cnd`m2g6#Ku)B8yFJetIPe1kCje_MC%rF)0PP>}1&gi8t!WaS=FD8S3?JI>SO z_zjh{tRWuJxCjVlmJ6wP%3YQ%CnBiFKETT?7a~pgw+bG}gCBKmxR#~u5FvD&`PI%b zdP=f<=}xJpS3DupdyluA{Gw(ExtY;tT=7_oPn-t!>n5kk`6*AxIY*iNqRuYl3%m>k za_C7{-Xr3elh^zj=Q;%;4Sv#jkQ_f!j^ey4n09rp4(iq1T$vD7qu_R`AbI;WYTWLb z5NY*@!`1_$Bb~T(ZMf#9ZpoWC6rCJfSBkBWMdmvffqEHaB zkC85OkV%2+{U&of9n`CN8Dm$2s?nO)sfOdLZKKBPp9_&zII{TgvOp|aWD5k64ILW= zA#YK5AYndvDhQcj1fu|NvFV^*t&l!~F9L##&8R8li{KUDHBBf1crslZt_cZ@eSk-H zT>_4lvq`u`zVuS)#pXKZbr`phRW*3ELZ>=Sw+ zI85&NJQ^n6Ql5v_T9skrrHgVRLgcmSR(TcC7z_Y(wSAK;OVoH?^>(#zn) z{onK&cFoAfE%Y@)j9chbN6!)>LyR0I5v>&9{ZBMnKxMb*Gy{>uKG45F|8{$hGk~4L z-8~XsjR)Ynhi_!R0MqvWLT8_jpo@T@XGVx|y&kn(L^G2yoEoI>7wF0a9CAwrH^uR6zYe?oZsj^GsFEjBZ< zar5+^kcegq@D|%FAu?(};Q@HEe1YD`|5`R8ssN9SU$F-g&a(-BLT)f||37t_QZXYN zH_zY+*+ADcA<}B(Fo|fT0B=Q0KX#IzbH{MfyOb-$ZMld{{013Hv5}A=3DaUB=Is)1 zDLKC?;|W<|z%aUW8wpwd+R}v6_VQ6CWY~pFn515lU-Y~SIxkzuHD*>p=GmOc)s?V0 zu?m@C0&{``yv1flHf|w(0$&6K7usw4)44*v1YQAN_X;HTU3g@=He3Vs5#UC$PfO0R zboVmcLNse-+(PmMz6b~|v`@EPy7%qUEmXaC-+Ttr-5Yr$Za(rp;G!7_P2x>3yNhqL znmb)}Ii7$D@I349W@ovrOBkmJ2zu5RGI4YB5*4^~l@L*Y=a~^AO>R(lAmM;Qfg6JA zl7W2zT`N=LZ~`j8^QPva7r6#+rddP1^a<9j{oxUk{Ug*s__>wO0H892)f ze3UHCUBfE(24~T1Pg7RS2sPLHI8)-7PmWn&t9W!vu!1ZRJy!E8q z=7~UE8P{w;6)_3?8BXvnHZ!tuE9n#XDj;~F?fq+AFL$q{d%AFXq`O%w<5q@9;H!Y( zg|_prb-mgblnUhFzJ%`vJ)jsF{}G^qkYl9(d%_nm3gh@nPY3mCUeZ#CO5&Q=shKls zy#A#SX~lmx?Sb4^zMz!?yqcv{i!|}~O|3U<{Fa5>zl!)@Z@3ywso$@V@@;aT{a3`j z=qKQWON@d$lQd&LA)w$kYb140ujVEzg{UO1xxE@mqsHxB3Xx{tqws)edMjPIK+?^@ zdwCppB2Cmc^FQc%%R+9#)SS~fHh#Yz&Zvl66%Ww3<9`gBfsh6%L;Y=XrtNa~8BMEz zU}2?@?R6C*K$JqH8J$^tdKDmdjKu;;FTz{Y6od~bJOE!j^$A%bY0bY;D;u;kylGdV zmd^+=Zmm}fZzR>adLt(^_5eJYt_|0OWc0FiIP+YPj`eI37mO$r;9YEHWaC!SNAOiZ z@M2pfL`DrLJOEGTE|7$F!kz!s=T1i@!+hLzwA}~$R{r_O1_z$_oZBFAE#(6TB2WPy znfY=|T?sEchZpYul5!!Mk&RnPVuTpC(y5JJB}9gpxj03%QXpseKWMqfk*GoE0r)&o zWWNH-_Ww#}pN^obfS_kah;h9htz1M01$dshBkOr#YLcN`p!k>jZqSK8m)`NbeeSgH zA$-|)<+|{Wm+oobr5k=EchBJfEgpbB9_wTlax8bXJbJEsSHy&<2jI!d1-f~Zh?mWM zqT{Qn^eM9mKgI}G0p7)CMmBCGeFR?x1TVH#LS)o{!UOPR#RC0`2b17|Z)*m=ye+wh zTAhKkjUG(;Qx9=^P=~JyOp0G@tWYLO#&7YV?au=DIQWP?sAUuWj67U~s{rp}Gsj`v zGQB4xqL~7`i*1z<88x8r06bZ_Kquz6fj{Rf?>P6hc8qb>8P5|k(`8L~{wu|ugsc%i z>XYP?GUB?|__fXzGM04>FY!4ym1!p-QxX=&{(51%&6^!U8mIV$|AxD<(-zI&s}TO5 zG28E%Wdb7#55O1KR3S^`3*G>!zbh)6wJ-sVtALf)Twu0AI|VkR^_U29{JdYGVSLR{=rKMna19^Ln&#PgYW`yAdMI zbgfKH!sP;e`UU5y4RY|`?hG8SQ~Y+7w5ofqu>T)TAXuJc%D zrpUM=zQ6#c3J6|i>2|-ROOX1R{-e|Gu(vh?&)s!)u(x*H??CSt-cyTz5F$qwQFs8p z*n5O5aoKKG_M2b=VpTxUGb6;fUXNBTpo0QD&)kuD=kq`^l+Om;uM;P7BL1pH)e=xu zfajSj)N8n$3usye1U)lCjO+DiNpinRy~mSHkXjFQE(r>SwslO_3SdxERo}0ImuMUT8Z$)%q!i3oWBzL+~9OB@LeEUs+S z#soC40)n26gj6Qb>$jBK`PaHZ7XRF;Aa^KyHwd^r*YdB;_}6uXAyr<++wKLv0=$b& z2lZ-R`clXXnkm4$*o+!4UnxXdoltnt-<;^$a1E_%cEg|1KDzo;iM8~5^KV&5d=>G( z-+PH_zf9>^WzGg>LY>+K$;g0KmN2jIId zjsc!$q*X@0&UuV%aS{;p%m^{A*Q1q-=%4`4Gk0X12b~9!LDzL9M3x`>S7~at5FzhScp%|r=Ws-01$Y;m8QHj%^bvd&5WLt{36W6)3J<`O z6$>={AG9K(3h>ChizagtF0u)2aN+)MdQH1#WaC!)8X?B5bZVnl36UYZGlV$_M-&S1 z{%Pk8`XdH?pO$#xc00D0omVdLma^O7?K)7{cj>yA0*=q!JrW`wfX`3g$bJQu?f;d| zJ{>_<0YT4<5aW71TDgc03h+F0N9GHW2a=&!p#Ru?ClNPWK+FU1mF1RDj{I3v$U|%E z<~WR7%c>f@TCG3ZO|HUl3ce*(WXS%;qa8Q|}ishQbA zq~px}s&fuI14eKP@GdqpvT-ZvBls#Hc(JV#BBKTr9)Krv7YKnk26$xNMUy!RSF=gD z$A$alC3kb|C+y9HsQ$$3t z3J8uZuxo@Ecidy07tu`t-q-@W?#O&0@<1|_3-skib}%;^59C2DoA76p6MEVHf9|xT zVvfVOWd>Ks_PQ1d;bsZw0eG@{)t3HW+{YE5)6JTz+gf z{7D(-c^bR>c%G0AMxOt*ZYLp^AKT~o%l74iEXi;PSu#s`n?K2&*Q~!!AxX}RfSFZ* zM`kYM>T)yz72tU`e$>3mV_m{HRY1_Qu@L*)zt^LUOCJ(CD8Tc~2$3dyaq&RH0fhp* z|CRG(B!>|p)3q`+jwYZ2JkLf#6N~ejwQvcItALy8+M=bD-HPR4yWF3&j!~~osgyq2zq9=RpWX+TDgP{3h+F0 zN4`Z%4$lj_kCm8|?1#H$X+PywE2H6QB&z`hL={=Cl?7v6bkTqbF(D` zJpi94itJYadw8c%Y1KqWw5|ezo~Z_}=JjahB04C*^UMq{O}sONISIQ97ii5hesfX@>}_6rbt+5TU2o+3DL70RC6)L!Vf*R@cHH1p07 z<|OPa(1u^T{o_Na{hB*QnZ3ezLMlx!p-;1&cM>wIW46H^e^SQH0&gi}ZgbBQvcaHF zvpIJXGOJ^@!SnoOdp5^a8oWCpn@{XtU1i>{kmOwpNthr7cx2{6uC9d5g;mG`1DG>h z=dsR=Y+MZJSO8ZA1TVCY_GY}2FMwBoS9~+2cO?=|C=}pz4~z+@0FO-9%G4yhX2!1b zSZ78yuBa<8fT;q4msz^qb?MUmLl-YoeDr>e8MyDl5Bwzf8iOBWUN!@Pcf!iQ5sb*~ z0r+C?5wgV5Zk9fj4ceK2E>%F#vyqVEOCqmF8+WpjYTbEm>+e64Af| z@WtE-S>i}&U~y%mHYT8X6%h1nBxHl7d$N)|5#WpvY38k3Hd&(u>d&`Y{)0be;Fy7p zX5fMICZGa5GV?^Bu7ut5UP2iL)D_@eY-VKRR?-LXRY34!TO~wB)#o@QwGd{=dpAU)rh{6N##oi-iiK9&}n|gj)&^WaVB^D8MW1ho-m572PYu3-~G^SXe2f;VpMXwhHkAUIAWVr4VVt zf0yTh{HUXA!?hx9Mud<#>#Ny0x<1J@dcI{Lx341pyK7AQHFCUPAwOYy6v&}BU3ssF zV@~Gs6Rvd%LK^%ody!mvFu~QX4(iq1w1p5>qu_RGC5;-ldm%(xz1&mj0nw2zT)H+~ zb5r-^ZJdfOj(s!#gRVC$B+2(BwK*@|CWkZXN3`}pvV2CNAmkV$-R2;Z!Z^Ot(?PwO zmo(-YRE^fWPR*QAE5PfzPy_H}x;9)B5*Wt-kL;!f9Ia=QaF5u-<$w3YwNY%X zV~)ePm8?dHaVwqL=v6{w2=5GGPQnp|0=&KLT%5`U8vYMj zZnl7NJpi9yzc{auB`(|l%YGA#IS%92IvOFyt@Uc**9qYf63_$iWaR?g{{tU^gm=Kx z4r9F55ZC!lWU>0+?YnZ_(_&?Bui{(kv{Hcg066TJfnx^toq>D$meSol5_EU~K0kdU`xRKW|5rNubOc=m1U)lC zjO+Di_%(bjNul zyaS$g7~{2u*!i*U>y}IBeAE0ok9Cfa4c2$TW8F1{46jr4&SCe5I=+XM<95#B@r3a9 z9lsqt3F zLM*!`-cm|_Q^pgr!GK|Q>2?xw`LU%1m+i|(S&-onvS5-%P5yc0y`uBJg_wI0nP+n$ zS69O3!dh9I1pW*sco&-)*|?ST349e0ywE<`JMv1t1YQANev25#E<7@4fxcEF#kVEb zSh^<}?m{$cW!y^g1ilIgUTDAEcj+GcrMpn|(S7$B!0Qy#?xP+9UOWS#OS}mdPw{?)6S3FA}&LC?lQ7H)1{q6(KjBt#V8d1i!2lUo!XNI0NSfY)0< zsQ`~m*UHp5nt%%MJR1p3EY54z!X-4W0)n26gcN^`@AYWo!s{$uo5-W}F$2d8TrdM) zrLXhUu*tK*1xmB7tG2#`1XV!rVl%ob`4V^qcxxW(hCh8imQH5yGTe3P9xl^*^nSe= zNPqEqV;>`5G6U&v`5ez43qqF8JQ1kNZ4yuco@e7ts2fgIeI@ZF#X z6eIhiF)(M~{zLYrzb_`Z-Zdi|*UMW9VKoX~r)F-I5E+I4ZrTI6uY5r(1$Z?}sTOJC z`(Kor`fSqpEepAS74g5`WF1x{eZNA=x5<6>UlGTzjgzT6;fkZ+&L_>-PY5Wu%^FD^ z)T_D4N+BwVYi_Sb(x`EJmqMi3_b5Cdn%+uRE|7F{@LnFrok$b)r%kOlZ2Xpm+=Qu# zp>u5fem$H~5w|KHpmEndj{#m`l%f7MxuW$_;u#IAfM8*zknMF9B0!Wvq#2!Ae0mii zcZ|gXNiV`%)D(mdC_DgP{FoaU{#OK@wiEAkzI1qsf@W{-UW9mwH**Uy$|Cf{t(Tr@| zN)jW)xRp+A^eQ1T#LUGhqLl(U!~a3cJ&r^TIuF3-i6Z+IShoLHI{S14T?GU^GeV5( z^=RcHIw-*N%pFhp?rM4TT=%Ys2~iKgla&kf_$U!CoBKq^S5xUzW)psl5v~Hfi_MH| z+)DZgz6uClY^#LGr~!os;K|Ab`YM3~j~O^-VDA}-7*GKo*-Z^N>YT&Ek8$DtKkhW+ zncc&T(3tf z7tuiho@egJGpC8OK!Njk18l-2{#c@+@!Y|!9; zN#ylt<4#smt-BE-&CG3xx)OF4sQ<~wiTJA)RZl=w0iI_gp@AiN&04sC##KPjvyqTu z{k$G++>@15>u!WdGoBa^BwQ}g-7h##ZIFZic4y#to#MBNpinRy~mSHkXjFQE(r z>Ss6}>$1s^X~Fyye{eAOSVuh`AGu=!D!?PtwK6pcuUWd|vF=(+7y0TZD{%q!i3oWBzL+~9OB@LeEUs+S#soC40)n26gj6Qb>$jBK`PaHZ7XKxJg506--Jl23 z>*pvGhE#bOZ@U-x3h*vA9n`CN=}RFmXr=(~Vl!&Ie5DX+bwc4mCwGs!He5sNn%(ec zv=&{;$E6LoZugdj#8(mj`>mH`Y5w;sd>swc(nZx+i1D58+cymc)Ks(rAx1Z&}D)nwp_! z1Qq(*w~6<}QS*eWp#nLj(fWS|t5CLSXLL}n=H@Gfs3fkry&6fQ#_e4Sk!Jk9M-SwV z@focY;PrNoQbE{+!UOPK7smk4Gt!!Wqvkbfqz>~QP zB%z(~w9`1RG0b&-6L}5gi^hCf)aq?Ey3TJR%a8r5G&Ng@kas9Nknpl|IHIuvyo=3@ zY}`uv2)+skUTmv`$fyB@2jIzy1seVjS`kqNcx2v1lQ{_&*@QN@aQ`>Grd>0#aVvd| z5aU)lwb84D$PnHc!kmO73I%xowDSi25re)@OT2Kq9ox&!E0=gn+3oOl9VqO(bX}B_ z(%n50j@$$A`RNT(3tf7tuiho@egJd?E5cGL#E6{Ev~{ zYyrJI0DnBz$t>hp&Tk$)*S#xZLevBBWaR=K|F=k)nFEg**nbARbJ%^N%kEdpbJ)`z zK`Owz*v!brt)!3OtAOCewn~VM8c=uup3Ge!1mYOrk$D$Q<|JIrCgC0z?*F4cbB-C= zxRu^Uh;b{O+UQk6WQg|1x^yqg)+TX%>*KL*{oLg}Y1w|uAHJNtUj0<2dY#8QM@T8{ zVrIc(-8F^ab&4=|_eh9%0KT@#N{lfuF5CaJZu8ZMCRIRiY=K=P#JJ-g>%53=3h>4j z*mXzd3y}wspRnZXsZy{?5qxLE>v0G`ZU zAPH@Nf0x~i4(U%rWzW(RvcdTCUn%Y+WadJyE=Lnk0iI{$N6q1}&fHn3D=89+m4L3E zjfK>|De~G$zKFsD@Ll?lxHtnUo|yrpiMb6?SHb~>0=)hwA0@el2$`;xsc|#`72tU` z5}H^NHPf{+HA#_BtORuRY$U}0vyEyK$rn+00G|HuUIKhLN9avono7_#VWGFC! zSqbP$=Iv+9arBeDd@@@?0;K@Y--aVc1kBegeFUr<(X}!)>1gJ&&t{7R;tWslV7gYO zCSjAi$(0NRCNL`jUCDTvJmBarcU-!}BOY+fz%c{6&cM#k2IpflJFvRaBB59b=;~S1 zrfV8YzKFsD@MLKW@H}&Kd)qK`k|Cf_AZPf~XC=8t5tz9Osmsv>RDkE1EA%}+*5#9t zyqOp{cSf3*ZurS($a<7-X9hmazQ*D09Lv8frJsHy$Gg|F!D*OY-F!_=8kjw$$sL9; zWrDvF(3Na@Q``BmZuryZy_W7t=3wqJY9El^#M15gSVzfjJAm=sJG*P@oq0 z9Pruafy}AN-Q-#g?3Y4R(t?`YT=E}$dC>1zM&?2x+$>>IJRlmkki`OVFBk6kQ|N|T zNIqLlhm;Iq+}q*IH2g)bC!{Ii%u5RI?-yoUA>_k~J`2^_E9eWtgE;zQ~I(UCr6nzp@$A*_``RMLVPrF*9TzDHUQ zi2h^x&uB$!Yo!or@daCr2Soo!Tj>Lp3eu;7AC_(amHt9w6$-oWJoelyb=TRe&k0d+ zg^XIvII)}F#&5z*fHeAcHM${GHk?~@C zAYndt6of30wC0ZjeLSG_06dwdZLdj&0*#O+SCS zo0}~m=mGdVQDnaYyw51~tvr#;Nj#!h3FzvXYG|)XzJS65@MLie@H{iaOB3%5VNSyC z0(r?d;}U-^H%tGjiBGRO`sRa&cf52@o6p{Ofc{5v_Z$vr>H+xt`o(9nkR|eBDfA86 z_6M_FOuMB7VN^qVO)|{v^7hNUg+jPl0(t; zp3LNKawS875zIT(Hb!$@>VpBL2jIy}?j~0<6ljDr zxsoiQ@Blo_$P}_bGqS{IE>01x6v!F=$H;EBL=7gCMuZjMd1fwCeUmn&5xtfI+InV$ zG`W&5pzr`ZSsVjA&&{aZO6FaP zn3J$o-LtlcAQa&FXGq|PfLWkK>|++*p?W;lU2T#dHe9;IpOmrGS9z@Sgp6ff!%Mt1 zOlG>Kkl}TT5hE(VBfF^qM>}&43z=c!y1)Mi#5@2`W^y;Vk|D#ybt9z7m1La90|^(Z z2l`xcU;m3vb4gNHI!6==aQcg^IS#a&y&grhQGhqL$ga6e^-WZosY#r>u7rMstl&iU zLS%GJyOJ!R@Blnn90RX>bC$DfpOv+x>A=Ls3hx{CKSn`I{j8K&le@{43l?(+2Fe?FF$v)Ho ze7d!&!8#VeDZpE?bm{&k@A{)Y9z0>PnV?VkMxfXConvTe9TS3(&ZhIDE2#JrUrH5NXB}8OM=6 zzzsZ*ctD{5&$E%xz~ZQxu9c}tihyDzpsQyiA;knvM--h5>a2cx15#;K@wxCRZ{P7{IIq zbS3llGv*|0Rrjnd0tf|oE1nIy$4Bm%fC}))bgfKH!e%~&EHHpkfJYW<0G`a`ZgM3< zfdR})KvyzeCJ!WRRrjnd0tf|oE0%8f$w#^$_?Bki#4mJsONtMzG6SbSUA%o&bf>$1s^X~F!gcs7u3`KqOXqEgq&)TGUt zr8|DDyY|w3!D1-L%}K&7g$J^7FDMk?750PoHaUC_`0VpQj_d`QRsy;fRthn1xtn(7 ztT-X92mNRN1#tygc0u|i1sl0x3^{QOsAzq?wd3lqIxAwOYe6v&}BU3ssFV@{^=6V_fqNQ2*HFOo|S zeejve?@{-FXnF~krfshwb76%LRB6OJ z!!_yT<8Ac$MwVZNX8J_A{V}Y%H$m%Ht9e42l25&)ke<}<(!y-*LXv#dRm)$zO%7+& zk7(_IWciFjLC7&i`LvE+_tyoq;Un-szMa>!?KPyg77HObi!xo)F119)iI)`eCCq3= z7i+N?R9fK7a^F-)ELs!`1dwvJvm2fJZi?w3rx*LC_MmAW^y;VlA%B&q{)?J355sXVMeBq1)7m1K69Exv{Hcg z066TJfnx^todKM`?m3*G!vpa7=^NRvfVoWdt=jen9$+a{bl3}#(KYQ#&Va%L@MLie z@H{g^Nt2n2lU*Rr!9Cq<0U-~-SC(5sIr{s5n^Gfu+RX>g>qbbED|u!VpBL2jIy}?j~0<lHnj8`C;2sZ3@5!yxkB8Q7mHWyYQ3rt|n zaDoRj7j$(cY;rfblA*u^W+k928SnNUaP*VCBWJdR1WEy3eg_iAE<7?_8?J%+3UDLE zwkUW4b1>v zr9)PERg_yWF11g@Gp`^(z3J)Y4P$({`9$wP!GKTnVMuX z^O=p4R}&lscraZnQPm`)VkMxfXCooS1WrdKUqs;n_`M(N?)mnFM?T<~fnx@Coq@fc4Nk*RnH^YN zX)wKgO-F|zOqt-X1au|i-QEL^?)_^W4|@DsH?yYwEP1QO9LIP5wXWCnf>MDToCbV1 z=mEvZ{%8!$8MyzDz3J~uAH*%m33U(p6T{?ga*^6vDTLK51-Us%m=q6)=55Q!v?Ab_ zLZp@Ve!~85sROlmK`RA#HA|@$Y2vX;gIzh5Ec+vGme`H9u`K&JSFn*{}T-f6~uLO_9>M4QXZ>+Ljcdu^c* zm9(HnIcHu{_#-tjS{DkDX5XXmfM|M)TDd^d&B1%V*g1hVieH6hyG*^_j@mAMy`9ZF z<-0i^yB%Nd9_v_(|irBtAK;y1^9s|6>C`0i!xrA0T zueW;q%;i(2r#V_iRy^kvX1#9)Ks) zwc(nOj9xZZBny|0^=w(;p3LNKawS875zIYlYl1fc-W zKSKgX1l$G6;@eku{#T#dA5b>J$E^MitKZ5$AK74P6K@S0B(9}=;6MZ_z#}ueg1Qo3 zb`Jade?STkz>}HWO|E1p&~!>!1K(EEKP1ucp%|&f#P58yFn-Z zT#B0ahNpcG=*zw92@mQBVJIRFDzuD;7qTr9O z0>=~31Mp;p3LNKawS875zIYlYl1fc-WKSKgX1j+^annjQ5F$2d8>^K7vV=2HRo7o@Ab6C2KvAnBAJk$U@naSPc zN`?ZBkS14>B@`ZjhZ&hd7HCG6_{_yAqLl)?-rQ^nK@Y&^i6Z+IFqf&mRhv>CU@25| z*b9--HSJ2yfWiatWN{4eJTpT{lbO@RS)jmqya6`h5`Qi?N&V_cPx~sk|JAMB;%+gl z^G$Pjm8ElpY;e2_9_y|r5r|6!;0mtV7_yv!3yeW3PSPIg2?lNljhbFflAuC)V zDrrGYlFjV$^N)3|5YC-|9)Kq+7wD@5{P~?xT4w8$4Fxxd@D$*Yr5b=IGr5~w$&jNb zB%;AmKwGkz>ycG0opSVqNIanM06dxbcnP@~{y87%IQ{i@jB(yc&l57)VO?nZSs`1MtN)Rmc)Ym-fV0TWVCj?~num#Y#X|&qhKfZaKVmlCOH7%i)t1?1=zp z29RdvHbh+sI}6nRu9c}tgK~lHe!(+4 z^gqhCGXux#6yMHT8KsZp(+QeDE5IY0+0;fmq4aPtfIGwRSQo*10G`a`ZgM3pPM375|X!=FBv5$X}q%LDMa#=w3BT%p~ubeJxl8<{s< zD^rtjxj^TGFttX8hp}+(1mGfRgIgYM~iEn%< zJhK-EWLOF4>e)z0<2P-R&pgbj%i)t1?1=zpgh(@<7!M@uE)a*@NJPj3@WspuS>i}& zU~$yw9WLF@zt#;i&3}oYAXCeCgC0n)pQBJ1Qsrg5?Oxz3kQ3>@geCNVXsorSZLd-H z!b%}8XtNZkDFlC{|6J%_q++z9wY5@+v^b&gfTjr{T^p`}s@V;nEVbxTK6(v9@L1)R zzTQrI%-7<4lj#;IO~-F}8<}o{Xq<0iTf`N8Y>syS_w8M_>G6JroN%pEAm^F>`=0)D z0eqJpM!=i}nla~Xa`YyyFZhspK=hAAZZp)hYc;T63NgB-UCQy9w%2*LhH7;2 zz!pj&(xUApg*I#M$8O;UkasHUvjH?0_ry^&;cBRW4u#SB)2B5Da?p>DzytY4NYl30FkWG$5Tk3_mD>=US(TR* zMvQ2*f-0;OB2C&}QkbQ^M_oZ8355sX=@`ZU&ok1RKMM3s?ofIl@rYt2psQy_NRuo1 z0tyenlf^N>^URDaP4Ic{Jdm)i4cCNZbh8D7JOH1sw9O{*9`Q--6nfSZ$(+QE(k8bb zp(s>CdrgvgA2sIsv5d?@K~I?BnN2_sz>~QPB%z(~w9`1RG0gCr$ZL$f!w)*Dm)QPB?N8 zz~`rLWWNIDGS#W-dgel?Kmr&lUxroeCUJKo7u^l?!zI-y&sZ4m@UH{~6HJmun(m=6Y0~ z!=CO4QUM-WssVU1le@{43lf>D6yUzY+$1l$EeD2@Rh*^JU^ zHtF4Cd3{A7)Brr0$=&2ih60U{CRdUr6dr(w8JR*BXhxR!%xMzQN&#NF7XtM??0BrZ z&Meci{gyuh*GXryoGd|~6yEttep|*7vcZ6X&$-FO*A#-+DZ<=yI3eNz`26&Z>=zK2 z;Q0=)hwA0@el2-)?QF0mv^{SKEd?7MW~2mT1~ zLLKm?X5e_8;+tBoT7Cw-?OnFnVy4|;2va8bD*;`}rZ=^nAM1uceco&7o@5T@E~9U9>GpiAqiK8?rt&C{ z88~KO-x&xPP5~a-^z|sAi2^*&#@D6e*Sg}J6*6hlm(gr(f|+FY75eD)moBkFO8*X@ z$ans=u3sbj4m_FK6QUk~r#D26fjJAm@ZKhePXwQR9>|B%+Xd z<|T#q_ojW#T_NPdiaxdB7xR&yITknHuHpL?Qocs2K z2Pze8){*~V=>{Ful++2YA+K{hz@pkb~2ZkH7;8QP}1-LruHrZ><#aj3!H=>jIkN%yOZB zjxxH?+FB_@TKtH@1G!@?7U-NeqNV_kj2GJj3G*4HAY_50HGdT7;{l}y;K?*?drdMF zXoNJmk}RR{06ffS6|z7xvc&nW&;toa6bkTqbF(D`Jpi94itJZ__Zfx0l_!!piANMG z0bM;)4ed3_7f^Tro-B?5o@ZuwY2uwB%t_c?ATRl5T;k8=X6auw@#$4Z-+b`!j+gFf z^Vu5@(Emv8p2Go6Jpi9yzxZqxvP51ig}y=C{$RF?X}6RhjB04FNrstS-hR2aPzX0m zKo7u^l?(LvC=qioLe3~Hvq`rxMz{*_$Wjf!lbPI2u4E`Mf>{aZN;dO(v#O<2ff0-X zJpT*{91$oN=V<{IKED zCH|z0rM}8zohM{0>l$9-tzk0LHH8eXQ;Zl<0Up^+4LI7Fb6Cg>6W7bTTF{{e;K@wx zCRZ|Kn7D3)G`W(D^LQZPLiIqOOYZAm5oaz=5jhm#^v?$7IM8nPdKA${0p8dmyXG?0 zH)&JKnU+F7LRN53dm%Eqrd>%EPIFFM8XM$0=({lF##3ek?C5QnuN`K3Rz$PbB5uuE`s#{JekSe z#aNixTQ+z9HRp<=76IT9_ zWkhoiz~`rYV7~&Ry)N;VLoLk?tgd7UC{_ZxdNvZ$xFt(Iy#SdShfh|pCjy)iBF%VW zJdm*Y217_jHxd!@0DLjCLY6oZ8dw}P(zP-*Nf1!11a$RmB&3+Y>8Rv03#KlIpR8a{ z1UNI4H1k$%m@I1HE|By*@R)&P2KJhP2OcN^72uJXtxR1ByXU=xG7P9Iz$1$_08eIe zH@T9bzyM|?peq?~U>-==s_t1^1P}`FRy-SYkB{6j0Ttkp=~|hZgw1>kSzrL80FNxz z06dw=-Q-G!0t1+pfUacTsxc>FtGZ`x5kM%wTd{P*Pd?K9z_&C5Cw`&BTT*;zl^Hnw z8E-}Yt!G3V55VWAd|^Et zBy27gLKYanoZAfwXP*ahWG~3H6414&aC53pEn$cQ_08t2$R{TOj4=5bz!li4&HRqTL8S%GEcZ=?#G*y9Kp@%B zu~QK84uuC2X0-}J78t=Oz#~gF08gfA+iQ}czzAj~peq?x+Xe7sMyrqoMlcHSp% zjqF#z-~Ze82OeN4RCL%2kzAIfvs6CFV+z#~gF08eIeH@T9b zzzAj~pexzTE^k#!rvf7w1$h2-DR4xfSfJs5j2sbHfJZi?w8$pC44Ugw9}Ory08eIe zH@T9bKqI8dm1GHp2jF2wrjP}iktIHJnnbixfcF46?3jUL2KJo+oWJfloS?%4@cHQ* z*{^`PO!ckW_6HtdDO7aW3z5+^?Mlvo!UOPRaSZT0Geb#}nTwNMAkM)(-E09N55QNJ zTS7Vd`+u8KBYfJ;2hZz9NRumhW_$_%m_? zb6x6#0i_4v$xQAhS2E=22{F2+T}hTucmN(|WD1$1Cq&{im(hq;3h*|x^cyGnHFpdr zy-T@5+?E%SiPvQ+#ZE$|BrJ=CShh>N^OgLjj3;D+0mJOl?Ih&#V@nGz+n0~BAj3Nr za@IkP1sPzvz!JCHbb;gRXuoKLj>i)fk{CsKS{qASVCRtbHQuJ3Z` zez|H!`U#0BJpfNuF3{swi5&RWW&p2KOm9u}p||Tomv|FIjZ6U^nO=ZQjiU*u0ME1W zWome=)6Lh^Bt=58642GNu@JktO-ChPMBxE=`d5gFi!-3&nHfr&+@kP6!U2T>yxsyz z1$bm;!PMnw0xH1sY$P|7-ke6Ui4*cmTc&doLaT8w4Ku zfHyV+!w&YwWFK;6clB;PX>N2EGc}nvv%6F#Gl5rt2h+{h)FfaEb@zOG;vMM09W!vuz_v56*R#QCI1JrF7Wkcsw1D1?F< z5g&NpA5pHeLdgA!KJM5}p}+`cC7>&re!xsk!d7+9 z+9HBbfajkffg=L$0%h^-t2_Uz&+QK=8{uPCe}~m?<)4piFtv%dh7A(eQa*4X0u|tq znO#9$2`@W`{rx{6g$LltOztLEG8AZpG`W&2q3{4a%*Yh7Kr^z$y(?&Taf)cAK+f=g z&~mdSYA~TRBCG(R2nBd#sRrQ5OztLEG87oWtORr= z^DafqN!Y6HSzAO93h?|hBydEaT%eRikLp{Rf%kmZ@RrtLh1S?_j}d(p;E~Pj59K*5 z-Nsnn)gm5h0G`a`ZgM39peD&?cKP|oI#&qiPCyU9lbHpgM8A`OKfg0dO}0K$R&av| zLIECGssVU1le@{43^{s2A{s0Ov?ZIl9$D4WDMwF;!~+Tsz>}Ge7cu;2gB-g){5c=# zIPdj#jB(yc&l57)VO?hc~bM0;353k7&&Gn?9ICzKuz25@H>9_u1l z55SX|+)b`zC@_Fo3Ft~jGvx#L?xR)BYzYCB0=(jzDZQ#nIH6FGsRNgh9A<8lr5hgW zB8Kn)JXzCPnZ}Z#zyM|?pexzr(xqFgS~?XNz$n0LUOMS?;M<&m75`r7Z64_RQ~j@b zv)S$0;5>mdm(hTRD*;_SGuvvDEBW*SWNQ6z0i^;w&&Y*oL#n)tx7`bT z1#%+&m#~B$5RJ9gwCy$OURWvQ1#OlBHHF}h^q&j;mmNkcT3aiHNQ)B+4``YY(zW3l zsG8mI$x@3h<)hay1dmm2>Fe#Z$9yf$H<@mc(scZmw~^^Kh{pLQwnbdg$L47Ff8X9^ zn;!31$O+dv1#+J0zwhZk7r=MvVFb)spc!-CCP#1L`hpLs2Soo^yoskF}sDs)`{G4Y-_iY8nQ70{tDT7UYq=0Fbm@ez0+-w0{i z_8P`3tQ2B&O}la%f-|e~lER1)jaE>Fl|rOR+e-?wwD+hhNF<@~06ZPT7~pwETJuMN zzR4X*4}HWO|E1p zFoIbL=t?%T%UjjbslW(E0iJ(d3LFtA7HIfCXhp;o;E~NJEwV{3gZ};>(8>ewWF~i$ zD;WwjLYiDjmQZ*A9%f_;S)dtN;xm`gh*k>l{%Pk8n!g0Z!0*ozFWhd&^|JHICEodV zJG@;73OgF83TxIC2lb=cjLEzXIkm)wgQfA9#SJP|;y8L`K)ND>(xS55SYf zF~IZ83?)rwE>7hF-F+t?6*pTzQV+l%k9FByoJ>gel?Kmr&lUwwxdO)%&;#&f&%=M@|hfPv;BTxYzS*iheGLyT>l?(+&Fe?FF$!4xc zR<(30FoIEl=UB@`Zj zhZ&hd7HCG6_{?b%(Mkbcx)%cVJ?wa_yUr}rvi+7n0@q1rvz#nJpA_EtN`70$5wgL6 zfzP?g#Mcyp*D1o>b2uU50r>p%jqDc?nBf5w`c`fGLqxBofVN``?5c+Ln&jg=9!S_K z?Aff~zMUCf;x{NfkZ`#`!?S^#EufbN;48~5AwfBz{r%q)$z0ae$X)8@60&UeLS%GJ zyOL+-^X8ubxt#ipBsB8?Jej*d650U&j*oPl_%wF+@jM|Lj6DC9;!Z*?KeikGq>S@C zjop1bPsj!%&;MGtlaR}g?KAH|m*=@S!y%+~3jd_F!&dFzwUB^WQ-DWSy>fFj0TtkR zHomkEk9E~s4oQ(vtORuRY%C=E>Ucpw@xk+?VmDxR6~q=~r=QCGqNg#x_( zCm$ubh6vg9moBj+O8pL(F6_H>;RpT*@IoE%re@&o7umDan^OKSUhEz3iN#aA%*)KC z=5E==j3zK=IKhKW@5OBwqBTNP(vq5J?s&KNfa9O6>b{pP5(ou&{uMNGM8JH5(MQ0# zkqwsaX;AYHm+qH4E?pYt@hFcOIA&nK8QA&R;Cx^+dvQX;m4L3EnJZ$GEBPV{55SY9 zF~IZ8j4VyOD=2dk4k#4J8UFNHNv=@@Hhn!xXrchmGgs()e5}hSBY871aPEvWFWvBy z&ye*f-_8ttnthGK+c}nhSxP_sMvix{XM@u)z0-TKy~{RR%(OcUVaf!5C7>(W^rp7+ zW8Ltl&wDN1lgz=~W%Nxh-JXwiG>s3#R37Cq1IG;PI|Bj3DZnF}z8)nsQGn;!__}ob zT35WYLMCncGMcSTFq6!_LLa^U(j`_%>EGcK`Od%A^=o9`fhSXYLevBB^oFQ0FlXTx z-rMBxiQu!(1Nl&z+)b|4ze0k9CSVrbTA>1rsQam6Uw~z~7_s4k% z?s%UYywxS2x2AVWhA{5Z*V~zH)2=6Ex`&C*yrl5{-n6f|D};Pl(Wf^2Vm|US$KvMO zHGIE9%D2fSn)Ipn#L+DOgqc?G=ACBjgwt06tv>s2wtMM6xRE|&nzp^ROo&QaP@|O3 z^xyYL?E%qvm739t*4F$P@!wC#?<}MQKhjqEK&67sI`Tg(-2g_ttb{_q4D-ur- zu8@iLMJRT|4OS6i;wJdKWmgCZ-PGQNb1ZwM9Mv)8#CzfprWab~0M8n&|1&rRa&Q{* z5qLl$3ftUfsA(7dt(8Ka(PSxfT|jf3SuXU?QAQV9TPuY~iyu*VAa{(#0-f_l)D+;6 z@nU-*VLqc2ge;J>=8pn>JfQRdJej6#uStdijgTf+k|h)#fQK2aLKbL7mN?%PdLZG5 zLIGZHZnlJ=2jKHWk^KtrKBLgL@^UMq{O}sON zISIQ9UqEd8q{KE3Mbn-3n|@zOnQK6~Q<`X9;Nb2y->2jKJT7oW{SmdJ~x z&^Kt?AIx?!?UoXRQ4Q@i$uP6a+b{PP3gKo6=mB`La)BNnC1MUn$Qh+&Ht9CT2v-3f zS*iheGLyT>l?(+&Fe?FF$!0!pR<(30FoIEl=bs^gBLd|Def^s(yhC;VUw!T%Vxu8G zZj`r@cITDr=Ks}aMd-IrC*B%%)cL?;MW6yavYGv%Jcp&*7|nI54+fMTfG0D#n_S6I zpb^sKO0tB)1Mn~-Q^*3%$P%BqI7PHlASe7flN%HsNVr^}$WtiM1CJRvW?;`5aL?fY1s;Gu9_#p9 zbOD)=eIM&ECN^02`Z{wxa+kY52rVM23h>BM4ZxF`+)b`zC@_Lq3Fu1ZU5c2KuvOi& zwum4U;Q41r;D~@(phWCr7T%$HJl0)pk{>o)y2PK9vD8<2tn-A7WnIHdyfsW_x~7of zb&3%qD!?PVsR2hja}EocVd8puR|`7S06dw=-Q-G!3=`LlkS14>aUKsOT&N!CbIE=E zE8@(>DI$jgoc`Is90%IXUXLQ$D8L(AWY=7#`X+5kInz?;N5~59X)i=Z*R(6i0tyen zlf^N>8(U=83?)rwP7`;5#>ubf{o_NKEb-}6@|!ZAkPQYr&F0)m$gGap26y~P88-{B zv2>o0v8=0jPqSHe5;Ch}w!t%R471I)Z*T}{o#Vf1?XXq*cP%7g))e58naioV5;hlB zAqxy(&M-XIMX(-#Co{R5T***i0J9R%m5g_L4>cs~W6h0h|K7 z6-$@yAEf7jZ*2yS*D1cW6+7z+Z2`E+q zx_UMe(zqo{KD_{$8i!9-uqOhX5hBfaVmy$r`36HsMmG`>@&J4>vqF|Q5*k<>HPW>* zHAxUqtORuRY$T+Z!0D*uGYh6Jho7uqPXstKlr-~JZI~=-;VzK$Jn)!-V+Qt`fd?Ka z0TtkpnXOD+3A^XLgfa}ME5IX*H2_a$ayPk>p}+uUC7>%AZ(trs*sAVXTLcga@K!t< zbdQhRF##3ek?C5QnuN`K3Rz$PqX3UA)&M-2$=&2ih5`eam4L2f-l{PtVXL}lZ4p2y zz+16&!%sfa{lK?011El=!&_2(Xq6c_{TXjX{;g+38xO$er+i?)0;9by4cm*^fz_2P zoN*;oblBZ&q-$=6mYn)9U}_vbWt$*NUnoSH@x*u_;quvF_|xYyLOmjSc>sRh(uH@t zbUQ!ReU()>@R)&P2KJtTgaH-ck?94<)Ff;!7D5&nz?|XuwJw_snHJ2?if04qmakeG zC@Rf@sVi;PEZy;I-L;qQ3l>8`ZcY+zDLjysdqJT9udpAyx5?onz-ONaa%3;av=Y#@ zuu_P5%iXjqXT=F=J?KCCFNiC^E36bEP57U~dLUms>)LRwNShHMWX}33R;jRSz22@! zJVCfZCfXOFnU@sCSoH9T6+$+7NujSUW53JluUdV-LVm)$Dv(2Oy5e`jc_54M3D-IW zAq{?)y+|%S^ucE;zen8zqUj}Enzp@$%!L&~Sj|$Pbcv33>LrDEm7397hyYOtkyiXd zLJue$>B6OJ!!_yT<8Ac$MwVZNX8J_A{V}Y%H$m%Ht9e42lFz)P@GdRP)-EK;S6#LI z#oOd?M*WD^9!QqYC=`SoW0X(p=yiWxKpQ>+59HfoHSJPMWSn?O zAz#9bR&=ozi$SFY&Mfy$g~Xyou|Oc%(6Lhx@(zUu5@xjuLKYaoD8M62H2_bhY1?a( zp}+`cC7>%AR@(*eWJary1x7Fm@Z`2yKGb3C!XwkQ;hK=ZI0krRGfJ!3q<4?^C>44( zp!5JdnaSPcN`?YeLwikK*Z)ZFp2G>p>;d@v^o{ISz~BGd_6HtdDO7aW3z5+^?Mlvo!UOPR zaSZT0Geb#}nTu1oK*Rq*%gq*$)C2JO^^5ZgSt5TH75WBkN{#Sox0E1^YG|)XhMCV> zc13TVk%SRE08dsf(EUH~VMurfJnb;XYYj2{CbHA`zuR}^x~H9v>otZMeiPY#?8HNS zm4VC_BIL~eP@comZHy7F0z9%*1Mp-fcatj_3XEV@0=kmT?DAH%bSf}{QGn-PmjXuw ziUk_}$H)X~_0fRR1Mp-fcatj_3N%8RTuGKtcmN(|WC~fJ8Cl{p zr%6OB1$YmD!;Tp^W?-Kg;O(#<$~}h@f*yd+Pv6LX1y}IBeAE0ok9Cfa4HkI8W8F1{46jqAxBS0M1!S(wq%^g0|{HzJ)0Gt5D5nq9)Ks)wc#2u56s&`5OPjwnXT_P6x_hS zz6P}M06dw=-Q-G!96cdM*R(6i5(*E%!;DNJbM%BreC9NXXr%yeLrcGLl3#PjaMHV! zE5vPi5t(>hrc&%AWJF5ON-E>MOvF6yKKUN=hFypF$Rxz?|U;9!%HD)FfFSCMgn%m4L3EjfL3FZ8|FXA_@<{)4xJYT$}+F&&*KLWO^^o)+U&g z>?`z9T`N=LcmgWG^K2wEu|jHQ7EE19kx;AzboFc`#Q$sjY7@y9QFs8p3$L?uJoW*{ z3>-7C#|(UxL7b~Yq`&2@s0(lu;E|cFOkIv9paMM4#(UlISXa3s&g{hjQ>#GE z@Tbp8a*ZM|T`N=LXaXw0^K7(qi4{^abMaJHQX~{B0bM;C2`MIUIx6`h3J<{V{aAO; zwFsMeIt*dT1b-!G zHSK4~TQ%l5zVokjy`~qG3gqB4;JZN&C`R^2V_?p}{fF#Le_#3_Zb?q4d(fX4CU=vI z)YeKNtY#_5%}K(fctA97TSlf80lyR?t-SXW_J2zqsKpCfDZr~)O0`H6k5ww{TCcY& z5>F7Wkcsw1D1?F<5g&NVA5pHeLdgA!KJM5} zD7f=ZGxie#3gjf(TxMQxr)k@33x%kp1vSb!^OC|Jsfp3LP>3}99)$-)(_7Ta1(I$K z-t)!I3A9oCDm2^WTCcaWd8d3=$W#X#yN#9^`9UsrBhGbJ2)PMvrkuJOEYLaeEoutF2NWKFFTTnWvP9CFf1}nnFwc?dN}Ok6CG;Z{g%Q%^N-}(& zI}iG?jNqOv3Ntdt6VLBM4ZxF`+)b`zC@_Lq z3Fu0uA23ssuvOi&wum4U;Q41r;D~^`Kv{hI>dyb_bNd6zM);W3-(mGz`R5}WOl{(= zVS~iAln)$;Km~YYW>-*G!pqKKfBz3i;Q@Fule@{430(tBM4ZxEP zxo^)k<>qRVp}+`cC7>&rcPU~{!d7d~+9HBbfajkffg=Lt0)5S*NA;M2E6zZ6dwj*L z?01x6yWvdW=jZq06tF?*{{GYc!j=Io6<5UM1=(s{ zpK1Z+uPJ1BouYdV2aM?f_yv!3a*M%>r67IXtsy(F%>K~i_9JA4D?}wNs7bP!U4H(t z&K1JB6VLVl|X0I3p=B<%+@Cx3T_bLDZnF3H2_a$ayPk>AxBS0M1!S(wq*R- z@<75?b=}tnXBpk702IgmgxA^&HM~hOC~cbI=|m@fdgf{J7h2$3WMgfxB@1oNqnjt31~2G->mXbvp^! zW|-G_tlQ>DimdaGbw!thIA-9Ofnx^hGmzf#6ZWZo*zX|{mMz_~R^Ql!JngS%c$A52 zhW@ak*YK+kDm-tIcQvhq}3P+nQl^@cxPFV(l0DZIm_`)xnbPv7E%ADX_+8Awqs zX1}`E8r{48iq+o#y<6*Ue!=12#;jHzUZ9r;lBK)R+a>ejkh;mta^B% z|1FdMnVvtqTKYMM|6O;ZwLV>5{6Flx+=iU&elJt=U36=mW?WSJ`T4ndG>GGrYC`wI z?V#`+z5hQ;j-I;Z$GHE#`{32`(NuNL_Vx29I$qcPdk&s%?%i6pEcpUapZ`5c)(?NI zweJ3pnKib$U9T2n!i7{ zq)#i?WBmKG*1!KBWW&k158V&_t1fST=GD##siF#VR_d9C^LQWPpUMMvc=l?Q1>$^* zPtxpk^>?~Ls_wdZ=TI*e7bg8>zHg-`@?i_Dc~D2zS~_2Syc)fFa@bF^n&i|QBCWY6sqU2lOvH)qdxXnNO{)w-`=Z0@i5czLF_x~kEd zW>C(nxx472?zWm|TC1B|cUZ5M?VY+*Jl^t?R8L%gr_1GY{W%HsfnTmVgyZ>M&8v0Y z|5og$|8F+lkfps;HCodw%6T<(5!KySa6T^=kBz?BQ-+ zYsmKBbpM)Pnv42h|H!{tz5JW*Km6?Df4S-YYsb~@zx>A!|MjHRj2%~FyydpUe)-r2sRWf9Gxhkw}JI+9IxIxyIs##vD4#~JmdL@Hphi+&v)}0 z{@&N$wFcAO{8VQn!gV~?6apu|o(cicD6mgL5>?p03YR2!21LVjH=Jf76Ijb68jOIb z!ali)Orvn-1d-WpohZ04nGk|hAzN(D>mCNv7ki=N6PeX88v|x>!C#N@TZM>XRvjU_ z8ZsI}4J$60Y#kSv1ZDu7fQ!LogyTIt$8d-!mLw{9`H2sLaryAVGawmd&UKkO!;+ia zzS|na)o@_7iA>8(WlTnskB<^dbg*f_^!1llSc$R!{AzS5FZgT25T`Dy8aq~-I>WlP zggwK|T0-lXu_aaoWjKq0oKQ=K31rfBCc|J;RptjEyEPu9)gsGni$um`=>fE5Byu-( z#@5(wT^W~}+FYj2I5XKKvEG7%ByW{1SrE8MbTdR=;ifHV@;=zjxk%=4voj%-R?uAf zt9F(PL>;QhjLDK{$$D9_M0FB{p!ok@%h%3}4mv;Of*Xa_^6k$*_vZ)UCJ%REb`s7M z#`#I1;=2aok(v;&Aknjf)b5+=LYPdzl9bS_jR_!ef;4z@XNeV6#gI4n7ULpci+M3X$0 OZf(h%OaEo><@" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL93 +set_global_assignment -name FAMILY -value Stratix +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On +set_global_assignment -name PARALLEL_SYNTHESIS Off +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off +set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name ALLOW_ACLR_FOR_SHIFT_REGISTER_RECOGNITION On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Cyclone III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy Stratix" +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix +set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "Force All Tiles with Failing Timing Paths to High Speed" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -family "Stratix III" +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto +set_global_assignment -name AUTO_PACKED_REGISTERS Off +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO +set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off +set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION -value OFF +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family ACEX1K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KA +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Cyclone III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy Stratix" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10K +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KC +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX6000 +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "APEX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KE +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II" +set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Stratix III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name INCREMENTAL_COMPILATION -value OFF +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name DUTY_CYCLE 50 -section_id ? +set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ? +set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ? +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Cyclone III" +set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Stratix III" +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? diff --git a/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..792b046518c4e939598862f80bedcfb99a639d1c GIT binary patch literal 7527 zcmaKRcQjmG)VJP7k6uS_(R(MN1`#bm2*M~CHF~0sUP2O4hKVi+Q6_p2ozbHXhTzeA zj2_8%J#W)5_uG4)efQbttaZ=1LxqEbQw74su?LzYfGwSUU%GjDf)&Li z!1w&!piW>(X(_OTl(>|vxRiv1BG}9Ttm6-bg8#?ynIs-C3I~Ul?cWYZ@jr^=!u}r* z!rikCt>Npn=s4V8tpjrnG!n>(B*asruQM>tMdt8(H4{y2rkYw0uL8Q))PfG% z85=4u8c@Gdd3ouI^$ecNaFy$s>Qod@)tMHSGktY5DI)qML@K#4q$d$gf3|j|EIp|j zdUZC8SO^sJ6nC-11YWHTXDfE7eY*A=d)%>n$l2-DJnha-^kKO+1{XdJHPbU%IlTB5 z{VQ&K<33eXRGDlr`|;k#4%y~VFL`m?0>q^y-zq_kSU`r7s#tW-QI)}f5^ddJhQ=b# z1J?!Gtu3AhShz9_TzMP%V|3Rtqra8#?mb zg;3?iBh{`h(Pf^yi&y}7bfuiCYC{QQi3AiKC`CNFOgEA$T&HOoMXhXPIXf19)0{!tF`%P(HBp1 zYMfJE+-a!v@#xBs{bDp%*X6M)Fd4>OA4@O`zt@^`TAsDkwfHos8bQIAolKO03zSjw zJQ37LT;1etcA5w}=LP^Vpy5mfOhvLl(Y;4R#8m8tEScG=nV#1#i;FLc|Nhm*-VFe0 z0NCCFc>o}8fieIv-Qv{_f3rwAsoOT?Cu_ooW%f9wDX#m;m0yuHXUx$ivF+dnTq zD`9ix;jl$M%$f8n;LD`(R=W378Vk*z)du93N`$ zI!7+Ncv!@HdJv5U8$oX6lX#p}@K2}Sdj!;ZYda9ZK{G}qM zpJywTSD@5o^m3ACOW^BF{U7<(WK3aB>bCW1%`)<`#4Cg?eM8+sy`~Iwlq&ZwuVaj> z_SyD$m*Q8uL=>kx;*1B`p`P+LWTE&DLvO(dU+`yz2Q44`KU{0(`wFboY#7~v?M&#W zl-ZT)4*u2JW|gipC_`;jGp<1hU&uR`*rc9*vd4p%@yGP^2K3e45&r0o<_57AwrtO& z+m@FZdd*d1gGN8Aq%XylwcV*pL}AYw>95$mwp|vK_OBiLw4b|}?NA*ILvxc6};Uiz)a+`HQYN=p7jc^5Ap zha0zV0&T+)HyPql7mRE$xw-r3Prk{J-Nlp&vqiv+6%Vq4X(8B>@S#+Lz@Ds1U;o@k zXN!Q?+G&->3G`Ovz9YiFQ4QC6cXD^eyrKQ0oNQ`1R3m6hueQ?Q#h-_pyM56M&z_uX zv1@Qp?`+Ok&syz9m09<;bZ{rTb*P{2>0X=O>{i}v1zl7*J~80TL8j_TorKa&FZ34$ zl&sN|aJD5`c|DBEblPdr_18Au6}x=V>Qn9Vo8PH*Rt^le9UE8zA@1Hi--B(=O1FQR zT{$oEy*MSe>C#{UOTOoL+`O)YDyh~#6V!DHlo4q((QU@6PSFTAHpcp*liGRAJpMuHSdk=)4TX9} zyirnH-Yls%B3fG>Y@7zSd2V)seLG$JF~A1u{*kSC!x=EE>5c3I9V8y^0-joVWWvI6 zpOJ`N&9qI}qHwck4SW@q_l7^=O{<1K*l=tMui%sJ%zM0ovmTy;(uI?2E$r}84}3(L zIMZyf5T2VWQ-x`Ccgq}+TAMsb;F?Fo%=mQd+y@c9&vTN)ym>}8Ez|Pn^qH0{B8Y0i zFGr1~!p$#qZ#l+Y>6p}I(&MV!#7IDG6KXyAFcwg`xlF?USe2FD$qSaN+J+UtjbHyl ze=X$%P5Z5$jFrmX98nv&+4ZRpF}g%!BPCRel2Vr#OI`dXCR80lbQoFNq=AgNivxLGdwm3n~`GphO5t+mQA!!l?ERNp; z@(b@!;pI>=iIJJhv{=+Wo-vha6@!PvRYnI~wQl`&TyFiH{M`Q~0=JQzzKQ{Zxr@p* zw2P9GDLKBhGmF_cFtOPcCMAclmAU%8oiK|`)L~=}4U8{Dt6F@eRH*Tcc9upO{p>`P z!Z2PlGk)J6rNH&>=Wox(x`s$iWf`6EA`V7vPY@)GN}SatKMlT-g@wgOVc$fcog}+T zuj8!YBidzX7k9S?k$WS5LgFFl{ubo11MsfM3=q=yd$jnBsGQl>{EtZ*d}5?djiwml zhulynGnHJ;Zd&7-%LtYH9G(GVHN7Ch#AO(tV?=$}#9W3O?+9-o?kzJ@Sb( zwcpY?ScZ*_!lx7Zv1OT}S{pmsp)qG0CPqcOuRKYB4b}P2JV25t?O1``w6a8B0M}vc z`0H3!I#G4xK?*p4>NBI=Suh{wL0YmY2d4X%S~4RX=%XIJ{e=D#BXMd3Yo)v2qaWFw z6*`Rk2wH&n{7ASZg6xa{c}v@_|IPYT_|Gp6g%*?TW_ydGqz_?qfTi;XRg^`FnDtda zS%vh3_Jd^-OW79JZIRotqM=+*rnd@9!pSWCS0`vgC0#vsYl1%sVw9Wz*oV_|_Dekr zsc11>nVHaST%?ZrC1|;OoZ5i>Gkh7EV7EE?4I4>ZH}791^eyULgN%B8$MjD1*?y|O z?K{c3zo}g3et+{PU<^}K>d*crCVkdL{BBl=eK@P1xl*&k{kte-)WO+Ppl0ukfpO!R zN6Gv3gC*=M{R>~S&-H{IF1S0jXFM3JPT%=VOs~y02P6>H&fvTZ)67@7kztTMS{Kam zd(NKntDr$L|799iQMT=3>kli#eSrroC%9Ul{RzYR;oH$$@1x%SMUGTayi{t|=0od* zE;c%NiaV*p2?NH|{)<4Gns8aIjeRsPM4Q%8`TK2aI zHNUTz;m8b4c(bI95FZoWM-;KlAH;P(I&2deS>X)Tdqc*6!9#+4#tEOj1ci&eLAeR+ zU^7~uoGr9Tbi8IY_H$@n*uBedpL6J8G~ZJctpBFjZ0W6*c+$(6JDqQbpBmt~@^CpD zZnVC$FqF>Db=Tj%q*PukWqCKhuq$C}8RurhURvd!<@!^=R1W~Dod5d8G`Qi2H%4K#Hx!o4Pe5n3pgowHVlE$C2_0@GU z|JM%gRM07o`?nArSv%DTmTC@-l*d&0eV>U<)~4~xj@@Ie6JWC|4sk+nyGOr9#|ha0 zMS3w%DfA$S=^s9o%4vh3C*7Gx5(`2r4jYeO=S#Itqzmm^)*<~w&;=NjsGPB<^E#;{ zc2Sj?*F4+Gyc8Ajq}BH)bRQM@eEtJI3HrYucgd4XwnFU-12gH^zFEBp&fN+4yQYmq;%EpBf0p}FQ1+9&mfP^RUPvSkO9J$yi z{wX%|2h(T}q_IW5^VYt5A80*u5mG|DJPT>x4r=C)jH8 zR0pSapUWsIMj?#^j7P#{^24T3=TZHV!JJ8@4Cs-S8NCMdRT|&@H$=XxQk1;FgWvIh zi;|^Z1K#nI%7E01)zIIJFmTo~?}~No<1c8%am-1%UjZc4{{D1Ot*8 zj}L(_{DI;TDQhBgPV@m@yoVfZxvxyxolKwqri{z%oV%h0Ofq*#6Od8_21>-{!mNd~ za&*#iq!>c#1t_oSx+_>fY)yk3h^UAsg;MB_!{LrlXoCULi6q^RvdmoWJ|9#N0;O#M zfg8|z#i$7lXtJEFsF@jnYeACXILxr@J|Jm2`_ zx}th@VWxWErm8p`ueC0~jlJyrayMx&^a)&FL{U)4uWb2~R>|J^7YFW2^5;ktqPT|i zze~nHy`=FA(+Yx5tZJ!23rpf>hFsW( zpE_hKGk+(!qr5hsZ7UD}8K)lh6=ZojEAcZC!7SJ_3U8G57fr#JB4Xmva?C=MWDUZL zDA3-+t~Z7fcZPilvQlLgJcVnK6SHjPMy?;lYIQsj-TgS-f?3Rh>H1tNqlh=)zWfmQ zClG{4=-)KfXBB$oJcyPDQ1A$uC@3q%{QEt#B+r$nGes$R|e2xfxHM zI}P{9dkj=;=Cr8Why*}jrF({GCU1=^Y1UHftVg;0l2wsL5<>;9?zURzE{-mJeS!sh zPp!gZ`<3`gMI$O=vU^wv?e$0@ifl&bE?@b1*Gg;atpoV8n7;`=0!cH=>-HPY$m;YP z?m_*Ao!+RiKn7`ob)f05Fm4C;&zq=Gv@e)!9vqK1*EQdn20>U#LTY2}AxDMLbuS%V zh$v!*%5JhVxZBI$QY%mD$*AfIG&{Pm*w#v?M9*dGL@f|(M4SP0vxkh^H<3V`np(K>GBqph8ECTv^4O4M@c-r04 zO!;G?|IK$`aWFM$81cJlKqa4?F_!#9(sB*EZiGg^4}KlcF^q4B&(%4v*@uHq(XD-( zn$cxxj|+3VBd`h@8q9QQ3f41YTe(gLPS!fW)DD_1>s4mb&hyZMKCJNrE}Su2EZ0bdj+|X<2oO{@`-HABI8X*&rz7Ny$wR)O((*Lf z#22A_GHN*oj1HV=wC=C#Cw2{`C5u5vTELgNX8(=KG=*`Odej%?o{Xpq>v2W7qC4Tn zkEcTAugxJR<9_=xa z`pAV4J-nHb$wZvgw13AOK5*q}As!U^RCz@;6)F~f_}T2?emUx_C{RKDZR3sL^@MWY zwoTQjTDnF5N+X5(Gt9}{=E}R9tsFdc%Ce$E`QJ8!VHPcMGpcdVRn2|BxosJZ`Cj@} z|EMM#tGGec@MAvqDTa}?A1E{a3S2E{*`=aqGtc;`+i*r^^3T4}M3|p?ZuKZ6J*+Fg z0N7Nmc@b6T+nAYvHe)k{C#P*``4lN+o(w(5RQchySantFWA8 zyAhNy>*0A4vqbNR_~6>+=Q^gbo*&{`7}VmZ)A_x|#wLlpyMgCt?|@HNi?0Q&=9NF? zIKzzpNxyHZr-z*+>C{XfFnh~P-3*G^js?H>XyAnh<=0vNC|qJ+3`Ov}KPY^u^{Ae= z2qPfOi}^?IN->^&T#Oic-sRgkYsLFBVEAbWNMX{$TEN#mPw>sK`4`c!6Q|xWL(A8% z>Q?2A%wNB{cItg5XLY>5FA}!v)a#X9c`+E`@v6>l;bY}&gTQY6zC?8!PMbS|QgPUA z*MRB--SVURX~P$+L~50Y>afGDY8Fr})&nZ9Tb0$Wf%xlF3;Kx>Gh;v4hxw_;E{x}2 zz{Uo!5wuhJLjzeWg{`?y}Ye|w?#*WpK{=t zcSP0T-fuNgd35?>Ibm26_Xf;>>@|Og3M2E5B|zFe9qu1fU_)E-hj0GoPxi>Se_gF};Z=gC5sY1$@=sFADeF{#OT z*WS%BF7hIcTdD95HrT=ul<3tT$T|a$CDJ#^r@^XO+i$#bk-OB*(vv13MI=+v2ll6L zU2fCssprf89WeYkdqBUoAfJ1@@1nZ4@N?i>5w)5D*32v@a)2P3aYO-kC1&49BWOk9 z4z*p-C7`mM1jNlYAYk7C@pkowzOzbM6#esf{4SpD&ir93GgeRs2HB(o@(DcT4 zd(g$IVQS;`P=@t|<@-(Rpw6b7h|n)F&TXBZ!o~YDSBcN@+et5fFM0NV+&?5?J$sQr z$%=iEAZA#I68afaX;a46;@a7#&3G`;wABS?Wwd2_wpN&ASLQRJ-MAfO2)|*vyY{jB zW}1`TK(yFjTn92nbZF|bVmyCpe`>zVZCLgAQ)fc~e1ARdj3mr!VA%9<-&AQ!APzZ! z84HB%_tcB7C)WI`39hHHR$J@fdh+t!=Umc;Lvj~oPUtg^(HWwJ%{XKm3p9^;^i0J5 zRgl6$z5VrtcLT!y`lok;P3PIt3UtW6^)v7U*BFbMwkmjv^$Nzm^|`{rVPutb?tYQ| zs}t{rxbJ6IE6{NK29a1~C<8Pn4jD=VWu$a@MGI9o8#yEQesDzBqx}2fJy#yxvLdw< z#{OrvBWKQfLwPwSA&;r})am6wOt?LGuy_49;N^-ZA9)<8zRXkbN5Emh0{Cu6NdFA-3)QWO)WCE!KTgHI=8Yd&2l zRerfU6)vB!gr+ z%)~(c#wHf((NXmm9|z~}-ymFC$LBbBlwbzDRr|n>AoW>l&at0qL61Aq@&{s%)R~jP z^yHNG?;;~f^<{9 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..a020dcadf3cc94c59f7f91ee71d3fdde7e854b35 GIT binary patch literal 2480 zcmZ{mc{mh`8pdZVLlLsmlqtqYwi!#-Bw0h2kY$AGGR8s7$iA;-m`2J{GnTPMXtHxK zmLyD;lgTb-vL-{><945O{<+V6?)(1nd*AQ*zW+ad008is2MBQgZTRo(a6d2z_>be=`KQ zmTQ*bsxHvWo)9_vP=*6+7M8P|6Hs6y_~3*ATU>Xa`OOAxMQy#I(NXg@(TC{~{8lMK zf{^X|8?(obQQJYER-b4Zt-y@`pjWRsDn0+UcmeiXUWZ#*#|QN14bd)AQ_Jv;gbZeM zgu*dN-$^L?AgcbKsbk$o=_;v>Xs)e~(_(LX4BHxCfGr)$rZUW7&zj%-l{um|f+CNa znmkEoGg`cy?x)N*1kS3vb#5!Gs4t8XV3K)J&O)*!f56i^5@E{Ex7d4GY<+Gc( z7D~d#&rb0`>EGLzqv@loZs<48=V;R`&-%d7hIMa^$YLW!>H^bO&xw+G4?LpXgF%VQ zel4rJv^v`H~jrs{Ux6r`q>!jgSi4f?Kw~5*AHd9&7-&CkhWTaU&jsN%ROZieFQ*AeEW?~z}xG#|2W%U(ac56+&iphQ+i7x zG(Pl3>$cy;Kr#K+z;E#tM~f4mK07unNGlVRAYTS5M>ub^no5h?zNAXOeJ>o^{Cp(=FNB&^l zXy{R%7H!{+38Cf|@n}pm3=?r6jfuM9blkqi%6J)C`dv`kZK-lyQfE}^+m6uFA6xH{ z5{Hy6kTdEGJ;RyH44tG1I-XxXl=8(ZScB>5WvBFCxDs%>9EyX@ay`Zl%PZfl+ z|Pyq7-j2<6_$>7e$!!Jb8>f&Me?lO&i9WcayEP)*J>9mLr;oS&b*P#1I1_K>Y2twdg& zg3fYL^rDf*xs$*h@kbpRfJH+TRj7nRtkdewhn-V<#0$xW*)kVP8uL(}Np+H~vD`n2svdv4@PZEDM~!`lp8C#(`fn%JR;jnVrau6VwOx$`k4?^xcn zHY+`;olV<67Xq+(+1ocjvk8`DfBEz5p6?^!NMlZ;>tWc}!ieA-!fzEMIk2*dW1XJq zbu~l9?<$=unF{D$-ZN@%r*hSpN;28f+j+tqJ(4kaQnSY{R$a}|e$oq~yu)VtS^=C* zOl7y(E^~IZkPv-&GtYkfjGXNS5)<#f{?5-?%tTH!&sCA(a?ShNduesIdWdwUgp#(PKHp!rX@yYke}o_H`koLS)gnlMyt-nof?sxs04qO_%g z{864g?_y(>VOL455P6URR(s>K%5hdE_<|qohv(K2XlAkl)iSMb;t@z{MAx;qw8wt` zV=n$w#6$MkIsIrY?}q63;|R~{KlOgm33G-)LZjibC0iC2vpLySs&G9oPirKJ%T0ToJ=o^8nIcX=!E{N}%i3p~uosy`Q8rewMp~hw%vzVHz`Hg{lBh4nq_j#sr;)!L zp_Y;M{}0Y1f^GBV6efwkq6R^}&#Jh0Anq?~ zm0?_r4O5fPCL(l7t1T@2bFz;RaJ_$d?hkbTkgP@>wpIn3J`yj_;!gjF&?g9FE%g`p zCz8E+Di`fgX8i$=vhbPZsx&f969>IZ5q`FkCRCI z-mA@$3yd`AHCO(X1o^+>WJ=79Y+(*XG9?y8WN&+zlToQvt+CnI3ctU>Z0~~JjFN6w zheSp`; zi7Oa7$Yg%K$C0I}dHiTY8P*k!h}&_O-AFip=$jkwFRp7aSg$QT9OtWxKPqhFs`v1j z54Y|Ra+55TSv{3CJE}2EhYYVgk1!$(6kLuFn!DJWiMpEpDnKc z_0*y4R{0lO9IV#k&8yFRd!N=6S0UjjutwUUgSNoqVZYs{wZ@!iS7L$q32Hs%Csl*5 zQLP^B(IUD&R2P%68MH_{I6l;u)@y%JiQH*DCSe!H?#vwW0|3XzAwWUTy8yOeh$!2< z`@{ASsc5fWft%5gE>%qi=6jWN8bpMb&z%q(d&0CI05?U&psiJ`pH$p2jR-Ksmy*JD z@e;y0VANDMn*-l@32_>GsLEC(GCuz7H1fPTa)GYEmGi!%11Njm+$h!;zqf91Q~+e1 ze*(1rB)=x2nnPWY$$w=~D=eU+1!^(w4i9MtX@RuDufOBk2$aobkQCQx gCYWLXN^Uex$j@6Jb)?{N9zxcf@5BD{PaPls8_v_NP5=M^ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..778dd0d55a16c7ff91aebae3511de32c5fd4a048 GIT binary patch literal 11805 zcmajFWn5gp(>{z#(L#&6JH_4IX({eS7k8GjSn=Xk97^%x4h42`cXxM(MHhSc{_g+# z=bRUlnUhH-nJaUW`2+_J4z2F#i7(Ca4OINgxJ<5w0zqiZccQWoRAB-_Ux#jfwb{7+{gM*Uq zHM& zv;EsDjL#xnPb_Kg$FMt98MNEpBsTKt z;+0C5)brtH?3L6HK87e8CTo;P26!6oA_DgQr(rKLN0KB2mHyTPNz z?ag7GoPDb8jGBbS1I35u(%rKC1{K;hbdUMQMLEu4V&*LE$Sx!|1AKHyrDIo%u9U#Zl-NMIl zPLr2kP7!n=`doiv5Vv*JRHKLa#@ACGxczuIB;3xCsyeKpX}>U$yM)LoU|>Sdi?mc8 zk44+gBxTxod8!t(wVQW&d??Y33acscliDuLg)Q0i=W{G^#ov>T++$b$hA~D(@*Is{G9u=J zTT*tbE`fL+V6uN|g%3ylB97Y@L`eZ1ssZ=B>0yc6w^?KdlOlKv=MKGH<->5(nzRPtB_37-vhvLmYH>8*p1{%Uaz+IAjUD(X?~3i z2d#d!jO1RPX_udeTvdI61q%v^8juR^w&FdD%nZg{CoQfsaz?NwxHX~ZVI^TC^S70} zI3J1L#{fktJ)k>2E?x%oa|GnO`Fw-Y`d$}=*P>_;VVzUYmcg_fmf0D+M_(qI ziT*x!d{BLwsCr1^V>i1=#@N2aKvyLc-rgbC=lT=VL%lx{WNrZYsTWKW@@xzY^VE9O z>Er+io9bi?2y2M5*U>ygsQk#)ID>*3Iyu^s*{fnf)DqMn>p_DiA@SQ$YiwR1e%$F=?#uhHasjSp~KnZ}AM z#~4YS>kD#HvA`9R)OkN$KjK3Ch^s_e0NT;+3V`E0q1x*7C6OTz&z5fqJ%MijR?GP9 z*sb9j$Gy3Ehp_i7)RHcuCaAvOc#&|z0TzmPjxe@f^kuXV(LA_jSNmyNoPP1IF127^6@S)gADZcrH0dOcw zi@QQk>3_`Q1-PdbEvLL9RfwJr?O$d|P(~sHCzD*@%J3Sm~M7=Ejg=KS>S%5MkI z;1C(DHOIpR zI5<>1UC8sYb*g>$|hBs%l{C>o1~u;{WVSL4$XCc_n4PHH`GdtJ6! z#u)1}MbRaAPp+@G;CT9j`1x38aUH+71RRiF3lU z!|di5zfIiV_KIy;NX+y%F-|F_-W}#zd5a&u3Y}3#(1yf0vUqaxHk3ocdB@zRia;g{ zYl(zP7D9NpQY2ekoG0B!w+G10oNX6A>kK{E>3vwucnjW1Lb|zxM@em>Kf6;gmi;Fp zd={exfpwd5&98W5GkF?N$ORiMtj2a5UZT0ojUeF50aGU)vshlbu!2#X( zWL>S|!R5U*5*%5pZl^W$$2v_4OJ!^SzK#BqJMFEa*Wy`|W5dT+QZtYChHe=!jrXS; zuy4T#KGkTX5JvgO!l)_6bPG16muKDh9UE+#^q(-)cVBf_Cvn+mc&9jZ`PN$%CFlR!@A>Y;s#JO;V{hI za_kCaHhju$xemoDYB6C-l_gJ#=!2HM-e&GKW6(>uMF>6h9}9|*dvnB^=xwO>L0Ju2 z4>0`xA1WSJ^7J2OlVqZKLAwL7z_g;$@<*R~Ag*$-RfchoQiXdv%`H;D|D{Ugt@2-- z?M;rGc4WTu3PC~ZZ?9?=`a8zQynV=|%SILQ9W@Q{e8x?N?#mxeIj!j>jmURzeiCVU zZtB5PG;Rmg`iaN&`n~3W3COZE2D@B;Edf_zZ!RA*Bf{3QgVKOwQ8ICN>2bBfyjxRP4%~n9Yrn7LT)?qB<{_g zoap_`UjAXAdQL`}CO`rR$S8+9Iv<+P&cCNgbs_Hu(}uX&>HqcoAvh~dpb>fx!T5EH z!AmcW$^H@B(_d%a$@J4vVyAL>tNu z`hv1YB3A{ZNamD}l+$GBj)3-4vmxnb`nWQ*2x}tSUwQEOmF^T47Vqyxr0GzNmSK-3=Rl0GhA(i3fNHUjCefQv+D$eZseSt`O0j&5 zH$tU5@xJ@3p64++2&AS9?^ndcorV$+>rM^|1R%d(Wrkjqy;z8vdxe4 zm%t}FV`9T?o1M%;g-v{=mmP^#hkm%hs17AgiI2*JbSl17uImDHj!&a{ww)WJ)4I0A zad3$VKNZK=LJBJRUs@#HfO2$M_@jRrE7gzew(n39^I2$oWT@#p8!q(@#MkUic)lul z!~_`sPvVU*2>9rEr5hSQGusz{s5n*;u`?sB_@=0fFu40MA?quelCk5Hl;l}n+>cmU4;)eezFFo=xNY?#nV*9K? z9lHG|Gnl758aD5Yo~X0y`BFF zZS4tLL|VuhY>~M5LYR8z(Jb1=MGX1RZe0( z{L~omiS4AbwU0)S7p0F6TO9iHR`H3%<5gMZVuBK_QT*7hTxfBQ&(3Z%sd_fDb5?mx z=cW;^QYMMxk z=wNMN=SO2a-+U#Ego&Od`J4r3;;hu^>aI?<&KXDx;1_U0Thuopb9UGCC~{Lrg{*{> zvr6VPAJCR1P6WytE0f%UDp zuHeT^hqvFQb3dt>I9S)HN%{6ewIR=f)&g3fTwrAI2;xPH4?Nz&-X+ULPPS#^Ya!<) z590ar#O8dlYQW_IVE-nb=f^V9KDm_{s-I?n1X2g2q}1F0Q%^e4!H=Z4rsWB2_tQGD zRO$6?TCT>#jj4Y%x^oU${ULUyQ!(5Sgr7?az|6DF0KftoA2_QxB z2VJzHRmc&QTxtX;|8dkjv=P5x?HOgFQpPVI(51bTV=%U@WBY4$Lf+Wc5dw;!zX76)|6 zciVQI;bfN!({@9XOtwYq=(IA&)$~|VN3RjsgoC_Ng5-mrOmbt~0+ROxV>jmRZc<2^ zSrJ$=;fk6NG34|*8L1`VM5ca zG&Lbz@cWU7#Y?uLCX|kN-j~;R-`hkx76y(4!p?l-g;3^uL_Uj43mM%r#Ns2(gFBFM za$5m-$8?nfzF2Ie1}lv*Y+!f-ScOlp&9Mkx*wAJkUE$3f^ym#*L7QPWVhfIr=11$v3c>5X9;W(av% zh;67sG8~P`0Z3nz)IaZ)40SrouI28@R1}+E8oLD>zII z_wPwod$ZDofI_i`BV0n4RD>*$RlVZsFk-Bj$!nQyzE{j%-#Q~(N?jkXmGQS^Z6p;F z2^wJHi_=v~(^!VqdzXMqBZrIM^Tc@wd$(~u*Z8+l`8m^Zrc0-x=kGryBc}5Aza%iD zdNQ41RY>82}b!?YMNqZ#Sp17R~_tgw&XYZ(IgT_G-;LH&&{Qa_Ex!2E*-dqa2 zRuE!6TzQgzeeX_)xY!gvQiRvdN2ppWj~M;w`#47K$}_29X*y`fm1=k6#tU1a8GK11G~X$O%M}mNZ79x_hesc5*sJ%o-;Q z$6q4+@l&(p0kgBE2FIm#Me?T?Y?2hMv*jO-i@3g#i1mIZ*Dp7JcBK7dzd(0aSHZyr zNmWRI3wQ!mkdhUf@fuL{$5TQ4hjd=F1;0W_HMJu`@=rf{-Wl$Q%Z3#1FS*dbt3)EE8^tgG~lozkedYvgMCdvyaH zzx72|l}d`CAqT_TT(D@9K%v~_LV2Gbg| zbM0fUjgK3(HG1qQE(Vy8fX8e^|u&d1utxflW1!KZbR@<=!1FR~_YJ zUH)fEkVyA;t>a_<)bh;f_Vw*yC>$-%QlF|LRdx7&y4SAtg52Ww)`~%>EbX`!!tDc2 z{2_V#?n_X;0Mjx%>oLvgkaq{hFQ6=HV6N2P^Wc@r-`{1+X;$ia6uF_tyld6H{x0er zV+H5W9}iaVa&a<2j5O<(3meq&+bJ8{bjbpWS7er?Z4(C(UV?8Lju#}+>823lFQy<7 z+H<_xsiCEfx-2I*?j{B=iRtuju8&3jxr)FYpLk1SOAcFk9`E;M@4brD*nc`_1jy}_ zLQ|SF)Os5_)729*`N>c4AE)@b6iscBH^E7B153(72`cZGdspOEIH?NdbIg5Ur)hrg z-mi;8(0%+7Rs8OwbBmxUsSSp@f_FW4G6koP=tV){f))KDG}fuOr=?4%Bh-fov_290 zY#?BGX_EUsE6uTn)ErQQ?3uvEm`Klk=s2~U@Q=pNLSt7Sa5=M0wEcEHP3@YEqUCb{ z)ixp)*gWNiCtP-pPyfSa{3kf&e z8gryyNhcO;^sMz?v?7zz$@OhcG1AZM3+pWB$}!$8>n}EZTn;R+=+NsI97`Y#o=MGM z@M8b7EA*XwuW?tN);>YD{{>hqojZpoOE=}$D?g{hn-D|Iy=rxOezyw0&Gk}(B@uFP z=iRb?2M>A|c6Irdm(K9dPLY2z#nXeYnJP zjhDCwe!gVEjT-Bz`ucD~EEda|T8UJ5Sy#gEr)H7i0jZejbgs_;HIgxFX<1Cv&hO2 zeVF|TPdS7JEcxhTI+s7uU1Uv>lq6=rt8UEvwv^yZL~Ud$06&_e1#LzipQNt)Dw!h% zW%tN(Yb{r=G0F=_(uqIMOoAVe@yci3d{FU!KAts%oJ!DlD|Go7{EI2C2&qs7GOEnQ z#9b@{LBi1>VX9S16CHb(0VNUq%yqhiJ;FXF#0C8`auOMiZ zbTYcXJcEW*2I(!FjVcY>k^1>u`D%!yaV-We7T*qGgQdPxPFx?CYYPkiwQwp*xY-$8 zy2Ed@lqHccFMR(tz54!5HO8#W3f7}JC)GwzT!ZL#YxTshp;mKMm%08nO9S7RlRbG} zFpiCJgfC<*bEONgP0!Sqn|Jxv1C$DIvIW0iJd)@ich;VaBeZ^ zT>9$bWPcj4`?w3!cDo|I6(J!W@lc8O@DZEC1pHA45Bf`-9&ZYRFw7}8T9IKFyALEc z&pr}r7URmtsT5~$ah!dZ7#Bk1*YR&yeAvPH%QpZnhuAtK!7ipbzB~*aOW7~oAoFC? z2{`HZXp%?GQqR-v9s8%&~V3Ygm6XPw=cqweod8cyn_7(dqT z-NnnUf&IQTCF+>qNK=a|z=k+Aim~kd1P5kNVaBF&Rg}MP%@L2f9LS~%{m>ewSAu->!3!Bxv@PTAItCB04Orow8V@A7C|uJ|FZna&eT?D})%B_ETB_#%Yt&8{Rw!Vz z@I*#?+=O!U^VhKDOn7*V8X2;Gv5d&+d^n}~)5UqbdkN%v6q8)7kz&p9X{xF7*kh)f zxNnbHcfAr4@iH}?7e(uG4`?NR?0K%_t;p}=)Cb7EZ3Ijy7X8(>A~K4u7T(fi4E>O(ytav83AudWsQzP-81np;Ehzd+lz6 z{(2PUi8;R~Aj&B7q}kHw?W}nR45Ki3zb%J-VrsV<1p*3i=i#ixPB2CzRyV4PJY0t= zG!bTjK)w!x|7K75ENI=A?RB#S8k^gJyBRgJDq{c(-dVfVdy5IF1?I5@I;4;=XaB}O=tR_;^|_fSeTWkqFV z9bQ^XvJ0t&W@351?#QwTetTz)pH}DvH}#8?)bN`X{;-3W@2sJ2xqefL*bj-}U2=Gi z&@+6BRMeoet(4*Ua-w0GhlT!7-KhCB+g}?7pWl$6s4q|nP|V7`2i@*PUXq&8x7{fh z;`pH0u=r9?r1XDHjGc0Oywe+#b{C&BT?<)4jb5q$@HLC4Wq+$#{IMo?JK>?7Qsl$e z`-MFRyR5n8LIJ+BKQs*rwWW^XJI1~e^6Wdn=ql6)J)EGY4{`BL;dMTbv=lyHob5Ca zQpmPKlcF%5ExhtT!L6her}JrA(d><*@!iY&*v4ly`v`@R8o~myA=f6by9oQ66Ir8?F@NHB4clZP3DPU4#;y z1;&*o&Eznkphkfh$t2siA~T-zY$V=A%Ptxyy%-OfU}8l+JOu9SYtCga&Tt^}8BbY@ z$WC|@$6d!r%oD1w_^1wIX;ra%>*0H^DyYsI+iJoIATFX}nl|ljD}1C&9ub|Z_#EU9R4*(cJBT8Y!SA$*^0*8{7-W~(o03t`94wz zFv9%eq>*RJu)*Q*YSw-EAnFZ2CUA)T+C3sdEje~7J`8$l@J)DHL`qcrJoE1_$9Ovk zG``_t)EEanROn*sV&x*w+dC%rUt^r%Ua40)v2A-)`ox8*b!f6zdK z2{VQ)8U5HR+*DJcjZ}aUAbofjv+}PH@6a%2rOm+9P(o3K+*JW12Imrqdlo$J)!&AG z)hxrW@m1;kDvw=ep4=DvKCab5%EieUs;d-7-#C}0tmMkf#9Q>kovg^xsFr%&tb~6} zkVf_6v+`4x2cE6oonxH0b)+j_J97j*cWtCbgAX89XO-Gl)Ih9@xFTb|m`g$H^q&@y z_=-8ONfs15a?xgPabIn20X(bb617<%q9ErFQwWPO_nvc!Uolu=^L**8)ZswQi$PQE z{1=n)ke!=$32z0gCT$1|L2dnv@kw+c#vpLB(`g0)6UQr`!0f+IaNSxHC8y{bkVf*V zTT;My?CY=Ch`W8WvzMJ-s}rMeOCmK@8!K~R|9cbJNM&G=!L_G)gR19riN5?wkS3|~ zV?Nz}ujM@6SM#VZ&j(%=2Zmt{SzqKDlW`9p8%Bo@q?Jj+en`4rwx`o_tCBYo(w~Ek zao!G^?X)to6n2P$7(Kyk>?SQ+F(AryKx)KmfXd-omb~KL65LW?yQfHcovO*? zIDpfT^iFC?Wsy*yx8F}K0G@v_uqv&I+I2iT^lP3Rn!1KPV$o3CjlIavGGW-1HGvpJ*#s0+#wzNxU8htsMfBD7-M@X{ zhKXo``$)Z|Dv3#ZJIZ|-3!-C$xB1*&r+b9tWK-&VWj8mSRld(9b9VBp--9`kd;6)do?H(b(q9QM0?4f}a^I5~*@IJDZMD zq>4WcZOlj|1c`hz(pEyLr}#+ovC6q8?ceIEe#~o}@>(x>Hp-9t9XMYS<#(ssgx0oo zoHE-l`8@$CB~8+US+st}%Nz9Crej}v!c%g|So~th_WXf)V!8#&eq!(RP&4E7F+Y|+ zSPxi$0YNyDwtDv!VFz8C+}-UR67p{DMn)tvABltm?1()1xQ~9=jZapO_>V^1g$*Go zST3-yYrC4Q#%Az2Gd1jXyY(&1=dY4*>lIo1$d{<${*0Xfk*VJ+D!J8L>mvR*uf{EFySfsadD&5@8aZt2FZrMK{3#bPQomxlKl}5hK7F6p zFRTb6Y>vQnEYSy?C6`uS#dH@Ro<}8rpO*{M!8B$f-f%csMUHlztz9Ae+OB*Mq#Ukj zI-}F1zdB~eNo;uCx2v*b#P=;VdDMy1zRIIdeqW=>yG8xgWBB@es>p2Sc>PVS)4_4+ zUW`11zj!j2A7seUP~ta9c^g@sYsOjz=Mb>czlL6Slv9i(-1`tCEE$n%EhFe`{08-ahhpOG;UQ@Kt@0IiqlY* zGB*{`e~!nob*@yq^W^d;Gy3S8HAA;4rl2JKQ6bKi$iS~2&_i#w+grdYcP4u_k2%5#YI(^D_=JgpDfEw9*FZrPmqW!glR zdMVT#6CQT_oOP>m{T(K3BT(8XpqG&U|obe{%=;u9fyZfh? z?Wo=*7_$pFNQoBk{4BdQcd{g&Z55?LlK@_0cn%@x`2A2FCZPPg6vL!$eMU=zN=;$_ zAB{|(iT9<#kR<^;J21*qUiFCrzBiuTT0sXxpHJ2Ya-vxg4-_Domh`jVhpTG8ZV4zt1+55hK z)0Gk8YwmwJzNY#xDp;U!ODqc$R|qv#%bY;2<`5<1-d?;Qdb>R^Cb+d)l>J=v)KiK* zg7WhcN9fB_bN%82Yto?qjk>>sPF)aW;04`wc1`pB6eujQclqlQt>Ra0qTa^lIHV>T z)g@Ub>Mjq^F^hZL-88m%<}ZHLJ3z<|VO5NQQM#yV+k7YM4a|v5G=Svlx6qC7SWn$k z`#5Cy^2BtzWz}`!^z$uE0Ohbkd@S?N1A(x;T$eCrh1RW&^NY!vOWd{V8ylC`&t#~Z z`V_ipHZ?L5-_+Vaq7HB4kX=3D8%ac4AaCvL3->s8T}t-^gh9;(lPIjXG5Sv<>V~fL zr@A-`E-di4I19#M(C;hTai^<++w>7o{TCVnz{Mir<`aF^dMGqt>xl~cs_XAC=qaAQ z>;a`G5^H$E;sk^;3w&Y~OxtHOg05LaKu2s|k^3VfwA7Pvh6~A@j$Mn?^~SQr#wuP> z;NV_gf$(_WEZ`8ls0a|Y%)B8$iFsVg@r`((E+qck+9}2-ml=#&YMWAM^ayg;{ML8_j-06^)lrD95_BM zJ#FL_X8pS!?W<747Romp?|xtaBd6|uyr)vGniLHtrX*`8+>SFYX#wh{H;%?URX>$Y z+(5DWos;!9IOG&;+ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..5bc73aa14d626eb1bcb6e3074d843938baca4efe GIT binary patch literal 3612 zcmV+%4&(6;000233jqLN0AK(y00011WpZV?-PXGV_0000W4FCWD0001a0RR910000S4gdfE0001Z z0qk5^k0eJCUSKizeP3cYgb;|`uBtxYMjF5%+2aReOJ0Oh>^W3{`-TD{3-~74p z!A%U@#6TJY@1y+iPs$D6AI%^CAfB5)H$J$DftwgeW8m-o9s_yeQ}pNT^!y|oUtFHA zPacKGr}x98iZ)fWt)g8OomSCV6`fbnMHO9sl&pB;?5$`-lLHd~SC8L1KMs%AN9&W& z=D;imx*VA2z%&QA+q4(%_Cell3b2e6D4MWhDF^qG0<38Y%s)fc9$%bYK0J>u;c<2F z#=%<$?;L#U;4=sJ^jtXnO9x+lJdUo0aRpkIT#FK#m(Z+)rX|#sP+LMx2~7aW@EPqb z#M)bkwYLy!Zz0y+Lae=oSbGbx_7-C8Ej0NwY5zuee6kJ_`MnKaA^7sVnNR@cad@_# zhX$LNtAMG2w+H<85q>*4KYIP_1c?aWS7G@6FnvEdL07*xrN~Clm*?}4&iqMuGALv; z{UC84pZ#2#-lmUN4-W9J=MTUCITo}EhW~863a9S}LukWkIQ=rc8isIw@?;&F@c7M# zmrst6m@Jtbu~x~(H7xl9EV-GhXv0y8wq%I@1_{V~%L+|;g-EkTw04K#Vzoy?F3;P1 zkXX?1#lzRBpRaAv&libDG?eVh?b}`v^pO&~aC#Lc^jEl#e;##Vf_Y6^b~c0p=M%!) z3|~Mevuaf=?*lLxK4ih(F6hjj$r;Yp7t611>;U4^*d%AenhA_L4Hr|;A0)Ax(?7{{ zUK(P{2B1ueft`~xDLT!Zu!I2;apH9HBmc#2?L9iNF&#acYR^Aw_&wvWvS7)j`L07 zG(_7l>Bq5_dM?+98AN@qcR0dcZ7rI38ToSk32JUUNOQi#nhMrdu&#nlE7+`p%`4cV zf-Ni9iZL_Zy&V=4J1i!4SWN7&nAl-4vBP3whsDGWi-{c;TZPZkcx1~k78#eY^ja)D z!rUXwJi^o?bRMDg2#rUWgpb8Yl=(1cMEoO&@T5pn@XP}yQ85{ob$C&S9U-$i_p}ao zb-1m=O&#t{P8a@r>?ng^^Cw&0a1ZbOn$Mi6yJm&ErUlX!NXtmNs)?Kw5jiO$a#Ey} zBg2>SOagC&k|VC!`-S6syb((1W}8BE>|>D+Q>ob^op7bzsdJ?qm8~Yp`VRH@!jBJs z5Ds2?ByP}LaO$OS3 z2aj!LYwK)T1P31YQd-W|eLHrV1*gorAvlAhUp6a33yE8Wdzf#}x@EXKI_3vH$2mD0 zODjVlpFG`NvUr!t6WZ@mi!GBg?eps+o@?9G+4AvOfUPttzkQf*$F`8Oi^g(1Gf>p; z?3G4krArVUG)NXGa{Xq)(ojq`CCcZiP4x(}3NC5slNLT{?vrLdY3h?YpVS8T+;v20 z&b6!wa+a*0xmKgpZ%jh{o|As9!Vm6C_1SRHRkpwO z)hLMQkKyInxWBX0HfcBRpi_cgYXg+e^?i?CHr#nC>$~-Z{=Mm7uB_S>^>__uWs@&a zN}Yz5)w6t;Zo)Kl$nCn=27{SGxnZ>(V`S&i?B`1c9Vz@?$4od z4-Snja%ilCLt~>I8k_0R#%$mibJ}q%IkXkxY$!0{t1P=QQwg)&p(Isr%Zin4*_IM! z^RwDjT`oJZ6u^Er^{aYw9=ozR4|fT3gaDS1(#>}Ci-p-QB{zE_UO}r`wrO;Wh1o5o z#OMRd%|0l(+2rAdyRJZVfECFO!0l6|dTkYn2bcr?g^6K^r)wqG%lsoDyOj~y~OMs3W?)|MOJm3h2`&w z#$yFm?JV`CnLU!`(3#J|hH7U%TilYc7tK@*aT`Fi{1$<|KW;8-(5I_OaeSa@%!^H| z8D?{t_Dl4elw>5cF%D_msZ<i80OQNl2 zy0fKpZ<5%WCz90Gd?c8*VOeC^vfOFV$4QEAm6Rqxy2=0vd53$@j zlzP=(ix2i%e6ZKzgS{3X?6vq{uf+#@Ek4+5@xfk;i@k1*7e+fok?0Ub4TmVYJ47+q zA&QqAqPW%}%6K?L8AOLDBVX2n;ToXgPpt>nP!XT=iWiV9Od7z^R$N;piDRpz5rN{= zYAbPRl_U8wjTrI)^%%T-l~`f=3R!FH+S_KQ@&T@y=R>@-?}mDuC;8a zj`)!g)N_p;X^9Ldk?pFPEvsomAoj|&x;AKA)wWegacu0PbdNh2t#PYiGD$ZXR@MZo zr&9E5GIuQ7$J#pjg{#W)v+k8u7v5@b$I3hII%_r?LJXKvft-~UKp9$CH`c&?)3TW+ z$qSOVnm%$g+@5`h=`-Tv{N`Z9aXD+0T82$NDVg;yd18m;Hy8iyRwwrdC)b|u7V5#2 zk0YO8!CD$x)XY_Z&8*3}Sm#7MKlT%RXOFQ_asaeHHjQcV?`)QSVLAD5eei!H|Kw>_@dIDd5NREK*Qd!t?*p4N2#Z>e1iQ3yybqtU5TD|3%q;=d$St zumH5t{|tB^ZLqV)V?{#){e8whG`f#);l%!_?8+rqo^5e!-jioJgiR4 zAx0f^XeI{kRPdCJkJA|fV&cLFeqe)#+x$V@i%YQB2B}7$ee4_bdCDKAAOGWjr2!JL zKKnQs>+`IAyloVHmYs-**Josaw`6;kE&Y#m^L=0_=ELuFOEzD?JCtH(y~&nOH$j?y z{Ez>l21axoZCH8d&RzPODh+oZom_?H?$!OHJ6CT${5Jpq0RR7Z0TN2}sKTF-U2EYfvyyk*i-wd~isRr=NQ~ zkQo%29BJId0#*(o85k^pX28t%bqx-7ba#a)b&X%M9AqK`0|%IdC=~^=LFT&$`G*F? zheQTIRjaU9%wz_ulYpw`1kzGK?Bp2i8WHc|=^Er1*b+ i3JNDlAO;y6;u;ZxZn{rj$wD>;HlQ+)^#A|>0RR8p)ZRn@ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2fd01bfeafa679fb4726875b0d098f615a33ca39 GIT binary patch literal 5016 zcmV;J6KCuX000233jqLN0AK(y00011WpZV@t#sB~S0001o5&!@I0002D0RR910001(6951J0001Z z0qk9Cj3q@C?wMT#e1i(eTY-q6I5WF5yBmY)HLL~~5mrEh=*{l3%&rWxfHPzrSrAVHCrz$(jQcdy^q-PQM0O;t^IP4~>)yIqr> zuI{Qjb-q*QoO*QK?smJ~-h*Q}ilW^(_u2tidH7g=6!qb6zwAyQ&bxq_=NE9sDPEGL zy$0uvTgN6Z8gFgfIypYE>C)Cmwv4tYrYw+>9s=kMND39u8?2b#vWn>~ubAFY#q?HH zOmAhy^j1_%ZzPo7t59%k%lIbEI)b&?N-bEIt<-`w#Y!!>U9HrXRoV)|N73Ku!RMo_ zyaijVm0Ga=TB!wVFTMN3*3r>1hyGGZWhCdI7p%b!dcivEpckxn4tl}5;-EJyb+>}{ zs9Ilwwb_9;*sD0`1@~qL+3jO6*ulF923v@WV6cg}2nO4Ti(s&kxCjPYiHqP!sM)y) z2HS~?V6dUM2v%(=LseVKP}P<)RJElHRc$Fl!ItteDDY*iP2=k~po7P>P3bddcxAeg zBanY`d~g7RTyrKkHZjr)ZW8jh*}@vr!I?1x8nUNB zwynCS&Q+vkx)g-r^j)2~>+_h>eOLJNe#IotOq2Ncr76g2z6`aeD%pRiI4S}X)Zp=yW~@r%kJm+B%fw|GR?#% zu}gfs?~*msq&#t%%Y|t&NM2rKU^m-H@+r%iZ3Lav+Q`MHSyy}7PSP~X?H2lJ zmXmE{mUGFaE4ndx<|@QAP+PKh1;2I;asWk=*w*^4U6d$OF(j%?+Wt+;q$8I^{(42k`zG@CqC zT2n3+nprVdKoy(dt2Sw-rkqb^l9%TylG(F{4n79D97mZt z_z3Pp6_Wc8rFBy^l7J`HiO-^3W-DjPg}xV$$!3%zA0VFGf7Ymv`B|5(KC_%r-<0z8 zCZ9V!_0eWs^_k^leXm71SAE3f!iUYj&ic%9vOe*Ltkh0iP}>%*2MXZt~aob_>h;;PRqC+pkc!G}%n&iZJt zuKLV!vOa8BbmCKUF4~D{f0*+)>od#A`kwOOqux?Kd1Sr~(KIM*Jezha%Tae^eFq>v z(?xkjlII)))6d0?mq$w@U~Mz;6*ySedX%TWGG69jUklx8n+I;k@Fz()7XMFKxB7jp z_nvw7>UC>cW1Rnl+&nX)TqfDrm_@w00jQ-)@IN<4zVCl(oGRkg)Y8 zW-nd_UJ+>U2GNGp#s*rW1FdDPjWqgbX$m9|$rC7TLo!klK?RMZ`Hf^h;}zRe*1{Tz zyO(58jdUWQu?wIMDz=N9i!_qMYYeUsww3G(yz;V?wJ>PtX|1hxG$3)b2RI4DBCwdJ z2(;tCu*D$`E)&qUu57I*uD&IyQih>}0X4LRvokuMBSg09=(~OPtBISItEy;Vi7!(BV&kd?|e_ zip})+!7ZxNt_sn#KPg(AfbMsKX;|@qdjuxQ<`A88nEwvq0{?v15d5fAd zy@&rpwQhM@IR8(rd(^`FLza6c7TGdC_29t_DH?4h-LI-Q%+)<(X^iEl_**zQtXB*U z;9A9fXVn-td6;)?&`;W8`zSaFjd0gVCV(|&KpX?xv@N) z6*a**9;|%cfOBj~IM}zHZ6}kNZJfqe zaSSAXql4MF^E#LwL)cJCsIoKVf*ZA61)@lQ%@uYTQlE8foBsdTFKn;+vve(LM-}h;*TgQQ4-<=;Qa~`moDw% zk}Y;_`4$^WT5KwtmKrm-g1ruA z;gWD*6;o0P!Da5;9QHJlv`+PKE(Gg8GT@AsgmYGTI4f&{a{*ZYo&jfLNjU2~a2!if z_yxLZ8lJ1uwzI(dPYgU~l*Ge_fi7)x;Ypo=!c-le)!;qbWS_52om>*n=>d4^@&+e? z_q&buIiVyTKG7`OK6SZ+!@&EW!H?`l4=Rc0T>*Gff50IzL)esOty0(QtcDA_cc*5p@+CE)1?p#|t+fm$DZ&JjIV+W^}L*0H4YGUoH2{JiJyI*OYIw zq0~q8P0a<*o3gf2C$`zW7T$kvx#z?44i6pM%+@H*;kDR*H$!u7E6J2k3h z353Z8nm>GhE(l$FGrmz{8Z?PaE%(ekyjF&IjK?!N zMuS7?f`0Y{JaC~1jzbHyyDwUJ|D5HX56_VScx zGL{#H9JI8ZZ7o$x+oh3=v@~`KBE%vdVY`bAvAp=V?h3WE7up&KE$w_s1f7$-)DReH zCnx(i^W0`RSyW3~FR&w%mZn3{(;AtjvTkIS%7OnOiy%GQPcw%o=97FywXs_z3Elo0 zyS2+DR0qv|1%vfm2c=S@))@VLtZHxcQ%RyD6W;OZr)lpw1w{gJxQb0h0q8gU*& z#HYKatp6o+*OYam*Qr!}9nrb3$VTRu!{2Bio zWR@!u>4EQj{DL((>0t_Ehn_83nOzTz*bh^w%*J|LX4lmqvx|FNX4ljpvxy#;*{5od z*|r{+8Gevnxj(z2$7ObP4Km~Aa@CRcV!t^Jnc-P({9oX#YOHZ}kIU=|$csAhQk<)j z+4cpLS(&v2VkUM5IT*wxUkw!VK0~u^lga`A<2;6lPuAv|qb%3RGnYo3#}L7<$n#6+ zWBm2Zj<^rR)XzL4BEB^L{8m!Y+qPdz($f}Tek-|&a%gFx z>o1M0=TFwApU7)Q#MidIG{2wd_$5>kUxMR9*d5P$=P+iFL}SuYq1k7pB%#~p3yWxP z+I+1Y^2Kmft{pzGfMe77kl9g?SJi8W^3x-|IBz_&2ATb!$Mx*pHOLHmzmq`$c59EzY)uU^yQ9Ztwz>wH;RSd-ZJQ_8ATz$rK#k<)+n+7;905Ofs(c*s+Xa-T zZ>>u#+pxJ~)#g0!&A-KH)&z1*i1QdCzIk|6>o4+Ik69mI$*=e^)9~`AoL4hV?ydK) z7bxngdFYxUXm%nLhbT?P+VBJMulJ{|qJniyq#%Ff9 zNau+hnhsVqKFe!H#8;m`O-t4I+?)5^AA-*sslazb-UeTQH|`|zVbW9W&a8|QJhCr} zdFFF1oRT=sk$5Ma_9E0ROmu}uQQ4Eb+Ede6g==?Nb8-xhH%sK%teK(+Uo(gcWP7rq zrVFrLyk>Wb3*YLVO~*f3V6m?aCb zitvoO93htXcedq~jBDBW${>4)N9gbBH&ckRaM3Y*&7gF+4D+OtN_q7u2QU5#~u`sZS=#~(TdEHe_qZF=D2dblgOUeT3YuUfQR9}YcqTBB}`i%GG)Y%gAW^s>Ep4bsc@;G#RX00rzEX|L-n`c(^9$E%{E)o18&gjmGulfA5@2ZwlKP&ywzYnnguZp$FaGybU9EK(*IqhI{Q2?LDzOvAILI-$Nko8{ktZ?J5p# zd{cDzt0~5hKppp2Scjr-o|lTcnYJ1As&BQLdAs?VZkgNexTg-^noDHN{cq^Dk~fC@ z2k}=TK9@7;_=XK`5BfU}p7CbrDaxI39(ZF{vg4R#|CHT>jwSrw zhimEwcYr;Q<3z-x{{sL3|NnRa5@KTj0(Kx40^(qIzj!~_5FgLrka$2}pyKI=eW<2fI6lxWY74zEN-C1S{%6Qp%62)ZfK*!s^8fxWFnrpz1n- zv;c}a{}9(8-%a|vSy&kifYzHp6=_>E9`6gZJKobbz~^V7X)Zg15l~tLsvJad1F^HaW4ynUm#cHgx}?vhAQ1)zph;i= z@;8VL(u453ho@_hW012)V>gCIA2c000191^@s60001a0RR91000152LJ#70001Z z0qj~?ZyZGsHUV*i#C`L?A(0^2GdTge-LfM3BM z$-&Wlv3F>1>`!e0WbYOS)9I|^2arp2 z6n$Y^kxSGRxkOEo%W6^N$WDolqA$@@)5O`RRtM#+MTHn#KJeDCIhXI-!rr#3YYK1$-T6|KZh6I(n^0&=~wo{!n$pn6P%MIfsc zv$k;XqIcq$;;or4L|OkWYqhuED1*-sr7e^_jf{N&$D-b2Mpd z#WY))p%ATQe$ENC9psEgxX|Avn*)2pZ2lUJs4SbnDcT6!R-|vliH&USchUIV*c)!# zU$v`?B7OW&S32}{qMoFaKM;~a#6Tp2NCA-?A{9hRhzuA3wU77;F!2^(;xE9&V}OZg z024j{Cf)%|I00D9_aXBV94%R}>O9Lj-7~G1`Ewwji&+MavkbAl${D1Ihk`ZnP_QN* z3f9C!!J2p|SQ8HgYvQ3`O*|B=iHCwoJiw_S6=D!5%pg#xL7;GhKp_W#!VUt3p1M%s zXd^wL3W35E0%K&E7+EGpmWh#NVq_U1>m03WS^0c($e#1fm~X;Dk0o^l$G4GG&+w|$ z&N`rIV@NH(7;x1^uq#PKwE9MXZY9)R1i?v7xQth862(>Zj7$r-Djh`8DvFj-w1}cnuIQv5vpLEYRh6-49apXJwj=1M0-$3G zfQ~2tI-UUNXab;P34o3y06LBU=qLi9W2jq&GN*MV>TjtU^|w@!c1RE2nu(xmRGX4w z*3g#>*DYQODqh$sR5XTFDr?yt4# zhiCF{-lAuI^M-P;o@XnmiJ?3qw-E>GC$Gk%`M8Q20kUMIjdin5B+m{9>36+Ck-qJMyuy^lLiC$KdF$>c!%XCoyIvfk{7xlyxPC> z9sWN7-$p$ + + + + diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.bpm b/bsp4/Designflow/ppr/sim/db/vga.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..e1d1e7158454e9e1e32dab841cd6a3013df83805 GIT binary patch literal 1287 zcmV+i1^D_8000233jqLN0AK(y00011WpZV>-Hvj+t0001t1ONa40001^00000000071poj50001Z z0qj^wixXiG9T(gcMU=q>H$)FQo!-;vMG!$n+)o}t#)(d3vXEr-DBk=HiU$vhpa=1+ zp7fx25;w$yD2N+^2Ngw)Rq3iXaZqLsbC{3{^mKRSz4ukUud0(tv)OFE>*?wFO=mmI z1M7jw+XGW*PJhvyuH_4P>7|`hL(B*BfgP|u=G#G-neNfv*(c#NAAwm_bp_dKyvX5IMh5Wn}nVA|4`K0Usmv zJE{yFUYap3>O$>Eafx7JU-MZb5f8O%r5=Oy;_C`I5s0uMH>BM_Sg zKQ2hEJ|HUvg1{?*1Q6aVONB6KoCzxg*L6EITNe0F8E^Fq|<;f1(+q z^5sfG%DNXmI^nypVqn%Q3(mh4On|k1N+d!$ONAAIrSsxuP}c?lxu9JVp)4xhC72i< zei4k1$TNu~&`F5JZXj@3GsKQEg!|A(gf}p47T9q>KnWrrMJNF6fv%2-r_w0?o=RsB z+94npw2LAX!h1C+3#V6WLcq*Y9bj&VP8J637EFv@_#~JJI^UE9&w7OwVl(s^-~+?G z(DEz;>1{&u5V@|>h-@WHN<)q-lm@G>2`xrMjm^Tv`N~2aw~57Dk1qQxG6_~cq$F5> zQ!pV+)Q7E$rCtceL-A^T0E5)B1Uj2!tq4WD7M(0oUZfag_<=B~Kah3_#C5^2^S~gX zya;8n7z;5A0o{ubYxM~!0Op5e=%EUhX+7BCMkK5di}RB#%^9}p)8B>k6%h&%;m2Kz z=3=!iq8&r%sn84r$DvB~1f870N~utH`iE=%`LZ)m94HJ8w@x`Xa{BAl zz}a4?7mt^Z4OLD#)oRVD7fVjDe6&_570QkLP;Q{#Ia(=Ii$jHhTs2>76dT1#x$c}i zp6{zwDvgmCt=-iBLO6*jZ3o}$YnUz=%lAiSjQppo@6(y7`cfIam$O00h;|eH;mb~P zc?^iTJJMI}R&|&!SI2IKaa45J`UTz4!Obv^o$fHmxe00SW7gi*-s(VyO~Ba+nSc%} zx^4rj?fTbOy$;*Pain`@LfZSZ^-GuZ%Q#xfAn1h&dU*8Gc&X-oxnP(7^U}BUOt7{~ zkS^12#Qb|j+k1T3b_=M%U`2XihL|C#12!@?3pNWj3#kJ(3pNWj3pNY(ExO$S`;KIv z3--BSp9@|7xiD%3TDQ~bum0jf=RW`d0RR7Z0a9mU00L$pRt93H0N;2g|4=^{$Dqjg z0RNzncwa|9M|antco)YIM<>T%SI_wwDvTg43<+S8fq@A~^8qnPZ-8S^h-ZkWzn@FI xQ5MKh28I?e2~j2qWP_A>c)GZ_`k~tN@mp~P$S4K|9WcqjU;(j*0RR91|NnrJI3@r9 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.cdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f48937b9b900d5169089f7f993ca47a81529c842 GIT binary patch literal 56842 zcmXVXXH*kPw6%)LRTQp*0#afHl_nq^lB4g3_XZ^rBQDLg<}D zqyz{M>74)p0)(D~kp6t%`_`;kGiS}rk6E+!%s%^^5ju3}P?^BtLofdp<-dIk=YT+W zU!RLwinlJ_g}8e;T~t<8xp+%ONkvUb<<>2&i)Ifm-iLU3UHtzO-}mzUU3KWt&h-Cl z4psf1dFbTa|Jkn(Z?y6^Tr(Kmo+W)wdXRGQl=fS|K!K43Nzi1@(a*H?aS=Q=FUjv4}&Q_&266j-;joDdbsYRLXcVx36 zLRzn>_I`#{U^Q0`j6>Znn{i5OFyt_tpK=RN1Kj;G&r(XbI2Tn_ZNAkLV(l!4=t z+ZJ%fdjngza%P(yj+Uk3@H9gHC;-AR$91S-H36cUZdZJj1_HH9D;mm&L?L@@wysic zd%nFINJ%&dmR@BZ@%BozB|g7j^FGWLt2{4>tWXNK>onk0GH|;>!8yZHo0d3|b7`o* zl=GgWF#3n;gfdD(^G0s&_$Y#{c|V&SRuMMZ@5&k*j zJ$NL;*3!XvIo}o*M`Z=#5u+Ed|xu`nyp9T|CmBB5?icM zC#07%))S0cf1SbpGS|BggGrv^y$sb#VC$}TDC5b&T<7(BlbXTp#z1Gv9wXF@Q>1d3 zB!-cZ)Y4r)rsveuh5QMHs3Yd$EBbaf7tV*H7zLQa!M5=wARbcUh1c{ zQh9Xg2Ja{cf+K>l}O(hY+y6gUuNh+ZXc8kp{ zPo0Mw8ZT?j+Gj^RUXM3Qmn89WLcl~0=2AWnv$o4qgJI9L5=*n&ydrL0C=DI;+_7-* zm%_GeN~Yu*rb~_m*(+qG#^ zjAN%=E&A8m0qO#QvU(YBn6t!lb!q4M+L_86s@Q{{;%aY?o#%5p6|);ep%36=7qhZY zEgaY0xH(xmdi1D$&;vr~@715gd`rf7EBhGr{LVs)K**POi0E-CYoJ>uHL9mfm!L|# zvifhC^M#wC+RPNt=|V&VFpT}mS((cIRFpaT=yWpkrr??Fy%N}9(m)zJNrfbDx1=65 z*Ucj@pFa*VD`{feAyj8eH{L|du9@PE7M9*F3)2rXYy#j9a<`nDiXTgHt-7Chc<|z{ zltH=VbyM1#1>e2z`Qe=OpBiveBaV{}m@&f67`=37q3^m36y2MgiXEf9c0E!bd zY9juVFe6%vPek4W*mD$EEVksGYGyR^~o*n1*vJ2hVyR zfij&rl4v64IUM*EXg`h%{~|H0(-vUEAG1f#5G!&-NQ_j(aG7|!#`ptmm+!d z$wgr65*+W_toi9~Sre46k8PLqCgBDo3ZAF`{jr>h8*Jzvfy|$td+9^dzUj>PKW=-~ z@wPzyO;@wmI`~fmtty~M%L84G=CGr1w$3T#?7Z)fH&BaL*&ow>)v^qk8wFvYlhX;X zWbN5!8X)G3b0X`EW`3DwuxB*e4cUWu=i3`4wW&1c9toW6uW@8QX#935VPrd{i4jEA zP2(nbutrWil5pSE<5tF<(!o~Ql5YD6%6EnMpO!bBb#ov{EeA^7(_ws3`HRc9%kExv zv=nR%&)r5?$D#UTv*mjMDZV^HrZGl#PE`kFS7F(zFlr>7KXemkW!t7$!MC0$aym(H zZC3AbZ0*PEX$#(b0Yvqby_&1{fl zU3UG~`ZJ_?mM=sS7#4DC8P$hh{k>ic=XA_%ljm!=I4{4Fi#9E(3mqHOt%J|PMFF0EsaRekbE>6(QDiH z@ZH(x_fDl+CAXZ+8sp`Q8)eU32dLcm62u_8T(PXET5vZQmq@*Xhj$zrKNxtpRUaaE zKWeUAx7y6td}_}V;F=sPIN-5jw^A6>+x>M6Eb7;zpC5sKD9bEUNzJYCYpd!BNv;9i^Rl&Qm7+Zr7u)7VPKdLo!0Qrw=hOZXbQy^d-&r zG5f>mS6}YfmhpNz1XM^<%Sjei?MzC=mu_UzjnK%#&z@%K0*~dFtt}C5PZ{^DmmSgr6TUXZ<&JjrdV$(h`R#J`ae=HIn~YSEwJ>5(`vc z{f%AvJ0wIn=9zhNheMUpmb<;Yl$Idr>=?Hh^ko{3BKcM(3?!GEbDG%2Fo%H4H_a)> z9<~4Yc@K^7Jn3iZM0Q!@k3!2+Dp?w$2LOzBZ`Q!B^-`!ssOj54`s5)Y&HM77lm!i8 z{=V~~AT|3u0wOq|<$uY+)!r_Mnavl3?gQR*O3zzfo0FKfXR3PUA`{nJQL5v2>MQzP zaZ5kX8`+BIn~e6lYoR4SK+%0^E`9_z+(1Qbjv5W`r`GDP2NC67i8vb(6H(ti$Z%+5 zEzo@Zc0KRxH%vurH=lhiqtaQz5n1*v+i^aQ(k*cCGQwa|Q+?85iGQF$dgH}chuV$O zxfffwr!sE#gCuc(DaNQ{ecd~h!a2PGc3vx*A{rklcBM>fW`D9mR3X>KvwKMC33GBT z>g_7ABJq7F)QdJ>UDt3(3h(47cSo2qC{)EdU&-xwp|_RKDa3K#b;{JXNjzWL)NlUJ zy~>J)8mUqL5?lf%#4uSH2WoZQXJSZW@pSa-Q&KEHletEx{MYsYzLDF03)A{dZ2ZsZ-?(e^G)L_ky zh#n43wM{+?mwgEQc{mWhF%fR8xZ%4?D-Ga^1WtL+05dm;ET!@3=;7>gjQcm+9<$#d z^z+i*+6y)sey+f-hO+^c0~7?+e?6DVOPy^BoC+m7$t(lVQBL0 zgsV6x6mO$uaTLn((P=>GHRoQixKK*V*{KZ=sR&L(ZC%lNq;%rJYD87;M40i`BS#z3 z!Xp7*pA$O4?WqKZKUUgJE8=o9ojM`nLNK@fCJRdjBt5Uicx2l|^lSUZs!h2?JJy;E zL+a~l6m5&#KD!s{2N^*E#T70ueIJ5#2Vx!(2yG3g!KX92EpM)!eo^nJVeRNGYJ(Np z724l8faV7=hFr+Tb<7SxzvVZ+sdqUk3SoT7r8ux_0FtmIr+V;;CJ2}_NkBT;BTnmk4PD*AyF$f4sx~z zHcJF|a^HzQQ7cj|RQtC>uhD$Hb75u>xDWx$R4-+~g(-5%pF~_;Vbf zAlRDYuOm9szgZv3W|h_+DFuVSO9K_3XnUQZgs=84U#QcLJ7pTVtZ!h)4MbQk7c~w` zq4@)TU;~9*h>dnv`AI0vzU83)8|pcZah-afW}hDiPv|qKBsxnBX}YmGSo~gaUVe$1 zg~b->Q9)F#t{*X3g1h_0B@@0r8jiT4-{U597{C43v+%xaE&aC{$uwe*EoP+T(H+kv zH3oD^&L#EvMaxAEN5!qvgV4 z8=Kmu3RwMILHi8AS2=;+1(!x=4MjEes=DXt5Fs&eT5{ABSBQ0I^6_qBK_${jzFhcU zrxQxkPzR{YemVl&E@Oe3kcIT%oR9oej4v^M50&1b1V5hA%Ri^v=3Bw=hzU{Ucc?i zT9I^>;%NQMwD<9Aee#!GSYOV8`xJ=8T zR>vMPES|~J>Y+}JY(s-TE(_HXz}uX;^~ES40Df06ZtY9^IH|IBR)*DzX@i9XvNn+& zA8ZL(ScE!7w;Wm01G}P_waKyY!TkL2N7Su5r$`g2cYbfz_$@HO&l)f}XZ_z^Uv<#q z5eg%yX_j=_O}+j^u}=R^a~MkoAUS)0V{Ez*bmaaq%L#}xm?`gtrXMNX+^>ffdcg0H z!E*k`Y#%y`NVI*SJS$Y|+KQ^WK5)+eDm5>RQ>lIyijz;bU;pc0aJs39*wf!7&RT~` z@zuQI0|iLTPZ9O4-HploYWKG)4yVGWUfvXvKh5yf;TAPiQb!`O@t|gqT4%gXh`5G7 zaVHA$c}6`z;!c!tPmPXWf-nsFo&`Y*{uZ-CR9stl9Fpxzz6fo4Sr+cPJa}Gvutlan zDgF%9cA^r^L;fTrhV?d$xNe*l@|_vn7}vs#tfiEqpDCbhj0-u-b<*B`aay*u_OpL) zIuSlxT^h@qRF}|Vdwi|*nmk53L}y|d)=wNOU9ydP0y_%~KPD?A@`a0crF@o?dDE?Ee!j}mqvz7-=bL!EZB7`>?*A$j5nH!$7! zNWvRPwePFjClog4_xshTDj}E<@z`ZIGwztFwC+$smmO#O)FR!n8ANE~NL#~KYwqS_ zku~G*BI!C51(yKdy$|3VSM(w7OiKG0iIV#C_9!OElH%-SZV4|@BvYc}^JxqN`1|v* z0f4oApK9A?c!8aXs@8L&obv-M-{bB)L+o=4k-J~`$ zY1{8dJNU6T4e5Vv3cl)_0z$ZTlbdaaGg)7kPBXN7eWAck>9`$7>-#I(1v&g)g3oCA zXNHD#VzhkCUzzxbW?_Z0LZ!z?N1Rk0a(%PG`;N;Ewh&<&{j1%ruK&t~z{5F8qw%=$ znPKaHSVh3wH@?<&($b1N%e+5a@ug=T)^7k>+NRhSoZLYFA2ef&$Ew;ZYYG)q)(MZ9=a-Dz!%!H|RSyN)s z7$=+Ympe}BgD?3aj7E}eRPUT0pmn#I$K(+PWDPg8XIxKnLt29{D=p>y zZy*$8;RUvbSOhMm6=vg9Eu0Q?_FZA%Ph0 zEOnZz>8agt0Y|{Bayr2QL_OS%cQ?_A7}{^nLWqsS{Nj7g_^j%GRwk`ls;q))y0|XS z(knjr1RpAYU=IH0^}(_SDP$_F=FIXQ*=Lihv2Qq&(Y=WXSMxwpYjw*(WFn)e0ZM-@ zGhPJgy@7UVN${vZ>99FBjQ1g3nlWUZ@d-=manqg+q+(Wef`OuV*|4mLuY(M&JeoIE+A{fdh=elNWo%8s~cc-D>v}HIZRLX&4YNy z`SswRy@l4W%8UkS=RAefr`6CRdPKHwL;uC2(Az`E zz*l%PS(_x+wI><~;4)U(428g*8PDvpVdXlIMQakV`ctCU?Jiz^V9e>2pF`cI31Ai7 zj=1ejpAJ`m|G+v5_o`P1|V6sJoC(3kNbJX>Sth$)W-AV zQpZ)Afhb)9o!DtTcG}(OY5r(5XNKSCWe+4cXL`h5+VQhjoSuOh8BIJ+o}8((CWM+) z6LfFg|B_li+5zppxraoHDc{_~R~Mb!690LLyO8wy0y$Z>->oVyVh%RpIuT;V6)3%D zZ-xn4HFSX+v%C`kOL`aYW~S`Z<8DvV&!A1zM)slm7JlyJWTa8TOvpk8XDRV1FGnStd7%zmHGOQ=;jfRA$Xf+h$9q#ExS z^m*$Wfp4cfxIWK2vW$}v=pNBD$Bf{#QQx7S*D%1ph+fErmW_(It9c^(c&$)n-)$F4 zGGe5|a|u=aUr*@V`SClk)5_nPP%xv+;(OcZk}<>(+0sF2mwywdSY@ ztNyun=X(>2-xCBg0<>>T#QRPfrZcJdCMlxjA*y+4X3nnI?+nZC%mXsHuwFd?ELrkaZYLnCMi0KS5=k;~p&2W>-_qx1*NuAqWdWXWAPOHG*+#d_onCt4|qkc(r z?U4vvS&cM2$gnYEU8t{nHV4}g-P0d{FmiSOac+{G`ONE$a}FlvjDvJvL2b<9Y+rh| zgGQh`0#<;&7f}t75$!JEk~rmfWCCx*|EpbGN&o#*>@CKoSVN54F3X@ZU78Q^v#-AN zfZ|yU$}ghSWGWc~vJoK~BF2MQ?!Fggxe5Bs<3{#8)Nfd9Yq{Rp{h_g^fJVP_m6-W1 zq5`jlS7W(Vzk{X)PT`c4I>c4}TvxZiE zM|~9qygq?_1lmI8xTh_xa-WZm-ty(8&HLHJ z6|Mf`-dw}u#`NkR2DX=!rJb@*T}Y2+={4OZq!b~GxS{XNj8lv~uNpGZHKntcT-DND z8ti!wjdw{-V3y=MmrM_rkW#|QV6JHW2|4^FLbFKDS2jNac7N2Q;v4 ztvyrPS1fI^q;G1yATI}cC%%~#=6%Pee_G0Q5F#^KMh&7#(+;bYS*?EhucxW-pXc|w zJrjrRSr>B6wLbVthMcIy8fRJeU?kc#BW!x|3VkPQm6FrVEZivr{dgKcfnh1ytNtR9(izNbv3$(TvW?0`M4eVws9k=IK@6_u404A1y`uCf^HUcqTr29?Q6EXOsL%D>xaj zF;Q0bSz|;m2=$YLZi%-RIRhTiV^uRVbP^uj5 z*u!v7v&e+_T~D&O`LmK6K%YO(fJqY34KUgEzls>kX;R<5j@V%*rq@RAc%Q6@Gr10@H{Lh zp|N%@ni$%n4X_AYX5RanlKA-!x2b3Oghn{~Bxb@oXh^h^*}k3*R?c}Nl+mvAUZ*UT zVSb>)UGbMG3usdNGqR0mG%af|`d#eUD_~-`?>?UGLh18OQ@w(Uz6nbr->8>!N+pfj zX-rxk^p{P(P}&g47@#xgXXR=fFKJId4rORBFw+OtU)gos9W9Ihpa-gH6AKy}#cV$v zb|2PWcx|^e%31Y_y<_b7jo3A)>)8eUlhChJ;p)_&mFxd4Esp#)ixe`l!|n5y|H)v;h&?cyIM zU>BNP(D7S;9dR4XSwNfdbcZ2Kq5-9BF93Z;2z|gR_mNx*upCs$RnbDtOUXl$Scxi+ z*NNF=XZ1sR6YZdtI%U1W%jLiHb%&+)A9Bp9n8|0Zd*7}d6-5h%BiO^yYmYxM6?uEw zd!&q}mXX#>CR@Dzy9=eMy+c@x``tR^V{0sUSIc%)e%x$T4%DSpLvn5$*wp9}{?+cg zz4HQZ9oQWgu0Nyttn&7*_-3ukE9i#t8E?*#@9I9b1-MSG`EP~2wd-4biK5MlhnOAADISKqdgM#d9!R)x_8qph6UEW@idhe5555F z+?isVS)_I(XKVz{we#2G>y@h6-|O(Mv%pY`d&D`|#<8aK=Gb>Z#dMpFf*JgHNr&za zz1A+gXjZem%#;3@;hB<9@H?Wxv2aygO1bW%x7e^3M9q z4A^;M?}H>9W-{wx!%$<)PN_U{XW7~Fc%I&ugr4oBQ7rWkp1qdN|HzwO(r0dA<)u@| z%c-Fowki^`s;&z_yFR;*@r3?Yt{qyhzD!3LZUnuUWaFiHvC=w+S3KPY{_3_aeFj#0 zj1Rs?$SE1@tLw9*-!CPppPkw?qjV3JLoyJN8DoY6d$cb_XbucMkm?d(bRmMsgL!_WZ4^|GJ>6^5W=E9s?d>$16&9!7 zq%gV#FiT<$O;VW=s>}*{t5AE9{gdf=V(4YHwovW7wxYT(CcDeCDw*1kmn+4+E|2l0 zASXl`-1)Mf`s8~hoTa?j<6dXW3h>&1hHnu#bITm-F#)E9v;&3qG>_o$O^J}7ryrwv zJ*)FfsgO_h`I<6)<_^Fm(3n`Bhnw0^^$>~ZTiV-i&8}HO^mylJNcz=x=6shZEMN|$(dZ-+DC z>6eIWbdP9#oeIf4*YuOM@#FCIwTa_;WeuzIWMFQ5{=|;=34r#e)3`@b6FTFpM?wc2 zRpKr6mam=1nSj-oQoWm3qzL~?l$TbDcilRG`KThD)Fb0NE{Ll05i*@BFLhm6+Puov zbq#rWUZT9m$w2%|#tMxi*C&?c^5XhsxCbHka)t+mC~?7#7m_? z%O$L)(ub!EWP+!o^jS`4kZd|s1tXAMF+PeP7GYM%UQZ`1tI*Yl+ocWn80j%7b;jv! zZhXEu8O*xt#7p020DYWe`!q?{y>cgFr*z&4rfD4ImW`{kU$|uVbGVAbW${fG@TUBDbWv!ZC(*5JdmJtLnFLJlZlWlo*XtWg9~I`npaN!(aRUAf)+WyF{1YPpyBTVb>+-N(JQ3=YIhRBQZEufEd(U ziKd-nP7#h{(POPii#m49KiRFmZhM_5-mi!+R<_wFT^^muxI}Fu%oz%9Jt9aiati#x zq?o?c3e%^fyCyM#5)n7{AI>bH-)~IH-U0M5jLVBr<{LWo`4uR(7-_y67clD-ILPm_ zPLB`Bw0U^@Lx2DD%fLz#YIEO;#lL_F5%mxRCBU|G<=1IDhd>QTWh3}+E#9tIdn=PU z?GWGQ?K6zvuWv+{NY1R(Y4z_#hZ)Z&^sSsvJ%v=SH;wF<+73Iga|zt|B#;@)iZna* zrGIo5X*=qjpnRv}ZJ~kLMBm(3z&Nb zYtvIN1a#u|wfLh2*US$$`dr}ioplDYad!Kis43@cca(q4Kv1xY*+CfvJdpXh{q$*d zNYv8ftj1!O$N%m|`x{87ekQ#vDFGyC*7~*6FXrp{8HPhDS~iQ)#GGI8^y66k?Ka+W znB(C3I{C1mgigx-uPuLhYk!i6i z*q8nPF%@z@HL7Jg9^%t*bVMk)Pjm7=Fke>=ffelk43Bgs36nm%hL++GA;+IMRYd&w zfrGN`q0GEu#ef#`lCwIu24zn@X21sR=E{NOb@fc zmb4|hwwqDgSlKU7b&c~o>dA8T@fkq0(EOiR(1)4KtrJQcc$^voYi)*6 zdV(YuS%)1k6leN-%uVqgIpKh?+0AW6d_OE7d`T%ty^e)DS511_&b2se?DJ@ie45Bi zy(fncZCyma;{Zw8sobIdtyoa$gi^yowDM8RbXD2~m;uKP{)}g00BKz?$MOsTHh?=xZf{A8MH^%m7t0jyD0_d&S4OVz3@l?~t z@VC`2Ebd2DqtHfaAK#Cvrp?k@bWQ!fW%RREamk;TR2(2(zs4iwA-&18dxeab=WZhHjSy$LQh1(!{O4Ijew>F>YQCuXJ1aH$hGB#^ybA zst=W|U!^P(7OlRZ@GTy#xv?75c6C}sDL2r#`k$N^ysX?`YYmF@E%4X9hew$>+5^@I ze1?*_F`p3lGdM}gORK}va4hU;%Vtmj&lxt(=jl6O#$?~H@s%!QXW_44tJR9W-mf8! zDdtY<=D;-9mFh}IQB!T+So=3J~_`?H4iTzg#7BNGO9g@%q6$@*ua+v!zrmrvJjQx31p8GWni z1eKu&Jf{ioKU^-O=;{Vu?o-nZ5{7yT~Z1lHcYbNdC%%u9FiE^uF$Twe)o#J$Pa2F^_TtD zpM3gPZZWH)0DP=Bn z!Lb8)*#5i%D^_stH`i2W>fxB4enA#BNpbr);}7BVkQ1Rbq-pW}&jB=|swAolOJhtk zvKpok-JWNuvkl0}kR<4wddI#v`Jc?~s~*V{^|U5(7Mbs=Z&w(}#En(Asx%>SR~l+Z zt(WEW9vvLXMUx3Pi|w-|;cJ{rgDQBi+FWDh&rb20?+Y(+@H~v&8lP@4L+T%Fh}Cv_ z4Q@sUk{%9FOX%_oc`(28DQ7D{Z`KQK)kO&}_8^xi<^W*n5`!N*&JfxEypF8to4abW|rAbX`Sn$1Z6Nb4!H4HC|GA5a=WsJ4 zu3B5dk+G*jllt}a61qx}o6bQMIqe*(n0~~R&DG_CW{vvFq9yw{e0bf_VRwd~v`+KLm{UDf$a=1f2W>ndH6)nKE94mUvhgeAJ&TX&ZkBrb_Cq`0a z)3-0jHihQx1HYE6H$#0;$OE06>(pm1D4TF@AG#~b@+E%ta~15d5Ucv%xV#gcUhC+h;ZslTNFm@0&=Nteg2XQxn*l^ifsva{JRBBw2^b8_+ppOp%r zW$8pUAD6rmSbuB0$}%PHKcyiMctH&Tc-Z8;f>|@X z`z8)5tkeL%UB-f8NxSpNVVip2WnJQ?Mtr!>rpnf2@W<^>oed;60zDE6PMZv&MkDon z_U$&6exp-%HPgw-x`ma%^S~Q6i0Ro)&l_trp(-Wz?#p_mpadnw^v-(+p<|E%=ui`5 zv@q7X&m#i~ROy~DxISzg*}|X2cHrvjLpJgrWOTzj3H^lIm?lr%ug@=(qBXo0pAyF3 z7_(KXPcYA^ ze;#1-zFlAS2+%GUH9b;zjGZ3U1QZO=oP)LPe2dF3WPb4)FM>$f?7FG zc;cwmb&&gXVmN<}KM_Da99C?Id4JEslSK0UpfVu+0LG0O}NRy`NR zj&V;gLz)}7Mf+lsp&Y}|NzNP2h#DOvVyd zf}vsT5hi8clRL6)j9O*I{-wgzn#WJiE1mbAHjv^v+`Q+p-VS1w{gu_WC4rWGi?&r4 zW_h0iLrbUlLeHRhD|DZ9x!L8gp@JVg0Xk+EHdj(SIApd_Sp@E*iTFR>tk0R$oLqh( zDr<1x+O1&i3r|~1amiDHdI7>HmU>12zt0gp$eg^Blm*^0H!A#|82HH+Zu9B&fK^D# zm1F<+zYGY@jl}HQ{;GvsrfH0gut+B20~k zux@U>c$lU;I@!VeQbK&mFegL<&5c}hoHjKk`_}a%;8!Ck@9g5wc&*UW`~R}Z^?7KY z%XJ!79^|!tMU^u}nzyRo2^f2j$}2TC*sUl*n)*(Ubd~$|Zj=Rf>Bllujb8n*XIPR1b$e!KH^a}XkU*o$FicoEFoWE3W zw6v{TSd3EDGxs(jcp1IYlL|4NUTGjL(0yYY^-Q2!lM`(#<-{wH83Gv|@?u)>BhSz& z_&@kA>5PZLr-?JTqC%?tW1^MT@2 zY8Lqf<9$vry4l`T^`2Kx&NXhVQ{1U*iLYeFhXK0k--_+W+a$D2Hfe!y15=c1*j*A! z@`O5hTrFXZlr;e$cUgiR0*>1kNBa=|4I{0zkwYlh%;p1PpB>vj85MGx_QK!c*sZf+ z+-kBoBPz$Vxzrv%bwB;N!ff*9Igp+9UH*5X!p%9L0k*&E_(F;H)+$W{c|g38p3_E4 zJ@Zmk&k&p-)fG4;B<&mKu~)y~D_D+2-P)l%ca;Tba*VlQf3PyYWZ>Dbk3^3@<=1rF z|4+cZoM<^;h>mMrNQtUba-XQB=-Yy)~s{v1}(AJZ;? zbDHJ|7-AUr6CKmxjkYS$?))%BbIHMUNoI4%$I_)L2ocLd0*dXbaL_$REsD{RtmE{@#Lj!Eu}A*)_NH7JeN&H<)UAHDhkP zDgVG2W%;W8eXqV?Yj6A3K4s$9gw8Adxm3WQ^9J<4)a}DSf7U$oKkBk^<-%>asF7Om zUCaZM>5xfb_SZ{We6Iv~Brh+$r|0ztwSw$t{-mPT(cyt(sf3G|cr@D^F}NKH?zSEf znnn4JDl-?CBj);{a=vD+MXpjq>IA=|O+Rqk`@mJYv*f&IlTxj7D(hKpTz+LWFx_^j zN4m`WYA)H%;-LQOXD)D9))@%B6n=O9gpG9%ZHK+GiT(`DteUH`U)^o;wE@4V#g5Ms zU|F3};!B=B#hr5H*oY0i55xOvUs;*saCM617`E-q?N`DqSFW{&O!F9a&Ny(vvlSJE z)7F{j0fmj#$Py0`V*P#=ys+eLh4W*;kPSqaF_?AeuG!@-{37=w`!0gTkp5XJo$9C4 zphv+Hj7%ql4lZ}WSGTU#i%HSDlJ%~V<)WQEeWsiHXOQ_#;$Bx2R?*=4;xu-dl9x~o zIY|BShX>R!2qSvNg+W>UOp2C&)$jeI%k+%nI9*LGB3x$|UXOdnP(X7s#mP_`gu3jP zoB#ss0R)NG%X8@8)S~wztNod_>As)^G^c>h>R8>`WIR`VMGZ@xYIuiMPtC`Eq<%+5 zJ6b~+v5>~-s_v1}AGb^IAcR6D0n7uR!)|0Bkqa$43AWHGk$gZNmCqfoF7lW+pZBK`$DLKnHi)Gp2H9 zWGKqKBR;5?>}(dddQuYlaC|WDW=QTh{3dyPka#)W*tDF?H(O-IN^VUKd+Dt{=MQ0B zDJm|~+G{eMmTWY_|NW&v``&1R-*#5legPY9SZKD}SV~p>6F|}6OTqa0>1h2T?dXqU z#UKW|W}r5c6o}3pkYW-wqP@W(j6NP=ymfB!u=dgAcpup?gns%?$X2?48#mdig&TGb z?uwCd{tW;F+P;?cnA18Ue2|oW@TZ%~xn@br4fjTe-iAmV4)x-b_V@Pcs>_7V95FyT z2j;zy&GEb<@kp+DOs2VC0oC00+PidH(QK=~wD%$8Z{Fe9r(y=C#YD1_ygkWTFu!U@ zJ%JAi_tIkgN0IW1;U>12p9BBZIB-=gYDbQF)kHh(j^VR*uhOP^eQu5mucSvDw_Evm zG6A1E^b`Mg&yp~8?|~!mT99BS*DAGD0zfM*7<$5jZ4g&-Hkm%C8l4ZUm27kf-$eM{ zBmZnoOjTDs-5&#eguUSzA)(ir&QK3~?Ra!zBV6b^zf({S5$+|I=PND{&{ zlq5qcf(%__Gp_iJFxqdaBxkG~rm)BMGFJ`@2<_U-c(z_w+!cOBl}U`8eoAI!sKW1%_?s~mQNOq+*Y(>??My{o$!j_;!An6! zr&B8$it9XSx7q_$va2E3R2w{*+NFT-g+JBU?3BQ>+Xf*ZhJ38gbSw?+0M_w_7hFvnH zlqG~3%}QR|@$y}0O*4OEo50e{R()`>VEigp7qkJLe8j&^lBMfy&;J-pEi58Ocp1x8 zx?rco(9s}RrM8;)TqY}D8vIb`LAj{Z=BXcjeCZ$$mgP`GJsd86mR1>cCX6Sf*;sU1 zYABO=S!g`}CVTVJOk8Do0DEHaN>S#bQ7tiW_%^M!p8}i87$CVl`7+EapCf$>zt6!t z;kRusPM>6+w`dux4`y9UN(3%WZjW&Di&7U4We4V7S8&**e~R{;9gwyZPlZg4<{F`< z7&>z>UBD@Bu_P!g9lS}9=gNXh|ckyu80t0TtSYeNy?cMX}8x?qi^ttQVN1Uyc#je zOA=I5Ld8n>=XDTD-M*=R@dgw8_+Xz7vwM;Bq-f1Vm2s;cIiU1Wb_Lbgd90>fT;@kkNF~x2)(u)5(?;%lL1cp$U-k+zFH2Z z4~0;1Yv2*Af$2e5e{CsVdgwE}+xK^429e);X>+`czdwC_nwRP2>^z!F54sH&u|#qr z^d8iw45jCh3ibMUkzD<_t-W*{#GGeb3_m|gkgq1Jm6<+#M2`CR0LeW^7Y0ns9sS5T zB?|0Kj35|W(?uhv@pY!@T;#5M4dbr$IKbo(@3uIstHMJ@fpp-XWDDv}VI!5)8P7L; zWyZk--!kZ8`*U&b1n3s=_I->WWT|?EA68~lQp7+-N&o6yqWcV~U?(9-Jg@Ozy!tFO z1b>V9-PGn-l7nae*P&Bp7ogDIv>Q0yC!Fh~;$|+*tCbz{H@wCX7^yuXnVecQ42*~n!Pg6fYh%y>3hKUj?w z*(0Uxj!7B`sYCShP>A_O(`_*KDgELhiT|c$_=2#FtXaIg?u# zM%ZJZVok#(t<{Sw+kP>7jYzG!2W(2J#s$s-A<7ufeFUWZ;5y7<2p8FMPJ5Stm-27S zDKmD!qVTP-zu{IN^GZuPneuuV7#a8!&GN|-wav7%-YP)`b3gP}jIsX!&maR~Y;YACm zE-bl~t7-yPLsxJWY}Z&aH7Dl(64RR1A-_g6Nj~cHg6JC8(^Yl(_3=xT~WK!V?b`W+iWmv z`eTv7bJ6Lh9)hSkXHf>zI?AQ~7V>dx9H7v7QEQ?T3m1F?BJMiZRa73wtHf02X&UiN zOYxyIWPUC&w~m{nvK=-z_FI^`y|w#j+je7zS1rZ!!df5dKwA+s|qG6whO_hGx|kx$>MiO*IIeyyOtU-;gz6n^O(ek9l1^Ws8tnMI5<|a zVEsU0r37%mTB;xf-Xp;8Ni17MYntz?a64Q;U)7dhg`}GOw0u%*^ZOZjCC?w@l}DUL z?|hza>#Mr4Ejk2dGd7DVe*=N39*LtYjGEnD*KB!S@%F2U0q;;gUx1agf|Uu0>sQ8_ zN>;=HYk4&+?HWmE71O_;Vl*ayyIY>}5okVOwU;*Kyzr0m9r6Yy{j~V>LW*o}3-G8i znP%D6U3kMi!&$?jtb?gXKDj#~aX2~_kcLv5#DC?sRzEHMo2{1p8$Yv+;%+@% z3dyH|zA4oOFchHGjEBM;I=tB)7V;0z=r<@88)J&O9uqwa{2u`GKn%bBDca9*`5kkx z(ch;w_m}+Mc3%zojcDjABL5E!?f=HxUnFaPnvB0Ioxh(SW$jmE`FEVYe;#hJ9QyOI zhHn4$i{!Mj`4+{VFzLfU$`o^;T&sE09h3U0@ph*3Qe!2aG{Kva` zaR2?(V|mqowX~mvG95FGFeyRbPeq@PQHrfS|C5Zq@OB3tmUxZ&ir| zm38@3_&tVdU#QPSBvnZ_UaWs5=$k|B@96T|%=6#R=zH1i`w7W0}xh%KjJ!+S0;hev+=kWc==5Bev^ldW=Lqo zw?DaltMTVw&fhL;S^TN?UX&7lUl%`X$Zl_uKToo%#ma~!`jl^3 zhI=w9n$|qBE$g3(_nB1}J%y}a{laur<+a!AFSTiXtbI?i)U(BD_~q?!*@eOnZECkQ z?z?pK;P?;l=cmKgs7umxH*F^TyZO5f=!^7zi&SRnuY6Wp`L9f8ePNTWoH^2bk$QE$ z7|%yHjdjk}X4z=7uKo${T3^e5o`0%jp?c|_TdaNhy02$rm5qGe>+y5y`?`Bfk7?HL zoBXMNL011_um5U8{X4z>9C>}w6TIklLqGJb;d`!|V(m#k89dfbccRT(siApt zIyJ1MHL8w$kJ0IzGcA5)_XiW@$0TW;q9fJK$zp%XO58|RdP#JyAl<0d#C6DVU;kzf zuqq#vjW{(*^*weM=x}n^Q+!j0`u~>f1OI}`|AB1zG7BSRKXQ=Op=hzU`D9uryZ@zE z{uey| zVPF3_N%U=y<*(xS7tYpqWQ!>p^ZQ_F+W_dOzOa@5E5|Q63A4qqjk5gvrEA7zuepAR zBXxURAL#Pkjg7m!S9gM`X}x*^{XLt-;gJ9Fk_7dUQZE15oxUYU7=Glt zj>(+j#W0uu7rU8!fvuK}XTIh6H)(N}1b;J{Zc{&lI1l{MI`mDG(dRKOjhxp?fd9p; z{jYlab3fC@|BozR`c6WbG)7U)a^FP$OcJ0kov@+0K0nrB7dy||>NCtK8aPaL_f64q zo^PbamiZ!8eqVCOWol7dfAykAIFMKaM`)CDEzrd4Kdnj1!4Vw|P8*nb?D# z`*A*3%lW|e;q$OA`gnPNFb}=`FKW${?_WF7^#Om;KiuC%AG3}leMBFN-5f7EJw=ar4eMOrb;V z$KMxm){>rw$@mOE)w)lahMEo^-V`s3njd6zFoqHV>D_xw-VjrjC;9R2dD;Ac{OOc` zz(*!;`fGj0pg07uetp*{y9~A5Hn+( zM{FAJkH*Itl6tI($|q9nRassE4Sir&IUm@JKxx}4^sm$;hdnd9%Ik+578*x#nBB-M z`;VVzb_K8Sa`30-e@*tk`#7RgbI+FjpO?`Y@{0a`sO?{NUebZx(BH;$uL>hcptQCo zfrzw8@271!xc#502ML=NbSVDdl(>s zLGkcIK@Kg~T9Cp!f+B^5^yJ8`Wv`{$#lHj{?))yH9D9@9Wr8j|Knjma3aXMNq@a{a zI!Y;wSI%HNDIc3sU=@qj&dl!V5iN^iC#||Z)j@NwNSj|Yv+wSRR(ZJoi|iy;?vVAX zD)qTa+@+PRKbNxM?)3J07RO7$r4n*{MP+C5cIItK>`qB+HbLhUWb<-Ol6JNehG_&{ zq$~#pptq|m=j}95jy>g!t-BnV=XI6>D-vpBud^oBekk>a4v7($b;G3p4=$}O%eP@d z^Q)ry*DV=>8|XTc`Ba7>(aO83`?gA*EIEFyq=CEeyGja^sFX>Ix{L%L5yr0w>bq|Q z0pk%W+v_x$)PO!TsKn@3RH(d<76hYRAa9Ds^F`yOrOz-weq1d) z?EKlgR4DrcQFEzNqe~^I*<4(n>rh=MgKj4%CE6*p3SKLxXPhLlpy`s*Dp=UxTJ12} zF-Tzeo}h0yO*fTmu6*g_`-1M_99u~!g;#B_OZS%LfJ5mXD$990*{%!iCv^HJF5`ow zQ*5cSJQd+9uH{>ZU)S}u!f30&c-a;zHM7%nVtK?THW%~)$2d`mk=8d8^p{@NC;+;J zB!GPYnW>aNP@Y8w(oP{gW}RnBQYw|oJ@CcOD*FqyCUVMsM@9K%m5l_wTCG_*-7Ql) zujv}ejT8=x5+|zEOoF!K0rcbP=L@4YE~yO?G$HA?oU0Q`K7gM1(dd3w-KQ#PkFwjU zEa&YM^#5EKOv>aT>8(`uPT|ve0=9Z)6E~GMp;<<;u1aAO2B92jZ9!jF-EXSg#j3{) z#Gbg{c!NSxXr7FhlZHn__Lzg3^K|W?A=(lT$jNNP3TwKQC4uLZ;Zn zWgRww1#T>wHW3A8t$b~VH$H47yy}4x2zVmxMO{DP_GdP+p-LUu!8_7BRJ@OHsO?aM zrU^eB-H)V=Z*T&|dUtaAPj1D`iBy&n?G*HH)PbQ_1=bM;o_PvNPY|UMC#*iRF}0PR z>7bO>zadJW9sr$B(ANe)XA<xks%qU!v{hWjR?nvq@~W02ohl= zLfo6Wlo92q3r)Kl#)RFSDO{aghUDwHe6WQLjBu$}A@u*IgGO3kU3j(mPHjYj%T2R; zrUnnm@793|Wp(Io=Qa^g0 ztC&anA@V-o(j{eqYI8waRdMh6a^#DCh6(C+Kp`dcbB6Hx(;CHTCAaW%w{%H~c8XET za!#q)Gi7-n<<=&rgl%3V3F#5q^fmo<5N#IG?@_5eI=G~lIYq5|-Qu0H2S6e>sH_fI z3XP-Ni&}O=>v}0h{|>Fzi{f0h9&$1S)9WCt>1HeCSg{LsHSO5}C90h)s2B1>wB88~ zd;8FOt^}X#Wt^-`@E=Y$Kb~!qGuu}R?coT-6gnOTi5Y49Q3TC z>rRd+A9=K_ZK<6ySYiMx^&Hlb!a#s{1TD|p>g6d&EwaIVv=-URg6Fsm> zc=|$*iC23ivP^bS%62_>(p*<+%y5a@)EyW7`?xJd?;S0^UfSCG(!hf9n78DYKQHAR z64^nrK2|o#lTF&V({Fb6b(M|rg_T6Jo5-rK?C={&x7b+F7W_e!~^i^x!F|4U0k+SWZWPo=zL21o6d1*AyPQTZp zyswy2`TuTR_mtN>6St*)_MHCt;$$+FdcK2ZIGJ0xp6!6r;=F>=C!;&Vz?g4hVc1Zd zAY+5V32*6kIqHf8Co>58W(R-Zo!)5(PUccOpSCFPD<)Kae60cAxjstNG2&=*!n@|* z>!2AIie$g9nX9ysVHLY&*di5_zZIjSZJPV~@szn<(&Tw4O~6i!2M z@)fl+p4oN6x;_`K@mtqD<@J%GZV&zJUNJiPRHY_u%^krGC%kt6Z{XSzEq)^CC~<;( z3j4voO1ScP=oykP`H%eRmcr;%)Vus9a zb+cb6|F@vSI%tIQS5>#K0}ACY3(7r?353-!K>0huu%0Mqp4j$_e+lYxD{St@+mQY# zD0q#=Ns5<*aoU!2`wG4mGF|Jsr@TH~^dfQ7I`R4qm0GfcI%wwY3Yr2XoqB@idjw@~ z#aD#2Z8v`x2Kog($m~$0{Wn3;J!?-^7MlMmC~|b9I&7~WtJ0HqKxs`6OZG1<8Z-(> z?Ek)7tF=e{*QoV* zTKx2tUuT-Pb=^~5>(TmjRtJAi3Cpen_~Yy6yL3R|?+iiNJJ=_zZT=n?hPA~XZN0mu;E(SM4KqqJ{$3Rn%7Q<>+`CANpT6P|BPcWkf3$w6Xs5_Mw*Th~41^&u+H7~SLuC%388y@mxON^r8QaJ|q0rNub~)m_5=m4vk&DQ*#l z)x^oW;=-VCakQ!J*G@xlGO1eUzR0|#XMH?c4^5XdcfCj{>nkr5 zbh-{2q5Lh;IJ^T2<@9X4OK)poZTFSu3B$^w{41io-B+F~sLL(uE6)*h3gNXCv`LD$ zh4BY1>Gl=BQ2q~F*FEJmZ@^)F*7QqXd6r7e-$5NTKP6ntbU>l`K0)6V%|8;>w%wc| z4BryXGl=H4-JC8cy6@~OPZQMcF9}_lh_#mp`aPr6p|p0fpv>REKwtT^THn3JPhWAW z^7m+6_mtQBi?`SGv*?b8pQKXDb#MZI`l?9(MjcREyh_k_#NVF6+U`qE5Qde+-!Spl z?n{mr^gAlo*;gJXsLNdVNbsjmMfdMul$J2_!m|a1GPRuG@Aqo`z!pD!#j(misCC^_ zUhgN~7!kMmJ5r@K?%)Let`x5NzVctZ1|@=x5OhP8qpvh5 zeTjw=A#;@>!QUx@A~UWnA9?7vEDH3u zghs8MV*yrB7z^-(19v&h!|4_EsCoa2;$#VNVsyaCiGpsRa&wj(1BVl|>e98MB`3!S zda%*tP&heS(7e~D^?gJocb;}Au|nwqDz%JSr=szUCRiC{gagzPE2f7lp6KC;{!`V~ zS|zX0zm#~Ttkt$sd+U12mVTiIxgFnuk##Pf9gEhj9ahTkQ|pwC-6fQY`MQ<*NbN>@ z2KjunC@g37+-)%!caFK+vW0N1*=l>VpxhgLz~rs6y~R>mpJEpGuxQ??x?u3D#r z*>GTla#kqf+Ex%X-Z$L~*YILB;l90f-BYZq>rUM8&?&o-HETn$d%?#1(IONuF8`_V zq!xRNon=>-w^|%tY^oNoXkGUdo9mi&2a{l6qA!}OtWm##Yb=DN;ZuuM-e<O0O&$nNQAL)?~L0cDK9J2t_t-yg<-n9BSm?>j#N) zyNdsyQ4fM7Y}xQa;}%XMa^^Wg=nH*WZS0wNyP!(gT}R+p)5?Nw>oT9Vq)AxH=*N45 z+VL5=?W3|=w=^+&H23Ie2V9oG2=BI|L-H@}0_Z`i`^}QRC`&t!t9Bcs-LL4xIKE1m z?4w@YN@{pZ+!M93w9AN<(Nffwv~N{D-wM9+;?A7HeZ{ghnPU zwfWL$RsuVvQ0Ebh$7XBcp%pyh&+1veJAg*sEWTq06bYUsDD~PYXyomEZ74Kes@!45#fiGTtsaw=2ewttP?P^7`&2Wpum@7O^X_KiMw>VQJ+nS!Ewo$A+H zTq0_3Xi+!3jEi~;s^|9<>t)n#R>Ch;i5$rm6`oi{RiZ`OM^`qGHmTF-EK~4~5Hrl4{ zqJpmJG#di=ySb6SXyHtlB80qUPw*9uZO|iMO zXXwI?=Jm3yKbg+x=areIxcg0u{OJAFW0ucfnN}$*8I_~v2|LDCX!29p`g|%chfd64 ztsTaD==Z0B(r+1*(HS~mrepm(lh? z=q`e?V-7xaS>EsH)ID-DZpkNoF`DaexK*_4S^F=e??S zgKLuyv6nIT2IA7u>41k{tJ#yHLw{4 z?VWSZn*r2@YNnvZfUzr>951E=x@NA?+4s;R(f91!qJ$dI_)|e=Y*Eu&zC-YS@pp@0 z+n4%cB*Wd_kEE;b=|_KOBbi%OH}>^|udPM9ivQ5pgu-b(2027k##wM`-1gM$%C8&A zpzC`?>G$fNnkqNW{HhZk!KcYCzb+20#w1AD%a*7KEG2?hCUE3-P z9dil#h{;wsUmQ*E$Nc6Zm9hwjA2B*(j_z(TZeckI@`lrV!Wh=U%hjDbL{+n#Hv(zZj z2p&enZ8^d_$;?nL(LxPmAj(UL`PafGfr+llc(=Qs;FGS2E8SJN*y zkE_RPwWGMAcJ4F!661qqMBN`-*FD9ux(+%{DB-^pXZs7ve4SS92`RjS{^fA1tM*C6 zCn9UR9|8)^teR;!o^-0;i=%tgTin@dcX&B_ZjTpw%Igbc8*hqs>xGD4SoJ@J#q2`3 z%KJr(X?h3P#utsY@gHG0Q$NE?{K9|Rw(-(vdFvS12K{Qt%JhN%vRWClR`VVBXLSHS zVV!+79Zf2XiWoJhKw(xx*|`OccXi>n%)w5VEbx(q%F>KhmQtx##l?C0f!Soih~r~H zgvJwVAd{4Rb3izTpS-2A<|9CnMa%$nn*JLf^hL`Xo?wK4V+jvYR4=y!^Z6K9@bXWoX&kUmZX+ir`_W4%p%!rhk zrLc`Zt1NeP3@^5^fXa%B#1Gn;MRiKME{6816nn*|mi^vUwo5z-nMU3bc=ZpMSGB6=7J_z^uTb-6!fqi-mO&t*-F|x zk-2%>lE|U#0fOrLqDiOv^%jeW+GktT4KL1+Y%Y_YNq@t2r$tFXMR z-^HaS>6`y3C{Hfv)Dtmh;YRJSOM!8j;l-{N69#m~k9%=r7JmoM6=E!H@z9AW$I`rW zsdG;fQejWh{AHU_7U)wdd%5T{YI+NOPNt=~>q;46D~x6sOSQ*Gy{ZdzPRICedH;rf z{4GIkl}}^k5(8x!>EMex^+fCJ;@ZljnE>;|5o6ZNOgn-w)>vP4>*rY8W_2%6UEc1> zUX{5^s<0;de4dp6yS0xNmlpIchmvC>EOubpRs4sK(QEu$Kf{X_mk?IMPHvr?ogF2hU?pe0m2I2{B&1(Ew%YA2HdVVTO1iP?Z+A?$QK?f@irLD;CHF}8w02$4Dd8jRs4XvA ze<9s-gn{|CWguNfmq?eG3q9Cgr*!LLFQdoKQsE=3tA+Pu7uEt+dcU3tSWD1+nLaa~!1K?NJ7|7OoXs!j8y)<V*4H}bvKr+NQNpA ziN1A|EQTH6z-(te&lG%DWr4KQ8^WMeuDxewg&=UG-BV%-ecKF*xPY%?)1Ceflsz75rntDofu%AJSlyw!lu$IsJRnBX z$G4zFS)MsEslPayr;T<|-K~ux)kCg}tJGYAUebbsk%)G-Ni6BI(3gKImWo^rGx?;> zNMrmluN}xAEdY%fo<$s}VZ;G7;Io9Bxu-mnLoaOcx2noAHd2X%MGO;-jBMH?10+Vw z{HyEUFw*+hRrYTkP}-SA(9;Et5i`%${X;f(x;&yi|G;jdgkeM$jHwwjGXGw!qzD^h zbZlkfKWvQsXRId~UTln>?Jz-^wHg$fxP#I;R$oKV=CL|DG3t6syKmo}=^Jo&h8D5M z_I-WE>Wui86qa>6EaG568C|qj;E*HtWY-h4y;=^GIp2wJq8!HR2TG2#qbyINu-1BB zlC-avv~7mUXgQmqdR<~9*jRhry7Wadvnl;57|_mrcaPALrRt=ns3Hm_DQvMifh zYyV@qzoipw`(IiGTt(1_TTtkFN6_JdUeN(%r1_xuRRR69UnbMZUS&yosFPJ{)v`oU z%-4dRA}AK2x`o|qrVnR^x@-%h)~tl_Vd?MpE&VYgWA(}GfZ8PV#~okx^bn1ISYk$h zGpQ^k+9~wMxNwPR&TTt|e<#ou6FpSPp|cI3p}2=r&v**h@WD=~co z?%b@d@}2sN8Ma1j$?wrR?te-L8wtwV{n{mep_v7Bb!Lkepu~uKT5^1GG;bB}Y^Tm! zp!_aL`}vl%@s^dGC!zHe-m=@EsPi477+V&P^_1_Wn+bt;4 zhVu4GCpeHc<3NkjKuB=O&J}33m_J@Qvt!)=d9W%owemZ;zD)d3!|sBDhlJhp9<;^F zdIqx={v>E;-$A?d9qqB}#Kl$vq>F@TCvee|3Dz1-jVQG>O6{^^G45I#ls=0dW*M)) zX<3$b>EDF1#A>#I#3mN>vX-uU%lBSfB`v-sEenF3+gohi@=9^iqmia*469vHSZr^uF3JQa>_A*fbettRUT$&n9hLP$eh5y8GW)2k z;e``cYgZI>&lVI;nBSczPSBB+g%jp?e#gM^Zm%O_rW>7W1EV#@Ox#bjnDEkQ_Ud`e zO~iE80Uoegy6!2juMpRhiEF!~dA?dC*3Mqa%`jWcdqudG6wT|1W@3Z&S#zswl(J)R zy^PAT^UUzV^+GDUf}jVtpm6<7LC+OU=+4T*^@4)BejGhqXLq9=3fDx9*)p!t$&)QE z`^wd?r&`xNy*T+bosIihLa4z7m_>iTiKaJ{6U4;uXrg=@yRjG9B&Pl&pgTU_=Peae5Ob=^~5 z^Ay~0aebMhh|PLW*1TkKQFo%KWAtfj$ymVB9kO6|g5;W}o$c*B{(VC13eMT7wl zKD`BnYt}b^A)4CJ4_#vyt{+2C?kQ&7?dbtr&nM`T8P^Yqruw)$X9xX6x))S-kq#(w zd_>SGMXAYg^ym-AD8de$pF_IvC61BAb1M#SRq`@QbX+VRB0g?XLZR*wK@S%lQMbfa z=;6%%V>+P7@gPC5G{q>D?=2o1K(lfx)O;~|vW%LJR)nLSf@bRrfJ5^YqV9(sbU-uj zwSW^w)q102ztMc9%5L9L7Mjmd+3^H58TA$u>E3Lo=IUss^!H`8(6N^+*LKZe1=lJE zs3lQRY#<6uA^}Ce%xpf_Y7elHr8P3M!P|kfQ+ROUls{jV#g>V2<2&@3651;$cT}Oy zTC{h$wbzmR2CO54rIX)(N`hcGse=<}-di-=Dr?XO6kG4KYM@v(&!6g4zZXaI9*oX* z>f}nl*jXypmuByvhVjZPYJqzq_q4o`cJW%9=jozMKp#_C)}nN(UvK%1v1sRrRxB8y zsKc5;8=~^%GkXVjw2qA(t#Z@41yIUt>}}mwX9uS~$&yN-+A7Lt0oQ4@ZePY+-!Z;b zQV&1(YIG}i_j=Tp@0V|Gc}jL-BL-<*_PLI*e@9kl@rtnxmM1>5x{L_m@O9O_LmaMD z=3-;BsH_fI3LAS+b{B21e6fg#6P6IAWK+lssZq(9AZ*lbi`$Q*D z9qUEyy6jr*>yS1W>ECA^0R4-ge6cMe>x$Cf^3oqsV|ZB_{lT?GdTXUUpL)Q`snG$Iu`9tcVYWml*L4m3>)I;)6laA5o?~vjPYDV@~;-4z0q~>VhH#qt51Y z&snL&*lix=Ki|5pyJOlkALsj3$4Lsd2E{xJ->sJddyj~co0Jkm3KOZU4p|B*{7Gfs zQCY)_6zH=b74+>E6e-{{cX#lM6d1L&BMRt|0=rk*Qm}oV&$PJiE9Kn2|J75DRl8d; zF?u#h;ir;HrFK~F zo>S80-jU4$*Ah)uCjLXZ*QhM-!!*1|7p>hOD0?{#3QY?L>Z?~pdlrE`LIa~U>(lXoD)l*)7Rh;}VRTBjNYNwMkX(f=DS6&TB!ur!<7H$ z)^$&LJ*Bw5Q(T+um<7^%^_6lH$}-^{aC(Jk{#>@bR+&qTV2_@aiT~jGS1LP)_-oIH zzNWHR&+IKIT+bjVPmxist-t97b^SPExF*(m3~(r16KjX5w#BuVMl)NpJ|Erp71JsI z?Tl-#`MS~r9b8W(===k?F7GUh<~2lfdltB;$~pml2(Gd4gf7?(771|Gf2?K7CGio$9LUs_Jmg zJ;QHkj}&+O%B)!hVgZlr(FLo(AF2goz%pM2;tl>4va*h3M-&gLW+NWG3quPyvrDN?Vk(uaw&5??NzV%9lbJZ!!u{faI<=DZ&hEuVQ@m1~%f z+)NT%v|)g8Go$v*S;AJ_bR2WjA9Xmc6idP?|Y?E!V%m* zsML8XHIyG|_WSoBISEnn#@lOOD* z1nsgj%Qq@7RLP5#?vSNL{!{e!wLS}<_~qieGCrm3zw8v`|7oB6gL*^jf{Gj3?(MU@ z9;vXL=WpcpwI3~B3eVSxyA!Om{I&`1u2t!AD&_h3{#q-Qp8S(aHxE4XAM<*3kPKItUUey;bUjJ3Yr##dZ@<&0!9kKaH&A&{ z=7?3)IcoQpYN7c)F3+&eRp~iB?V`~Ks@?N?O5tWRl|DLfbLL3g^uMJAU!s@D9Y(WM zW|GtQMt_UMAX;S4eX+)((kk+^+j)GCq{#ndN&46zsq6iR-G0MHx0U?OR}7$umd;Y? zc3nI#Jwv6xE$t-A?xz-dB^lI{KBwl60`w%3JfNqA+>>fyi=I*>`K3zr551G!t_&Xa zFHI*lDW$3z>nzvx^xP2JXj=sGUA=eQJk_svVP%5P&_IjlXnvVAA@H+ zf3ZF+xNx(E+F_J0B^)W`ty;btrBkJ`&b>$CX0(|HE5+%1n*N*~3;&oNYqaqUzv!jq zai>?8#2sdjWyLEEWuOoF^~sm|G^~GzGx3F>%a@F#%h7mV(fOHM2|ZT$=Sa`<6!)!t zG8`nH-_}?9PL=8(+b7+oG}igpNRo}#vx-G6z0vFG^ah?@_9?_k26}_Nj}pPGFw1wS zc(kV-37(G_iRaPmY_&d~UC(8gA@ac+3jU@*DM$PKzIlC7am>;um#cKApyxA2;(4@) zI-1XpW@of0Dd1jdtkdgFH&4A*&%Fj=%_Xh5Y;iPAj5cE%jn-&AG>YX6T9FRY{ao_ZYn7rb zOX5)AX8q@J^|XGPz(Em^-I1w5iFya>{XFKGk_z-Yubz7GkYQ@-b;_^R<(-?P4A8ZW>y3Rqksm59;g-2Q`z~LS{&0YpLtoQGpQcso49R$<#K+*1pF4*Oqo6qK6TN1r&xS<2ousw(kY2gD=pU9 za?S;W2{(AU9@p0$IWhK7Rs&^@Lk^zN$oLakefhnYsRJxdKx1LU#CNy8N?d_6Y@8-=(n1B|#m@7@x&H?Ru45e%oU<@5Te0)03rrnIq(FD(N6Uy09{;yFi(op$Csj$}jvGXT>o# z-bz#irKCB|*F>Ue;VWu&8>P)PB^VSTGG-GYu9bpzu+ae_m`IRZ$TlSq6(Zis7a`VE zgt+VeO@z>Zog^S>#u4Hz+$2C7tJXvUZvR*2AY1Q72>MRNqzp5eNI;95=1LO_A0c*D zg!u2ljdP3zZB)bO+S1xjLxjNKL6;7)le9Sp&J*!mVKa#k+%qgx4qIvvCfh?L5#kz& zf=P@JA-*q3b``n{ff7gv>1E^*Pz?1EPuD=AZek*z^X}}_0ZVldl#=E+|Dp2XYD~XM z%Tr5N1l^`$Qh_E^%56IL(L4h{2wwbkA#tfTYj7oygBKO?Ra?WMh^J@=703;QgDZj} zw+KHUlv5UgffH!A2wLA=7~-&N5knLq2b$s*h(<+l4YVmjw{)!ryr_uluA`(3o_AYI zn1WK$99*{P!HP#fO=SKRAW^WOfN-{kG z$y>3m2zIn6QYz3`1iJ#4TaTd#xypntb5EncHj5xOM7$o0SXx@i7BP(?3T6{0Y6bYU z<9R;4Yv>UJKTPWhmP0H;m|1T$fY4&T$M@S5ff9@?x30u70twtAhA4tiV!hdQ4SJhf z$lDabND=XjMzNr!sAhWC0EZrriLy}ui)bG)ps~6-j7 zMMON926v{`;8x%{bo{(cF|LI6(LyhzcOEVUd^ac|T7!Ci`MmB7 zSOf8aYjoG91QY-cxZp)=xM2x3WyoCpQV}=$rL-IwEr2L+o6rTb_vnh~EsadHp5MRNBqTQchVBwj7h(RDKVWVA2%Q4OyC~+%j%j3PAPd{9q zu2%K+gsFQ-1XqHjOS+j? zAfWEf!yrmP*kOvG-tiy+Zrf8o(kZDk-f4Xwgz7%n z&q|Pv2P1SjX)b`BpP6*O1@msx?STOl`t@u{4@~NOwr@c|OJs6CuUeWw1L$s9(1iLk zsfd(eCJ(f{A67k^P*lk82N6gnm1b)bylW&ftDsFVbnYU~-yUp2T1hG*WtfRgKnqy) zY=S7H*MjrhdQ#`u#B96+S|YOwYC@w~-hd{q&V?q}=>%3in-~+|MUJ;mu^e_cyt_Ync zU6O$7b*3LeWdNPTB|`9Tl0esa(q%5YX@oNFM-klfyWgsP0q1M$_aK8{rBDPi+O|Pf zLR19n4av~`;u^}qN~SA|ig@f}8_{>%L3aw8l5%#dP{iJQH)1b@MfAo7Z=3F&#x>^4 zBGmEOHRi)2Ts+U`Bt{HV#3=Cqcd7BBYb>-ypq&0uW0n>%7r}BqEW$^R1+$2`2$ug( zSj1c?VkQ$s|`PkD-qtN-n?02VPX z6RO!*ggQQ3(|lM&quO#Ni_PFlnDcoA6D3-hjcY8lMZoWzD`GYl;j7R2u!!k~&y`XU z|G!17K#ahw9b&J~&4h{_?G>C*F|&j*%?Fa@WIe!KfjtTe9gpS&iGy1N6RIN)*Five zggAV9c~nF!^YfV3!7|pBbA1nFiub4V^^dlh<(lGmx!uW!mAHjV1jNsEnT7N+@(AFG zdh0t9ha6I{QN+Ic=pcyqbMA`p@@N5+)z^;a`SgmQYZUsYvxwzJ@i&IbB?9tb*Q#VtZUJE3ftIR zb&iy&CQ`x?sFx0S)GFuVS2wfUncM{N%kzgKs022?=ut_abIL}6+9&$&d4P((EhU_w0O39nm5}eqKGAj9 zPaD&&1l^e(pWMoN+M$e~8}S_BO>Zb?1cuHS>WE%a>gvES_e$Wsp+`t+a#sRfsY_CU ztOUQv=v*M2j3O(5TS#q6sPX)M`yytJj(1d;Xj1}$a}VH3@Ul8DSj}8Ryl@dx|CW8~Lh0%%J$#-NGfbaW z50)@K!wAU+A2K2Nd`zF*3}^$0*RwZ?JwHp+W+8_+=g(xf0@JI@4~Hz$NGaw;&iv zMaj#^BMic$p7IH^Ba{%ohOltKVUb$iR;;Y~(k3`40wys>>Wr^s!2zD!6gY1K$BE`r zn?wI>n(34N%k0!v?bD|B3@wi-xe}zlY}G1D)IrLpNy2F@0~fgDVi+hx%yiE! z${md|T+ljqJkPNNpnavyr&2Cfe;zH?T?xj0%C|E1DKOZx&9f!#1NbqE0D)103+rX% z5x`R`J$NWlkT`6+EyuFJyya#N=SWv)?Yn9O2k&;Y#GRy|E@H#gM>Q-dt;B*G%uAr#6>7(ScL}~*WydK4L zeM6T?#U#MqAI!WzNN)5C%^bKu2%;o72ue;F0+Wo)z%s`@{BX_+#3#H9H)uXVtRACj z!rNcm_(iqnaQ#g79OipQjjp__O>qM=$C;TqFlg?kfcGXXqCHf`In;9f99=yf#|s73 z9&?zk>j#;LOYpt#`I-K^-H^NRfbf%;x zM>s)`(_2}ou_I;p49e=M(8ce6UrNNm)N~(F@_Xyv_Fnhg@jOQfK>MJ%RIT42jJO#o zu9J#L1)ACPIa1K8!l3EXC&wIB2|3EB3GincA-%0Op}jul(_6#mgs%Uz(>Xp^!w^M0 zP?E2%?~&06LeRq=9leY^!XP~ADR0}FUbqN(JBjdb1%a=JnS)|O5_6=^_)4~{h~3Y* zCwTQb&nb&Ay7HAyRzrXFa8>yR@@SP;R+r6P#n~HBct~CH%+nz@dEU!JL&D_0l1~dk4oA zz(^opAOIjL4y1PNTly0Ou zmRMT4rMp|Yo23LPk(O?juBBm@XMg|C^X|UbyJybaITPQRGXoQ6Ku--fvy&o~PNsYR zotCqzc5*@?KpWSeY2Mo(t{2ygbv%&b~1U^43jG`PK3$ubxI%eTJ zeDrCBSpnePuyxyFey}c3aCnFlVt*KZl%{%5TT1HjJx1ZPq4No-%A1PR z8as4yfc)P7hmcwL_hIuSW3x16CQn=qssRCdR2I6O*1V;GBI*lCBDNF}kbetWXXoF1 zGTPE}wH?1f0_i15(yI}--veVEQs|Ul-`qkW^>?6?GrBGG2 zsJ5+thVc=T80Za}rk{K${I>mlNPA%?@z_lhYBM$RZN5Oe1KKSs|lsk`db z6#w8;h-SA5&PjIIkCKu0;1^)_Uk}vsxTZ#8i@bQk(j0|8WKQf0j|c1`gM9u_RTdhf zYxeUqc#>l=&9R20-KANWZ{pHaA8DJ|p%ycdmY~sm?&7)Nt@1gf;NEegyC;tq^_9YV z1HdGq;Ma&{vfp*9&fx7<=f0>>InVgz;Ls>CL{OK5vt#mNP^FOl>Ftxv#d8KVxBvR;Gfi0!cctLe7y6Q6l3bQ zkcx+u*rk+ie51FiQ?>ZE0XV+hf)s!>bm6!U4d>Y(vNnvkzIdDCQl+JC`g=%)#&ekr z;lZ(rL!L93&5oCAqq`YO|-=9(%c^Z^i3cuB>Z?<#ypuIs!iGFtc8%h~^@z(AqC z;(=~-5v)=7?&8dX^MZk5pA}p2pKP#5elAGF4y%8wIw$#DLW{5AGV4NH)#woB3i=Cx z`}ZDY?^r$7(oR$xP{X%0Sl&MFF!uf=eQxUKjzdR^K~SzGpr=c@I_5rqYkkeA=lS-+ z&DN(AoGRCkAAE;47I~S^j4Ue3JV3AXxVRxO(8o4LB@&63%y{>Wo1>q>xfAWt_UQQ4 zCV;FCa|+K*DEsUp+Ds5HxiAKy5i zdmFA|zgivOPL+vM?+LV;-r!_2MNK+c2C)$ zKFhVX6|>fgc-&j!cm2uv$SXWaPYVYu85=!Wywl(F9s6PLCk%{MGLL2kR{A7pfEe4v zE3O6t=$w^)3fI+Bv5qC8%Y;8i7%|RNq9VSS>1FM<)z%X`Pn(l8KAE5gMX6Y5IbKyy z+ROK9^}dE4^i&J|=VFO-_X@$yV{`E>6Sl)`>=R3Vm(VkcaiUN^F2A}sfybMmeSN$0 zV)F|d{GotB_0!>Z^h0AYD4M!8RmLdD)E@RipY zi9rJ0Fxo#eZ1Efu^y7R{`QPumlsGxmjI(={f^~nYY~?F( zIVrg+bIoRPcPM8Z%=I*p!jZ~tOs|r6b;dOLa72vxt!;s30ekcY%i=#X59Rm8VHcn6 zz*FX7vUpBB$CI6uYh?CTvJ?{Dxs|C$wF4FEGE`gpM=7mMDUQz*b1DV7G}CxG$N3)= z6Is&RdvBW><|;x7(K*oPn5rn*c`A0fOVZAg;(bn}PtTo;)O02(GR4^z9$s69vbW3v z(hKXXuhsF;`oKfk^UsUK09xt3;q^V<7J~A$l-zFx}QQ(!U&+cQm$e3d*+sOo*e)@_4w_i7n zgUSac=!P*jHmUD91OBG2!$Am)(m(DJU$KRQad$dxIwke7LNEJ^dd@;aFu?>l%3(Nm zHG(p)rtbI&o|N}wBUWhbrRq^-?3XS*E7E2pEV-O-qyCC}BRE9QQWMcWiuITNaZs(S zZ)mkGKf zrJt@(2`+w1UEoB+p%~9_r>!g*8Wcj2;OVT;TQ)YW$suIQ9C8e_ZbE@_NOpB{MygC= zLr&h4SEr@S7rBa8g)ts#=OuGb4s#X$QuQc{<{vGL&kDo5tniMr3AeUdagayF5VTMMRq&u@JBp7fX-lyL8!fd3{jV=vIeexoG$LJ{31NwXW@Gy9A3 z#~+bXj<>prN9|}^=o;UxDG442u~X5t#8=EUNQa|7%|BLTNF{=eL>^uS(zZI6)HBpw zuwHDE3Sw$I*z}(x_6+J0DjNMh+khh!Q)7~2uF3ExIE$pt5UgfRaq@M~A3fI@KB#az zzcm5-)*_9s0yi$AA8(X8V*fr;5o8Y47AY@@Z>H8tg*UGRMoXwoanxkv6Fb-ZQ)8p5 za1J`5fGQlEH7`vDg?R>L8hUJ{E$EKZz7{iY9|XUhn^w9V{9b%3h_-fAHjS?CBp#9@ zgzb9|E6Yu*lCi0>|1Y1hD83zI%NbFidO@ID_4VHnt1KC198E6u3X3&Cf@9IbEu4nez-Xf;$^I1JlZCb`w-_jM~T2( z9l~A1xFhrjJUT%-B}W$bYOU#Cj$QdO@`i~p z$s7}1@cdh6D{-si0&%<7DhP%Cb+oyg9H=UDem%KG-Oi+}i@c2Olq>{1$bl`98-dZ| z<6<{Q*yYMN;Jjv2Rt+%SJW`3lOD9?wnoM)f=&GGiO{yhj+&2o-eEE-Xk+7YD6j?uL;natinIvL#siWp^EB9 zEuYNPGbT-XqR)Bzfj=oc*~MOtxFaPw=n^^65`p8o%~&O(IqB{pJ{(}?f!bgPUCBv! z7`27K{MOas5C>bKdf{xo;3^XN`lU4Ivm^!YE9c^o! z73$3gb~@l>R{7q|t9`s#)xMMaSU4v4&q^)QH(Y?e5*n5*-5+b z$*I}cK+*%8Cqx{jjLAXg9UJvso2uUUAu|-Q20!MXQ~RK!^*iG2U_d4I>JBIXwPuaH{5!ky#nl5@n(=S3J?Xu8K_c#Z6@3@YUAJ|NvACgg07Wq(a z@o<=?kj z6E`Lu_K#k$&8PT-;E5FGi)HH^JT~*CSmWbe8ZkR}9 z*1Y55#^2|8m{wNsTT5SYLt9WsyTLkQ^pMI=V3bEJ_WM@T!q!KgNQf-d3^0;KZTmv2 zR>?PgU%XA@gvB0Cs`IL5iI}Mm<5W00cF(48Lfsd-PH* zt}4kp^#Aa|4p+29{Eql0GL-EQna5ij635hrgHX@^vaRpaB&!T%L%CiYpZZ-x4A$jj z>E#4CKP0g$A_LRj2yYEWOShqLOr&jdqD{MHu(L%mn|GO(hL93%;muAQ_h?XAVIEZ5 zL#vOKO@4SNSEk|$?6d27b1ZO%{J~WV;U;hK)nF&LDoJ==4_GmI)$3s4OYEd(4tuK>_17tO2x;(Bs$7sv$XaOY?*~YS#UYj}d|nOJH>J6H9c;$Ld1o!R zOmAP#nMXG5))$xygnJG~uV8;+UP0MaZ?d`lIo53oz89+`-|&B~pbzs^QC|uL1cR|Z zMr#gC0AyKDK}UOqmIfQE&d;qaSa6Y#SyboJ=vq7m=uHF%Yn?_|kdDtFYp7~h^n3tY zjK|xySfR-@MaeksHNWAt7IJ}Ex{`$r0^L$Tp} z(IR8?*yxOnP3kljNSA0co(|8XnidT#4`QPyVFLSY@0~E*Bvffk2+DCiS?QRXv9H@^ zv^5!)MDhiK&Ne4VOTd&K@5p-kT#JnH*1l%^60T4e52zHCuPtD>+wInLt;tc8q!8mE zJ@FJLEMF{9DbZAkSEvM(wW`+ItqxS+87Y{r65_da*Z}2xE}8B-zm%bUaTl9M(pt?; zBz1aQ+@hCI7sV{dceN6p*g2=iKsdwi(}la&jv+TWOlu?rs2@G?Ie%!C^YKkdJSJ=J zhn$C#i{PXTnO11D$^GkCx>!h_mxUWejXKAPi+}66aJMj6@g21Y2kuA#uRB!W#iupf zd=zV3mDejF5^B%Sj9y2kdOhCcbownS%7+t&ofuuE{Tj&zmfGK((VU9C@b_Fs{tH6w zgkUfoud%wJz4o|dg!yt_Ig*3P)I{tFYF#2Z}?E;X`-7P%u$!>M=Hx~QLm zWT4zto_V|k?Ur9WW5sVO0#L6O^2C744MxqcRPV(#%+iL(Bv@3BDO78yauKxJ_Zk$R zu_5*7Y}6HAS#7>Hi+BO?|@dI2`ViRT~zrbDX6 z|Efr+1XDToW&8hienDqm8Z`JpB6X(~o2X;CiSEaBJW{%-$U`Lpa>4(wZj^~ADmYnh zY3pKkOk;nX5<`?Sj*jPmtlIxeMH;CkxN~-RQ2gmNMo>cD+V_i(%=jk(nuTka!s~sB zQE>mP@$_l%|EmHmHKbO{)LHj$M_7}lo*l9lr)6@3SSxuqS+{yt`0gqDS@G^~@|u|` zfR;aH^|4aNww~G!m`&|}x58aKxG~V`=QD^nyJ{L?vW5sx8sQL^7v@g6c$y)+y|1VY zVgiV-R?YvcM%UQ~D-wX2y;X5{}J?SO8zRpo>uzCmrJUz@dwh`v0x=#D}1SToq3H6|foS{D!C%?EOoxTfAS`S}Z zts7+_X1ix@;vsTGKCh=S0{%qdd6#WjFeWq}J6Nz*4fAp3WZlAPx5h*2Fb&fmULUmO z($3L~GDBB)i)M;k#VKcZ|HDjKCdaLaCwY`G4Hmv@4az&{j37q0oq9 z9%;wd{wmA zm$t1B^jSk3L+8+O(GW?IxwlD(6n8c2qMZp~qx->T&rUsW{5>Xs+G&a=Q(p4*`jX4E zKKVn2tg={(;1JjQsLvkA5RtT}7(vkI8vJ;swBss5XL0|JU+GBSjr{)26jA!@)bZyR6S;QqMx;`TnIzDPVtJ*@k5 zv02K+=a{C<|1iF2`{DN^Uj_a_?IqpCi0){$=y3+h_0Oo)zFh#BUU$Rbu%36#TILq zJ8HXch86O&n@bLa%Xzb7V*K$t@Mvn&_PE=A&EiRT!L|xK! z#gJSxY)3;&G4aIz&O>FQ&(=31av3gEL#t1&o<5}put>d5TZVXS%^ZkG=bbquxq*pj zmp*qbdFWu!!2(mRQISviO&mwUz*wy^!9#@uZJ(9@$=on2(YO(T!7{yFaO(D=6#*Gv zS9a!<$L3eRH_&__Ok%}17O^rSrPN}!@NG-rkwJ(6PT{*Bz8ZUdZ&DxMoFK9+q;hQJ zH#e!@=giI1eRpTGx7zf`2Ynb8Uk@4{cNu&`Hf3%k+yM|8sfR!FNky)8@m>)qidGH3 z>EiCS>Talypy0r&sk42&;~Y&Nq9;m036JVXo2JOY-9~S(4{0KUhuQV7m^KWuHJ!q5 zEsA823d(v6YEFsMjqa{ekZg9_yKt{C?7nFw@4jc9^S3vr+?BQ4vU86c4X9a;jq;jt zR7G#>mth-iAjK>L5d|t*(;b=J=k-8K_w7?*U>|8_65LGR(8|H+m}g zGRUP_QZund2}5%OQ- zY35p_M4W-u9&r!l8t?FKmTMif9vR?Um5u5ET&Qy?d#cu`%sBwmNd7fbAio^d_w<01 zyf21ovS&TMURv6jyQn5G0TNVYFMcNuIYt|88rJ!l#s?Gc%St6pMxPLcOAVp47#U0} z6a|UUU@eUxhF`f(#hhQBTZ@-vASK5ruJ!8KOb7}5)80i2?*A_OEp^U0%k*H4a4u8< zc^{QJSM%Bhu<>o$7Y?%QSp}0F=%Ayu#LD;6i(F>By)pHLpW6Sqdi&)_Lu2}68vMBR zenFXEyokqgCyR-lwH|p}eUjs>A{$m#M48Qu5JxWYN^FM~8n6;RND_ElQ5iE@qZSXT zbQK)pns-S2g}0HCP|chdn%OpyBG4eKL+2!mnlWeRogt>oCRUJeh{d)}p!IB<<&s`~ z@=*2Eld!e)g%1Rb|Bn7C?hpy_>i8K_B94J|u*x+FTv#EPhq^4BV1a;|JbIG{V;Azp zk+{|QmQhzMMo0DIOliCClWC7q;k7pj+s>WGcG4WbRC>P}?vJ9X{#Ho-B00_p$9mwU zHoPGPm&^wdh0)9ZhK(c^$Lw2&Ua-ltmAu92+5SeRDEW;3`{ao5Ujfd_Fy^n@G>m`h zTK|DI*1ME{@w2{a5JY}<7f-~^FzQmlkASb{hG5YDlZ45HwhmMlxTf@2I_v24zt`<| zT$hK5Fy6Tl=EbW@IxNg1@n4!Z{t-3PiauGk{qHR>rAW+4bxW>)5-(>JWEqGGuj(P1 zANDQ_ant7wan?dtJ>>r1j_?TJzqTR37oBb^s9l&ZrI#ddTMzldGc){CWOZ>BH*YtF za`L}QqO)2c{}ruUK$U#ort){iJWGQA=L!E?HfMudUbiCpP0d&wCpk|iT5%-#pIQH- zqXNAxBars|_9V7Wb<&zJeDP-$I`TLR|3BUb0AJB%!53LL^KycT8;+NUH%mi$habn3 zQk0tG3H+i_I8C#e=M_Y_6O1_?DMa%9^xK=7Lu!1TI){XQW`B_;_^2ezV5Kw^gp8?! z7?he5e}u2P#eDDyYw+DPd$+Is&RWhmIm_D5QJnlUjumm+>d6lFTVYr`T6Ci+oihR* zpY?tE3waI8+Hg#SSyNtPODBQKhEnQjwAO)5`qf)mXnIS$^%exHOF7CZGyI!)@s1zw zQcE4*O_&mX*%!mMvSH0u#7ut*Su({`eWGQaurX9o7UJM6^;6u3wIF`~aY>Y`PGgCJ z0$fxu;py++b%Wo6UB+jv2K{@?QKJ74FOrb+(gQ0RHVhOQ-*vHy$|8C|Ry}89pADCu z>9jwo#(&DpfqC2S+zz(UP8ZryO5tU;Yinul2nWBTcZt=<%T)M%{>s+%HdedsP<(my zX?KC!TZ2;av-T?Qk{QKiK~;3<(_^Y|^8^`prXM;aUVctCoa*eM+F}p|GA@_riE}kR z)pd8;P5WfNuBpU*5Mi%h)+(EW9?n#Q@>f);_JmuL&_F^TprEDmX3{4100^y>&^Z&W zXunM)tQqbNVSIHskCINL<6UBBt0?C!5L3R{nE(4l|EZ)@KcMEaO5|-5!NOB379%g8 zR(V;tvtt0q!Vw84wwbtm06T!5qdE8W`Ou~QC)%Vj!*ipYcIUFznvi0xWr-JECOAg@ zjFo})+EIlG0}XBF`;sBg>^EOsiv(UHjI3f;BfCQgb;MU#zd`5Zh_fi()6lL<; z+GpRiSfhv|uIP94p)|aYojycPFLfUkPQD^lbG{_wC4F0xF&LHpjAB+t_dL(;Q2bl= z!}E8QbNcbFt;Hl}eXW?WI-QB`3}q3<-493E9>J$`O{m>1#iAqnCl$s9NF85pHrR=- zD$!dIjw)y(!WKyd@{d0Yxi~;QptUD7;9;5AQ@}~zx3)zNvNd57QG*lH_iIn(bggyZ zw*(gS11dkDO-Y6l7Op3T3G+|ft#vvcC$FE3zZ03(+z<+Wlq{&W-Fa)RY;Mxt$oX@l z$G<@q9D88f=BWab#i-Z-o=%lP+ir3OY8~vkElx&n+Y{KhXq6W23|@D)7K6ClPc|vm zo>1Vc1-)|pPJd`zu+5fe1Oa%1>g^0irR%g$1pY;7oHA0AVl^C;Gq1N#rs2yC9Mt14 z+EU%G2Z|q+R4bn}Cgw9?R=q%Bp@y&PziSJ`J8rG$TKg8Z7Bdppo^Vjx1Al$4b*Woi zy12i$>aFbtnd;e&0M;Uoo9Z!h>R5kJaqH3Yx5_tn6=0_y76(S>Z(dyBP_8}ID>y?l zLJcKcN*AfQIsl8@C1Zi-TZ_}ARku#4?Xcz~Lr@_I@B*#*kJL8ZlV>88c4YU1-(;WG zr29o>GYd{fU*a1^vJD3u>wtGi;-ys=$i2KlAH@TV9c6!y@aog9kkQx%O2JKOrrinI z2TOmj>Dm5wZsqp5T>Ws|@<7HxFn{JxwH!hq`aG6k>Zyr6RA^D2#VMyzR6)BxxN`1` z^Y76)c6P_2QA@rL1+{h!Uqc@a$Lc0zlYAhj)CSomn(SwpunIGVI>dsO7o_p7za!u_ zsK0n+k?%^=Ao10%?@Gn9ZVAJKQTxCte`$(B6qvw|>z2Y-dV;gmy|sULm;N5=$2H)J z)=jY#U5;ClzNmZBB{BD|d9!sv!3&-8A@yUjnxb3ywfu}9w`BO1Z^BK4-rVJ_;2as7sonm)PLPPbR1pxwz7<+Go5M0`ZD*6Eb? zLXN4!4SIyMgkI@NdcKN2$%?^dH3)E*(Gri0>NYQ~T3fJGUB(W`dv|f~cEt>)D%va& z4+ZT4CM^8&S~R;)yKgNFHks0jQG*=|0+&6Eh2~*_YW1f}yaDqAyhz`4C!|}lhzmz| zKVp(PiLR6tsNeqjfca>erpp@8`5NY#!AJcqC`s_exL$UXV9`LXVrKW8VRCdiPk2FJ zYcYIF0hEr=x2_Cn9JJ0r_4IIUdJBW?4LmD%N8LkGYs3sBq(qpy=;eG0*qxUytEyY< z29yfFX5+B3aH@XfED7)FF@-Qr=N znrtcMf5Ywc!K>{&E$?IG_LT?E%(n~j(=CNK1usP`{4wk!$S~k9{&N+myarQIVoIfp zc46a+7cNHD1?uF>;z2CWq9EM@<6~h`R+4W$JzfSS3~3K3=}20a7Hr(*cRWxn29-Ug zb`L9kh|4J$LLHx8OtM*deO#pxM}y1zPo`G+k&E3<#PYi>X2g};oopF(+XU5902`p} zvwZfnBmFTF8zn37#YGcw4;Dz5M3Uk{J84D(zis-CH&lEZ(2@oV_T_GpF=RQ0M8PU6 zr&t<3HwxH6NmBtuwE~-Kn>kC>fE?pt(h2bV6Ef@1M=`_#Dado>+yzE6@?X(vDlAO^ z2&C}-Rc~f-ca5CR#2{C1!)#3T-bPaUz`pyPbY4bEGq*Xla)Guy1o|^H8 z6b6dde>urc9vsMhWb~&p3m~LlkqLlV`fvohZ1BacnAG{JWWJuZ;u-^52|0c9hO!F@ zv+L*UqCHXhm+~o#QYa_Nh#2hXj&cdv_7G-XSgeo>kHCB8eK%-X@IgRr_MFjxE)~Lf zH|FKU;Tiq?WV*}~=H8wHb*B{1wxhD;wWa0+a!#s7e*gBFceSsQE12r_w$P4&?AN#z z4AwdGrt0(Z4e=QN2uNA7b>snAHqIC7fbIfy9j1%JUvQ&G5|5OUY9Jg!620`S~5! z?1ns7SitM7Qd^n#k$`K|;I&%K(^cP-lJg z9If8`{8=1b8;PQ@v+;)I{OU*LG|uOYO-FhyD+41RRWp=;KqbABGb+c;`e~V86}_YQ zw~w4|rBiB=GzMK7f~L+7NRY%P2B%HNbL$UHj>h^?#_5AUyr4jtFE&R}BgYsfLNLP{sug93 zX|nM&9|4+tsG|{YwQrOivn9&11RYSs)tdyL;_zdIq(@}na*)O;+T_0+he`ns&8(0a z$i(|z7oBaQc#_c0R{V>mU@9Qw9NHVb*4AASbcz-Tdrc@vkzFuUY_my`Y|Ie&oiDx^ zu$-7pqe?%A1~%;zErRA+uzQ!rHg5;%ct!Xt(ah~n8r5t(#xe9J0!g8Vejn#)s|BS%4Bjp*Qqtcj z3wZ_ek#X}+)eFEwReFnn z(rx;=cU*f3P%iqqn~cz-dSJ&yyx96zQ zdcMq$b~&MxY&E@|wU|y%Yn`SA>wcxvmtj{4*Us|J)$(3mN&)L!I^$yrxCIWRn_WoS zua|(oj%O1;u{H4~+ZDZHY4i`J3q8I&9(9xh(#qM=ey3R=iRkG0X)cEIFH?&&omMN} zP4m?bF*TkqF{})`LHMEkuayJ-vnkWZ*S{6X3lQ_$!k#Ut*QJc`WNKF)TbKrtrsbgi z#nW$hMK0XJj0J5Y7DbxugH`J5y#_y_sZ?3+ln>058+bG_6=EGBajhSbn&Q3c&?Uvp zH5K0?jpJi8Sb`)Tx|M7S)qx&!vp@;~m+Hn{mfqS|bJvqgL4%XTlXhVP(|XXY9JKZo z;CjLoxAwFPcew6hi!O`jIt<;9K=-tq1K${~6@M5yTw3gdNlQ6)00vaD&YOg5Qy%VBUdToLgW5Z^4%d-{-^Gc&OMG{mvIG7TT}=Q87dowq7x zZs}`laJEdZ&8Fe4*9Pc0EM@-X3(n<}8p}1KT2uG%R-{kDcS4Fz|1DtEh7CB8Ql9>@ z-r8$$-TgCnv3jE?(IC(`=tQLImNL8BE?{%O^2#Izpl<6TcKK*D#jx>Dxw-RLNZX?h zW85y!|IKNA`o-VW(8s#(dCXW({+;x*6P(z5Q~lu4uN5rcT7=g{ejl6ExC^xp;bs?n z&1;jRQw3FzoBKk`Ys#1Bzim58e?G!h`P%uBLi=@x_b7MoYs-tQ;tgERB_U&>&v}$| zUL6SKn{re)kC>WOr*k94NQ_ek<&kyT-mDoE4$%lxkgpYrxrmvlO2z7=^&tlnVFY&) zfV3C({R-r4LXceQyW_=Pqt5cZJf{0`7$yVHv1bnnHm>pASH3o5x|cn82H$VB*|s1>%pj-0gNf4a zR8f+fRw^5vpsyMhI+UFCY>;eO%`Hgl4&=Rzwl$kKrnF0-M%`7MNj4dEiiTp+L5eJd zHI?YSG$g0^@zf+cP&bVZ)TpYe%41gVnTb)M_>yFVM6a)G@YAaq?pS7nObk}MVXeau z>{hiH|2jtT_L3UO+Q)uy{0=0NbsWqWsu1S1@D$l|1`EWgebuN1dC3{N9F^Eq-QvIx zy6*hbiEhhnd3b)azW%jv%vwPc7rF<*4>9c{6Rd(RB_(XY4Y5TWjSHSC+dRQx$bkpp zK!O*3St75SYWZAwv44M>tpn$9dR?-(>A%&k&9D3R&50Elk73fC+QZoC;DqpBKLjx%F*EMK5aav&25NJ?6sK0tfi3DQ^&Gm-)zV# zCOXtcGtaazI<%>D9#IrhBGGf1!f?X~x-;^kthnjayGha6Cg=*1zJ1K^k{Fo>Z5>4z z;q?7^Hna4_@DXi&Nf_BJnTYx6Q6k7=IDY>kgXEdKsXjwnp^T}oi0vXE_Byz7drfvx zL+b}jwxwh?$}vTTrit9o#y=_Jq*L~&caW2=2St;|e>WBptb6YzTy~tef(@fBk`)ru zxnalaotU5~tzQSH9S}GrDl^r$1}qsQ@Vs+ryc91bnwJZ>iRrEB^^#XX?iJ$q(m1tp zK}K4*+m3JjH^4-H!M}s^9BJ=_O2BRLO@k;pNKZn_WQb=0w3X-NV`92GK(iwM)p$kT zvyWAOz0SV()BtTy?*l>3L)`M$c$?U#7o@G~kan`f5Cqs^y8^4}=u=5s=)4i74oEGU?q#+3eUHedM5f zy37hZ;$RF+X*s4@-=|c*k+EHWXipQC{1Fs>E58i!o62_(`8TyAC_ap3F$7uj zPJR1KL^WG9yQv_1@t643r+y~IlmSZ5QTx>^iMy88qNu(67ubD)0{+%4RIAC}Vr^l< z=nzNDK`YlbAQRR?Dtbjc_Rgdh#DomJT3n+Ysy^E%Dwo(uc_~?0TY4!SdB%&cgyATe zW}L4a=Gpi#I3x_+RE#DQ00#gD&)SDd&&*PQOz2gM8Z70?9tw4c>lQiUS=?x2d-5y2 zyIVqKhJ$Nn!FSQ;%PDFSo&1eQO#MlE3+aFwNK>~UpF})kAVy^<1 z8~f*HoQNV}C)0|lG$W2ym^iy19*3e~PKFHW9^Wi7~ZGH5z2?+~mA17u=v=k4t- zilZ@-4U?$lny_6UR<^W_+X*dRi|eI)zJ$>OCsJF6$2}v*Fd4qlQZsT~*&7Zyq}=Z= zZrrimxqHV>ll5_%I^7Lo+F4XQPeOy!eXL%6SnM#(cY+oUuGoAy_(dc~&{|<$EneFf z77Q8QaIT>*|8kh44y;ySU%!gKJhBvj`KMm;vUFff>>%P(baWwnf~rt+wa82~_UJNX zs17W;*grp_+fhHyef~8n1-`OHG1$&^cDv|Ehe5sVm}{-!7Y00WRFqu?c9a8MiFF>!l++|>VTbhG2B^kVE7hvn4 zRb}efvZ1A{fQ7qz&y&ppL+BXWU3=&@RL1F?!RVoM-C+8HtGk$8x)waAGX3zYHF;^n z^=J-K#ZP?=17x@6<7B)R+Z^VV50g=@2~+iKj?ircKK48pu}4A+^S0Q%;}tkJhSA<#P~YHwjYNGYHux@Rvba%3NX2 z{OXi~Rukc@VjXQ)TB{U_mX0`LB}rG}Nr`ec`z;hFfg*DcbD<~E_tE9Mi!e8jSkMBH zDkUgNKA-v_pOE*pQfrE%YT}X>y&U9t&xG1L4}G?0d^>2l1|IY7n|<5+gCc5LczU-J zHY(?k+Y`AB)$LgGi$CfrzgL4mRx8(a$0V@1seEldm(Qo|QkIiISKCx@vL#j3Jq{)d z0@+11Ima7Ey5~I|tg^0?=ux&a@?{BEo}7)r5C<~YNKrMplTy>o5s7BJ`H|5kh8++iy>P{w zCAN<^nejtl1j|GWS-lF?-q&TR$z`BgVf(g3B{b0Q$J0q<^1vo-uTrh8%K6>u>g4nV z$J(5u%KpKMnhSULQ|Y(AX9O$h6TrG>CmRgelRrO$^0zf4-Qp@txt_b*ALdHZd#J%a znjF&(N$rahUgaR=d1{Wdb)Ji06DL#Fz11wI%6d$td@4=pSV;FUdeWeTu_9a_{7akI~Co3<=XT4E7*0p zqHuox(M|N5*~_tv)&L1Y(5#$yfZ=Z6(4m+C)UW0;fe(1=QDixvsgYtrIJL>lOZD_( zTeS?Cj!U?Yy!3nWCb>fGod~KcPd{B5I%jZY`kg%ZSLG`FdUT-ZS&cXg)ot%ScwZKC`x8|0RIECk zaX5hOF5Wpx zr>{(l>?1eUkB>NZ?-GNf4tcKd8v)oZAK{zG6EgnIq=#Pi0;N z{*R*GLQ-d4CM!3SUEle=8~9u)3)HnyD}_%UJ!^xg3GTkepp%bUPiIt45rl!y7Vmlt ztfoE6Y<7!t6sKnauU$Jae@Q+lPd6Lra`yc`=-M^Q$-k9Ks{t#t9xg8t#fADm_g`r^ z%s0a>aDT89Zg_`*cU@}1tGe(}TyC80RM<|yMW)E+o&;e-u}z&jl}T^k)tee*Pv?7c zHsBoq87Qv&QIEkUd6Fm6dVPl~{nH=u;QCZIFRw#5(E(1H)*;fTI8n zIJ4ql(M;THD?$3XhBc5y)TO-*g!Qp^asi0hds=r#0yK6jS()E(T*6-2VVNe;_{+!^ zcz%NM@*;gSeFb-EB99(FZRd#%!(bxn&!~0aa1-4ZOIfjcXW&MI+%#9d2`yB}q!tXy z%=caNImZ>-6r2X$-D{vc3c>i7PGUeY2P6#5iPTPalU)dYCXT>^*7wQvtbZB++ztUZ zr#Hv9gJ%bJ1p{Y8y6s9vU#avd@aHr8(|>q|yFdrZrRR-SgB5L3N^> z_Vcj}*?5hj?efw?pex##a1b*sSCieCR>Y>B7)Z;FHPWd|WFd+6F8oVlCCL^U=$m_6 z@#!idaulmJ6w(*o^lsvdWcP93OE-T|o#rbQIL)BpC}OSd%QAGwe!cFlq=}-3qei`p zQPqz-ag8moC`oFU>c>$d|9wDd_J;j_{)>q4Mn;A)y>rc#(gUk|f5CK)y(qx&00(;1 z^PHdu%};vxb6reg+j>>eH|_)Ldkrvn5Sh7zmGWR z^p>lKKjo~uVALgpnDp8mUX|#rer{{5LjAt4(^W$RNW9dWH$VXY`Y8RiEbj4rBm80SJ#mqBfqpp>=*WM-fj@u(%Hq|5} z&{|oKtSkM|P+fh|t#yef3*%j)Hq4W7yH_#vKvJiMk>`YEmYd5Ge&EZw+C8I{V!J2c zcYQt)!eQvU72w5@H>UWUQy z(9R0}wz0EMSLAcIS&MdizZ$HlK>K65=O)SJrdUyTRCoJtmfFi_ga>4pNIB9vhhnus zM)B%98)B4hx7_W}Qs?IfF&%ySB|>Th_v9odk)fpo5)rP^9AbpDmm-y_TQq>R-u-F9g~GV_O94 z!9pY}q6!z$`}IurWZAbRu!}5k&(LXBfCa#OV=lskZM0X_*_@WqNhaL$@5U#rL!9>aGscO)UN0#5z$)6yK`6i4@9%_q>PV_TMIBhE z-b_+Q%qwU!Sa%ibFqsd%M|)(aJoZwzZ_HRZXPPqM{jn()47ozp-2VQni|u}|0Lvswmx3f#yBojf;``rA>?e9fTvPle6=Y}O$c@- zIxa8^cjHX`>a4pw^fZ?QhTP zA0Yu`S=&gSGUTL7^#UABzH$0gD|JU_w4#R{8!xbxL}$ypYj|G}jj?+HdmyY^gP%j9ACLB5NvH?bZ zLFW9F%v86Ab?2`UmACC#M>yRUHRd>&K)#JomM>6#r2?&SUEz5jo6j5{CqU~6;C*=< zKoZ3bWoTSQN6Kh1U!1kr#Y-H8^!;9dun#?zR7t+e3z2*cd%<$id16I&kB8WW=vSv8 zWoTpX86q`f9Zm{m$7G-Lw|q2*!>C+m+Q+UWoZe&Z&RpSXjgv>&*jHXEqy z(THHZ6h_XxnBFdXHI%x)ZGQcp64&$+zJ%1txh**n#$6530QQrEu-E+Na-uZ#ty}{e z+wo(9Wfj!5R8K=AbR{=eLf6q9P**C>dxCAq2sRZh>4O=#{K}AGHw=-L8r0j4E}q9c z{-t@6pmZU2lvwT8STRNUeEZ>%(XEwb%bHKsPv{8L?Dd_U_^}H;%+hrl=-f2Lx8E9y z_@Fs8au&r+rvjb|$3>N_R-nBbVd*`6f1y4~o*@d{aPWo zWKEjanOZ5GD>g=N#fpi)(8*WiQv<>|+`+X`{7#eL1+x~;k*q+uZA{1a;eQgzYuJy` zM_si>NzD3__mkFLF8hTKoNxTYORH)V!1JY-4ktaV7n7Wox#QQEfA_8i1Z6-1LDM5^ z5+Fy=Yz^}hRk-eA4In2{=%cm4dqa6Dt||g=Stt80)MM2|K{$+9M$e1EHyl$Ks%d>W z4qi9OO%{54j=8Pd+r^&-S^wm9sDB%Kk9Hd}ZUt4A*Y4Iw@N>DGwpLp}2)jH10Z$YC zv*q`3Q%y@}npN)7HNg!{-E#Q3^oW69d|62H4pL+HPbfF@9T@n#lKl3^bc>0g89(Yh zLQsq%;BpDKp zx!sgT()nk0Fl$x2hwV9E+-P0ASl2jpY1MN+i4mmQB^w7~9dC3TANDIgk`YGiSS+XH zs9{F_tXKV_{EG(FLf; z9$7Y5LokVl=C|(W^N2sby#W*sIB-{kYQ3=AOaiWBgrobK5G5hD<6wDZ#0x6gNNV^oX-45)9lp4M*vMF0Lmw@V>iecbhI-i(`mcEEK*SwB&IS9{NLHIb!r z@LBD<={wYJ$;Og(s)ZzK(zPS;ugl9-tN~F@%1XP03-&!^x|U+l_5)A%vi=EKWJOBe z!|x1S=z9OXL+&>*v1p54cuRZPrs6NlIaxVfbGxoXp#&sVG9lz3&;nZiHfKFZrU(!0 zW*vZLjxe>KkT9K`VG`h1qzv`IPx;S_H3df0X50g8%seiSgZXf$JeS}T58AcgIOQqo z?Z7UrClXZk>AOv?E6|+glw%9fnZrV;TCztLP(`vZEJofNZZOEwn)i3X?<87^j=z|! z-qCV`(`$y{R_ZJCr~rp~%O>S+J4P1k*=*gtuCux1y=F`w20i`2ac=i(+VP`~28a^U zhLh^XKT5dO45=tSAV76$7TD&dy1}X;?=~;zl_9n?1Rs{mEkA;PKBYGa?{AyGv_7Y@ zP{U-#~QhG>pK5o%f!f&2Bgp+6u|n5Nhb(^vy!M4sGTZ6>;t8f~>X?FU^O;?7^e3U40Xl@HTAMbjWf1j?MZ)=wp*> zG4u$-CdKDJ+Td=F)dv@*#2dc7-?-x3`=D^gTB1OATqwb?9)FtLBBfd}^kdqr{$7lu z`WNC$-Xy+*E+zXqo)PxX{jNIPjZ49Xx8s$odjkgn%hQZDZ!QIWw%&RAU4o$%75=`f zd0&JY+GchfA}fvHu`4uT;M3yYen_cY6Q*qyAyM*)J4eaqTIYdoLA2{?y+E+KG*>SiT<&Ow+4^3@GemD-)I4YCHZ z^+Bx^-JVdeuw&Fv9ein2FDLJnKCHk~yn5W>dlLaMm3;UH7d{|W7w?x!5DR_XNa%5zR`3!54<{vqaPqN$1{&E`D@Y^f_(Hz;fdxjA zwdnN;2&_4CJ(2t2Kq}!yU5Gx=puLAJ;@7bC0fP8CtQ<$k$T+Lxd8~Kcz)<@#bvj61 zIX#mbKEAwM8%5__s3tob$9h<;suZeD$I&@+;_J+X2kl7p8{z+|Ty=tXB5B7oR?omf zvPT)Qk*{#Vmq2a)K8?doD)>wEKMI>(st~)NPB)hlwO8Q^E1|u*(Lh@D=cn)fpORFU z?#DpP)a_tN!zYz`SGAegmpIp}x_^{gN=XZL)ummr36KnbSkc+(vER`j55^w}$>vyB zs?6t($Vw`!i$Q)_1ZJSLDb#OpJ-9`=xr#pTy7f;KIGIvkTl&@#5jV0t%`T`_A>w{l z&`%P`@h-wx&-mlA9(H=B~F zacDP7+KPhl0M?K2MyLcq2#VO1%b=o$PcJwgAT&l){u;GjPonx!d3f5 z2HE2Kr>_C_ec(sG5LtXgCbjLXLJxi^S4L#m_6Jt!E^3@;VTI5u;YqcIzyySo!FOK7 z8Cncb5A%%GRv{; z`NU8&QI%mp)l4mKbN3_q2A&7`Lenxw$a!$*w#T`1q?Ow5;41%2^;WkTz?#VsyZ^i; zSL@?kai-H0tBv9DBz213wK05rn}7=*T>`8z+v^pd#a$a_@L#Lv4!bkLsk`wC5yc*{ zqwbLC3+B3JizPbaxaiPo<``zI0@QZ^{s=KkwHDX=SEuX)VwtzVKr>A+pqqGJ(&VXO zpNLL#e2j%tj4*fILnWUpYVGL|7%ZzUcHd6~*@m z{dU8^qCC0N%TNs2>7zr}0dmz{6p|d)wia2h%`lkWOp03Ayj}ekUd(&j>TYfA9M32T zv_z?C@m^eZO~0iRD~J7s80J7!-Vedv87%h=|k`(qEeY1H?3?G2~YcjxB=Y z9;sw|u8(uPfFcVK#$aXiER*$tr;1K>v!Xsd-2z~Xl;wrl)TS{UPD*w={B&=ES+8wfC(=AT^$l_=I2lGXwz3~1D-qrq~ zx55eTie@>U{oM~8-HkNDoX~j%UVYo3-U$>h{S@71rqb_ zQhFJ6x!NjDVf`+4lo1-0nmBily*NLcE_DdD3sK;1w?-lfRA)q)pPnge~By4kYVb1l)eWN;=BbRROg4|m8DjWppn zAH1K<&@A#>Mf;W!$EDO(T?+*v#gB7zJnI{=5?ZKLMuaF(AtGabYxa3kF;n!cy#a1# zP=x0=mma>V#fjfaufGNf!REZ;h9(Ss(!GJ1CWcli0Hn980FR`Xde7P+Eaq)6Az(~C?IYeFnN7fG(?VH-XCrn zj5QTDzlXL3J(uUOio=p`>$AB+0W#S7SCp=@hVSBa)<$InP)1>h7`JkZz z-kfie3Ua{PRhk{geivi4yP*O{TuCYWg>I+Wb@jw#0ZArhr^B$Pa@wIi$>QtKkwHKJ z0{jSZLhE^B^?KsuYbS(fX69gQ+bi~4=*&fKK>e=p*4x@u-ey3ohx?ycVDlI^AXl92 zmNy9ag#BC&y21|^8#WP$EW{pcXzL|jfWJ?E&Lc$7j@#O z9)yR7&c~>$%tjV&ej1D&@6W^v82DcSLQT&&#=y6$;rsE?yTd;TUcIoFs$AQ3EzF;0 zxC@C%ZOQC_n(wac!Q!P(D5!|eRuNX@NtXsEvr zHth36Afn)T35%{~nc0^tx48}!J!ud0)sJT>-iIqIyI{3M{T z=UP%*$j|0or~G~2H{^$OeAOT906#;E?bbyT?9Aq;ZG_50lQYBoFLS9OFp2# zWYxx+Sc+o;p~&O%qw{2j2Bu6Y8{MrO&M&JS38Bp%fvVrLdu2M{s@fGT6F28~YG}tJ zcy>Hc|JMmqgyhD^oBjz%VOCR_N80-gTT)Md5JKM(l0@i$lr2KOy}1~ebcVDN;{U94 z1e)9tu%wh4jt@jJI3i2wgZM|3Uy@DzaSD8gP3&y~48hp9Lqzl-Ljj6ZyPdpiLvEJf z2yYB0cZ!m_oTJ!eU$)L-{J^Ol96mYJR-th2@x>BKxMRZEZrs~ut$b&GPC6gaZjyB+ z1o5hDf3Qj834@`%()E?RA5utC3!v^+KT*U=9jyg>u64W>p7fzezvGd{5gWG`wJH(h z0^)v~wdcMQfor~@hvJX4t~R?FF&&c+K$#es37jU3m9wO%amYq`!;S6VV9I~MN&!lh zFy@e@ks=B0)_=SCZ_c77*m`*VH?@fK@}SmDLG{r8vZAXW9c^S4?3%oF=#19G*WDY; za=U?3Befb#pO12RYdg@HFIAuriMAN~afjco6%U%hn0ixIHm$B4dxwrnZeASag4Fw2 z<(ptvVBJB#dQbV12)HdVsHT;8>gMYx6a3#6{wHO>g~gJQ`f=KmiZ5>G{4U|mBPyy# zpQhgE(Y;3)>&R_(*RRUm>e58oNxk;iQQ6Zog5XX@ps#0hZXLN`C}`p~TsMiCuIp83 z6CKXQTdATV#3lm&R$=CQ#_9 z4R=*mqnQ9129QhvU!t7lvW$+6*~>y2ztnSv%jh}xZyMFEHu}4+c95T~l|B_#^Ybmt zt=v`TUb7@lDfEnEuAG?qX|HN8+P2Lq z+DA#9yUG)E0DfUAZGTVSabkg;uifP zEuez8JaD!yBF05Ga$w3lF&$YWYLmJOSBTAb49=Qk-Z{CzI}x9X3QkH0++OXsQg;%T zLzMCT07KRUBTe8DNcGSr;r zb%5fWZ@%)|er1mnxpM@26ru?HZ2&D}?5GCM(^}4b*8Ti&7Sg_bVIa6s(G7e5pqyBJ zmI0-pi#4%zbN9$k`Y_zB#myBrvBTVGAvQ=-AEfRoS8II1&@_+HW)nkT|Be7>6uZBj zNamxR&_8tpbTZsDU=-u&2c`+ae%*Fg?>k13s%k$s=G)Dv#1M8@R;1-roh%71&%rg2 zf?+wmX_lK$Y|8BMJmC&OY0Roz|RO< z9mcDY;7=8a4`2-gzrnC<_%{8yb&e|=nga(0eh*Te`kBK;#R? z=JvceQS+=Y?v083YoUZo7sGNZLu^{xE=}B%ebIH-@df9qCd8#7YbZiV)^{+3cxsS& z^Q5njbcgtB7iVrvazrN&q^}yWn%y`9Nn2Bn=(~~|zicq-;c+-N9n1OAuY#txhfbe{ zuQ~+922WPtmjRu!!N}eL{eD~`g_XG%vvu~hvI)gz>wNEyrY&fA)3U>u^FL~Ua;>#9 zW@7f-wi`R9lyN+)kXld*@KJ{zZH$mF2v6e4jm1V(s7^62*ltW76gLlfx!g zjDL6Du^9RAP{G_tr9(pVO*7;5l6FdT=F}p0Da(%lXV=Sa_6X*B7~X$bUR2W1@u2g9 zS$WA=9$1WL4Q@Ld=Qq57++WeIcfY4|yJiTl`gI|rtqwL*l5Cdr!FvUqpQvmub={I4 zylET;nk6yYW^_&-Se}=TY(DINLgtKf_2uT0To+$E)wgfrF-kB(k@l}UUEf}v zDR6TVvHUJM-Y2O4*n5-GRIiZ}ihl&Wf4Vd}10`H#8sNlbKipXtC)}8<>e4gMAFB?s zI};cjCq%s%V~I^kD5$Ec=Jo<1sVd{Fdv?SbN_zLhgd#0m~?s>3AhDi2^-SY=(!Sv3Z%hTVF< zGC1y~1FNn4d9x+q%Nd${-=W2K8*7A%$694B2D~s{eM#e6Ek%bCnUCWRaEb3C)&nLi$p% P{@N_+&MrszKh5|*-u3y} literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp b/bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.hdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..b4b79b2956a7dda0fe51fbd000765cff481777fd GIT binary patch literal 19210 zcmafaV{~Of({60r&cvSB6FUHYc_O8yl z=~dOWd!6pyjSK<;QUwnRV(|50`Lfka9GxueY)QBonMr=QSXdjAu&}X`FtakTvNN$V zGjo$DOOuGZSX-0)*D-nk?CUNNkQj;otw4~W|D)k#{-fPN&wXGG2*ut%Wm*rqghjyu z9a9MSQE!TDw8$)!5|WgC?qP~%i{pwhF=zxK>@ZN6_^x}v2VVuaRYZTP+}F6`Ft-a^Q(*<@s;xWUFkX5{3W_}GvjEq?GAiMIT1 z(Yi|Spr*B$G3w_Tg6=q@2=>ZC+enf8>2d@WZPI9H6@Xg3FvFF%%me>TciH8K`qQZH z06!J(sbVyh(U|pZ$tsKke*HQweLE~Ho{W4y`bZqdk;At9ch|CeF8A0S#oFB~(MFIp zkNm}|QuPb0Kaw9kZP(9BFoccTb4+<-9O?7p8xs;G@ikNIgz!XnfW+9ymGwQ33pKjQ z#)=fCXMloLEx1i8W@!NyKY6&{{K=7)8x~>Njid5RqN+q{$=cXK>=M^m!C3W_3!$9! zcriv!sma5_SmUSYu-yHN-2LPI0#hVYqYGAcM|3YS)4{sNBh775=<<^C;7>pJSL-%c z=C$ynt|mtZyt7*xedMWR{BZZh%uV`?42eyiR>uO=DO%pUc%*vUOJK2MO>Jv(zfCAv z_7qq`PONddHs0xEKO2iCtC*){K%c;ZK(dyEuG2(|S6Ay=?Bnvf&EM7~!B}KjmGQ&P zN3r+FGw9bQCXA0-k5}k-vVCw5W}`gvB#HPgkJnrC=59L#hUedqaPSyd)bxrPxqpQW z1Ny-J5m5^8*F=F+u_8}sd-bkjWt=IH_u0XL(m1^*^6n@*!gF!BM;RR~i($DeK3X-8|-H{6x`)5va!-2yc zxBGj)IG)_~+7}|6F3Jz!yKd*S&V|-YAWuuhrp$LAmj8&kbY-9~T(JK<9e+7)J9g5Q zNJOir8Kkn*^m%Z+5S(#9@AO44;+N4T+Uyww(f6_3k+IQ>B>E+RE~p3KUUj9upF=-C zE7>jW{_QiALpc7p*II+9n>p0x4Or@TIuIw*tBC1vDOa`QcUS**<~wlE>dLt{uTEfO z2VRdDarcIWL#OW|v;W9jY<45tO4jK)&UBurm3tkFc!#W2_je~`#lB;WDHb`30ctBI zh66I#$Egs&zPa|7`txujH1d&YHODBA$-2}}(N0o=Xk6nM=HO=`w$I>_f;b?Q@?A{p z|781L`bjhmY79G(Nb({Y{@VqOknY4~v9*)!BX+x5LNy8)DpktX2)xI9RMn}N>~#OP z!!nv6v%5cjR)SwcC#EtAcmhu;%^qrW8cgoBhja+txi&F*v64J6Bn*T4py7zAy>qVv z`k;q4p*b#ErGK{lr?vl7fPpOi-vja)b?_mI8nVRydF6l9#(!N4vj}n{=W0j%C~jwYEQ^eOb|X&oSIwC> zBi|WKAE?#t+8GAa*7wXZWcNY!J@@wZ) zpnzOM4uvwyI)y5k=rri~f!4z2!RG<8yY>!d7Nr7-GyGzLwn#kk+PnC?oLSjhZ*XV7 zpa{oN2vOCEJ!eBH500`OLfB&)_yxY3Q`H8TJO&2v)0T1${f>;=*9>e973- zKllE&@tyB&_YXT~K2M5e!v)vJv$h20^aGLKf!n!XeDx^XHE2CY`qg$~{J;*CXOa$C z*7b=|$VaeX?{&D(d`JE&QrFAjKGh51>+3W6!lYEE_-GB^!*k9rx)WE2`4(wrh7(gVI9eWb~oH zs#)p8JXu)Q#oBoFh+zwd$d{*jDv~*vGbuafEK$$l;sx`qg`4lLbY4JV|p&$_Ssd1&JMkX(8sq_?Tz#OB_`E%W&I^`ss^sBt|w&KaHy#$(s^p! z&1Z#UQbk7Yd-+j)x_r`$&H2mmn^h6|;0Jy?QT2w4$9Mf3O4W+YUGfN_6 zn`SNGDR3_2?B>AB(0JPNy~|r7;DeZXS_cai?RHdJ2(P4o3S&&5!9%yMMB+R7EAOhQR&O zNNU5iRRT*~rmm5DhXXCRpOjL}5okMdOrGjkO4aeOUIjb2$a_%o!dU9fI-oefQ-<== z>=(nSAayv0mO3pvd!Z_0@*}k0gpkZ2&6v=qhseV3yaXi+c@do`N6S^Ea1NtE05p_$ltiGkstEWu61H1&*TyEGNP(8s@#s@@>TlI((n0I50OptOshKG=84p8#IhGw zOKC1Llcn0ME=BZyanf{N2^2KEU-BAHLDT*vUSGodlblZ&k5N9A&|=D3!g7|p!cxAI z7sUV~R<=InC$*R4^+>}!>6}*RcoL6s@ggZ(6;j%X%gA`Ch-ooJ|HLRRxU|zQjAryH zIvRg36-8U1g5*79PTVnq0O<_A_m6zu=U`F4YuIq49rW^Ha;?*wuoO?e{34=Q^Rln6 zqOscq&S@KN^XYA;Xs45Q(@@Jn#O(AbAnSjKp9Ey5DE2pLW;2VLWXqP7JX5Lx$BC9M zg-uG=&ov6=MT}*KZH4Kl@s`<|sq+XD(iheX-)=MtJle>U$3iCN2x!&{yglTqWB?D* z-X5)8@e2P8rE0tVL`R?glv@PPYO8%TM}G3uxHFvAVB_xVc_~-;*tl~{BGMHaz8`f8 z?!m^X*D#|*wd8G%JODNMdI{O~t-4yQP|_g75mww^&Mup2oMN9T?CL3DgL}d2r4cE0 zR>u0lNI@f&lQGrkt6sD-zxvVxOx@x^DK%Ic%2(2`ms57ygluQpBDcp`EolPDEwKYC zI3rcxGib-sX_di4Hb)0#zWIXoIC-whSKnv1{H-eCXSurvtV)0Ob@iN^FHg~YQ0alCXWIX`_^z5=VvG1QS20EQ7kPamKj5M zKbjV~K6p54lZj(~9NrzCV3s5`IXs1ub(6Q&xsvi#8%Li^W>saiI#T{?ni(#`VWC#X z$a1iic2)C|XhA*YFPo|^!z;~iUikXEUC4oEgB6uld)KEo%?x1g^MDEb3#L@;H2x@x(0)8{<#Xhcj0qNEKeVJ8?9mL?=dOcAt)ZiSRSvb6UF1tuc zNnI&-fF$PiK;8RX_lwTg=R^ei%Hg_|3B^wz;jXCXqE+7Om^Yv zo6mNk%}9O#H85>UOSjL;Mhes9=6osKqe&snPHtTnoUN=kesEU%gM@1ol%BI}n=KK< z$G1Nd_vqLS`kq+XLlR#CVxeDC0aE7PSrzyA+y)mHv83(au(hP>uhOvzR@m8H4OY1O zfJH(Geo8Aut7iu~+ohups&rEc_C0WvckMh6L|w~+4(Up;+;%Nt?XmLKR5~nnm5nlU z0mjQ2kc6Uc$V!=ckV4?WRT0fiF#y>-t`u$+n1(jVTCYnhK(EL)wjdH2@9ko>H_5cybR5|029l^s;XweerXorm#hZ6jBV`z@*jT5Qza z`EZjr z6I@d{BJ%%7u(gw?LQ>V#o{&{5lc_C6V(gSM)=x|t%#5_e=n0ozmeja7B)L&s)KCU> zzncxN;Xa2%6~=3HK9B~h#*US!rc`qYdX!G9a8IdCVZMDYRBMp6i(C$(AgMx&>SVqwZn4m)ydo1oRVzh8g4m%Bb{-~INs=PI7+$Slxtl&=+0JK z7Kfe1HDQ}Y%>QIw!DVxb*JV)_bsdmJT|s0M+gBUM7~T0clq9)%gU@Yk`<*kmR4T19 znTvfe(V;pKfOwXFz~M6E=+tK3N*T`4?$NUTjYr28osh9Pyt-<=?yj}5;k`PqVS$ZV z1kGK>07+qnC^H3c>ZD%HjXX6mK)An7y`S7@Ht>j$o|e8~7yVS}#K~?eADHH8QY4EX zPeY8H-uKfc!^I|Rjll~;WvV27FN1;omUlNG;S5YAZCo8>&79t!W>rhGi=!^1Zj}u0 zCkG)s$}|aXoHltau)CAB-OEs2zmBc5%(4K-wUn0*>XDs#(;!7+ngevJ^v@Av_*&bF zxxY$^4Es*vuQ?P~-VnXa?I8i-wvVWrWeH~=ZX*YpA6PC}{MN%IK1ZtQS*mzzryUnm z->hBzuEvzTgn5izyUnv7u?mZ#sQMY}+j+4kugXuqFSwB$b4<2n+88&HLj65oz*nEY zt%UX{(vb;j{dv$R-XOM->caEZ+mp8$c#vP~xo}f3? z%w(`r5k_JY3+6gxZEdz5;b=R{R(dFH&dkpo3Rec>obs$!%$>~+wdK5z@1V-6^o@YA z>h)C1Y7{F0Q*xy~=Eg@u&ZROTp4CG1+aVsG7Naj@Ls?x%ou#w^BbwaK1h1lm4uB`i zcj2ttm9x1+AHe1HH+?q>Z+D%Ys1l6TwK=bBLITI-j}{v8Ld#2Eja^^^Fbk-E$b}nM zYb7fZTJK_7*;?mfd~19rtF^9P^DmzHL>nUV_7xsh*Cy}1pSUY&E*b^Ari7Rh(Yi)m z{Mqa~4n^nV_1ep6m7~p;qb^&tHFQR1V?0Oc7OI(a=B`;)4#6pog{KqiX{-n1>+yAL zQ$+3SQi;p6;k{LsOLLQQk4Zf0sc<7IOHH024yyZQHbTWbYo{xfykg^tHbO&_kLK3b?& zYj*qcU(FCp+Ag((G-b=<)JDn*3&xp(^I1^7ZYhrr?OI~K#TS@~#H5~s>%(D9sb5MW zT)P;vKTUny$1_oR+$ZWEWdDP|Mv)#{4SK=a5NmSQ!kf9K99)nhSKV_RdKCcRsrq9* z7O0MXy$rn+;PRUkfQU*8Apa%4UsC-gn_q%NEwy+B`-ncQH2Mf}B39!~Y_}a!Q8Y;; zUf=xP-r1Vk2R@ZZ&u2fV40#)W6|v|DdG9;y`uFzv>_gN2oBDMR5Di!TsDm>^p4j93 zUk5#*w1`E59!>_M^$oY6*Ggwv24x4zA0e~I9hR!3?^|4oi_L=fmL`Agl6uK|5uC*z zl=R%;B2HgIjmjdshEObksIZ4|$`zbX~4 zOOMC~+Shde?lEY3L|GeZ-7ALVc_dBB!zJot1htqUc*`W3WG+}~5q1F|V)>l~eTJXW z3i{h)p$c1E7O9gc8_#n})^2UeShr*vF%pwOL-uN09CqH7 zMrMfIR1+e;_DNN6aiSmNzkZ7g=GblqGg6gJO495R;=jK8MR@%qvc3rRW`g6+YIpj%OxPBNP7!{V&2_6Roj1cU@DJXmNhR{H}5{oie^; z;)3tCp_SpwunFh0v6b1kaMC7I$XG-3SY1yUnLBI>UOznb{lO0&VH+r~V72oh#8@-E z@H{WCsn1n9RKgICoYUkY;C(6wye{iL>@Q(s7SwC>w^C@VSD08cmw_@NM$Z|}{w5I1 zFXv6Arr*VkJiIG2;)7c$`&5}M8cxR3pm}y1*sF;d*yV(owcEcjQlRmypXzl{a8;WCBA{LIONSt zD^&^)L0QQ!1r$wE-PKl=3f?;WZL+(OXjr)UMnwvYGO;jWMnDy8%54biX2Y!dsXk9` z@2o*1B49DSBoBKOg`qknu&@kg^3#6ia_-w}8Ji$+JOU1;msp2NU9EMhJ3{%7S-H6$ z34%7$(bR9(gqP9_vE~QKPC3{A;OZY-enC~1a`ov^!!4k7D&!8(s_+k{e*d%n!Bp@a zVBv(xJ!tqc+~cldPXD?oA&Iz|)cBFyjV(Y|w&u?{gC5I@X2-9(8uvkC^_z&%>|M-6 z!a=%kui@&luIOJ5lmz|gOb)&mxhi$KBK&W1j0(xLTujmyigR#AEdF52%aq(UO;I{` zUwt;R!s_T{IyO?B$UyF8(G;~#niN$#ow~MIElZ@`&gx-(0obo?q)QzBFHu*uLX)C~ zrQY7Xp^ag!$v%Eb3CDpGD8^R5?^b-9_dw4TtR@0&cDVR`4bzI+=1F$7eL;Y;F-K`d zq5B362Wy^Vygak~QF+z$R%%q8GAGIV=a*r-lbAp_O-0$>rXYQ(B0$sn`ck+b&__jy z{3%@y$SU{lzqAl2ca0%=n6td-_Bo_| zcNPpxhmZ30FY+o6s`^vEXSB9sqBSyP+FcUlbzcL)EF8he`m0|KP2bp=x%=A)~ z35#>OxWIDMDmY688d zk1SGc@k^<%+;f(vrNphm;PSVO2I*k&!vKCq5#8*>(KfOEEhdPuw#YNf#6$c5~*^)~}jkw{?)0U4B{xzKooAaj$ zW|B+Oo4B*=4Q?UMh!yLz_ zqD4~5R)U6av~|*C(bCHIJ&Uwz;!5!d7gY~#2gYa%=`E5IBGSL2Y4StE`h|bR(BzAW z5r*>@hkZkI-5K~cF$z&pO-flS!?OUmO)&64TWhdho=#dW5hF;~+s8*r(07Yw8kLfH zuQ?Q^WRY{)ZPUCn^Wc*_pUr%>P4O|IEc+>XbdY%CK0N#TPb!7eQDuCP!cjt&A{HJj zOnLE{;rEY`?NyUVGR6;ki->?Ue)N?F6(SqJTZ_R$S8i*w$?3YqoVF%zI36M&DoV&$(f-VKiDF82i~OF3L14me%Cuu)B3ONodxJ#nxe= zceoDiTm`pinSA*skMk=*DNf=ofu$lIc0N#Ai@q|OqG1}zV-|#h*2r@z^*8y+UwDkQdU59Z*sH_9is5tdI8X+2c%h! z*h}x)WK)3}&O2^mn$hiR(8Bd98T)Ta zExUb+AACw5h05~mjXuNki>AeV2E}|+<3}`X#4*+38;_!P(&vv5)h5v8U_IB8trDr* z$dXd$t_VtK?e8Eot0n*{GBKN33CwDt(7jze5f8dIDNk|$Sur@Lx0b_+zoo5FGTi7zJ5VBTJ!nG}h}%u?p>jrud{1`MhkR0_pdV zO|Gnbs_Z_q*p(Pjfko#WK1@m<#Xc%-GS}h{0ONzb*yDIdj0hu>a)}RWVt#X*hPz`u1i^) z(Jq71gr5%QRkI}cj|-au7)+&`(;V59oI`1!$S7O${d#3jIF zm5BY51do*jgE2Pv$0SH7ar3W)0Pqdt^o8q1Cbk?Vp0a6Y@s7DEnlVXU4ddGJ2}u_W zl2QOy8YQ&IE^wM~G4=FS0TWB@UjXmXq%^zYb<5&$Hf38*<@AZ9*YPCr!s`rQgafMh zd`|16W;PSo9`eb;d4-*Nyzuc4Nh#wG>*9uVnrt1QCb9W3Go**kK9kHJ=hKxa3Q)NGB&Q?W?CBOscB`1B@ ziD)Pzh8psW@)x%CwCU zi3D1Wa?PZ3G?b}G${=0EVspx$(!n##EoH3vADdYvW`xi*d2Zr zkZKvH+8S`ltwG|Ub&LYIVugQ&cc9CyFnsck;rAMt?8{j_ZfBlj_4Rl?|N7Y!0nPb% z(>os5lh5KCV6Zpb_Q)JLQaG5veK3zvx4Vg*<{WRYR-d^94c(xv!9 z0CKzRB*NUBPxP8AkV;b1Sh`osm6K9$TQjk;IV|$T*WgBV|HH?$1>{d<(>FV_q_c4i zSCAxh6#>>)vQ;3p zwkzgjq_GL-|H5-J5@43_PGQ)J~4-@85sF_QM3C}Lz~A~ za%gGXyLEp)XMc6Q#i`bQ&9ROYk*G>k*?S&8SmKSVyaO?4m{S+D8wEjp&;clc zR>q*BjzPYvSLjv8TGnvAZdAu2em^^>7a$~!WO|+w)AU7R$2K|1^FH60~A_ zyOIxG&D<-bNNi;6QNTv*jXsePZ@LlJ)*kkgC)d~!Y0s;7DcrAAZPUR&zm@!rt?N1b z6&fRb76vU^V~p}wn$ z@-Qh8Oz{nuY>g3@y-vr^?p35JbR%Ewz1~|(f9*3iV^k%carhO~%U1&mCZ^@;@q?f6(PctLy7?!~0qWRJtlCdldJN zH12sU>l}LnT+g*#IY10I`8p18ix}#&Go0k*KD;w^0yAo- zb~3#-cpc(cv+R%)yFi9XVvHQ@w=lY@nBOA*=plL%J@4!O-s{5|UJ6RxeMXDf>m|>@ zIo)=O&e?vGbLfC~xWrx~#4vuQbWhvy%w!q>QVA(QzcU|`O;-q~2IxVyq1|8PRtRrF zcnTa3=AH>LfplUxBOaFs0g(nrOPRMOfLOG@kFP(tkop~T333AO#0l0rhi~tER{i{E zkt(0=gn-q(0l98LT`2c%xf1>#cu7}W?*vKhqZ-{tLi(@(uid@e`&Y#mrRfaev0To8 zrhrWtY2LFt&Fu}4P3!5afJs9FCT(T{=(TZ(=iyw2TtQG9sCV|`NFksw=x$f^Gd$`i z`7vnjQ-Ck5MR(L3@B_ZTkE;uLwi)!&La|F*jPetF1@B&Jnox)sbOZ5Tc-lwk6YRx% zx?Knv>K*a;l{9j0=QZ!hIrEMmt1D=iXgp_FIL^?uJy+L!s+4aphx7+RPKlAqWa_qdiGr!OZB6bzeO11V*cp(5st z)h;$r4wR$9y0%U7i1<3StLIiH(mNK?WGx5ug;cTW&P`|v!iVYjCRZO^7u1u|9Q)XF znw=WwbxU=+HWZ`#iP_PvrRC>!R5dpc352ArSpUTd07s0Q=` z+9XX6@`Z6)HP>4qjxP72l=FfW`+hC=PN*AF`qdjf>7sCox91hgoeBJ%=NLA(JK`0v zN&WfcZxggh>@N?^kJINiXPaxY9l-wPF!1yvQ(!EW_5;=vf3`Y$ZpAO0+@#yo9egr2 z=;ABY5W5X57XYRv`*o5}&rD(@K+R~L`HUNixz%d;4JFqinJ*GjCeoZO0>Ks|lpWzQ zWNuV9s7C+hKMKo{0MV($E%+O(4}6SOXQ5JTP9{3BKVpBg&u63%O zViWqX!QmDt;C2G^o$**k*beGI_AV~ zmn~4A&Y<0wFsZ546Z-yUI5e~yo(KM3BsWsX4vZh^z96>->bRU^SDMR7UCW5Uw$C@G4Anm?ZAr=%gzi5sP_0O5cLrRbn@=`pTWl7 zUX`|RT3Is&p2XzbUns=+;WADi@ehw24!!GP(RHh%y zQuX*Nrxdrs@_f)Gh4Zu>O)k)cVv7N^lV>Q_r66+gIAb5eGj~t^2?MFSc zjQA%YhmaD(i99cwkrW_<2Yv=>rjW3Uj8bq&5~Kv03OTb{_~AIZ;?#kmv^EepgNfn7 z(E^nfMh(hcSX^-x3 zNsj$DN%uTKxNa^_FVnUbSGd}}J_2Lp-)>{OUq88Y7$@=E8&#y)GT_H^h9c6bIDsUB z@FKR*!R+^^&nA^3ICmdtA?wg#)~DTIy)uJ+n~y_Hn?u=;9`L+to_M?+M*CYNJzkL- z2EqzY9obF5JKnZKcE;oDn64+02)B<;9~)h#RnNoi?`(W==uaf}ydwR9O`DrBiL3Zt zYhFC79i8W-xo%)>Hm>?O+qiAmlF2zao3_pN&Sx`w#<38`u;#?Mal%s;8qRtU3UD||T+ zG?69cbTgi)*#537{FgF|oI6IlswCB05KM zhLYOBifrPAbTA;a(j$0y;oLF`?Yq9)Ym7?t#Z7_qjS|{b;or<xGBgC5$p%oQ{k!y!jhL_iKhPOdV$5UBj zX~J;isXvZ5m{br!#1>Y>7b9dHFXW920VsvzsEu+L8PInEHe&jzlOky9+v>`DehMzlZ*!pe1QL?A#7-^g2X7*dU>wMJJmF+e-)Y z&ZPFB%2n_pjBp|!$S7}9=Is16T{xq8G%S7r)WJKPAR>P__j@{6pbzD^ne8S6JY+3svi2S+X9`j!O~pdBX49k%xAdYfoBO;?Bl0{^$B(@(I-t5 znJoLD1`NlUITho>75$z|8?f3CLaN*!XJI&U&ulD?!-|fgqJ3@kF^}^hqj0T95JG?- z(m{d1jr293Fd@=efCnc&7{9|Er5UPeEOjs&gOaTM_&mjwp);$}%uGZ7^R~g^%PttA znu1(;xc7J5-jr)8vOjJNWCiafL;IToKLr~x^Hw(WJ(B;vX)6H?US1?;TGmC^dNl~b zC#AlZK66b)zw{Z6%(~kt7^Ca6^jo}vg8&}+?3S#Xiw}KR3l_{<>baw?;;3D~ zGO_gGu4~@x410TtkFS@yzP1_VFnJ4aKjbqwNe4C4@#RGY3>_?Ti0Vbyp!I5(o4NhW zwBzNY40w~o@yO*3PumTA(@(S-Z-;G0k`*?6BnWAWv=nU(usAV)d)X7gt4!4(k>sLH z+sR=6YBnQXGlsJ5RNpDhJwm9IP#1@)kjO{xUCx?%FD#;#$EK$TLyJc2^>KUd7M<5~ zn+#%pwao6n=M&MO34*iw>cc3Zaz~0T@Y~U*(uX9GFD?hUv#2 zUD~*gJfg-`m<$Mgw~RykErnC;ZXyUf)yms`q-tP#rS+83MS6Fi?UYtpK8F3*cm>6ufn}`9V9wfmqQ4eG3|d$ z>g~7cqqx57B=rYMBO%jqlOb@kB5>0pqd?JNbw ze?0HT8%aK$xa-aPwxt2C-Vy_O0XeQ2h5tX>?lf0^DP?!LTd+avDai4}*y_@!( zRBZ%JS6eng#Wj;<7Mf@#o@geP=yftq>qROwY7HFOBodh#2x=QwC4!|Ur0Di;&sJap zowu#Vr~%Gxh=G6|0-c8y&TSL({w?!<1oJ+Cc|VJ#a78e_9SUXTZ7JjqD2j7C%Dk^^ zI8xFdHwD&*>tXgPb&<7+x)&)_yP6pLhzO$(Z}#9K^uuRAqZTg@_PL3aHyi|L+rrEV zM#i}>XGqBjj#fUyV8|{A$U9Us*uVXNfN9!)d*mjB?_{g|434~0!I=#@lQGCWMHG4d zDAg%Z?vs{B6p@D)(L<6frPPlHzXK5bk#6BK+gGfI!CCQ)3<{$Z9#A3yW7r@L$;1tz zhZmWI6VZbVvrek-msW#F_GSxvRztbDAlbDb0c-O6hOh$v2xHETgR){78j?%^3p1Q! z)UJkjPkhuaPmm1H&W(L~tW#R7L*1o_!{{T!sA9!9FA)IOFxCy)>$h`q;1?KH5(twL zXqP;G)pN$`{j+R#<6!Hpjc}Si@zZ1KlBLhj?ym%jgGSVK%_|WR`P)=`Mu5=M^%nFy zILPLXTjO41zUwD1vi1&ugtLE9cz{EAph+lKXf`qZqA%_U;%-GCY?(A{nI&wQCTy8I zY?(4_nLTWoA#9mHY?(Z4nJsJ?K1}HBl2D~i0j1Us~Wyk~e< za~1Jgnd|7(^a==mRH@;62#kh@QpX)#JYi$O5@hz7jGz=bQqZeSKo()^_v-h;2jTErnEk-)98>2Y z0vv6Io;bFsJaGrEe-EA#j~lb-K$QS11Dq3r^3;TS8wZG ziqFC|SBLXfs?rbYUD5#apJO37M_BGO06&bFVdlSv348o;7?7|c{8tc`g|dCIKkX%n z%JyEl$C~JF&xdYyGTntZx$N;=oy2{I13$q-r)m&3e_gko?M7$I!XJMsg)=U!FFqv?n&(KFNwd|4*)4;vwW%LFTd|HiM~CFzZndCnhzlV zc(Wzn414Yre*=b{T~u;K7xO*go=ibr*@=4-4**paez|A_CL;56Z1n7@e`7DkYQr%I zL(<_7sM@zHhv!V(_)pN#sWtIakM{nf3kaY(m-k;* z@KmbO&rYOlWzWAMz#>ECI3w_zE4Sy&e3q?dt~VPaf$op+If@QAvr7DKsPeRu?R^uj zrk>uH873(YVopRZH=X>B(bpJsv-C(0hWq25c7Ozm;hF#(fakg**P1tG%39m|58KNv zE3i%H+%8N!KtLYf0imGgXl9hbcCnBU$gVzXCX~}B%>Ty2;1f!m zYT_ZaA`$kAFj;@!))DHTT+PN~Lv(-Q6kdm*|66{OM{baN%nN_=6Fv`GNI^7H-{xTB zq*++FvIl?I4(|8!tEui*;VE#CV66hYU(0o(6>KFHB8X#ufeI@zuNA+Xe^<&#zkDsdfW#zN3 zGgTve=1#u*gy&%Eev}&I!c*quGi!0_65;)%B}T-_ym2|d)oF@)q}M#cix%o}L3U+N z(Cu(f2$$`FNIuya6Fq3?Z-lb7!j;WMD0mw1_z2iS$j_vG1% z*D*%0-n!kow5GbPyR)qpcr@wON`w1%r-ti56PzA+>4JMc+wRZ-XTi6$^DPra-}U)G z<5MoicQW9zw>B&2=mCRlMguV+c+ZG`%lW>8{?Kn@M>$TruKV=b!>7bI=5yfqFA|jj zqW<}{KK31x!*hrD8~E@fQqB^S9&(fGvksRRjzm!Zk@txnffw(aPj5T;a5hrT6HddL z6Tt`Q_D1NQW=R+Hp!WwDh=oB~HnhE|fm=xF%{l4AVH8&Hf zpG@eK2OLnF`|ZfzjVY#+K;S6A+D$D`H1yrR?{Q;e=T0x+jsJ^vti19p(c=wt6O17w z;5%a7zdF7~hKTk2I6B^0kNWr<8FcjaNkp*5`*zV=@R3FEk-c5pm3iz>5#W?2xU<~X zdqsRl#r@_MfV_AO7dq97t)ks#7gk$OAh4{uGr0XW-Kz_}Nf!D;o-mxHkGj zmiX7F)c^}&Xw00tp7AznW8W2O!K79!wvZW7ngzG>Cv6F%Uh3UGkm&gCcVu3F>Z1w8 z{!BM1&ZYvhUwu|D?GJf)`^&!CjHfh$5_dks%=)c_VSzU?0tqr-&|}10?LbEz@`E?! zI}d(ulwrEwl5;Ww({8bb{YTx~%K-=KefMlk_o*>Q)1vsJ7+uCm!m)K{H*2T44TlLi zKTe!Wg1Ld~7yBl~kBYcUJziOlEuW|%o$#;2bh#eiCfc@G=9nb*3G%!(pTvvb)=+UV z_L)RpEJ@#OB#F^x>n~f!-?jorw1frDKE{*$(8-QqZ|y|eyqL@Y-JtPHFt@2>8=Y5d z2Ta2IU|&8&GfCYz@$iryPg-_>(>pc?$Hv^fcF7!uLO!M_g6{Inx`p2$z7an zbpfg6ZyQvnD{=-9GU)B+#5m|1reOygkiAKMnxhc#-WIA{Ga6=M7=uZW!+y~5)POW5 zgRbCvM$-34$t$ecZvT78A1_DolP-#JD~VN%|4ZUZKyncHO9xWl@ne4y%$HVT$jg((&33av`3@A^U^*cRaZxQ5B%Ag6GIhMpnos@92$Zywv2{^J8 za3;_W&qE~sLiFQhEB>-t*>a;0dB=WfaQvPMWkq=UlWTUvgE zFl$hI%6_0P_|Q(^TTQ%gVVp1YEEW8Tt*=R&|gq>BW%4`0M`yTK2jfBV6E zLL7gBA5eK+fqX5VLYl)LU*x`VTq+2!liAsH&zWYBXhn<nm)eBwNRHBS`XE~F3gLNyI8^aV1(* zGUMy~=H0`{rK_b`XE~Uj3{GMN74iZNgc(Hqt8?h3zb2@txzSzeIC%@2Q{@H{*Gqi^ zk0NG7z7&wxt4c>}1(kxVYJH}v#-x8ZH}ZoG2M_s25?(42*)sYANI zAKEBWN9$d}CmyU_lsQe!S#{OQDXInyG#DxpT07!CdJK*M<;F-k-|0qO+1CRrUKiwr z)d>^$f=rBB32eMmYE5$oUFriq?m|S9V{KH5I8f6ux!!WWmP=h=^zCr3g?e~?|C(dDZM>EIO5iMT`~hs`(UdLEkOqc#85 z5T`XNRV&Bm(k|PIq5me*D9-VBfpFlF`8Am6ZnpyOJ_(p}Y>~2H z7aJ8t{GPb_`e6JlW#m+b_a*={x;zq<8UpWy=(uM+SV4Bt!WBq5^#He~%%oQdL7M8cgYX->1oJU_}bpy`dtF; zz-!GnDeCXQ#$wvOr!BjLxmnkz4z07F`A&8cei(~ZxI zk2|scLIsaL@m2{HvR`N0{|a*njP@rer~dGphTjkK+7sC*;yZROGmZG)MYsn2rI>{8 z!#nm`{(7@6+SsJ9?r;kRE&zv9YIrS^Pc3h4{AI;Vc*e8|2@qxyp$0 zh*o3!R-MCBgz^#iLnv?eP>V7MYqLR=t@LW+?oBI%?o|6Yjzran%J0^vo)o`z>d{t@ zjK2gMoKjD5{4zOn1_*4A09<3D4vEr*6i?!)S zPREse6DlZ*63v_VR)s$28zB0e4_x&*pLptX-tFjfu7>M#t`F<;ZH#k1K-K)+jdL#7 z^G=9!J$-PETVuJx&htkx>)-^4=QyI-4Q3;pA4Z`UW@Q~1xRc-+l~yjOnnM?MnAmnO z`vTm*%s$M(I{>%sT`c=J10PMf*bR-ld2tM3$WyLpMFm(s$lTC!Y%21uJ@k{r3nx$= zHx*kK<(SxG-gs|ccP!xCiGlN<4XvB2n{zRSu^8j^W;w1rZD>8Tyjvg6AsKk>(wMx| z)x|j|1Lu!%cdi`TtD{RVN2in14C!wbT#XOP}X}z4nt&Pmv^G%PrI|9 z((bF5uUxZYk^adV)8f2K(KR+D7Oz;edZ=>dIm;FSz>u_&E1{AVhW9{jApV}{9_QHg zpL3osC_WLMsh< z{dP9rXCI&bTKPWxcMo%(SKZ%z{95z%;eGly7T;ISk>YQxdX1NSN6OA4rN?JCUqABk zN2)wZPqf;SF`iWS-;vFC)6wsuYbA`zspA}x+6Px;#osZIc z4WcAkt5*B#eF$#8K0Q9XvQKsSm7ZuHb~!2}{;-@1e;rw6{$Zdr`6}&H?%ghv&%iY5 zQu=JaDAN3Pq5mi!dW2>y^A7`UmZnwP^N*0@!S6uh;};ZWpP3MOyYaI9}{hug}*z z_Dlr1dTlmdy9aO*Gx~hN4{r!ckP+8SV^(w9%tM%hMtHv7TL-zbp@`+l< zVjte;yS{a9AAY>^eQjB5y?l1|@oUvzE56ozYsLG@Gg9{S=^xp0RzJVe`EsnsS9{id zaDDpyn=+ZYV;2z^qcBKe19XVO4>n;Nu#aZwW+@ZZVHFpGXF4G^@$h}yGV)5 zP*`U~J*4o&!mHxprRZ5B+OvxBy69O&&nm|zC}uS(oyOzLD`)4{2*;c%R{Wl zAcW3-R(;M(C)7hHA#Z(Xc^%hO^RuYNkecak+)UfhbDigg>e2F}QPx_@_$jpI8;o|) zvLi|Hwn}+wL#g~TJpUU>y_>qOGmQFv2=yPy@|L0dX6PiP-Wzl3Zvy@f1KVnp5xc<3 zw-{+7S>8kAeC{;#&j9ZyM%>JAR*b(9W#V@rPQmkI-Dg6S-_Lrm2E5kC@8{vWRMuFc z(+WDec0t>hw2k?#9(^97rX0VQM!e}qqjAn}mW_tqLg(nW-GUHllubvYx7Vwe6u00030|9Am>R9#CHQ5Zg& z+G?e%_Tg8xmD*~S3K>XJ&d$#64(xm|b2eNECb~28qVmQJyy@dEx`_&cvJVgvi6Bry z5Q4g?F8TpoCt(Oe=tUpzb7s!YYSAob&MfCS&w1bHea_ok!RTqA(Ly7WOn8dQb<6Z@ z?&+q>6;stb-LSLiHxnOfSqXtw@Cu$iG>c7c+9Z6+@?7qCNrfvdqumd73DougTS~LU zyBW*jo~am`M@NGHcmLP2{enLh;4#(F0RIMjeD3AAPg)j0L0ug{Q+k#nrcfA*(GN=! z2K2rF=2|(2Qd2F%woJ|B^p*7zRx&@h@Z(}c1Tp}8@I+}Af%w~S$orAeW94G`S^&a7 z2~29PPMYLQoqvn3e~b&r@giUieS^`mh2Cd0bvke82=?8d5C z#{8CcHPT!Z;D)?1JGN8NAF^Zu~zZ+>1DJbIO?vAlnGKe z2M@xirx{@=FofcybKrFsM&jQgJY!wr%~&PP+h~Le+*^F%b%<3+hzES~Ts=uVdP>)v z^2^T$V56|C;F7Ytnr~mK=8fFUohKUj)Av4WLXwF9Dsavth z8ulz;L^z^Of43vZP%`u?QZ1y75l~91W^_B(cy6dctURWbW*VST4f`LRt>^S?q{PQk zfYOg}8qa_Eyu>OP40M)8Q^1pUtehRj?aOT2{qyz0`aJ}bIpPX#MmE0d<8^G2)WzkJ z=GxqgxH{2aDU3BnC~0l3Q@8ZH#av>1xJ|^38et$uG$qj`LeODK!3Rhd&CD4^EQi>` z08nVP+|kW+5#%5n2q1;aNUb6~qm}h;IwN6GFAV_)*iBLwQOf%f+|u>?oqg@BmKHKJ zWX1pGdQa6a{CawIugDpx{2%NB+$4$zFe(DWb8U!kz9QM%V^ht-p9t^|00030|H4s2 A+W-In literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.kpt b/bsp4/Designflow/ppr/sim/db/vga.cmp.kpt new file mode 100644 index 0000000..883e1d2 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.cmp.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.logdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.rdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..7d0dcfd54f84bd8aa22f82654ec16b5a7a1bff35 GIT binary patch literal 32649 zcmZ7dWmsFy7dMRJ?p_>9ad(%tKq+3dxD|Kz6ewQYf@`70QYcc~wNTtGxI^$@$(!E) z_dL%z=gNnf*|TQ-md~EOvk8%qkZN#|kt`5D0YqQ_lc$%1n=7LPFF)gJ9|va}Mgbu~ zMt(s)K@mPdetro?o%f7NKF-dJ|1V+YIx1on64Fe_e+?1@<$rA~;D4<@@<|6akY!1a zK8FX5;w6*1=7c>uN5j{0<(#A1vFdL@1^kt@-`WBlODkKAd6>vQ>V2I1@prU(EYxWWM@4ahkNUxHD-siIrwgk ztsBlh1+i`BvX4<8dlvz01rb&7*l0Ko{5feD0H@o48;=117qdF&(%RrO+_|;)YsQYg zj>dZN)1d{>S)t(pSiZi?ozjq$%yW@}G@w)b12vt@x%!;coc=(rYg2bNf55_xZS$_r ziXNzi^y&)L#jS0*_EfZ84~4zx<-weOORB}9(`!f8XX~8 zd%kT|>q@nVZ%3QP0=4nYnJB2as<{Z=t!r-8Mep|3zY5#TG01cVC;UXAaL_cvx2;95 z%DZx9-PfzC&A`RGp#x%4gUlK9kWr$`u;Qk-a{53AT3ZWt@~PThn{&Vs)7mjJrrK~6 zZE~u_@B5*B6?eJA^SK8@IC#Ox?^nlM-CuKY)!mr@5T4cWr| z$3yMO`GS*i#(3adUxn6psCa%9{pDG!>GA4kN8~00osSIRco~U)L!zPhM;KO>Eo*4K z&%E4k6SiuL92*aH_g&mT(+6j|O_FOA-Yo$`qdiKP6fHhkQ|LapQs2FMRH|;peaxNw zj2g#XE0!D!FWNH#8&Se*jtIE>51_T5V>E;FDrhSb#XhqGhepr&6Ztrn}y9(2va{U3Qh~ z7?u0pE4m&2gtdhCr60OxY8%CJ5+3N-0)1$f#p$tgRaWCOa|GYE8w5G|QNI(H*P6a> zJXQ^h;?66|709q#hMA+lFQle#D`09L{Bn*>Y9hw8lgGwE(aFhzDTZjbn~Wcrw*g&z zSlMW5jVp69JD=mc99;yyq_ISZ^SEw7O?wo?-t1Vw7`J3y`D-*I6eUMD8 zBJtNtJDPl>eT|>kb{3z%E9o)Z?is#KPDR6^siCeEua@!8Ur`55t*ulyEwjIF&J=G`A_#ySEr~k8TUB3GC`AFXd z^Ajf{T7}uSb!XiP|6}CvQM6ON922MG!F(ZS;-echugC9jy4}Zpe&(aNy+=k_t8u> zv&r{aXw;uohr7+96lz&n@>Oi4uaTa^x5F*KxKi&YK=%nhn><6xY6Rg%?Vl?NMUt(P_KV(FW4TQeU>L-I+{^As%B;uK~0LJ`ZKq zHs9F7MKzUqPD`dm(%Vkc3Y!JqP2%Q)SbqrNan^6c;+eC->W|>?J<{M`%a>%&=?tf3 z%yKnyS3+F*NVBT|uD;xPdIlrfhkqfVZ{kRFzWNGA)=!zKB781`_ z*MGP6O>KNl%i3kwt+Djapc9EQC%}liMDer5jl>sz!?t+A-$ab+w*3Nr@YFiqwOmFV zWl7>6e}j4H*H=j4oPQCq5B3gd3_aLsF}b4=rJ1bljB^^L7swrNdEghBWhf1X^j zrFBpS|nPJRQ}0+Lhw|NK|mv ze7u3vWL(wciv03#?tzzosxxS?rywB%7HUx3R?LS)coI+kzyXc4z}o`#D4WxJ=tj{t z?D{&SRGAkS9}9p3|LeYc8ykFhVEaA z?r{gaCS29T3HnZ76hlDXLsz`@deGx+_nlXM$%0fOwqoJ9I;Yr=)v^*ZkG%615UP+_>M&Au+_k;dN;zLUqCz~6j`){P! zu_eCo|B`I`Yh5p`mU{kMa6~?IU+_N?x}k`YiM@X?b`i?tV{wi9RvgPuOP|hPfAg40 z`}9NLk9JG-DcS_tY2EEaOD@p|{mYBw%O8Pj#y_0bs!#MwkEuc1``>IU9{2%}CMO;l z*N)TtT8|eyZ_IQIkhfUQ#;HR?C#xDN*KH&1EUg`Gn+o2oukL&FHxAZfvY1w{-rnY{ z=Uf56!7F~CI90f&bmjD@^9i^UT`8)opzW! zg^QV%$SZ!Bhq*Z>m=T+A_}3>=98blaIIN-mRc6nB6AbV6mg-|sTbMl+zo1(EPl6Oe zmQD14Cg;}*|Dgf@KWL~U(#E15j0SIOW8CG({xMx;z6@h&XGEZ}{|}Ay|Da{t{b428 zi5n&-CW!f`7D6)FF=cO;<|Dg%|Uo?ce z|Nq8CRr&uhF8v5I&ePokY2*yl@XYtNjn3`cJjAwAD#Nv7n@5;yW!>c0ZjJt3ZjFY| zigBSCOuGB;IOuA`Z?o%P$o%yCX6h1vIG!}EELMq1x}5vgnBCo}l>aOUy z8BaAKZiK`t&9agj?y8I%^QrkaDrei~nhYHDIs$9djV#b60%XmhjdiD{-(41rY<`{k zaOU1PKH`16fNWsbQ;bnu(zM8;w2)T6seTR(9-EV-TikGLMBGR;Rjy|s4pu?!(?3LL z*7xY#nx=mEoS~_O?0pgOd5EAYz@4?br$>-5GvMb~#HTK3_v8P`rGHe# zI74CoMcX1X4p4df>y_$7UHH=OO}W@wf1aCrr&aBAceS3yCH~LLa|!5Ui*SWg#)b5- z$XdU%)vvP}OPr1mZbR?jChy^5kbQZvapW>Pc(qo#3p}exn{Pfh7x*QlvH9!$TBewR zgCD_9^qisCiF0e*Xn2p{rJ#k0qo@*|P?lqxShtEbniD^PMY)=70kH)uJX~A9HkoNM zOyq>JN0KrJai;$Gx*_iD+x+6qe5J7ogqYMxGZ>j3$~TMw^BMzm!T)!l=YBMD0U0kS zzI2)+@Mt-?&DmxK)S>Slafuu#a}PDP14#ivhWxZd;1*h{2(C~odE8u#t< zhp>Ydb}}TSF%VL}qfZuY_s>Mz#@6UpHY@9D&9BCNl=UZOmwT1L6O>yILgi+E+9omo zkhCkJ`tVm*2RYFhDz@pYIC|Cn$pV?0xr5V*#=3Xl*W=L{` z8tQ)*rH4jQK_}oYgRonJ+h$lvIA+L`yml;{;Y+Nzfd6AwY-DkJ()TYatei}{g?x#m zb1*Wt%EwcA3A1KX_OfZ4XYwOwJNK$ufbg=X#_Zb~BX<7Z}ymD*sZW0d7)C_RS>S*DmV3xAiSJSU*b_||3t~}AhMK8BToW3J_ zuRQA3R~dd7xal3+cKDG+HKwFiNZ#VLG^;*1Zms8$-IF;I9Yem~zx+4DPeC zs?Lx`qKwoo+yd))MVqU9V*VER)JFAcD9}3AEni6DOjXJ**E9lRxabb)XM!>K zxZd&)jMV$hb0x?L3kV$L-~|btJ_AjVeXu7Al`=Wgkil~)TC`j+gf?456Ixwqs_pOvoAE|L*xoQX zH7OFmu6;rw&w+_9@(0$tUSm49+^Q3Eb)V9@gUQ=GH%ry z*Zw_$Q&;v|E`L^wU7AY|x*MP(Hh$vVaG0_?!k6^uy5&KA!qK-{#=*;KSu*c+S;w{$OZFlh=^3gUH;Xd32@L zjoWPrOYU2Vt_IIfA=b8zA&%Cr0X`m%rt0@cr9mANlMPiX840JH=9J6!kEN0xuPlrW z^HzWnJEQnWx5vi$Qg%l{Qqet1%1my54CaG?kHJsAH-n<90+rm$oGngm#T`cY0yX1& zW*>n@h3000qgH?7_qI<(^dVT{ei{L?s-465iY8Qk{u&_9gCMmyi%! z|C6$-RgiBU7cwtii=GggI+5{X?k0dqtfT#ByAiwltVtd)?=!Lb?T1fAZ-cqX-pvCj zG7=*18*dVBj=AjV<64`7KlxBEXy*|0a3Onta`D~XI+;E>nteDK8yx+1>~uAwgG=i_{Gsm}XrR9Li`_xLSl(4cSOa82thVlOO&{FzX@g0DYbLIO zX!5+l$>j^>Z@i5YOPBCKI=T=boUclEK&Z4c6h$seH{yZ!1EfbVv=|m=N0d`-^^B?N z?pe-=B{?V4jB{4T9oW3;pecoG_d34)StyJC}i4``Mh*q%4qbC)- zG2Av^S=>)#V@F0p`vpx-NH=aYVj^M4KO7Y1F?l8sme&2taH&<>Nw-6F+_!=$s>kZj zWlkMQ)W=|t7bxm~mZI8ieYE}vI(j<}2%I|UTRLQM=D!a6i|KlZmz1Bo2<$#@ZyL{e z`tdH86_9*rvVozVSZ?mbI@I0C@t2Ea|MNk@kgSxaJO&az*%Y4oSO^KlCL4cF;s~K4 znla}CwxNYh*Ia2R5;nU9N0ZofddeINZVU*IKn-$`=lb<)dsNy9D;h^? zC${ovZD+?ax7cIBlE~f{7ecICnlh_I_`cVaw2OKo5Gp1Z9&pm3UItZD5=hO=dQGF= zuJ_}WWy1D8F^#?W9#)Ev=A(Cz# zWn8N~9~BaH0wzjF5me3K^;R>-J^i31#aTL^L+R4;8{MM{|Yv^T+<8<=9B=#fy~VHkk-k{zA0DQpH2kAR1A+B5SlTm?x_1E`EAB~9zh!fOB zo~7LTQa=6eZ05&bzC#*R`qJ%^Lya`CTu2721ZFl(Wd)<7mcrhDI%c(M2=1cX_{Ksq z`Q=Y0rDLu?$7ABi*Wsg;GQr+*PL0H%3RS`!{jdjlykivi;}QRo6$vV85WYtC!BsF7941Ndr|9yMcqVaExsrSk!~qJODy(5y290(%rp zhG0tM>D_7^y}(t>Tavmv)IfB4)?Cc`%BJFy0RQf|rnO#NI8TZiUyVfrHy)&825P+= z+1F%vOW3MX`w=&X_A2+AbJ>fbXODF@B1eykA?C}V-{)`R?L^qAOqZM+y0NEhMC8dX zW%r#ve@(k+dHGn--fHLg1&^?9PKH{)tVC%M_Ua=iZRUZxr0T!yGDU&+{4(G3JhfauYDL$@ON>zfS`K9p)rEhy+CH7|m7 z24&hFhf#2+CBscpuUUe}iRa&U)W0IPcJsBvq`C5sU#zK!N~MclFMElO>DNO$N~DIS z`RPTbc(PKl={+}@S(N!+)~l3-aeoRY{KJz41KVp?K`PdFiQ)Wm;d(`eid*awE-kpe z{%0@#OmeL1@dS(%UI z@Lx{epB#`m;WeWvlk7TSqb=A--RXTl;O+JzAoaPdmBNAvC~P12=esNacTXalF^NQ0 zbsbw;6ydKsnsCir(WlP*E<9XY zFuXNZP)#&hQa*~#F|BZTVm(H4mwTjsljoKRl=G$G0KatQ5tj)F&{R`rrhXbZ;mG4 zt|6z;1sGU(htH9-115C@v@1CYzw_GTb%sq^L^g`M49RVAj{r}EEAE4M4nyOYK1-m; zG`WnAhF*>|YItqrE?XvHLQSvJ$Z#`gC%EbQ7sOA6(#)zDmxp^BJC1x4yaGg_Jw8*X z0~o7<^m$I6=6s|r;541)91-1mEsfzuE?^^L!3 z8Jzc}tNzjV6W8<90o!QXfCKRcDcxngsSdvjeQ2MSdAY~MfR$sh{$NxfdB@GSzq(66 zT!|>Jm&bH2Ixf1(@fdg;>dU*hrv?$^wdfA}Xf5`wIF(#E1+{oUe1}DT1oN{m(8{tF zriM+_Zo7J(?f-2iw+LIw_~q%DENPkxXnbigYRQTvoW={@SU8r$YD<&PKb zS_;$g9}>y@eGSFSJ+(eAI&2b>6{)_=+YuHwJ>6INEU~8Kq}9Pb#+;(P`_QGOz5Gkv z#VvwoHuqz2iC!xQs9y+YAiD1TTjlhxd(W&FOQ?1Y^kpDWa^Wz4lykRA8ou0jqWLxp zsrvo_kaZO43(;)*1)(;&`i)hbk4-N1d}!a_8h@-Jfeor@O`W*Uy>G1Z8FJO3O8Od3 zsoXK3>ejG{zK}#|^9;qS#@U_Z!N!p7RAZ0z`e}`m8=RZUviG!5ZE}47SZ&CtC;R=o0=829>}ps5ScN9Av^^^RGptz zLOrKZtN33D4Uj$L4f44rE*lyTy-pEvD?D`ZW6)SVC?%Rro?g$x2xJv_v>CtMQ6RciJu>4Ira%-Nk+EDW6I~= zN}wd#1n4Y+xl(N`7fciFt6LT)1Pi_;scn2OdF#A)lbmkpgPN(xh@NU`cUh>VBQtFqUM%7!if(Y}9CL11MQri&s+PPI7-QxqQcR7B-8B@V7bRdD_;n?g4 z6Le%9yYbEb^fPLGdLN~xgVTK9X07hj9luoKfr$a1$li_ntzJ?|djHa=*=`(%Z=(aj z8yYIkEpl<5xXz~VXS4JTICXqI?{MhbWJta!LtU(`cKYK=Wba?lUCGmkcKnBc4wBbO zPdoFBpTbGWo-rzYx~Ai=XyAE#vlkmlBO5eKBO8?Ic{d*83Xon?Ke**NvQSk_xBsz3 zBwNY*PkB5AT=_kDL>~ybY1fnyZ>DZLXKCbwd~3h7Pru<@CJSev)DC?&jLon|_{Ytt z=8kRBuOarXjvqz6dW`M9iv3X%Yh2jbMR<7fz4rQK!NS<;)K;(T>K`nc*H!Tzs;NPn z67u!ghxq!RUnwrNM%2C!aY@+3T8koc8-F$vrY%&rK45Zujk(ZbI^a5Qis$>YmV&-y zX+xAV+0{4OXTt2Qy{W?N>L&dXkWwsTVa3F4&x4M`6J>_VxyzrM+dPfVYDpp1gH*eF zrI_o{Yw5W#RH9%$0WxLj{sR*Y?6hq6jq}GczISHFYyRfKje#80Yw^_EWj`gt;3MIJ z)K_{*)^<uh)(HT6BDd%MSLe<`!Q%`ov3JZS;HFd?Lc)~w24bh0MRuUDoZ>~Cka#Rrzf&-3#Z(?lx5B-(4_7bTANTx%T2L|lJGh7v znUfD)XS1i|g^>SNI7YU-U>cGI+DkJ4Z51wkOhXKJ9pz!wn|Gy}82q{GTol+>D1|~d ze0WOfuUtsJ25b)t<-8DTY86RQXuC#TN!!fgD09{%!e-}HJ|)k_6~a>&3u(|F2)*WK z(vYHsurDc25lHM5V2es>v+yzZiqOeM#HzXJw zrB_RXx1qlVckhuiD*}ek4)F^$N-uYS)85 zlc@u3xp;UD>;6uxN1H!M?ZlunQ@rt!)su`3k$&a#QsIw>Y?V$q9uZ8Tbts=M{aN^p zX-iK(wzlyZf0&PI?CktI5?hX;a5Npxr-v`Ek-C2~)1`6X_;h;va-N01D5xqd31X8w zaxQEs;S0{HJmqfbv`P8h)9vHCVW+ea-b}5+T$zd=t3fZJo-?XRx{^2yajTNit2y4a&}U< z+|;(sACmj-t}QzK4EMn*@B{y=tiMCo-?tCh(1!T1>>`9^F%9RS?b{r2hlN<>3ep3X zj^YKn+rdtqT2L*kgmdwN&S}dBrA>_73Zxmv!(cA|kLGFsMj(a?WwgWGMcMRoq(vaW z>acV0qJgOA8X;a!KX84Uzhm9+ad*_9**%BJz_FT~Zl z_JnIiVRlyNa?3g6o*nb-DjkT?3 zP+)8tZ=A{sZ;Q;$P#HogHintUJnx*UC!MKB#>0XH-zk$_9*tJ^a&r>3h%(i1mC|#@ z!!7=h{4@{2*Zir}VdqEhn#}A~76f_3AnpVXL$zQ_q56H8EPvbBY4p)}7}yy?%eUP<|Q+!SxiaPBs*zXOlivv zBo1BUJ{{RxYfUVM&=zY9z$S2f4XE z&-dm_vi z>j%PyEgyQZSpTY6u*D!ovzCG7ePtgTZucU#(l&D8YUXOos`zo5D*O(#3}l5{{Li~G zzGdIGdLHQ_`k5G8|B}*2zITq|@oE%rLRz9}Ie)28SmYeu5bWn`}u#x+)!f~J$zX(td$uT~8COUCaZfjR%W z>~rj~3Qit@QmR^je|ff}ORoV&~$A9P8JTEWRM^(G zr<)IWhtFRWRXEsbGWbrfo$`0QLAX|PUG>@D{9mmJ`T+wroTlD=kS({9IP5eko1tfF z@s`_01nvi!SN|N{82>3pUGUK8o#f1e84nu&tRFHk1n1EWuw+%`?i3O9UMy9qyye zFv`5@xfTj{NG?FcjV4=uBJnIv>QK13dtjW^bdxGQ?t}Go9&Z3QL?C2LrJ@vn+_1|} zo*NPWP8zKU>&)WFvQh(%k<=!<_4zLfPwlt&Y0IU;Q5g>;(uOJ~ynvyjmeU1kxG1=h z&4l2QbBV%D{^u`!Wxsw)DnaLwfx$9syZj-GmeV-(Mz)@A0j}n1aA@-SG{cN0!!)86 z$Q_hDzVEq+KQO_GO!DL@yWckmdalZnC6)2Q)O~cy{DcippzwpI z3SW~SO%a>i!$V!sHowEz6Y9nGPRO4iTFoR$wbsDbk8L|1!RwZl5^BpE&kVgYjA}6F zX)!4^^F#hapia=$P6&jCt{gx5(CG`!I6uY>Pw1(8DIdan3fYDvYRg^(qy1a_9X%=) zRcz@Mh?sN6H&;dfYhF0ikX=oWl#dYtM6!XhlF$%fJ_5ZfSr+_nmT$lL$5&$Sls zWl0y!HM?E{F$OMJq@SDqNBD3T?x01h8GhJ|Hd7>CfHu=bsur>3Pg~Amw@t0rAl4<+ zJs9HFvh6G#rxJ8EgfLOZy}`H7h`vIID#>Ak7=y6VyYPQQpH>#21=_(l=G-A7u^13+ z^Leq$;k-bM|1P-wcY$E$I6vX|-GwrNgp&X25_H2GxXw*~r%7rV$RTdv2DOYn%tO>O zLi14`GK{6)g*WYemO0+QhO7tmP3L6HN8tJr<%}C*8>i1hn*re>cBz~F!v#d>5^D6$ zfDp<0?|K)xT7<`1<@}< z^Z|b?13l14&E2HOzMr^Bsqw{GY_+XK|ETTQ_*eSV{;Ixet|08Um`*9FWni$;42AWN z6OD0A-r~EoU#$-osMJ3)uYVpgJ~yihXbZuR0+Rk7`Gxy)zpNc@nx*btXH97?oUz^7 zF|(*mu%bLX(n$~Vy})q-%NTS<9Gl5z)EGlWs{%H3JAVz6t=C7my?mtU4erNITB-jP z=w3y6S}>dJV;SF-qzZsW{Z8gJkBxvE@YS8maKS>t7E1i@<(6O9CduJ|OiMF6)4I>G zLwVeXdXhTC$0icHkGt>S&k<&!B@mIYg-sU{Xl3^?qbLCkWJb2P--d36OEK|e$S21T z(=Epdacs(LRRQ~46cb1wMiP3MnTQdmQgo6E zxY430qMrpKd0O?RhXvC5RS`27F{hnGaJ&V+V?M1)6Iw6V~e< z?4Q&z!fV(DSG;^WNG0cafW5|(gWuuyK6PnBr_jaH z0E>SBWH25SIMXH@cDn{^2%|u-A_OjP1yv6N0L-CoNyBYS5C$@+?br76xbEZrnFZXZ z71Hiw_uFHVzAklWfPpzQ0ZXnK-K?TpmaK1L4y(OV;Ht@h(wA6JdBuy za+;Gx!FhWCIuHO@rvn1`ntvJo6kO50fDXG~{Hp0Ub#;H=ht*CYof%n3IoJ*0Mgh@0 zbZA2E)N5N}E%{sa?$&oCTeHXFt%n&JPK&!Liuu<6tck|v*uzXMbvJ;3>C>=-KEOkR zULor!HM<;B!gck=iQYyUff?xqB`(akq%&)%yKB6sszi<(2rvY1wK||-;-0qfF) zz~ACMJtKr_w8N5fBZY1xhIOs9gD2{YY@Bvibm_CLxsCyf}Wm!y4OAg7~?%j z(Y6zq_<+lt2D?}>I`_bu=+G|Cr*=LJSV_df+ba<(H!*Y=!%#Qejlk@F!T;MO%v=ES z2@?brse_fhdj?s7;gF1ZS#!=OfYCLGyq^-L0SZBY8iIYE18JXzXhYS7HRIYhm@hlU zG2nbs&Zjn`ZU8?93?E_1j{|TS6u6%?*nqBm@C_Z+ac++sJu0B52cGa*Rtz1^H`tvd ziFj&0C!4|x-snO=WkeV}-jmj0h6ru>S%Cr1fZD=roFn|HxlQlvB4;N4u59B;H>|ETR$L7f| zc3%_gNo=TF?g%Vm9w-m((GP*%ty4j{{?YQN1)G$b;61%0FvDd4@I1A@fxx}-p861& zx18sGxKDhDg{7 ze#(x3KYi|S!F~Ec1vU4C7#ZH9Ou@HN;15*LLT`xC;zI-EY7V7~3>E$c0ZK~E(D??u zL5m9b(<3L=4bMeX0c-Ha4%mm$&j>nXTMWH>DF9iZYo|z@zzQ}6!!_`p!Xp;K@|w=? zaGo>=y3KtdM#Hyga-y*QuEN+bKy3uP_j9{B2c$4A43LQsHtg~$1OuB>E5Z#%$V5WeKd<+Gxr8I?QUMhd zumcuh2XHJUiGClB90f-n~yT+3}{02dIMg=txhuUH8gv56PSTJBM z1h5y#P()SK#e4ci`}7M1LLT!I z1GN7OnAnM=*!B%}NZ2Jq7V+;EAHW;CPD@AD#pm3P$nL^8CR&-i9raYJ2j#?MR96Nw zc4)HE;Iy^c7NehXO})1~%(mw~q-(m0mEkh_%OK6;>%R$xd2*b|Zp6cdkIfz8=4Xrm zEk-f4%Mq&KeeriZ>D9P9=Kb=pU(wt*gt&h}M8kZ~b7n^%KcB#icUj5Ol$uMWsAIlh z>GXb)Png+5roSx_Tep+jRV1a#(+9Pw4LNcp<%b^TdcZ91t4Y=nLe3sG=$!L)ZDZD_ zmMbcp=?3ZN3Wzyn7$HRuLsA&P3{BS124VK#3mwbQhS4&`Cv$}!+0lu=Y`u^kmCkd3 z8K3mRKH4rmZ{=cZ5Py5(nzyzh2^3rsCl19}7s0zM4N1@-0buGADel%NgCo-VH^@=V z&A8mWxMgFc&ct%<_O~1@00=VaO|kTi`t@ zp~?Qn0>2S}xW#s3Qoew6bbSx)WJX~y>4)?QKmZAAA0kd^Od787PMpXQdp04jQC!4+ zVxPj(a`O>$DqlhmTou8TIduV%Wv|i9hW1)xY$P#Y`^=CW+`~m1UJRJsONiwBydp~$ zqFAyb7~6OuwwQ{SkRC;8M3}>M5dyrkXTpH7A?QSB72l}}K;Zlcx}64E)Go3xLXA-v zB0e=Ot%U6fdsKAJ+UOgbH+tW?5Si^Sdrz&P0>N}>pq6IdK*SAJBZ}!Y1tz=}ORh&{ zw|NLc8oAKIl&_l@0T2(D6X$^a5r6_nNjDUp4;J+)>ttfEufB)wF++@;=2RXEou;Kuoyc#>3vOF{4x9ikC#~@vY(@J zf<4%sSOwu8=2USg+sh|=7kI?9T&HwYVHar_Auma50TMz-cI;sH6fF|}nz;k!Vitt@ zuRS2=iNjTm<;`)S>l81~h5j=@OJ&!4Bv2!kDOx6)nNv+G)>p16JG=7sZ;lmaPJZo9 z()+bOF+!jrrC+jFIo!2#?z}~`b1#)EnmQb4|5hj)$>hrUH8;6q&1+D8Gb$)13$l<_ zCcXXMQ10X-IBMPpwJZ>yn3N|G>F~U_?He8c7=7r&PE$e{TtkEQ(JG)x2c2E zy9%g@h!}DN$`P~P#EZ$9v*$4#Nj*wCjT+bZ{xqV+EX&H$R8TkS9+9eQA1y8ZOmT4!zkG#33ure2%jyXGffYkDDzk!9oM)w^N-X!W zTi%$OVfRH8Y;H+boO*olot*SenJ|IyS$L;ls;%4y0CO^vPJT~zbrYK=>Pf0#{Y zUBuO|%~NqyDJ9Da2n2_Kw|Zd{_*Z)yt&=iY$cbwmUBVvmO@x_)Gu`5->Yw9v#hk(S z%^}u1;k4S$E-RrVhwn)X_&q;u5$1=-BBa}Ph1vHPy~`lL5(_=kH$uuFjJ+I}BrPw4a`#m;jH z#{=<1#YQBr{fFIDzha7Wa$VK$@rEGRHTzlmPh!I)**WSyQV$8XF;Q-7Jq~ABrw8oR zZPjflxhhm`&o&7e#M$~*VNte^R`f8 zrmvn0u2Y66rq*tF?l$^al*nyKY5Y~eS4T)u{v^*~$-+jKYj=&Pn=-gvzNJkw9*8Gx zy~(7f!@nn5D?iXK)0GE(CUZ7rq4AeUm|{^YF_6Nm(YXn{65Sr9*!oZ!+ljm8S26dt z`o~4`Hn1rODQR59*jNv7pcTxTobf5ZKPotVHAH#X^c_QNW;%@`!PuG#oI*#h6S!%9~(<|AH%O!WwGz0 z9ADHGg05fGE2sX|qkbu#J&)Ti|6+j!k7}OTh2Hrw{T(C_L!PPS-hz_urZ8OgTyCi?$=(2;Lu`s%*p=FP zh;V=Z$y~anAh&iV&0`Z=>rl4=308vxdWLzOn;mr%jqC6gX!rziJt+yJA>%X0!@X0) zf}F?1J@E$X-N6lSB1$5b=9>#o@I;ixEUtg8fa`ifbaK*=Zt?#RglsV%XCZ$G2H{)d zM9E^C1-A0!%WY*~Mg>rxq)RNU2KjXNs_Pt1zNZPULwx*g)q+8@VV0&TgOlw;E5yHB zO;J7NYm`3O*OfwS&tNJ#UFOm_s(oH%CDWH_Jgb6Y87xT z$HmTTA)Yqos8eCL*Y?{lhW4RPL~Rg=+bs?i7$V?N^DqE*B|jn;JEQS&~iDm*p5M1W^}(GltY zDd`%cD{Go{Y}>YN+nzX?*v5&SoMd9#wr$(?L=)Q>eCNIQPj{{Ay;t{ocGd3g)zwdh z9s0%`emeB&ZK0^;@qMT3osMik@-KwqX>)vG9VsX1v_wFj@pv>$Di63VYFgG^Y}H%Q ze2=I?1S{K1A4Mb~D9D79n2usT;j&?nn+?I>iE|k#fZv2k2?7#`9k71wH1_z+BkO{q zpzS@o@5=**Oj~7e^F@0coSOM##^j^ec;x>o9$#klI(yUvaJYJJ`=x>zmBNiR|12}C z<>eKR-eU*C26NF&I@!DS>V_gzN?asKX_gC`!FKSy${n1UeGs|i6qKe)H?IsqT}t4q*SvqC^d5Yo zBr@lz%1dEU{U*_*je`SW2Mv&BB2>IIL}-e}A$9|>$l6PfMti{a64{o4`Q)?&s+1q+N6%cot1pi+ZM z4a~9lCI{13;pWQTPpdiERbtfHrBkd-)4#FFZf$L4SwG-6Dg>`%=8XmXuze7WOrHa} z5c zCb{L7l~;J(*=4yocsjRrfV~E;i{-4R6n*%$)$q7@h`2~KhvVr8h2!$e-^h3<+y*<> zYc;-SzUL_JomJ1TqsRCA#Mag#R zhuY<5!Z6}>`3^bFIMYNK*`EF-V}>OGwI&KucQI?IUhRpWFUeIqIDL%Fm6h{DsQ;GWd` zmkYVA8FY5{_oLyVYnriistJ>A!IyFTi02$83L4u3tATB@&=aAu zZ*6CCh|NQrhBjq1oi}sp_RUrnx}ZfzGQzRd6#Hy{A{lZQMy&cpcc;H#2WwBi6EP_ z(O@TE_RqC5>`5V4JMY?rF1~$q$^PHm!=7`(n>LD2oDay36MLj_L4QNd$D251&HZ)FZcRjM7po-e{y|VIhIHhHWvnR9EL`~qehwh@mBN| z<;0Ip3{hetSa~R6zDt)~3k8lIm>U#X7!*Z4kj?d}GUDlwC@3-z;7@Crb4{0YRj6u#eg1URe9i=>Ro~C7ifx~63dr3 zDXpA{Jms(gr_TgZhnINj@phtgW6|y9mJ9g69sUBwpt8NeT{1L8_Cjb>z{;JMFXnOL zx;9ldaK|#&8>0uWm1E%m650XdJ{gci`(qQ02qu~xdMiT&+A)pv%?>T9-Xo0)gR619 zb%Y6VTp{?oNUoS{&LvYvi;l&k?}K61JpDJn_yWcLCRc z4)cJqXR`-ow$VI%O&(VW^ILTA**$Xf1B*f6wxJU9FRbPPf`Y!RO|I7K7FsJD0d8}? zHWV}xq3qe)i`!_wwT1Z1%K-q{S7Vjw{ZHf9E%=MG3VHWfFaFt$g35wvBUddKdQj>hvn$2P`!k7FoFUo{3ak( z@c8`#90QAx4pfQ~ff5{Z2|WaVxNT;IVEDM)0Su>RYOv!F2978tr<`Q+I4YikC?k{!o#ppNJN|g3lAWsTLq`bS z+$Lj_P!vh@KU=R>BZM)0x#+x|Y-BjdKWOctJIa9Wd-_rI;;}jN_MI|GZ$oJ9yqzvo zLYxj5MO`j5j))f{)FXW=FjZ&U77}&-ds0f7Q9lHiD8I1_*)$?AP^pOP3HYYwxY69?5;z89AFN)^a8{SFWpiE=LA#a`Ag ze`>WtX2}-*#X|=&{vM4nsS$5MS1ewEd5R==-S;MUkUuZJn5^Z^RJ}qTuPL|8o4_e zr1oh?M!z5fZq+CPu?F-IrnCbDQ^0QGOvgsz`un|wD5TUem2*PUpzfZi%|Q!C z+j%QO;MTd}D%*^hxtXAlS-`fGy1_6VAts>}zTv5z(v*Lu$lR%-}5l-Nim12N{ zt(?W8^m@lGg+0KeCJ;V$F9%)*pk&=IvIkSDSX)L=yEhnJX924rcoDB`#}1;CK_J-M zhx^vFH(XDhk_+?$H$Wo6i1~pTe8KG*Fr<1c^%9lyyY*Q47(un;VpQG)v$)EZGW18j z;gNlAFsbA&OnbaF?V3{L3>%h182cZASVt4gt15oXUa z;#X6LHg*!LD>pE-htchdC=IQ~KRU?Wz2qD!GXe~5^sjmI!OxH?C2N8<2zSCM_5*>X ztXGa;JGc#aevxO{D6ytLVbphtfyRZP$J2D3%d=5923eNPS~`E>#mdy&n6O~q)Y`J zcE`Vvb}+}+k>4b-Wc9(1^Rqb}vdL^L*pyIz*tXm`m^+`q;B?(CvzMipkdM_XAU%up z(fsq+c^4Lj=p3_Lk$D)ey`i`uXFMH+GpSKez)OLb#TI0Sk~E_t7=|%|>*=*{IGU#Ykw)_-bhQ|yRtQ@zI52fxNak7?=(%Zm0s;sEyu=U^cMjXYd-AzyH98a3Pj`<0^oK-WFGl$CIvQx za^0onyVHZ{Jn}B;Xxt)wtxHCbDKy7q5+f1Mvs*k6%Z1j4zL7eRl@DTKZ*ZjUbAonA z;X&-=JRXITHa8Smst)S4>h9N$m5z-d~)sD#SojY0lB8Ln_Xy-%<4g02K^-#M%n>6n#X92B4;;? zX-5%!8sIDCXV5kmsB+Rfn zzxdR)W|=|t!Q+aKXGnSFiFoQMwGUn*Xs;d_<@5%KVjMhoEAn4 z@}qnQ-sWT?ThY>R)wCA}O)a>Fr6Zh4C~QY5Q)S_WC8^nyS@_?#nd%({3<9)L?dG)82>pj!C89vo1b&#R~Bh8Lyx)=jxq|Z4(jSaX@-JsHph)& ztGnNOpc?A@tSjjD2F+CI=?i5EO6@;EW;xSAP*Q18Wa#b~jp$p?vgJv?FX z_tam_==}v4ns5zFR{WG9WitHs6 zf8_O*%@k-5+!8R*^ry~?3-ECFrlkdUaGhrGYS%L{8S24%i9KwY{Wg*umdbpjqvD`i z&KQx(a$7RzkB^Q^?ZcHDHH6~XO`tXkfb!QG3`tYZjnMDlvMW)~k zF-AWLMT4$E+2(EnVTlD_TrGzgtf58qaOA>6t(MEi9C2s66^g+&u6<%U=1{o`k7vNR zO|Ewd6M_S(8$em&DrW+dT_aTHB6D~zupJO#!J!`C9Sp72|#2_ zX$8ze=aoLc@C3Ey8TwyPMemD}cq0+;+-35O=3RVr`Z5<(7ec=}mDKrlV)YrYrt_<_ zih^k{(&i-xkJGe#TS5_)MCf&Wuu#&~-P}V&7^SYSnBG+)ZnCB8@flFdt5QvAE*KZ6 z`xlGVNi1zGSuzTczfv`MeMKL*17u;sA`w|0e)+8G;TO&X(3+xBLDV(9!7aqN7L-Dj zdxz&fgfjQ0(-ujN42s`i7bnq4xVmXG{mI2I6B|vX2P;u)e1fLeAlt99&CL3w;|^za zK+5VF@)U6AT`6B+(6@&?ZEY>(=$zfq)xU%?Jhf+{_kIBk^i#9EVhaBI(>r{wM_ut!jjm>er<+ZPTQX9yHmeNKb z2C&OL-G!IjR!*r!gu(7N;}_fXIJjZo7y3AtPUhHt3eUaKg(C|ppYVR#JA$pSl?Rn9 zzXG2Q%qY4ED2fzy;xk%DO=Nz06!IPFfp@py{i7&I= zSl7|OSxJmSB{uIplVeh_kzDpVbRf)xN6l!)9b1Txs>-)eh4L|8HmAg7$(a%L&g$jX zDs7gP5(!(&j8Y-~k~d70i>A-%?okw^4G|zj5UT~BDfH4K50s;2%w^a9mdhq_@Fh;a zVA|}if3+H`ET~snh!>cVw9S!;pX0OLJ|7BfswgIwwG z8ctI*)UB+|H7H`6dM#y%)6J15J2kHvD&u@Q!F!7ln^)3>mPMNTF(o5FK`WT`>`7mw zq%w*|@d~}=k!Bl}DW}$qC@X$KLPy^0!nGphOOtKH0Xxd~RXhc59%lD2`~HnN0LEPb zd6uW7tw3fqQwdYcp*#x*8v23$oeMQy4Lda7PiAEeoqxe_dQN;i8?P|1}kg?Lw3CHcU}p2>2To5X65mj9&J z-z(keqDRgM$p%Y<235uM%L`CiC^;edVQF^uL~XdHQwkSt%;hMS~>=-Izwo zVc+(rC?qX(FM@E-$)yj8%Ay!w#ntP#B%o5lg1^1=V)y=AK|+a(}TegHMUMZ zyu-2C4P+Pu&3OvLr?F?8Rcas@1wwCPHDqtMWX;B&I^k?)oUSvZk)}gfCHTf4ebV|{$3%#q)4a%FP=>mrFHIxGOK56K z$umlk$oPMgPZ>?!>uqemvp=V$$&i{+HBFTmdFDZ*iwe%NxaPQn2tCgyr7(^^EWhgA zX`~W`EF6cNF3JN4X)(nQh#BO3L&87czf(^`vFh_;_RhUCT zLt@Z)t8U*4f@<8{)|O`c#NZ-M57liQNDea7!RA>fE_(IgZxv@O}b+AK;9Y^EE|A&AF6=}Wm4 zAn3x1=yf+Z1ARuj^NSNo{bBlM?J7d{4>B9Cz{Ob{p|cj*O=)WaFl;RptEn*s#}{(Q za-fkhg~k`M4CxUGXnbRL-gr0)P2Kxlud?(O+4e=9>^(tszp&HW!DJ{*ZVn8Oh*hx< z4p*?IZ7^OW6R6Ee#L0^j)Mm(v2S>l6jza@wAEH$Fo{h3*hG1m#62{RwQk#Z#JswTt z2=?q3#Z{b=$R@*OE9-`z$i~BEt12}W9L`=OFPM}F4xeMOjhoL&W}2eZGbsXz!86tR zhgfbG@(X$4bFvCwPwRQ~$PgnM@l&N+W4UFIPyiFqXN$w-fMMHXxY&t0>i7DS&MIrB zo7aWrT1x?^7{YpcNplCjh&(D2zSPJcJ|n)ahuG?&41;~hbl52)G4S7!@o*L%bd$s9 zlx@$c>n?#Oj$5bDvWJ7-qKgmJECZ!cm(ViH;-nagfdEm*O4EO83oG+T+ppKT&b<|S zME>BEc|NC^S`ZF_B2M3bjJ~xtv%^yX#*W`JUE{If8?8@jcnOd%ux)&JVMDGqb-gpI z^oqcwMjhWLI$E))HV+rHR!fynR&Juh!<$Ovcc4J1SaI3v1Xzm=|4J?w<{&$ClQ*nX zZ?cW&IEAm}d?Qtho^m{6Y$tXrk6Wd|%33*U+B<43? zVlV+1kWxQB(ynUkuYC3FC*fhlwa*!cLPP%I^wnr&MzNX3{3CNwvkBx;BRz6KDl?TB z9<8j>wgAl>35`__Zaw%ceWhZ@uVZ0ICoUf)dFQjCFutZqBez1C(8$M^$L3kT5;$3c zh$I)X)N^)zoVgmnE3&^}oHgD#CG1SCufli6$1*?wGIkFIcoc|vx{kj%Wd8gXn<2## zM=^-W!{G<0)6PVs-G0l4?>WRg>D9@M z>K{#B^6Tc(eq)#mzd0Nn3urR5=pry#cOv656{Krbi;k-=mf$j0+Jui{vLPF#L&mgk zE;NOh3(pG$qrbdNyNa==rz&az&=$e>TyGwz+6EN2N5FF)1C&9FIYW$Wy=6++X^leH zo-bJ#w@#|nTUyYBn-M;2f{)%(7;+&0#WrQfqmWarvwduRTiYW^Ywd3wvLA{kE1-112EY@bp-_=#iKNC z4{x687d#&Y2EsKLzX@Y#$Leey;1%syYWsi zk3AD|@kzhI0t_B@TO+QAw(Kx^{oD9Om>rySXy-$*KCP#ExGJpnc*(B<_TW zT$of`#E9IQx6HQ0gh&7fs{lE=sReQlans>``hvg#mb=*~BBdjGSesrr(!0zo{Q`mj z*mwowJfj?=J^{fN_W!tfgi}i%GmE+6eb=rI$^|TF~;*2y+vR2a?10# zn~FN349U-|d->K7=DUmWT|MkKy3MgzjoFy;pv=Y`kKyTC%qfa3Blel++u}WFo8enZ zRG!;7bIO^jrx=#0R)e9Cm{h;7n0gjluUSas`Q=_qE8j!(of{}vOou-QgJMB3`F^rr~Gn_4P#}u-`WNGjQGJ{?WXK7cQGl$&JATCI933xnO091Jq-sQQj z<{_>TcCue}>2BZmR(m?yYjSAd2bN+k?hWDQ@hfx(XwTrkG*50JHnM;_7sY`{&`3_O z!bh0%2S4_TOh?3F3pC(N>)rX>G8M28acZ`n4eE@|t(9x>u&E`3@{EjA9;@^WtC8WcGu;QTZ*ZINtTf}U;w@0% zEZzQ!VOXcEB)Gzh^u8$s{Ll7RARejp_!yFYEQcMn{Z7)q%*ODbm$=JuGaF~}#b;0A zp6E+GGk&+S_UuU*Kxcnh5#tvY0F61wTBvmVe+|)MgllEcVx@ zcSDIyj^lL%xP!JP{jjt2HBkY(mMM2zRe@X|0ZsAAF2gr2@f1YCRH8F%Fe!VxgcIV! z8Y}2{V5X*IIRbl@-n2_Q;lxMf*f3H7jfjW)NLj%m+5uTa5)4S0&r7eK0nBtgFs=Aj zJYmr@G$XABlBIjW_?B52S(a8yVc)Ins-l#)&(gn-q{R3A&OUtRPz36wfVFH@!lD!) zn{{?KV5zzKPIxN#Msiv!QpwtJ+OwpsU$T7DJJW-?0^GcO|?n?3Lt z5w)Bdl&cJu$Go=Dp+()$V>rD9b;fI3hU+|;?Uw)jqdUpOIB|CkeTHx7}!}*gY13@DC*k&5Gq@*1@@T z6tUfgUA+xs>oZ@N)o7MA2|F2OQMs8BAJ$QeUkp`a=5O2~E8PpFIctq6T#<3cP%zk1 zAncQ;y9uR=KfS3Kc`KwJW+lbO?Em4`S#E9UOI6eRgf4owy1!R0s(2N!Trkp~ z^E;{lK*AaXr(#^ALNR3pQ#*2&cG0RA$q0*XJ=m=%uwo^gY-cqnV8~xd#Ph0-F>f5k zjy=oXBLG#Gs7*_abMdGwc$Fsrp5h$8WtmxpDYjcmXa367yb3>P8xg!m#o!4+qeIk^ zV_m8O2KNo3Qx|6HoRUX}NDx&4IM`>Cl5auV@^}a_t0cTw>b5?WVM;PVg4IH51V%As zxu}m{8iPn<)EZMF#>^~>dpp$T;>-kgeix#a)3>(6u(jr%^r0$C0kG(SYlCW?e z!X{hjYW}Ofg(?jxeoGq$S11$SDnL$ck}~ApIT;RyM_b1Jg~E?jP!Gj}pUX8w0>!m* zh;H1m?L}Y!_$CfhGpqIE-Z5#3#Zc8qhD-~h+#^u{{Om~Y9bT|qdJ|W)F7M-G+O%F^;NBhmJhX|mbAknK!uQiO8m+Vq?}7jcc`KZmn}CMCwWm&k$!@rJ zCn@Alv`HgP;Px?`m=-aeo0=R=8olWtO-!NE*NCh>xx`|Q!y-w%4+j!vMDzNPq%f`Ss;G$9oBPs%$1iJb*cPb;1>UsVg&^3a$~zOCTf zD#zBC&2SdURgwt^F*xd>L=b*(xZ{H2Jk@d2R(;vxq7V37SUrqZ-(X%$h7}t zDLqtSpc$n>^A$FM$r$vg1N#fIu5onSx!G`Sbqq2hC<=>rcm ze12}pySB(M2_3qZ)0nuqfnvQ@5OBrRz2~N`Lj;`bH|As}aR4%v z)M%CRic(?-84;NRij}=(A;(QRetft#<(#aJbJ@t4>+|`{P!&db(D=2XBR_Z5 zO}+x5lH)+6S4g2%XzhA6`0k-D&O|d7;)!aJNX$h;KsWi~w7z9@}Y%8D!I#L8%^ z@-VfONKo{p6TVas7K6T22>(fhb08bk&j#7xp+h$2zC6ps^$DKGXkk4&dk(IEyz^99 zCT1W28#kU)52Au76UE*E0>(=XSg;lBJN1)GjF5LTFZ<*=YOhKG4;})h@43RZFd-bG zxZ}+9u)=)fQVS@@#U@pYM34SM3PwYPa?N0hF2eA~GpTsbkgCPMD8LAb-!HHhdGIfA zZOH2hC$*#luoC$=pgir37I?M*OQ2=CPF<$Qa{V0mpA?(k`*W@qX>vxMif|k}!6Hyx zbXM6iBmS>c#-&`2I59CI#w9I|mtAw}8iX?i56D6!-GuP)=T?#*kob-H;tNGEnDW$| zCc-7TZewfAH6>+(tIPeWaGwGnG#6ya5%%!28V?Rt}z9=z7o~)&@@yZ zy`N-v3Ps-SaOo4q7QFSNN>A~&`bP3l5ugr9US)!a4|2c`q%2dot*(br<+)9z6b6=IUW@DG_E73?Wr!N26Sz=;I3{HcG!)Zc1hf$hHaur4OpMQ}| zsjrv|FXLN+Y)4i(!PA>RDK4%HAk1*9_Dq%hEhB$hSoROq!RDbJT<7nV{+uiUa4BK+ zgn$8dPY4%Xa+9B^DtTbr-{1$sl_+plB&{`smUyDy+o}q;471r}YYXW=qcjPhIaKYW zL~(puBP$n3@a{SmT%U9SZdZ-0$i6gwO-1JTqN6CBD1M*E-RzCU!h6_JZKA)x#Gde4 z!gOIqxRcQ5$cP05UXk2dJvVU;D*5bW2+;1a`gi8~ZeDG&Vnd}xbPG95glbVCvy`0R zAjf550FiubiR&)9_mV8wY&lGRdsf-+TGnK3B`1wv3fLk_0#c zgtMu(7ZbNN=3Z(MvaYglIX1dfP1p>SRIif$QbE>@7`2!RCsSDsc0Z}5$m?RvBwlRp zrFCNprQ@0dP-)CkG>r(eY$uKYpw2ehW=qk>@TShTO1@TiyR-T0 z3(|pRX9y{rtkpCdKov6mZX)a0PM;>Eql!|ENh!89ttp+wF z>>9|hPNzF0l9>YFD;Eh{%=zL6B2wY`$}S37a|4D=U;6P|b*n=o!UyEPA1&EaoW6(F z@7V>+%Dk+$ISBk^S1~7)EG@ZB_b5-_m~*lo*jeJmHA9gS-VM)>hBoWPV+`@1K3$l< zXAlrQV%<*q7M)jg&;ehid>QhO>OA6x0<#6}k%zdg1>ZKtx<$EX&9c@ztBgY@P8rAX zTERfbJV4ry2lakMznvGr$sqK-?Sg)!?-fYpoqAGU(dfNqnP1+ZU|>-1;v+O(qPMkX zBjKYJ_e=qVN!0=3*o;|`%yg=h@p!B)(6vw|sVn$!XKR#Kc)iy(c7sm!%&xHT^V^!l{yQ^a%Zc(QzX~0B(=KBRJl82%J)10K)X&a zA*;7w)x2P4jcv&U(6YBhOwb+VU1S#a30t42{XZk``lkG?u(R#UGg#v-HIKTj+=toG z%o-BiME&Pz0ss;2+wYFc))^cXaX+m*+n4is0?o~&1&ttoUk3wZISHoNFb9D1p#USM zuc-}re^gW`4*Ws{AGL3fTdCg~vhvF;82=T@K3qq`7`-~*wQhAbYYwt@bKXQb@ zdTCI+_JL{q=eKd?PP(m^yFuk~-k2YIA5n3RM{-k6hseVY2_3ae4HDK9*fUVE&3V(q zZ((k2l}PQL{&O!DS{v6%!j|RQ*FQiTSoe8#Jak(zd)O&-1`KNS;a|D0S~;Nep$e8A zTo^Gj5$Y)mW6jvz`GSlanV(gNwz~rk8}k(^gWYw0ohkgDX2}v7LZcf%`1Q30}~xdnt3rWcp_RU2R!5xzpet~ZIrkW z32e00*YK{>tPlv^j?4+aFgYvQcCcvo;JB(4jz}_*zgv^A7`nXqjzlP)CDPXfK>ce* zPW~0W(d8kYlp{h+A#hTND^;@*Dekn}YtfO+BM-J*SiBm z829b>cFq8NIV;Vv=DfI>P@wBS4q52!RIS0L61F5mFWUqD6)re)*oeasnw5FpUIjCOEn}sDKo7nkMyG(l{z*K&GenFL$rQxDf zG05ADF%SQ(o(7yLpFabO4P3_V^GE<@<)o+AYh)=oO(;mr&;l6 zeUl%NK3K}vULKphzo*2E6UmCA>*p?-jDei z+R+wX$!ezQA4g zNHJJoT%+A*+zE>qf#;we@HT=I0ok7M_J|XK(6JvAaOw*d(ope@YCTmV>4$L2WW~T? zRmK6z2;C>k#VgBNb)5nzUlOr%RhMITf5fSi<4jKd`qhm@2pvxOlJIS@Uv0ZfWRqW0 z_lCpX1IwyF=g?+1pE1*6GENiUD_lLE2Cl4j1&*sS(SJ8X9QwLpYlexfGni>KBmeG3%5$eOQ*{~AO&Xby3}{?%otb%{`sUYvlE(tU>nVDfM>0n3T)^mCp?K;$ zyqi&PEbb5ESAvoh5paxL0jp6#Tte{B9ls+#$QRXgV z+!L@|lwIG@n_^QrB?qZ7`XGPz{9c;mg`|MrqQE{b^_tiF@Ef+wQn1D~ki7nYdtZQ} zro4$U&9PzSxjd(?8n!BP3&z>>yDd|DN%jvqZ zv-Z3S89t2jlroP0oYICy?Zv`b`SD|}5gr*I?CPFR6e7c59x~REA0s9T~hKV)f%Bl72 zYv@YH*3@WY6`{gpzZ=)5!w>29`T6+Gf2zA}FYT^|rmszOi#bW{sE+Jnca>Cb@=49n zC*n;^I#Cp~$wZ@5BcT*JC&i2`Ge*-qYA3vJRjASiZ(mkctdb~G)HixFa#U_-vtIML zJJ+-GdMaeIk&4ZGQv&QQk*$}bv-uoVn4Es011huErbQrSg*8YSkGlFJtFN>Uq*Qau z%hU_O^~&rWU_VYg6G77x+moe0to%~50QV$~;k)BC*1nkc$I`0eY7nH`=Vf(V?(X=; z2+C2=Hr(Bz0}^6Df@-0*?i>Fq!j(uI=*o_@24n4)gtoUim@*$YrJ z822FU&tTu9t+rHpqxZpI`Ez0pD!A-HMYx7(wRfEz-|8<$%h_)KvRJ*0hE(>e$21Nr zqkfI?+8Lec%-ev71bozh3u+N#lXHQ%hlj~phGcstZcoMIB|3!1r6-4zih>XpbB^#VSOKZ;Ku5i|&i0_<&VKE7LL#;L(3VoL{)^9Y!jrV}y7QJY zjd&xVviZ0>;Typ4ZD>0FN<@FlbuW@p@D?^&$MJ22p{Dl+6{}&Jl+L(KRIPXVyTpN0 zSNwt!fwNi$Y{Dve(OJgnofkjX=m=&@@YUqwJrwFNzr<1akwE?e4ETb2bUELZs#(8n zu&UlY+-95zHb4X)reSTX=ZZ|(HCCYy!Voo*RAm)kEa~4-(WD{Vj3?@b>!(Uoz>p;H z71H4!-5w|mLR8dx@>qxHK~(3`;+oc(2J&AH@f4|c(vw;)jwxQ>)a}pT>l_7)`o1&F zu3Ai2?sJWqszvW92c8IJ9WT#=qH{op975dpvUlW#ARF2g4Y zO7dI$x9?qWv>_tk4xzP;Bmtwa3;H0m640xm9tqy|n&O?S*_pe;5e? zr3=2>$0b8yan)gThme0VWQTh5F|>a-ZY2L%o=pxwH4C-GC>rW?0nLe`#Ic^OFCi2u znMs^Zrnb4q-)G-^19ioGCjKf88XZCL`k6U4POAwK4&YQfk`aHf3X@%!?jc+pzsThX z)l%J>NA0|_lAo?0nMJMt$Z@RySgp#iZTl4&=&#GRNCRn9rJv6Mw8HmWliwE0?>4I2 zzJ-CYIM7mbCXTy1F0BxuQW|+|MTs7kUt9XuOcD^l!~iq6LJ*Aa0;VQc*xbjx;m%g@ z?9bUs32((BJyqph85(_OcA!^v7;au3V5f?QG9K579FOvE^q`(I`r~BNU&kv|;)p}j z1ODcW$!(@ib4-Q`kt9hkCr4+H8>0S4O1D)56sjvGLDsY&m#`m zJaSb*@ZRcfOs#2!-Zt-si^$(~O5Ar_jN0Zy`UnqM98X+GJ!vY9&1ezaJ4>xQWPi8= zgS-OVJ>A^@wgmwK-JhPPgWO)8PfjnY5~5vy=3VI`Pi#$HS{A~pK%1E#Q5w-u)@N#4 zx`Pe1uSY9q5nBiJn)feFSxU~Q z=HgW;K7_DU2)?6p=}j~Ibc-+ zu{}F@Qc#W8wrmp87E)RJ8hUObyj)E9wOu}R+@4Cd6xPgaS@h&c4~h&ObTnn#F~i|$ zA?Ih=HGROTaEZRLMVxa`HHck#Z5@+yRQ+NxhvsrrB|O^8zF-st^W*{l$+a-ENeJHvvX~u1e#{!E}(Vi77 z$B@@o#L}lfqD*HV+UbaIIYwD@d}2YpjkOy^z5AtRAm7+T%O-vy6u$biEF=@kHoQ6D zhy|25_rqdPL|up#{_d>%@AuegpKhhKy}WMQUidr6B&1LD^L0At_v$Q9C{u zR1~>|TDpArK-9xT&%ZQ@4ZAOtTcA93#^2|~;R(h2A1-2{2TZEX@Pxv{O#Xf23zrk$ zPE}k<3<@`ulM+R*WzoC+hfB6WJuRc2i86k~5TYt?pFu5OH})9r*CyX9s%dTO$RXMV-ykc_ z_Z&-ZJb7=zThx)POSt23=CEcwj|9wAPblXwkN8g~Oe{JJ=Vaknq_36rA_f+k3kQ}Q z9)f}pKHee^qIuxg%3r1c%KZF%F^$ciWc>rQ3sWXIDrr7OMOM{oqYB+V?TQnWc)R@s zJHt+jdCQ0#PLW4Uu{NyVk7iE!`caclZ~Or}1zeGAAvP>QriaZwIZCKG6_p=C*}KvY zHK!f|_QigGD~w8fR5y;iGHpnOVvl8x$NX;Bg78pLlgWMmlKakA@5vigEjQ|ji;6qi zb>Cq#IElE(cZZedemY~e@4#6Hf$ez;$SU^-GPv+E0o}LjWBDh< zEIGpi@c@#cm?~*Ah-Axjih__JnWcQPV-*e zzLQn6Lu+u~jJ#P0?#E!h>@QC28laP;)i+w~Kskrz!&=2_Pg@NsG+70 zRFq}G23!3_#5p9-Gs#%RxP28B*PwpYr|d(1(f{>7dP!uDK833xJ-nJn=ZQsq^R3-v z5W&fR&l79^fQLuH-T6oK)7Kams_(dOg2}pD?7=(f$hy#b7baw5@9I@8xQ*-`oFy;6 zATLjJVjeH^&{rXb+0SN8!+|Y6KhS4xDtxe>P7{1ifycfm)nPsUx_D2-<|7o0|4mIj zQyIQSaFzhD-42YDmK<)JnD_<2k8!K@NHD|^PRlYl5P5PwNOVV8-v`pwjr8GMz2a!N zPcD3Bjx3%2x^^5Kt|lv~McjR4?CZ^GqxkM7#IIm1i;S7qNW~i=_3>POE+^wxjXpuh zox<;)l7d)0Zmx4PZ+w+me760MI>*eM8-w!%)%PUyP)^c@IHj{^gOj?n&ZsTJ;S9b1 z*4Dth?YOzjh`BA@+*=C2SJJtm$01ioTk{Gqso{6mIdeGm>#&gB3I8~czQw`W%0oS( zrG;Tb;`am8T0~RD?4;MCCHC?6qT}K3tSTbPiwe+vs%-T(Lna4R7jd6ptpDzII-J)c zRZ2nzUSO#_UrL-y`)NfVAf5iKp^B27X>41S3~e#%YPOu(3+u2T z9upH0YhwTDSm{ z-hytMDf8u(bfF3LJ+bVp#ML&aFVD~}c^L42E}}v)s4q3sEh;VEDo(CMmxiRqo+~Vs z2#8V8;LA$JEBAx}L;aVI6w;Dx#EkHpDCJM{-)>mp^!$1z57QufX3a-xWIPGn<%9V4 zPKcry#+Y;L|FgIgr`DMGU2jhh=7w0*nkaOC{0-Uc$Ja*|JR)t7s1gmG1jHc;) z;v4(&;8J+!;DNSlh}jw$#-HDR`>jH%JBoFl&Q|4<%fmXpavXEFP6Z|0U3kKL#cE-! z$GMt}T!iiK*3C}sDZ}kT_#mcEiuF;aNI^6UC1l}VVmD+~GD>MS1WSgfzH%&cV`@;L z6@@I|WwedT0iax!2_5?0{pKcEL+TD)@gXakBJFO0Zkth?oik+o@(sRmc%5Vun%(&H z+~m;RQU4%YaCm~wbcqP#c}rx$%)tPmx{Uee>&45?{hbE!C9ZIsO7ROLM0VE9M#&{l zRsO^Tay}N3Y^`$p8*<&O+*&gOv*2jVp`Tw!x0+@g(Vygx8EjGU$m|DeZ5cCUW~2_d zqn{^bDS8aS8!d-D_pD@o=4BRcKpBUSKHPl<@c5gMNPid7qx{-kS??>P5AgrK+W!Fd Citq#g literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.tdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.tdb new file mode 100644 index 0000000000000000000000000000000000000000..413a580fe499c78f810079268440d9848e279724 GIT binary patch literal 42645 zcmXVWcOaYH`*#=Gdhm1@t)k;8Ek$X~7+tERq^(uEMXP2KD@7zlTeU)|Q9|06Y@vo63sHDvHWCZ)#jLF}V29*WLZ%|5sG?J;Jp*bVw!he~&|k z$Nuk`ko|wpcZb)~cxokD7;K?S@4$~BAvHDW_rcJxImzQ^LBTaozpKkXEx@{gla4{T zpA-MCv2i`*&U7dNK$_%##9z9 z1E+Zst-(M1lkC8L-H7bn&tF_C(2o#K7AfP19*A!$N&%C7Ma*E`(CQDlpoJ2_WJ<-W^#=33EWx-G#&C|!NWYfwMCOJ_l)_H&xw z&nd4s#ME>|zQ*Nn#32QD4JWoUi*KGA&LcpjDaTriCLXIKEZ!uD%yQ&3a53BJJBKfD z1~TW)brzX!xyOz7XQtzFw%qbCvNVV4>m(9{9mqti@=YkL&Tbh}84oi>Q?|{!lT=7D zkZu>!)S96eOexRd;l!u4h@;eBtgjedKo~h7y${5Mwttg$Xk&`A`?}iE%vPWH@0|V2p0I~>tX+4mOTZopY|9k@rfcS(E{+WEX9F$|0Q@_ z3xIX8h4+%yR>FkuM4q9pZ+Sc8Nq<)V^VED8{;>4@A41XhEb}NSmqM%Ix0b#AcgE%9 zGhRm%_{U@N6W6JMjTGZfedz#`b^6O)Z85fD$g5+>L7dBHV^(DGGhAMEJj=n?T6GZQ zil_YHlzqxde5QQlgQ;`!^T&t7F*e5MJv zkLvPvPKcoobM0udUR{U90_R=5ZZ6eEe{^JHj8hPSDeEQJ_R8^xIUt!wy#@o-~cj zbahKQLUl6x9p6Yg$?ftPgDu4Zm99*$&yv~od@k1 z3AbOD`aZZ$^UqBfe*Ruvp}gFrEIrX6d{+6;s-H{dGdS_oi{Ac*M34T`*5iY}t`4F7 zldYMq(h9=?TaX8ofOzHlXXj${%cD+p$!J@zH(gmJyyl41GB;0l6{+!h;uB-WXsS=3 zHPio$3B!P53Plwe4dl)u%meJaPmN)iADBP1Mm&x4w@p?eIclDHCNV{SIQ=rvM)M7G zP~-GKa^c~VfXmY>A~7)Vh5Z1)J^VPd@lJvZT5^i{v|BjW8`3|$G-2RZkg>U%mxGCv zd|ni{biOJjueh9tnxqN`$B%i zlr8>axD$PWpRSxY{ot8x7U9;hlIQWbJhfAsb`M5C8i+7cRKVV{b2mZ8jDmeLZ07k}FY$(>ZOiHIR&KmbYD`O$H4Fdu@9NN_!Hc-uALaaLWpAAO+NiQ>6UEgsekkReSf-{UN6nu6dM9KJoOEW^bJ%=fz5Se`fbHj z9^CjFopQbFSz~U%*?8wf&cZ_hdtZnEpMOEo?Y#X*_cgs2uH0FE#2ji#TkGg-czXMF zq3S>0qRDyYfhmr@e+ABoFFK5rbYbumBddHD#F~z_q753WyJTFVFzT)&g;lpso z-O}<4__(a5jD+LX_R8ZoqLb>?)uSKO*+r8JqEyPed8_*#M$d|eAmaJ&jNQZ;2aK)Y zikM)79D&HTUElt&GA4Lj2X*j7RFJ|3c4iB7Q0r{_b;fLYkh-=mYA9@AtI1^#B*(&y z`!?n6gUzM7KZzSJ<2-1yQ4E4PgHSn}QpB&ugM+Ow_+9r!OnUlpu8Rs7{w!9B&q?Wi z|2s=K^6KJEBP=35e2VjV`@v{?>F84`yF8Khn{3(%whC?idG4I$eLq#TVzaUb z`tpVzPO58d=TzGLP3tUH#td#LBZe}*&3?68d5B@s<#bW~h=~=BZXg>v5njh>RoFs| zfulD(O?6EDbcgdutv{40EeiHbf@bmEMrh&w~>h;zkgq5ylCj&(Iz;Q~2 z-%gU?rtxJ}DJwpWGT~OAzSKzLmmq-3#fJf!3V9oruS=|J^z%6?!wBAqO z?(8kS?!U^o8(ry$TW)iLWshOAUu0ZL$Vbamh8X^$Bn|MOV_D4#D#b5E0OWGQoL zzi0Ys5?K)Q_*n_$eY`lr&F-wTZ@p?SLk;U=NrPoPSDTyHO>-OFz#hrqlvPj1&fj@u zL$%+3Z4=zJk~%Z9@OHxbtxQkOwFvAMBz<>KTGHa>Ho}}BQg1dhaJ!FKspxnqpCh-C zx31+WvU#$*pq>Y2c!e$)7Vjx^e$p}+=&{BiE7q8q6VBHA&jgOoNxua`WM%jQYEXcA4-z3}40?M{a#LAfY*M^OCpVww? zr;5SdC}~T45MtAZIuRMxU>HnSw{e*co9)@hzpvEZo_r*7)>_Ff92nF+=Vbk$eLCmV z4ZyC_Lmru_ZiUy*G~uN?btli+f~N(IFt=M@ROKYu#H9}v^Lrt@u+kR6){<2j4Wzwd zuxrns#6Nb0sos6LZQ5<^SrR_&>&o?KwWyo|w%EnWRv{$N3#gz`#;m=zbCg=p6Zay} zWk+HC2g#xOf%OF+TX4YBpQ0b+72rFWy}y6cCBP=?D0jJ=OkBhARTH~_;*BgYth6GO zP-Y4?l2T&~61Kgc*3QY!&WERpdsA9-Tv zm@?P)*TYK~8!m=k2(>`f^%sYCt+@5g%%$iwjx>v`?}>;>S-@25=aNiRJok^V?7W!T z9Smqzmt_#?J0Z$gcezr~|D*gzI0&p$L7Zq>&I!(vV5thQt*=0WYPy%yZZVg4Jm2Kl z*M2Nz*73eMpF{W_m;V-nE6*de-o*`};9K-xI~^LK@G?!7J-_`9ZaYy}{D!S&;y|Q(T^#;mxfl;aS)5+&h@D!=Ds%O~~bw;dVA!gyy_THB* zh(T%@^XC#&-HYVI%o?Zj+}xkZb)X0s7S6Nbf{0Bk*in0vS->%`U;{Uy;PGc^sqI-T@?2pXLZtn%AGF{jO)GE+D-Igz- zx2HkD@DZ>2?*kM&_tAp2Rm(K?^S~pWHpVz_18e^J`0+td(|5viW8BAB#{KqhLrHgV zXJP@V1HgVkKPp{JY--?zE@*iUgjc0mYb;@s2Y?4%a(qToV_HXq?B1dKP!w#JB)$B|_(dNZ}7CePUHPw~Aul|$%O zU?+0zl|tb$nmQGh-p1{$+e~V4$L`N894ie@e{bDMUG8%{CHIlD;;{k^!rG@5hVAa1 zT-7F>|Ypcyle zO1}nybSX9OX+pc%lUAXBTK3W>+N{TCXYzMJ0=pB-IA)D*b$#^Oz6=X_i#hcPo_dAo z<0p<-xvwg?^9O;6#S{!sM&k_S{{+EO*@2gqFh7a$otO^Q_LY(EXqa!=0I<@MHCV3} zY&lJ>Gw~8;uJ>hg()pSG_1T>vl+y2nLSr2LG!=SO3{(tukgY7JN2D^l&bH#aNAE-w^rqRFb|nBT`O$L8y}6Db)V;3+ai zE8AzfSD-Mio5_2)6Jqx88{AnZWJyiHi{is%><;Wgg~ft6ed8sGt5VL~m+hasxb`$o zC2?}R2GmRO%poYZc`&P!3HFEI808RvS%nT=E7p~HgxhyP5MkGx?W{J|?Fza<*yp}L zwi|@zqM)HST}qeo=_l6rz7GJEgZAwH`J@XmrxN}su(A3s9f$!wmh_V)`ol44%b=w1 zgeGHM+l)H6XdLFpKu>Y;dOtURea;{%wRwNqOH^$}N9TX#Y?to08tdxf>`RPTi^rMK zNgL=Pir%)hNL7S``!u@qUmp~!D26Nr*bndU2rQ&{C{a|h0{D$ zRb6Sts(;i>z%{rNcR$3FxHu7$Z}y`l_DMv&>S(KR)R5F2U2UP>$>xGwA|rOaa z?<3hHlVyuRLvyO$5Ji?C58WA0|5A1z@)4=MLVxyFh;~Yj?v_LNr>?XK{@?_ddYcwgGx)?JoCVikW?P1=U`Ui8yqw6qNY6ru}S0=OzD(SL1;hxkFg2TiE+&)Rly-%}JQ`v{IVf?_t3N;A42Rl49>L zc4*c!2l1i`^e0DGFq09naORC95eEx7ME-KDds9giApSKedu%stOn@b7RtgFP}odRi%tQpnM9p8O39&-~)|+soizYR|P<{9;S1ZKch8VrJv1YuSSo z>9vL-38M6T_iM1wE4=s1H%1$;7bq z0cIrZhIK)m-WmtCAYMsj0w%QN+yGTtOa^b9alfR9e&|?dGXvG zrMC>z@n#YAmL>TUs8+k#7R;g6=ZYMD&qNB(=BKOUBY3paI7xV$vai|Xo99qm^$vLH!!5#+Iq zxz|hi2S4IIaSvB=?uF+)T%?4j>t@R6Puz7u1&BIlpZd?Rv5haRO9I!UOB~@Vg@?L(R>_L^{(HF826=<2{4;*T4!4o zUyHz!C)x=TOUj66%boLb3HBlhmrw}O+Q!alUgrJt0xcmG771Zra!g^wu$^9&(YeGs zx^DQI=dl6*3G2LCRPbnD0SPxpubx)#$noDxbHa-P`{7TZ<5=M|`ws(UVp(y4 zO7QB_lPM=qG(xUA@?^VzNsH0ZM{u+u1nLeS-@7@;FC1C z2zpv(^04uQWc3KfZ%L@*ZC#LlSl|~eKsxgDevViv>~r3>5X^!&m5SVxAb63P`LiD{ z{lKqIJO%SN(ccrdR>SSzJGym}w%x{m%@ydVvRC!@ZOCBR*KYHi(0Dk1h<|T8jdJ*N zu>{}~j}Aw2tRU;ja6*r9r!)g_<49eWXmn5;P2-fo|9tz`*cAJvEUd~XzsQzpT zEl^%KMF~$@Pu3ZQd}&hgjlZf@+<@Gg9Y}WF3nPC?9sMI%ca(!Ax=kC3!gQ4nJr?cE z+Yp9Fa-3<9-}hnMpBxb0v=PaF!MM8XE-OSSA1ArCF(SV|-h$L<5A3IQt&S5{Ro9bw z0nPNU#4W!lu9&W0nz7tez&83#uv%Iqv-6qMA7kb$5(6rqKAD7IJFY??ZGd* z{nVGUq4}H7nS4Dxn{e{TxpL&MbsyF~sSY_;x`Vz&mCrQHjJfi$@BB7{gpYNC9sE54=;(FOgMFk;#*LuX%3`@!-PY`9aM zF0an=Wx|X4Y|6fu5XJmL47ZF0^&rQ~_r(AJf)M(MtGJBV{JkbTN+Lp=AbBR3G1~6Yn)`y`X^`q?!;SA=GZ%;7KYka;;C_#Z{xD11 zo>Q;!XS$K5oa95DIY`hzT>fvzb=7sTD6VoRS6TJA-+tGull}pKke6ZUj-ymPNCjU_ zc|2NAO)|Mhg3vd=5W9~nm?l#?e9}au=!69J>iP@0QOIX5GrEa7ohwN^fb_?sC8;H$ zzD?az?e4k^In!XTt=(p54ftO-Z&(`zAu2SNKz89@a znTQ(PUWDv)|6zPu(}S2JBz2b=4BFbTT?O%CC_oBNxj#LN1ZtU+$0sdfhhEp^#foxz zR^pp58d&c$FmytF_eyOfddsD-aYgi7#8<{G*sWPdnnp}hbN}>@;GVsfE!s(#sLXCb zU8laL$rj2b*BR2u=MDHup_O54-fn38ZX!?&bt4_qQCluWON^9CCz}2Yak>OgBk3I> z_?*y@qeFJLQ~#!Kr|NFF7*D6cdwA>fK1#V1EpF6GYMu`mWDc*a>=@QxXp$w$K zYb~A+lzrVBmG^X_UodCI6_&&j;{^ihUz~NOiT45BX5qH)S52?lNuOXYrxX4P$Iv=P zQHD4G3eLQ-*zu5@+BP&!$kN_e);at4p+S+8sI@uz8(T>V0yYkz@L!>4^%83BFPqFy z+}cR{I+My5bQwvxzrb{fE(PGWLqTpUv`j*(2X}w)WZ+dX*`p?29G~QW2Akbw$&whq zkLz0HF8S-_Y3I*l>TEjb3a}Lte9Bx*Tv1D{n^Dd?AVJU%20cCE%y_4R-s(zh2-3Iv zaiu5xC}H6QYGHMPyBj$IvENrto*M6)k8^_K%&zr*XH?Imj27s8g#4rCG9A72f$$%-@~Gi3MnT!lj(5A zUiY7>e<#NTG1vVy{^Ka-Z>?u_%eTdYYT+Vyim97;$Ut2N{yOrcyE#XsUQWaQOhHS# z;Dn^fS>f|37SOQ3q=1z8rLm$Gi72!@y2#qycGojo;cON>B5>??B{5K}zDf+}C9)ru z>aFYOr&ok=ye+$Qz6{v}LD+wTR?Y=Vej7$Uo{6uolRzhR5L@>H!z7_cedX=tY-(U5 zP5SYPY{xpS>-40J=tr!gy#!|8lowzFm=)AQ7xU0pvNliRysZ(8i5EyYvv?CcccvR3 zxnf!G(U=!Rd5NK}vD7rNyd3hAnexiVTxDIJ(jE=_sj<6*8@b0MI zvaT)WEMZy@R6KX_<3R1ic;Cqp1$3+N1mTl~E|rV-HldWM7idD)rzgWR*(K-efnA*6 zC3lsqsde{Tydo&Kob+v=FlMw#GqpCW@-pq=Rn_WMP_y2;+4c}>gqVKQ56sCN^_+Z| z-Xgp?$FXEt3Oe(u>4o%E@@=)DD8t9lMGziGbCL$o+-cjM6!aemwaf?Hoe5B&M1116o6T3vMSRAoW;WR|LU^`YX?J$ZktE&2DBX%+4J z6vZXGh8>}V9v3j>SXDKKrp|P4S5u*>-g_9)GdHOFc}Rizm>l?t63{&arC*|4@#%dx z5c$q+=hD!%VIFfHZ}GrEA&dY+lys$p|LTPyKvD?kcVpf>5T&LONlEBF+`adWvfy(Y zLF}Sf_!s*>0b3bHdbQ+qZPK34gl<8Y=aha&t?FAh`D(uCPy(1tOderNhV` z3=t@H>YJFHU_H0+`C5ee`quY1;#r%KEyq@KRRTtyd=0xWzK!UpzQ#Ko#8eUhI& z-y%T^OzaW!0qytb7~F{w*25wZLmv-RPx}x(LG6<$I1;+JUrJL@HmHqK8ZxQ--x@3UMO({dC`@o0AWNoK?=IX71sq}B z7I~*jm#iAzjqC%(T(k&)lAMFWOkrEUttSP*W2C7L-ujX=el~9Ng2ABUHuc(rJ(!m< zI|{b5uHy>d0qSpEc6gxs5q~&o7%9Tltn83I_+S(2jYI0|~>dMgDC)1nk^XzQbfXRAAA%UdgM zGO7ZWFems7!DPY&EH=O#R~G^|)MT|Df}RPSAD~|z>Y;GAcMtv8>r0=l`5j*uJ2cLH z?r2S2JC#H7r$2|@8zHpHP)_Z9PCbA5CJ-`b|);Z5~hQJ$~UAa zC8ql@gPDoRv65r7cRN;_5(4O={3LaqV#1qXP#|80MOJ~?|H0c}iOP69t>7mb9ww&? zN}Y*cb8wsjYzTP4=v7$s?G2ChUGs|%=_;(vgoYfN&8qGqOPG}{FycZ`97;?ne!%*4 z^G=7L?JaqNgfR|t=mh3+O-r;T(>O{CG>>R09JabaKIHzJ+J6zuIbpo@Z{!xSG3l`nK9XnQFHTB*+*di#bf9!ACl??`cG9@{LLTi9#5KU?dLG#9EcHw?I^{tHi zS)d47_cVBUEU#k3o)535KzEeDXjY{@FV1r`=X~)ajv3xB0WrLwUpc~b>pK7+MNf!P z(VuC7&p1Hil7viT;D_2cL0!c&`3nAvN)aeodP{tVww<6~M5$ zfWog`^zZ73L~l?SHd{f1k)}cldk^?aRl-7Rqdfk|*(EL_Y!~ za6Rq9R|`HH@}Dm0L*TjbHTTXP{b(~)^vlE7%^#+ir+Uhp4QKq zx~-}>zw4B`FcAW|@5={WxB~FxF6OshWON3-T-c-w%fZS*;)^pgTC@)%D>5E7CJ*&p zL92Z+UE2+*OzxgZjv0Jso&*Ce8-)T6UygQ3$*`)5Q@iBn9NbK~+Ug)dRs|c%%zK&*_CTxt@PeYBWu7@WZ{IueD2jvOmkm);<*7L@5&; zEvVPMS`$sMq-|(AqFh^~QC0C?Ps>|9wr z@*-v{RDy75^M?JykF54R?uJdClK|7D$rdFAs@udH#+5Mz_i?JU{k=zB71>9i|FNw_ zCY6ztfN}a+QN^Q;uoJ_T#V>BZkxQ67$3QrC7kk0>un-R=aB}|w;H}A1jEkW;=f9Z` z6<&BRY|qBl^?45>82Ac*@B6qPV3*EPn0~^@-y>nHw9p0yRSzbY7!*%P;1^74 zg>^t>Jp#r2^&nK8jf9!Xd{9o1Ro>Cv)t#iI}rkG}G&`M~hH z{Z%ii7K-rsK>=|BefE)?jxSy&P)3;<)T|-v$Ec~oJXI`h@2TbgDbJu^x*aC>yTI`$ z%Hch=a89&+ND@-GSYlC4{WjWxBV^Vuvrq||BJ}(_;_QG-x@o(R^#D@*G>jmo6GVCp zr&51XWlP%?CntPX(&tde2uYuSDG@jW9z z7+d6oH|+XX;-e3fm3^#7s74RdlOv)D&n{V%U`m@Lo+GR7z42wkA<;_tjgR$kTM=5p zqovI9ec*A`+R1MQ=(AY0FM%#U3_td8B<>W6kDs3_hx;SNhO`~*T<4koNIF0L7^8as zqLZ}_b?pT+`voTU3B`F1bDtjmOks-&)BaNo{WZCgvv-o}&l;rr3W+doPkSr&&W<>0 zODV-}`Lk;4Yy!OlO<+f(C(l_e<*Zf?n@;or={2X+E^kjvwXO3(erY>V+<9|$UY?BK zCPX~ML5>ov=Eu~zRsNuF<5~+i?P!}RsjO|2z2|2u3lvzl{j$lJl+s9F;VJQ~xxaJS zA2qIG!oMsPND^gRFqo42#Jt^FdmjM_C$K%AZqBZckaS)g;0z~c+r(yBGoh&==s0># zrzOV?)@*cPw-!DpC5v?sjj zM{GX9V>K-#eZHTvMYzfh@QVT)+ZI;=r)5}Ocv-(%hl)yIqQMf0HS z6|(J~Wpc`x9-}1}{be-ky}643^qbX9XmP3y55c)nF~!?wL~_nrtW(>V{9HjW`yyNQ zsP{7=nBq?q(;fgH#(q7IFGLS{p0~cO>gyafZsfgpS9=CN=P)Ss&xQf6wqpz+!505V znx-`)KTsdlG+w=LXF&-kU1cCzyiZr8It2oDu5BM~r%N6(IwZxtg=!FWyo{N=1m#Jt zI0Gvdp?TX30$<2smWd(25wl8U#c7xo?v#NSaf@fF!&+z1W&VF#+DC|UE6 z-husJ36oiAHqm_RZ4wVRAo(cN$aRGuuQH^lxbZH6RJ#R847GiRJTm@6<<@EvB1fVa zc?Vz)^}AMN#c284f>UW3^jLDh3ox3QdaK|l34u5R#Dr+o{Kvv%j9SCw^iiqxA;wiP zsUF1yol~#PrHWsYsnx)sVnr&dk=X?90gdE93dv4X3x9p%58k#3#Wwu z4z-}d#9LR_^X&zNCxe{ziG20ftICp}DgSP6J>R{JloNbTw9*ZATfn8EIJZ4>i)l`v zHPZDetJFwl3!KdQQ+tV1c(2*l8IS(QUJj7$yR~EP_ef2gJ~dVn@@3*LQoMwCF0@86 z^j%nP$KF^(^uXULK|~HO3QpJ59JwxTVFg&2E;_|3mFAxAbTR35smdR@r=S+Yb_O#k zl_FR~+@qp&YwIdNg6dgpRjeF&7V-uZAt`9nlGrx9jiqJ{L|_wXVq_~1u~dhk;BsbDOSXNL2)z{XI(xrkbQQpD z_X6iF+&*dD7C$D(dcNaHT#JSGG?3PV&Z2^lVl29t=EP2$!PG^rV@J{@%CoSE2=6`A z3s~U$z1~@*7c2sobY^GzzV?J<1df`!_k}nyO})+@B*0Lz?CrJp4yx&ZrX2Pnt5)2f zC%d5{0%!5rI^TX9odh_(E2{ zQjEXK*^q?NBnF<_8dJHG& zSPsGA1!@|Kj)ndB061I~=~V>zGiN#u6a9*_Z>(BNOPZRyEr<(u=bjMTL3$Th1E4&7 zjowwHo1amA&FxD`$boBbOEqy51&@nxz;7P{xVIxj5hUx8^bQHa`nU|d@JLc!0F+)y?}n7i4r zwL(v{49OlykSMrXG#VB~OLL5uv9|`8CV}L5y9?mb!Xihu5{Po|<~S{Z{S)ssTBtOl z*3V1=A$Ctho$MyNzN0`A!HC1*QCj5tvP}6;o~cRPTXSk_Ln?6NFze=M@tS*rubW~N zQ*U{$x$JJUKf#XFUWQipL%+Vnqd)5|OG^}TOf?&}g*w;&%l|Po_q4`=QZX|M*nZM7 zCk^qtaI){@iF%nyUn%rFjzaYc^>A|QSkWh*Z6O;N>ke0mbBo9^1boKt@wS+F*-BhS zO0+kt^{$t!-&e<2*9~nmwuN=9DYzhCwT<7}TLKw%QlWq+0c%|X)w(H3zjrC4`SqwA zp?GfBr%>QxTmk&-#jZTg3K-7%reVyk|A>LA%q^_;Cl=`NsYi=Tl4yYvfZKdT?UsnT zTF`jOukd`~&nD4kaF)U9&tc?9xJmTgd9z(fpY?nyu-f0IjsI)snZ}agUY?x2)I*os zSBO6e5%Ua4(khTW5pu-3K$P#CFbnAm;fVO7hkg-j5PcVLgmz_-1j~Ujn>S)zt-YZ)+__s5HOzAHLcQPmeI` ziLt(uq+3dE>SyQtbP^x3r~xA=SVgWJ*CNLq$WVOkWoh#w)4a0TtLrRdf7wNTWkKZ zs&=vv~d)siGmm*FPY0R4yx=TarigkdeQ}Q4{CxD zyPYa9Sth7<`xRwvCLN+~8>mgVZ~_4Lfd!m0rTc#_E~oIK;zhZ)@UH3uV)fNc!KFz> zQ`g?NsGoQ(TF;Zl!S1-Oo~F~296v=wG7^}@zg5|TiXX34E@CT@O}2I`(oR(EdATz% zHnYzF2S|f&FTh}z@|G~t%I<-0B_6=3%sk1!(Op2qg{iENIihlwr&^k7BJHuc%se3fGk3iU*X;ILuT8RJTPPj3p~K@53j<{* z;AaS*VThSKJAo`8geCV=qtN&XE6jU+U+dxQ0Sbw3_lmwC$ns z0rN?N0XvVnk>>DMQ<#3q!u>z2hgZ};X1)_l4PYx(HdSnPJe9Fv*{m%Z2e z0s^7Lxje=nyS7VV1o;d#B9u z?$)0y9Z`m<>QVn{i*T%bz>q5@l)5Ds9e!}*jCO)yzm=cVhJiV2UT~9TY-NaE@Kjrg z$QwPW(-pQ~yZiu;r(f5sNc@~4T%`!mnNmDXgs|(wR=wHun#Z!R07?6$Z0>q^d%w6k zopJ;kG(aP!-b*@3IFAHwy{J@xi8eWtEUE>w*Dg+jv@wjrRPe8#KG_(6BQALo9)xV2hCD5 zetC1;#^8x6Zli>d=N;jsdBEXG;{zdjX4__T_iB4i{qOq+%_mU9J^^!pbCZ4t(zD|x4K*O^COCT&KbfT2&G3~H1MSQzCN6CFlR-qj#g6;Z+ z&8%|{-E%x}$TyjN;K>G@YRa|TYH)#1#MhBRCvv%#wud~MWEJuVA7^}GT;`=23P&(- zu1$^DWWa&VY49qy_j<#|LX(!O427c@Kdx{8QH2B{cShpS-CCK_yy8hf8{1U)AQ&6FoPfgb}T537N=J_659YH_uJl=4nnuIKW^ zTNVecM)8Y2S29fb)a*?rkKkY z{TBAiFYJHzGFU5aV}6Nog99!)=|41^ccsV7MqZdNAd$n3%vZokEF~YCX~PnTe|6yc zHke?>McLQ5EFn0c>v|oqjl_?5%xrhJS(w>8*A0r?={TTPeWsp^3#R4f`c{B2`ej~R zXwvy$G=!gwa&82S4jB{Tl)d6%%;81+|Js)r2az%Q$C&c@T-D?HdTzyp%bZRSF`0h- zhC;jA2`rrp7hONJ@5#lR`VXqUZXg~W9~xM5V0IWu`k=i@U60vM6XPaK4m6gAjBdQT zJ_LBDTX3{%4nxF&(VR zML69!0O&n%RD-Z`Q#okJ8a`jlb+O2s1ML#v4n;_vWpp*vaFgnh)OzKBQys9-L3%S9 zmnZTbdU8oJ3;4|)mHcsXFgH?|f3bT9(fl*BEiszDZG8+i9j?Uv{&R-RjV^OJEvM!{ zJU2uB#l>y-zNYNJ_5>NpwYA_=%jAA5c|Rg%!%cz2BhWxDd#gg^$^*x@vDOEc$}^q^ zX~MLtX4hK**K00dQ@KzWa<#UzgLTf6yjth^FQx1f( z*!%;b>rBxBhWyZ)gBP^$FgfBtD2=^)FiLrF(!nSz8g0$FP_{9T6biYzt06T92n+eh za|gOQ*vNyvd1n+3f*>AJ^PMYP2F;@Exi+f2P&+RCiDRgAN;3T0{KVNw4X)=HXsWpL z!RyEBTb${BdlYjOJ22khAwahGn5jGrbrr{YG^X|QdIG>QnBWP?$;Tcueutno;#k`T z3G0@t6Vc8eq8)!>odWkyO!k@ClmuaUuCIRIlfBUsD@6Br68H~K^pcCHpn`~ipb(-4ML~%477`1H)PP8n5)q{rktQHST0##!^w2wm zB#>Udy#Mccl017(cIV9QZ_dm)vopJ8iRbz+1S1~GD4-N)e@J$O=Sj&-^bo`E|qC=W>=0@N#GQu6} zsUT6WKIl)6MmS+_R9vO}l%_6cKx}eyF{*E9q=2R-;OgZA6XFIvf3+YDE9=7ftxZee z&_(lTgSlsm%`L7-lz+Yo&mJm~)e4n)+O~+(r9V61TIusrh#R}=L54nM&P=O#1FmqE zRTgn_@d)k-cAnD=wta((nLTrjb1c$3F^wC49wHW|W-N#0NV&dtWm&bmarS>d8TNTd8qgZVjCZhH@- zk7its!HG7%Sb!iL!;WX=t>XmZfsSisUpn-4=PmlUjWbuRVW=P>er{-x4CRLnA=jEs zveP3`;P>VvdD;;^Q;T42PLRnky4>?Eud98k$qYfFnDoIY>@?iOh`Xf>LITM0BaN<* z?#S>eD0l66$DMakp6sf65-v=A*HMeixqg&=GjxW|v(gviM)9wrN2VC<%iwv#KNinzF*o=l~oY12=#1$^gly1RX zV|h*fX^N8J;Eqs>5hfm!_{qk)7U0WW>6*V)f!Vjp%khF6&!D;!Q!RI%8m5-=C*UI) z2IJ?fG+lkqb&>Ek1$pn>H($@Bfe2rGa0%K`GUz_$!D;n_Qlf40lHW=!bG>kPzCTwpnEN(Q zf?B*|`lP&saLoAB-bBLU`-z$hZXw(+6lf1=q1$bk1bPBL2MaD}&WDV}QLNc^hfE3< zf`^|7AjxPUhKCVXBe3}buXy05>zK*SvFxTy`lY#1*Mcvvd|09BqLzAd^)_zM5o^ZP8yN((@$+{ZY;!6W<;=s50+i|ru3EnP zde1KOvsP|kfX2yPlxIRXSF$y;LYDkvHBwuGv0;HtCn4@ECdc-%ce5X6k`Q&WxVo2O zsMrjWSN{pz&?;Ms9^c1yo23bHeyqMVkA(nGLb6=VgJ?GOu1tk7_pCOmo|y5@&U77D zZjCKD1@M-Jo#W@vJ;8|j{BT;9wcl~?SQWRU05aJjeQMU6Z5eW}Or&Usc-^3W@M2@W zsoG)q1U&rXxei=Q?mK&ztL?XfNKn?~jMp3^{BHBDI(I9Va8EK$gjuKn)%KAjIyeL^ z+4_BfWo4+1pEI#lrj1st3kjW4SfG~%&MW-PvNHwWJKmbVxb3RGlCd#VW$i?F;To&A ze@KvK!)SPMl;!(~fgwn>!wInz)5T_rHu44*UIJh(sP`JzoRYlN=zb<;#1zju{(T>^3-!WC+$T9MJlE$3N$LUPbT=FP;>i%$CZ=GuRw}-T&i>uH_oinY| znJ9*T)s84cm(xqxftBvUx$Sh1Yl|g;lQgNtl4tQ1B3GLql}GkR$%WB$sgoi2!_n}w z1WZ}uqEBDVbHd|B%(olYNP$#&4b~As*R22iy7YJ_>E0u6-U+@V7$C z&DgIgSc%?(-@Y7>cWJ%;dg7FbYeP*dCG3rh*OOq?^FS#C9dySuXmVt&K3V>+3L7>b zIv*e-6%1?UTD~Y{<%^6jMYWOh%HeWF0yxk-bCUrHW3lh_8n-ZiN^)^#<^G!rZtJHM zSZQPnZNoKliOIbgR%Qn3^ zW|rk?$IVp+Glg}UYv3PgKC_}=**`UDK}d2RYgOod9hJOe7hT6-Nlt`ZQjmV?uA+2#6ZFXeBt)(&FtcAVFmYjQuMdAfOLYl9 zQ%|$6%s1bsZ(1w^b(b{(r$tn zd!1>fo~If$bOT9cxcjIkbI?5N!+5gDyw>A#^O9!mztuH0B-7!sg;5pM){EV-)G%SV z=KBz(rIMLC5z`SZB7K<|Ycz{gBVhhI{;#8-{;hz_qI)(k9uC&jCrHTsF;9fHw)@;< z;4;`U&~CJzaZlrMbb`W;^=E=A{Xv8ENoEn5r^qf{bU1huYhEQ$>({TcOXB=lYX;;|8}~#FJstnncfHIXr;xmh4Gm+{vhwd*wf6u%?8Phx(6S z8rP`zQ7~s~Q9twa@^D6G08*(KIdVRH{C-rhx}7O;CY5nU7PyJ@u+6FfLOotBv92;y zxD#^BZHN}UN&#mhhAnNv>6s(V5w|B#fg)wuc?XsM|@Mz&pv7` zPwA{G2;=N-qM{GF>blAXe@A+4iW}fnc)fs)W9X*nsWS6RN2{U+-Oc-Jj`AK2n1zy^ z>xWX22VAwZ?H}thHcsVHE@D&z*?_L`rz{XGP|2D8!If_=^lLYRe+}q4^cp>>H|<8Z zugT&ifAA!g%OecB<%v%*Cz^BZ$kXx(&xq6~2Z|f85n)a~{U;M5=bOI3=SK zaknOya3y#kaiuzzTydOqhFy6WfU-UU?3@$5 z%%&Y8#PBmAl-Sn~18x7RA^w|7yLi=cM^FK~`TKILZ2#{S%^8tn!Cf&F`DG&1e}&k) zni)(tvFO)?#d*y`wdpCxxt{OASo+fNSLCGUj{%F3n*{=j3Y7TYFuS-LJjr1X*wTTCjBY6ilNZbb(8K ze(5dKZe)TMBix#+wf5awWk%6I)h6|xN+x-~FVu_N1;NasW&8CXbh^&+aC-A{7%2O0 zSud|~aK7-g5h`p;>S>J;`1lpWQpnSlr)~8qgYjFx)L*%D?Ekwi&-n@o-vnJO!sUns zyY=Xs=_#P(^&=nlmHrs%h52@!NM3*qwfFX_@OHkU^^wQet~R<(K31hE9rjk zDvX=cx&T^|#$EYqMCP;wl?=IF9VDM?%{s zp39+Fg^_j;&0SG2o704|v@7D;ewnpgt#OTB#SiXHCmieh`RlJ`;iJMl`X+W9RWf6w zMS_>H+i8kDslSW7T___Y$9!CZ!k#@hEce~U-N_FkLb51|bI+fdrW{S#huSi|fgXo+ z&uvD~ECYItgbk2v2vH3wwD{gX*7JLPe9NlGN}aW{%@guAEFk&s8Lqh1)P(ze!F&1Y zQ0#!skEybot2$PM3?=$)rj_I?2_PZAUyrn#IWVoEKnYJ|JNY{=f4nAzv!YnbG}|_0!pw>0R##?Ok=reh&>@yXdPEbK=WrdG=RG zSlRUK+U2@=gOTKhCxg9o7 zFT=2Td)fp@mmZFA3`&6@Y-hx+(7$`Dg%E98X+KI!thk0MgxRWTZ}!jBK}OSwgWu?x zU3E;S#&?BJjdALin5{keMA%E1=OThB#YrP#Qs7neTf*o*q#uY;kW#80r(Ww_vUtO} zvtfI4rREiaQvPniJk$T*U5Dd&P#l6y z4@9+&g!ipwB~wu49X>41@7;DHoIWFp#{MO0?S=`n6%X=&n7>!840qgT+#Y>FP386b z+^UKD6_O6&8=;B?7X~c(3ihS9ktU@ClCimlG~2`du=e_Y*pPbI)F6h=ESFR5hzN;A~S$fOf+d;|(yM5aH-m2=x zPL%0E{gt0~@X>r7J%$Z2{X7`0J;La|=$0wWJI@1;7qe+?j5|w3#{K*l)_>f;7Hk>F znKJy`cUU<$cM=X%DfAlN0Qj@cFexSq;U!JF>MmfxFl2!Xck%<-$eOX13;X98xy_WH zw_0Aw&57Ei$@M$Clk& zfmc|OooU=kw!x$|xgfuM1BXP7=HR|XO)hf2@|w(eo1J$2BuIIF?zkCRZa$Tx>Z8Fr z!y9@`4p!P(0{1Qd0PNLs0m-+y8f%)Yw4+q0>>fgE4RL<}A=3Q=aS5R`LU_-F*dc_v zmkCbrljL@U-meaX)asCCH`?GcTA~}DKj*KnYSz5fC{nry6l63_;PSGF|EBC0EV;0& zhPVrO%Gn;?(*bDEeMZm;(z9(m>rgR4I^-hY9nYazg5iO7o=;|*|Fj|b=@>t9lu5Z# zgL@p9LX1T$^Q!dI*!6`4%ou12z?!WFr=7Op#x5HP@a~E&xc~SHzQj{=8pZ49F~b^} zo{{v2ax=?_{V)b_4CokW#}lSIfQdU!44iK{+3!79?zQ(mSdc-+eH(FPYiw@-WEO}T zZav&QP_+^7OvSVnM!%lE4m?m$zLqw+~PTbuM zX`?IfvDV)K3cdy<$1yqEvWfdsN*&rn&MIdg(oG2#IMdvglt)cZ<9?+Munt@Vf3jy@ zdh1dgEDVQ7$`u{H9Db|7xC6-NuPH3jasOSAB)YlUPVr-9_~61#G0 z{Br160r|(gJ?r(u?)|dl+o-m8rlH(&Dnc=9!PA+YPYy9>fY$QKzp2tFz&b|-6ohLN zZP?jBYL_SAo^oUj@cS7(URAw%d)6>Mfg(&(r zyyIx;*PcVRO%}HwA2p)6A)-M03p#s;`-uYInb-qEEOj6R=d?s~$Je{{(2{=v+V4JS zHfiNuOcS23k*X48k`?m%>6e6yVQ17T3MfJ?yPy$Rva8&Ey0_1l3f9iyLeY>fQy&o$ zKNkR*AovLD`%s={WXhao`-+cVr9SXkNI3R`|*0T^A))0GN8a zu*Ip54BfNiw}vhlF=_*?w+m^soQOL(W^D`R{Q%gnU~s@0A9*xdxg&l>l$m$F=dH=McSw7LYhl_+b5Pj>=B?@CJPh&adFDa!22Jkc~gM`14`1I zgr=CD^GE#ft;jHw-RuRr;#+55#9xv5o#QaPelx$h0@FKkD_AmXi!QD2i9Ojed**!; z@o@8^0xE}QxdS)b$(vh3-)VWsThDfxKRn<)MC?$aS*Zqbl!EG%Xen=u`sVZB*aW&r zEzBi+CB)B_^7idht-0j137s6{x33;&UtEQX z_;1J#R(;+-eK&xsy;#tXdk@xX5)A|P>xh)zF?{g%`0?MzNy)G3PZ(STtM0l=1vh04 zUJGw>FJ{e^{k;Wl0@Dpw?J8-D>4d%&CNKeHbl+5+X^D0mG-np|`8P}LcjT?=or0SF zfZ5z{?nqCg%wXgz;A($zGD^5vYh`}%eTFEN-6kozPEZ;M`z8%!7wOBPy1V!5Df7Ij zl)u*91#M{2$JV+O9)S8*24q=w z4hA@ezK~B26vxX7Pf*5`WmJKFP8=`8#6>fhG}E3TS!8!0l{ zPW<*8uvi_kSVd!?3+x|EUWBn1$w0=g&xOdA55Ecyc>_xScWXma^=A)J?U!0J^_)U% zD04QxJhNxuZdmf&-g1WIj4=Wl`tQcNqPzIy73BYY`=1fVMjTmd9OINtmt{jogRAIq z)8gg*6DiP``QI;L%^%6J?@bNUjI-~|ik;U8znWkP7>zZ~p{32qG*y z!Lw0*i~#pZGx##AjsbJqcrz7aT!Zz_ylb5>^O>U^3tPPjD7Pfbgru_?{Vne(D7yf`?Fk`azRItgmfx1O| z4@T1r#)5UFH=nzI+Q@z@dP{X#FfQCcF&>g_?rapzNml}IdKCxhg*?Ksg#Wf=5n3wg zG!Zrv)WKZpyF2T%MR+?Lnm(rfgK&b}E=Pdkp&=b5`rTe` z&xqFgrHa0K%zu4NOKDYwX*9Vl(-^-d=_`uM;G_!bpway=IG-=9`SX}6%6UR2$r`fT zJ02qP%zX&@eYLHcrkqug0Eg-6AT+ILk*e3ehOKPR+c9$IVT9LrAM{tS)F0Ob6rTAr zi;{-h_M?|v2>Z!R4LNEE^`-M*A}YR(fofyK?QXC?+=GS$`WyUdO&cy3JH#u!ip#6bi$8!2Miz{+^9GR)ac)zY4t4h1I;;SEM6KB{6w+A)pvIU zsbW2Nf)mSl^YI!`2jlJ5Mk7a`h(x%a&K7`ou;M!(@C?$qg)C1I&rr>!F{L2c%fHN5 zKjl%v^?_-W3kHPGelv?3E?NM3VFYBVF&`|VVn0f5Y&-=$~Ua+9HZIaG)G>+t?5qAR{cSfF(qj5 zl$Q^ib2jVV3VPY(uxq||&5zDaEL;bRtg7g#e7bocLDEK0iLdzz+H7JdGNLg^s6L)v zKpzPEYFI})`v;?*QX$_BaZ%fGfU7RYES|gIDBp?F^wZ$o1#GC9I`FRcFXq#BmR6s2 zO5+4ZlC$F9xiS@V`t`oLgcbo~RjGI(^ZHq+WBpRGi*HAe(6ak$)6c={HyUIrDaz|& zS#~Z)C$revN2Zs)H;D8gFQP5p{NzN3#wH=+dXURec8J5>1?TcKS;#E(UBfG((NL57 zq%Pd2GeEStY1EAO7rf?yYZQ8okJ!7@oDF3o> z@`TQEeIvOr?S#c(Up1^zr5c#CV6~NGD!+oCRR4L$w?B{($}NOVX#Uwk#8T|!y&K=y z{W)3?EVe_;;=T7P6Fk1;lDV)UzXd!7W+#P;79n?KuzB-`&e|!B?nGfWO1! ze}1XxZDZ7KeM(Mx#JgP%2e@zB8Fh*IR4A`w|z8QAAPXRk{b9&2?ND85r%{g~&H}{|8=>&G6GVPa(&xGV9KjPx%s#i-| zUgDmm^#@o_W``^8o~_|z3~Zacv^q#Ka^x@%Jp*M{_iLg5TeI4*|AhLCZIuSV9`Oax^rCzoyM*nEJoP_MPS z71#VoEkhC!y{OC{F`F<4R7xc@#~?sy%i1a6e;@dHckslPUcx3~VH5eAOP(WmcF2A9 zpQ9nltYMO&<8my6W!qVAP#6TV{e%KpRWiu0eBzSJ<8;}x`gi<6U2#Ougmu(ABRqV zE_hUxlS~0z<7C=*<}+$^XKIUPgK~4m5^IV89xi+Zg8Rr-SVDBxFIf1=&yR@KsQ)eZ zoe?dBt^Wmngrp!PyMIJ=kAkaTmKOzsk0?$Axz9Od>}~XEa1d^Iy6!nv%SAW4`uj7g zFQ<@x6EmRtNxf9Hn-b1K$lwd$yTP7DbDaCy_!V!Mctb{-ChbX;v94&MywVcCEq zUK+=(M@>iFLZxe1y%G6toKJ)F0?#AY(+;I}Lk#=zH$tp5g%-W6_E{%M*uCJ5Vz^aM zz7<0)p6cD`_Ea^twq4 zP%B)Bv4C9v+$oK)Dmc!>?u~xsUn;MhEj|2XB!#OLuGEQKzh(xvvS>jU1BN4IReArt z!uUU%BnCV+%3q9PYK5O)*oPO9>p-k#Hzc&TfInc4$H)*vLoaP1$W1F^E4ObPGHFED%V+ncOry23jp>^C zjVDEMb10Krc-2vpJ+rtQLBmkM3R`FIp+q?Pdv=gnKYsjT7-TZ)%7nOG^L~07*8lT8 z#o@!t9;kGYT$gRYFw~rfT$jn^a}L93jw07FabSM;qkq~kJN6*{sc@~P8wm&uV>-a;p4cNf$0hDK-8eVR9 zwo(;lYjMu*V)yp>mxlA3VLxn|TLlT>qtZo=k|c+3eym7$l~~Zpmy6(@9IliBFY%c> z*T00ia(vm`eA4JM*5646@zn6{|9&8efMLKpcDjZkM2X5@IpQg%BB#*2On|i{StezMa}p#3@wn%1fOG?T|POzT!POj^Bypt z^?{09f1b;M6h{|rsoDL0hBB4&I3>%cf?Fn~`x-C6~QDn~T)*lkX*T*Q9&5?We13#;rH<#|bYGq6IQ=_iPTMTqJse z-&;%jWD_5X=N!TYedt<^3yz+xu+Db6XNkRYLeAFRq~{}6Ji;L3GD?=mu2?}lUwCprT$LBk zNIS*Z_qKR7tZfBnt7K0GStSD_U()Cx;FATt9)Eds+V{mGz&uUd02sqkczfsI}Gup>!+8p#!_Fw zDm*2-I&@_Z*%-Q@YUNH2d^kbhzP*T(wBm}Wz~6jkR)66MUuIr{276y+_hhg$t+>Bb zc%u0VUhF+xUBwr$r&B&xwQYu>3)MxMTaU?$RZ#>AFNh6+e9eY%6fa^vC^Wvx!V-^P zxckUwvQnh`6D3V?vnjR^2fwLjq8Gy;5v?o%-buF~R><{^m3@@0s!qgYS5)6*k#AKGXe<4JH)LP!k@Xt+rulL0;i2(>!41s?XI8% zJ4%RqQh@j69U9((D4o;1zZ)gV4midY7eKsy2mCfiQ{VW2t8V4~vhfAYLqn#eFD?Nt zF#pzfe`mga?Gx`@S$HQ%nlI7?)Df0|I86xJ9*7> z6^^?V7wK}+Y@j6j;4!YP0PpKN#Pt?UPSejh(F;pa6720`+zA2R$9IT(ErcI)hc=2|+dF%L(2dBdDF4@oftbyJ#BbGu=Z`@cZ&COnUG74LK(&XH3mMH9Y;7B8CR^ z%|x8H-ps9c%DmbryMS1~qUrYNN1%CgjB#HkKJ(}p(Ud2*6oh*6>QaxsEXpKZSd~0B z??-GZ{I8`jAGfOn|KK8PtkMnq{>|rAnl(=T{G1foVi~T2=OuhjLUa;cKKXP*DD;e1 z`?OBRNTa)Kg&3&spSnWfG38g+m!XAFpuQWpL+XZg_ zvK+qFL{q*uds~#@?y*!O|a z7n9|0r8mGN+Cvr4XWw(2Q&jx|och*8HHMq;Fyl_frcEajURlH0xb9F#eG(NtC8C2Y zJHwFzmTj~((65$nPoC2Ugx@*@Y0WvV=8I0B$7RTfVQ4`}egQglw4%u7-E-m|IYi~Gs!ev9Ded!gmL)JjghoNGzn@S4Qr zm3b*9a3#N!SGayT-kO6Q0!PCmVEaVpJc5Ai&^O`E4_w*cTAgw)W==1UR%+jHhOc9DQTh;fl zO>Xa}_8mEO54Lz>e!zy?@f^Jvh}!fu-V+@xo({Zk&o2AvoW}`uH+lakjyGZ+UO=4l%R7}Ng~sHcMEyH~k{aSKBz`y1 zd7KjUh5jc}!?v5KugEH4B5eJ*v$to&esF0XlX{E1*UNMb+hMqAl(+2$u<0i6?Hai1 zBud?5f#;Y|c77k|ERNVl2Kk=hs*a8mP@Wa%_ldR;G}Ezo9BpRJu>#zy7)Mxh3FDr4 z@II}m9kI;6TC~Qz6xTKD|FivFz{&BOZ+I4;(<4vM@Vj_B#Hy%eo5}ij8~1gZ8_6#G zLKn_cm-1FdJc{RR_Tq!<3P02GRWH0C`2oE$UJG%|tANM7`Q!n1@iy_CFu(F+{FoX4 zK9U2kJ=s5n2pTpOHcR8z6CJA--JpSL?{fup_7QgFpl_cM=zMMo;!_Mqj*@WO+?+=6 zGjNP_9!K2pwvprCN*hS%;Yc=A_Rz*f9V!7_WYns@Bznq*W6*pORphyVHmH>T!41NS z^KciLX{82e5oM`wBfPXP)G`NlU0F15dF|v6E(Igbt5Vsxh`43VK}0!yyw4kuuQ*({ zNoWCv`cx(Yr&F~3t1aDR3 zpwWecJmH2LMZ6Kx8vl?uwg(9O?seh^x5$$jg+cQtP#)Owlv3-hzIlUl8wfJ)?Y@6u`D_$jrGeXQ9 zyD(@;86wkcnckm1HPxKL3cB;^I>Aj5%BHMvSVUjOT*^AZJ&*fO-A`UGtm*k$-kE0- z!1vAA&I*X3OKII>W2+1A`2$D^4k0ko={c@<>Qc+QA|RQ zR&Yk0FVd=z_-e<-%d`KxLr&{#r!X~3T!=a4>oz;Lrj&1U0L#HT{Ba2S)$G8$BQ#R& zScWlwUbbH0+Mwi_>aa1z(V-G!%UabJC{78hMJcNnmV(MZY?CxfpBV%64i4GJiVXYu zHT|^lGhS8$p3{0!GZFU!?YZ$=`AZI1zQ0kJi*AlIiS6xcsCwusyKmFic6a^3_9%Ir z_w)vw@d{{d9ktx;rSz-EacNPr*zoqlm#Y?-;5=T9do~Qw`BL|~YdxcR2xqP=+l|ZE zT(wqTLKSGFEe>~Ar0v`N$s18`(qDzZu*1A=fU7tFA3~n_F#cKdLxtfxzKGJzDC|nCa^3j?@@&YQNf~pA2 zv4Ybm8-#;@M2=;YQlr%k_*vFvv;)WG--+~|(m3kxTU7zy2@6=i{ZHx=iC~KHg6R0g+YD_Cgm<^v><9a$ z4ioUbLg_Z+N0o6@Q(n?Ay<@gN&_9hLP>L!)mw&y4xkUpm%p7_48Lfv+^S~=tOh3=_ z@jbpX{uyn8kLq!*hT`rKZrb-_)qP=ugJPklK_51=J(aNe+@e^H0AYmkY&7IyWBz@> zf>Eqn(3_PH#r+RMf9l@u$Vq#6)++a3NrwFi=aOy4xB9pFCBsQ>F{m5$R7aL7KQ+Hb zi!aR9)llfNkg?}#VAbkr!+=rle}f#N3PK@cl>7H+eR%M zjzWv6FI+DKW#U+YX1sp*J(GQKePS7`G;>@9q~#Jr#2hU<9~bAgRXh!%RG1tTV&@#q%u_xp7QhbKemg z24V%eOcl6 ztVFnVaBX!~@tiE@ZzF^t<5kB1V?tQIkC&W}_fft|&L?GoM@eiDmZ~Zj6GM3GqQ>tF zZOZNVT^bk(b>N-5!OMHJ@MtHVf0}R_2k?H~$Sp=c0`evtzW1P!iPGMDFUtvjZNdX4 zpv0iLzv&}GIu|j>w;&L|u)mNse-5Zwg5!EP&fVPwy{`G5)0P1YL`pwaL&ewMv9RB($XIsXUkw{ZA-+H5wbVD;mKkC^yze>Y@=zW7 z7Ga7qQ}DoK;77mx?HH4720|@NB)TlMq282C1mqXe)xtS1HBI>D? z{z!q)dr-By((Klq!K^ngpv zHHp(vy;NZmv=mIPGk2{Ie`it|_zt!VLhAJRFI8vAzk`(`&e(-)DHgC#b`rrwqG(T# zO>dlfr{i|TFj|#dV!sgLk^^S`7rQv+!A>3a$)Xyif)#M!9~ka4g9q>`4c*1XR9?^_ z;CVi3BeywOdHJR=%>FZ{Wf)4EhAkX3 zZ{4wUH={Yq0!g5f4SE;kp{#d6#H=OoKX`u(Ct$Uwv9lkX1U~n0SB-*a$$cSfe@To@P3H(AZR-uVY3u|SSoYh zZOd7Fw8J_IToIF)q6<*$Hqu@`9J3L**s<loB-qGdn{?~W2!pR$77*JPmGA1Z5+kB}{D~87{6=O9CNR%;6I;Y^cXpovD3AP{6*QK6#Wv z>ORE^`VU@lJG{gQ&E`7o!;Jr6xQA#Hn_zv&mUeB!>jLf#HF=nC+KS= zxxRtb0I8d&SYh{3CAhS8GGL>tksf8nTe!q;{;0n2_L#v6vx~DiuJxndEyx@xBgF#}Q#3(IU zimA8Alv{*!zu+C4AfT&vX=m?_P5oYtwq{IK!PF3fN(fD?lI}8OroQ0O8bK0KLh}sR zZZqvf+>b^QjQu=ExpD2Opo`Rz8mOLfqvH*D%7;*d5$4nJ4TxJsd;a(yUY$qe8+Cv( zuONo)zzD91S?%a#dL@mIfbm?Hc=mTm#u<-=;YP%ZK>Si4m>*0$+ z$kxM}r#2uKMRO^eB9_S%EvY21Naxh{bLmrj!#E=vf~Lu5GI{n8-j;lY2coE)^H7H2 zv^Wr6$SZWo4SH#d_>jA`5n~dtk2p)#0-oIibejGGDj0aFG$nx((lPn`f6&t8a5XZ& z26PGSXyCf_G>f_w2{R@Ps%*=i!qj}kO?9GeqisMOo&*E0M#hhh`w5Vj*#g@|B|OK| zz-Jun_TEdv4dXcvUWiS8xJisJrnKmG8}Y|k*c|>X z2Hmk^*xGLiyddAOJ8& zv$nUN)C^s1u zB?k7L9qi}T+Wjp$fAYhO=z(D_?3)F)d`_o59o-Q3#@iL--Tdl*6T1(%Wn(%r+WW@vk=M?Jdj3)5(dZ!tW#Y`o3QabtXsdarDo8 zC!Pj>&%PXkUoZQ3nIe2&*+fP&qWX^E(e+$@rDNnsf6+Mcq1EIVf2F!_<-|^g+K}HF zselcCG1a%PR|DOStiQCpak0yMv)`%d!i1;uZ$LraYvAVM*Tg^r*ZF$^Hs3UMA_EOn z|D9OBmzSvcL1g~!0~N`a6*-fY`F4|=fG5*&daWj)*yqYk8pb}ld$+SpuL-#<-TE&O z{swq$G9mA4_&V&PNsNTVP_=JziQWZ$ZJXf8>Jo$KvxOlV6K8w(1{)FsWmN|y{L56H zQfy@sUJL2%%}J5(9^N!k3pW6>Gd)h*CzL)u2((6d^6it)GJxe zDm?Mvm_={oo?O<&*4y*lW($EYP>*9)#6CWI_vvQIw8RT>kC(JKfua5%cise+DdpZ| z$)A4dZ}Q7YrZ79-IDC+K{bIq~lYrI0@v|dq!fwi((*;$DTrq*jz0RWGP?7IQWyv8s zKjzVOWj+LQrIJIMNt0)mf0W;K#ET_nS9~?ML}E0ON>w*rb}6SR+#a)2dZ;)?jH|h} z?U!_H9skqC5*M+2E%a)b*pTX{W9xt?Wr?O)_Ljtt9ovi}%_7^OH^pC>s6Kx*(0L>N z)4rc$DdI$5t4WHZmZQ!|vY@z-vI(##k$VIgv1b;n{2i>yQP(jGHC=l_q<|a*Gcv{>VBGVM&y=1X?yI zss7muU1OQo!X@@*Cl>rjJG6|Pv?G5$m7!8DUOGR?&~%H~Yx*o%G>eO_B!xnL&9aK8 zIwc!L=WA zaxA!$hEgW>0n^$)#*O15BNuz?+~!M8qZ3dqRjABIsv*%d%H9$fq3f8MLhau(C{1)# zm~<%k&Wqbe_pq0L!sWOGNAah9-CUusAQ=|nas6wXaDMj) zL53HCj;H?k@FxqxHkNAC$hv~RW|P_U=vX-FxeYAsFQg#O3-{~c^JArmf11Y{T~pp{ z$;ZVd&c^*Z-2r!vi;w*IY2T%^!3j*w3UB)>`Ez>nb+vU;nTyCKVFArXJSN2%l;h2S zS<7KnSfgLP9Rmf=GgK`(3!k2?z5|})sg5%lTDqwdx5rqpvQnBhB-hiUXojd>j#}K7 zrMu<>{&q+DnkXI#_o)r$ZW0Q#QmUuObo^tE`^_EI*s4+ISC-KS;<|O

`*5#EkmV6K$qZ$hdzVtTL z_#prj(@StYwAN++o7PeH+Umo~sWpC9MV61%PAAM{T|kANzSp|z^h!PCmxeH5OD@ZV zA&^rlTD;oD+OmDo@@>o3k|1DXsd>YTAA^g~p7)&h^ZA_jp4*b}u2yUp#)%?#Ev(Gr`HrS$pHwHaPWb>UbS_hM@kJR z#P_laY-+u!9p5%Z)-=^_POa#@H8Ad(AgERD_Gas-1-U$+X$ZY*M>5uoY z2~LCVw9qzXeQYAl!|IunK#p3f|KId?0nN+d`}Kpa-`5-j$DBM>qw;LH7|)gBdzfV% z{vt#Br&wgrPgkE~;bG2qASY@-5cTlpAUy#5+MEvwiQrL(z|`i^)SwM?Ey}^eOe@&b zxCz&k8J=3X=hwCsU0&Li&3SgKL%vE%$)ZPHr$bA#-(OWl@NK@KS6`6K`yv_PGTM|h z0X$`#yiPaQe__3FqXdzz|$f-W{bzAuhe*6lI=MO5m>1LedO5eH; zZ|a<(GgAt0!CV|&saHGO@(!2Lshav`k{WC{eZJh0+(pKSJpkh;IPlbt4I6p6Co_hM zA?@jVO&&_nh!YOI_zNV$IU(w(mCF-9u}5VmoFMyV!U?eU-pB97%=FtbpB6 ztKX#UtHh2t@SQ1c@^u^TzlrJg#Ppl8>Y2}t@u43m=9_c*s7|&i4^mivdQWY8*p?@` z>n=uYt2JGR5qZdopWwq+V#pt+-M8A9zo!6K-oL57X;QB|d(^Qbu&mTwhgY68=T7Mg z%Q5v*4+^buCU?nW#H?e*mH~hC%~7`n{a|88@;UOg;zg;6ni}?~advCu`1`8a|7zdE zj(1?gS0<1@yzty2a3<#5z$-T?X&}Ry?m75k^0kHY?a0Paj!0$+b=wacbB7!55lkub zs_9}0t=aEp;zXR^smFz_?*My&kmJ_xqs96%(oVnOk1ICZGl=PAa#t*u0Aq|Ok^>`W z(9ZT0N{&N~_~cmsSgy?o+X^6eeZ+{N!gcUW0GiPSv5_(c>pul~@I51cxajjQ#`jWd z{gex0qsfJ*b(v;$4;R_aE#9zOm2hiQfZF=&&65o)mI&%i@hy^p$kD^nlSkRJid!dE zHi`bDYW_^tr>shk2%P>(lI&8L7{+!jTCu%$w7!#vs@sd;zQirNHh^bG2 zv98>I=Ey%}gC*6&i#PnB;VD%DkI>kjXw(oOWri{pSG~sWvJ+dmK`i+4?1M>G6vh{`$hKY671wVs-<;F$L4l1OCfd;JD_twCQ~ukb+ACG*Q)w? z)cDmeOz#i^kIuP0t?PKQZ$~C`ZgiYWAvr29%x;%Un)G_*yzAu zQJeyk+#P;5_~P6B(y_}eBZQ5O9Ac~6m)mMQOJu9O1N-PRU#TPwtdxmE`Ht)%Ul>;e zGb~X9D}dK35K%vlB$F92*aq0do09QqGp5CBEKwI)ZKeI03QjJ;5}RK5`cUj=)stu1Wt;JW5j;R;lLYh&^jV6L+mB&+?>9Dn5_Jp)$0%MOFJF@Gk$kA-fVU+W zGZ{XRMd^-vls>SHe@T&g!zj(DrYYSZc}LX6uo#hiE$84mkM1`(j7cQ(j_LN`q0nmO z=j?-E5jBoo-(WG<8HbM}H|UZtef_jG5994v(Mawz(Pax>6k2k8J%r!Y@|Ud0+tuZ~ z{w{l^qU-({u92QH{36b;Vu)xXLU8o>+Tn0{HMvAO_UcH!jKx~c8*$aqyyu7)W3kaT zQ_3c_D(4wEWjQ!Lzm*|4{<67NOm|1#O8Su(;ayS$!6OdUB7|*Pjy>T!9LnL^O~+rN zb!Dp4d1h*#waz*{loL!pfMo9qq4%>$ehnP%@#MyyYwYa~q2GwANz{3^?A4_6tW{wO zq9yn+Ohi>%=Na9!cV&5=snXii13H!4C`TKWODdX0V;Jl>XH1?P`aPC+LE<&mRMEP?&qo%tg85xZi^JC-4DvYzty5Vl84sL9{MErhhEC>PCTR0?K3bQ zheum3z1waL?#;Z6j#RPV<-6&ZV2lEt;aAAx1IwOGt;ckpVYXojRqn9iR>Aan&F4!+7{3hpEI)1bu2B)`^~{{z1*_O*W2-geiu7LgME5^`ra8uK%hx zhcrDBrx*cqsf3amNKS zLgOXcpRwSUD3DFzms4GER=+%j|L8#{eCGXUn`J2*seJVyVk>Tf?(ny<;06A`4grHm z59$)`?Q_OX(?w@SigoH0pKoLy<+&T3#QZ4TL#NURzM19ETaH$9@1oZqbe2oAlBuRE zPN|0f)GE8!?o1V!MuQPDPY;P@Ii`$Y(ouSRFcBx((fnP|rSwKLBc+6ul{7E;Ms1qm zp!NzUB!c||D~So6o&Gk&4-^f6_&SJ=d z?mB+G;(N}4D--o^o7NZWDVnRKp;`q<1WgV6P#9?TZCJNczLvxs(olk+bd8;qHIrX0 z`ep6wvlR!12>9XUU6DJtt9gDL1vHpFPbgrdoFMeNkeDx-1f}?hwnj_yxx~=stLN54n$trzC%s zSqCxl|G2_IDga>d4S)!06X1s?!9oPF(mY)7OX92jX^tLoXGe(S1P?(216*z#8d6;6Tk2cWMK>~cNgIdnP73p^2tvGVtjLmh8^06FYX&MYiUctgyjOO$Y^R%ogX~LY5pZUNvxHPbUOe8kaqL4wuk{tG zEpso`ZX=~XtZx>f-(05@AtaVozAZl&mG2QtoD$w{Mmkf!8f(T1a6*EYdTHkb3mVD3 zQTu1F@vUp&bSGk3V*UNCgMntExgmyZ|5o9;9jEmIrZM{Oezmd$1X2Bx!sC9mzEf~! zL3Jh-3cSa(lM7iUN_IAW^$xd=22`1`INIHdhBcgHsUortHr%$0ZKU6$(&TzW98H6c z#h-G9ebMw!q1>9h-9}|O>N4&8RGDJZNSC$y!KdNvC+^AJZh00+lwsyquBPz? z(Jp~Qo|XySc$DqqNmENq3|M-kS^3#YkeTasAsCFp`_iOiTeu-9<8WwmE~?_I$LeF- z$i1{9u5wra!lTwy`M1bKZhYh3VXDLi+ z`&Q4U&UxFPQ#W#h{91bE#Pm;G2td`&i19#`V%xmx`M$*oUAI2TK#>v`BAnDc!!_Y85y%u~py5*|m(?L>`qa4K-NA*x zRt$ZO-}I6lbA0vY_N$8*Y6352GE%0@%?PHO^H610UpTG*N!_X{gC4PQ4fzmF4de5L zM1ZXrD9$(#Wf9N@(go1eF)j(h>|YZ~)_|CL?TKf%P0p69` zg;b%>;MYA5fr*%c_-hoNaANN^rEHY;p3hF@M`cjjr&)SE@`H}f9hps*2d;ab`gg9U zQS!-06`$qL&`oMX8o8m*BPausFy-2F?u!?Ix;7Y-OmjZ*e^0jzD6GMVOA?M+*hn7M zkIWOT>xN-{qJuN?U!8Ib0!$ z9hH2pkVZN{Sj7QaPLduxOr`>li@ahBfnCMp*06=}umI+0#Gd~0z0RpOAY$0Mjzr-! z_lg$csq;007@(`Z61hP*!}!C;2LE!AcYa7cntX)i=vJ)a$YZ3eVkqVkE1h_Ki3SH$ zBIo;Zx56E0!@bh}m{X5Zl<)~`t6N?B(C${Z%A97eyhfI$aL+?fkY%gQZuI{py zsGgS&)2p;rVg2HLZ#Zz~yheEhziM$aDpylaNmd%fk` zU#uR)xrvSAP~$0>V{6jb`~LPR)6fw97#>$W;Jqu1$L`{#{(i>1wjg1m_aN86D*>7v zu}0T=k74y-Qa$7v;lwWv5%HtI?9Hgoqs9J4 zAq~y6Yqwq_!U2)F&;DN^zp*j;ka;$nk@ALy#2+e77=~LRWa27u8bA*?6{tc1xsjd3 znI=Q%7qf$hF@(a$SaRtprn};Vr$C_Q94W)?W}qOemh2E1*p|$|FY~wz%34LG1vf{h z70C)Rt)pfHIyl2Hc6hW5p^PX2fzhZ#{{9z^fj~7cL`e#jm|Hh#!cDUEV5poxJnDZ> zPoWzv!v*tH;)2KGaT>f4a8*?U(z3ZJ;)t7bFcBX9_PSo7n0YAUWjG~eP?CU61Nmer z#a%aT=t)p()J&6kd2j*X_>5JUpo6hP<4(|lhhhX-zjJT)96U^Dkd@?hF9s0syy+>w z2gC@@K%msr-9?9=IuO5#+yNtohTV^gjN^$DXLQA^CW+=Y!p>KAOB~0Dx05bod@aNG zMD+?g&QhUmFSVAnkqwF8s>2^y?>+l$sQdhuL_|(U)iwc`UUsI!^o@;wCMyt;=i0da zjjmw+DxC3zmg$4Nqey!(z}<-P7lxEh-xjgr$3-V_DYd?EV|<;odM0cy(NDN!@M|9Z zz6&NuU#OrdU@j~94mf=DRI^dKjbLQ|V{%ldn3YX3iHO&83u!tubpB0+Z_cv-cDw zgAfDqpYYz*n}5?_av(XCVd$~Sb`sq9*G-Ux7fVv~=P&+AHfq~R#1{^KpvR)ye^Wkx zEtd|x5FWQEtk+^OH+2P*9sjU-bK9Xc2_E_g>eL!S7bZ(mhXU-F{jr5nY|#9!UtHdju*2Wpj*i(ci$WAbnt!|&XSj0wNe80vk_GW z`QP1subOEj3zA#?3uS`-BMK&b^D|ul4Bfo^Z91uL>O5E+qxm{3n|@IsgGe#jqbY8z$W)g?YU2KhUfY?J+IF}a%h6VHWNPJp%r z3Kz4=&~ssCYa7f%3*Ruxjdnq)o$^zoH(fRIlGi0lp&Ocd5U1z+TsLI8CwVX%6Hv*5 zcb}yJ!@8IOH3~H$LfeGz{=q2Km=;(646)^G;9L0)!GmMa*rVV+dPu~za@=l09DFR} z(Dh?e0FJ7fccr^GW(bglqlF2x&mZxa@3JaqAonZV`z1l0eY{_ZAWF7YmO$$PQ_n{Y z*T$6mLd;keFe8WnizW5Z!0yG1A>5d7nb{&0!QK>EkOFLP_E?aaf4faXf0XNW@9CG1 zdci*sjQW`I~(WbP?}rZB<-=!^ze? zOVQ?lofOG++69#DCalvTbDn=LQfR1g)SLw;kTWJjO~(=W4-Zr!f9doB-94mZxRHPN z+Q!b0vXxN|xOwJJ8s_3`CpXk8e&+=Tv%=vmpBdgE6yA*3@{Ie1xAtC_9V+`tqDx<6 zzH<}O?8{0b8lx&_7sgx&Iot11o-&aPP}o$VpWVaXwANB}yztG?o}+jtty4UKMt;>x zG+*^qX=G?Hlm08S0sERSQrmug**}};PG3mQnyiYB^1O|k+A++?v}p8w3Zl=5C}?ck zap8KTvU}r99#oLZ&KGB_{;%P}Vd(3`y>l8Q_b0AhB|sQ>rDQc;VG>i1`zAf3_*abVh%PQAJjm|K_?;)NOIH!tG`saUg@Q zsObu$o`hmHd_Bzh;;%@t)uqeRqD#m@Nkt#wm#PA3rVb`s37KUyj4Cpb*3(X2`nA;q z%wRiS1k#k8-Kj!LEmQGi)_j!hArPa<2VG|yXqShjg}aSbiq3!C2C1=ejJ>c#oISTh z6d)xCCzYj$6ziQflvm$Hs=NH71E*Ft-i`o?lBrU#MD@|Ai2Yea<>58^0|vEFsr5PQ zjn6dQd&2n&L&((0T*`s?o(QfYBX-~1H0#MQ?nsU(E~$|#b6I@RaEjRXzE{nGyJXw5 zeZ5dI?0nQSCB^Lsp_7Yzq zT*N$er(Fd{Vsis;MDKPNd3_Qm-k@PuF7Qyst#xvg4c&ckeCbU(!^Z`#m#Z}T+F2ho zqls`@rPqq8P4>kPKdzMOXnH;W^{-+i1#>ES!-=*e;X*-4*2g7n&_pJ?Ol(p1$9cpP zV^jYhE%mmZvho7cidRW7`HF&jhtPH@&sL>zk7iWr_xD*P7veoV56+EuEDBS0D^G6) z6*nj89A9oShr5p*#%R|C5#8$Otu;T0)J-jcarp-R^yoU&$O}uUh(Xjf3 zTXRfYl3H@0HTKDl0IXSq!_k3o+Q_dK2oxg{adZ=z>PatnyKrztFZ+q6ffeFu0sEfa zJe-t#sr?1+WNYb_CX=(xALKI-#i`8z(QMj;+fBPppabAzB-|2FWhy}k5y=DAiw%QJ z`FLM!KDVD{^l(@~UNd+)^Tx4!$+WS%4;_63AngPIb@nW8_{=dLM5Y@dSVSt|VG(>B zq;$v)LNgWsA{a}=(Vx2wPd~C!YVCDVNr~|{GW@(xWVxi&qvx4gq~tS1%p?ZNdpA* z3k(%FIm_Ga@<#T|8A*y$nJ02aBmPggvwvK?W_B+imn9Oc(%cF&Oxv ze<=Lh%QINKu7$17PX{n7hlKOFCmeX3v*}kgI=!ONp(v~-yd|J$iUgp*Sr`+g5g4n@ zcT5q^069Nu(HWeGlhJ2Txcwl^LaS3ojArdJvNI|(06wPCfQuBsd#@4dA|=r1=#rgr zBHPxU$Qt|*n|HNH;*2v`C|6DVUBLxCBD`d0R~>!)96-@Wt2PiAAAq=H`ClwFF7!hs zdnFfB1n5!2y=O0o>mIZs zwuc|jBon4I&+PpC%rf({&Z*U{>W4`g>lv3Pz@sKw_iMt9ErOT8SAXuV+&!g#XPXT4 zNsp&|1yVubn{uY&i=xqAy8Az=7IpBjNHm%%u%TN!kPh?{&l>$fIGA;du7&d_+@s?@ zD_mGy$T+x$P#mwsnDKLw6+}<#dkbCXcz5uju;@&RY@iHK+!)X~*0MzjCyDQHO-y)i ziRC%q3~M}^nh0hk(cxqWAwbWdp8c<9##pa{GB4N9`pA`9;k5>7d?aqX6Q5d17;dl( zztN2jYOs_%(NG^$|Jc(L`6Rb05m#-da{j4XD7;BTOAZty4e-tD`$b>VM;~w5JOMVZ$ojdRwxUbuZoZ!LswSjx`U#*Op@6q!u;d+xX2;E! znndH~91+6Pfdr2K@I9;~J^?zAeV{>%AE3Ov4&sm|#OKRg4(CuhJV^NAco zBX|*KLb2PzHNfFtv4Tlh;M}qtkc-0i5w{BiL10qPuaXMVW*5f!!?tF)kgWZ{2(1@Cn{I(5uW8ca0mFwc;7cCg{mD=M#CnN-b_kOVtAQttZe zAvBRqI01U3Vg|oftn9WvAms^}Gl6%o99w6403{K`dw&YHm?@GS;s(nl6oor>co@3+ zq&-Q!(yexlqt&%`<~?rR?;SAg8Z?81E6g_hfGdQ=VW{DN4-%kP|EFaFl479Pyh;De z7bsZr#wr%A-6^QkgwuFwiRkZ3#!zo==mv^K{l2=H$!X_xg%N~Z4iL1CyTO1HL&x(fQS~B~dnM;#;R2q%R6dd6Q_IB4Z5kjfGTiZ8v;jFGe)&~_<| zwh$MRRFo^nB~RL0w2T96mCFP;ngSJ)3<5m`lTfTogO=07X&8{e>uR%>BQOVt>MJ7r z@G&T1!EAvY_`Nck=nAI(0#1y@0Vg7X$xg8RzqQsu%kb+)n0UHk%fx7W+65x~shJi+ zlNpKFyUtG9ozS)TAn48^aeAS|^`+unI~!>7%b+LgXHZ}$EIgwZyH~*s>|6aNG$0n+ z4qdWo?1W>@Y!F$q=a8*zTb$nm2Kl6-GGyu5J6@SMx%3mBRS&2F1O2}h;O#C>lE+z) z>;XJdG5II|VUjnQ+2E=GnYl+}HW#Qc)IfkgonxyENQBVA0j~1&!P-EIF=NOVJ{PvN z>IM;KMm;#K$DaEA21XVgw?!ORZAmPAr!|LtE-2yJX z+n6Qul2{R*l(+#&FrU5z!RtDEIV+v&W45BVtW_)D%CUQc-ABF^d>` zuBuEqPJ<^ML%j|7+T2Amg4HndbPk}@_H@kp_q@uL`e!cTmmNM9;^q2Ib{jsyPD;Rme7tz*eKgyp2ui}v2xkzoZs;XJ^_Wc_o%0|a zLMQ-4`lj#vGXBo-82G6UU`oN5kMKhwDg&j4v6gjSS5*`yckiMfUDiks zgbC4z9JPn;({jVZ9syYZ>hb70=kuJTBi9VvBd7L*f>T5}{ykIvcNk?fQYm zmjk(CzRa^FnonGk=Qf}7S((IB@>BSN4`gBeADaZ1{B;R_rI3e@W?(1qO9wWnFJM*TL7X5&* zjKCeo`(BIP2KKx!?D~FBKbZfGdu3Vk`jos` z|D~Gu3Ku_w=OU=Jz+H+t__ElYIz~C?PHqAB_K9y%t3FrWkKj5}6*+kS3GS9?JPs^j zS+i3}2Fb|BGPvjC1he#K9%-q_tZqePglFr$lvMw;-hJ?$J8T$@b#Dx5Q~@3sr|=f# z)Yhjb985BTxnc4*h*z_DqV5~RTJEI!6!<1)9v1pMjm_EoW)_#5^SiI#YC) literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb b/bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..1e7d76de112a70229da223ae6bee7f1c2af59d5b GIT binary patch literal 80448 zcmeFYV|S&&^8Y=-#FGvBczmtke(M%|bMNuMCFOZwyu%P5#d)K)< zb})c1-=F;g^2d(B?+35E$A8`1E0%Q;5P8^wb$X3ZlrfAUC-*_iH^pD z?^W8!-u6*+MZXCXeJaSg;>QC1Iy+i2MH?ZfOWn55> zkmAlPc`;X_)uiR08lhX7+R2vS#yS`$VK#3dwxoshZxwC$bV4Ywpoa+RN)09}PImCh20Y4*$ z2_7mS+7h0YQGuco<%Y$L!rXR&+zRb3@;3YnXTvDIHD1s+;oo`=fm$4XRC7$0VU0P% zdWnWR&ypK>OKGtZN+!hIYco*qA-%j6-9c|cpMhC5Ue1iraO5_GN>I;1N5VZ5mKd1T z;P<<|eC&1u?dfTX4~q|}az6i_K&_Ah!u{tx3nB(-U{wTc2{6qnl_1QGw^?GP2Iv#EVm1M9;My!08qZN<3);vEl~&*5`3l@b(lt zcaMiyurtBYDKp!YuW=pk=c1MUu(}3P{}r^AaxLf9dC#dmb5f}uaKKGU%KcAj%GGED zxT9|Zwia=ti3v79`d!ujy!KTu@e_;vs<;)om9Ad!k|C!Z_^u_jPQ%}?WF*@xV<@f~L{qOog4lFs~Y zq0KV4aAEkAN#ntL{X#_a7u(ibpP|$+Tvt@Yi^9!>{6g&Pt_$EJD6r?*@o#fk18vDQ z8fH#&KKw-jnC_|H2D=O?h zE$dj1kE!r#<_@FdT3uGw^Vn2wcjzSCKG!czfSp;b0xuy#w zJ%mXstJ3_Sk_J#HJJcz8!xtfmAZm-_?>Z7e&t6roWH${#9<-c3q3mRuWLfurDK@_1 zx-;;FqGG!t42>`{+*puTUYCta(L!QLq&DgU0&j8Hmp)OwxLb}yWlvMszVJcpN6KcTB-2mJk0_;zmwt;^o~ zQ<=8N?i#nAsX$-66u&hDPcGN}bdzQ>)<#ykV$e#MwK{2CU8RO_@Sj)0Y|2RM zoOoyRFw4-e>WW{W@udHZ4>;;jC(JoDDCUu3R<&;VEm8A+e%^_BU3HP325iya=Tv#m zm^b?{Q}0%(PX(t>+E`eg>5I`YX}7AJwJ!rSoEn79^fP5QF-By03xn& zt}<2Ou=hX&5-ZL4Xr_zB19foVdleq?YGdrO6NX=nK5i)+CIkB)rv*x0DtE1GiCMbE z9)HL^88eUP4_uBnkE-nQ zOAK|F^AVaZEZZEZBtQo_FhoS5Mql_EvuW%1(|)-@)jFk&m<^{&#Mx*kDkcCZNc8|_NiX50KquI>-L-0d!m3l`7j+@wp8cg>#gvBr~V zFvE1odw8rpt;4Na%oedJS4}-(n$Erbi_wZ)dr73zl~#0sPPP@HK^eyXs#KTvw$|)V zw70OB6wX-SD?2~(X)`9}(ZfDv_tW|$@KVCYXEB&J!1gG&#@RyzS!a((XcnRtwljc# z0eEO&XM%s}o%?KazY&qUh!xMB(Gb^nxAaJf(z~`nqj%ap-C!58X)UgTt{(;34ZgqB zghB(fm8j;44INrQsbDnN*k9)tHNqKS?OoB}R#wR7{bPBa(X zU(M#cUECbN_hAMM&E?;UT8&!pDqW(tPk!_q$i#`OHwuqiE6#h(#9evt*16tA=3rPt z%^7_giP})%3qCajop$u|fUdZ^=O5~k+;F5e!es4C7@81dG+**j21I=d;OGS_S`|7S|9}-t{wd%_oCm~U{o7V~b&dpE@R4N(C;eqo;&~WAsyH0gCE69 zMs8wqDphyf_u7*4w}sYMt2UEe>v3xLsETGE$z~&i)>k`Nk;n{PjeFEoWr{`S;%0~Ofh+fzRr`5|%T zROIa3#5FqU4%57^9J=Vby2J~r3hyp6|7iGG&Lj5n6oJY|5SN0Bjf>XYJekuRlqc$ zG5pBTNH`to>1YOc${y;g4~gDd1`b^B;|uBc$dgD6BJmvdoRPVHh=`6fK=fiNR9nyF zUQwY70^w1=)~ubpe_#3OzujXsVUE>2@2)?9DAM$HS=ndB_-PL9E-9J}@#t0_@bER&UeH}fF>JM&#L$Qigm|R%W&~dt_J|}Af#^_?u^-O^d(d`@<3wEliSzW z$?v$|_40{QiRsUuFG}=h7yq@*$TW$LT*Q3$gL_LnvDJQtGwgCwvv`AA^70V~%O9H&fLjZI!G^YJ!YhPJ3|91BrcF*6c?d68o=#dU( z%x(C!9qwF}JJ;U`1lEMC&QwEvIKw$gB1-S&!UFkElJA-owm0zz?<0Hf*BMHCqBfo{ zyF8%`yvB8Zf_V;+N~9H8&*dvqCsMR$`RUQv#OKHcZ_XK6d{EfAPtR6`rbN|TP+a+@ zW5#4VJNJXhQ5&G^jpV-P{&cV!QIc1?b+n={U9`P5pfXrJp8COTZ5uGO{6*h<=56Bg z=G4g}V_Ze>6B=n}aQ#CL6O$S-&ZuN+BunKvR5s6`r-)ZuU^52Sg__WU%h7~^z_3k4 z(=W@Gf$QjI|3?*#Vpuk=y4y8@!OBS9gUSj8>9&Ocjk7klU4}qyyimk1#b(1CIq=7C zOJD>X2550Sv!k^v;P?u|iiejicn>C;K(x+dR#0=kR9^>Z$izo|qr++2yKM(n7Oy=Q zuskl6c!hv*y)CuI7;#tU$29yf`Ck6ysRIFdWm_sy}Oml-8MdZ3GIy5 z^j&_xIo8~)7V}2H|N31EHpnN-?e^uH&!&%LUKyh5bVV!@-pnpb?x2heI~(-xm$EoV zX2{C3{d6s!0ApyVFjvTZj#S-7M^WoZVeei+`a0fZCBDagE}W-tLiS>Wiyv{R-2 zAcLq+x>^M@`Qdz4uAUc9=`O1Lu|W7KofjH3teZYpIcFc#dI;Ar9gTDlpl#(=*R(CY zJnC+(_5kS0%k8e+E9~EkLz1UjKV%c7BOxV2UHVxo`{lHjaN31F=Hf~>2Slu6U@S_) z2J|MVT&$X#=Sl|Q*fi-netR%KGJ4dK(^c+w*0I%xeUju(Xbk3(xP2{7{@{Yd4TWWY z@0oGAty-ZF7;mEpBd+djX5H*{DeF)NMd7+@1S%ypyT9#!JRe(u$(~&qREp_Tg$AsU zH_u1CvTigzHEC`aP;q%!MCVKijvh{BepfQ=rK`>ndpM`)0(?%xMel6t+zfejN8|Kl zJa*H-^mJfYV5h}-hMU<0!HHzphjP{wlZA5m`%G6oV$9Dw_o@f=!*B-wD(HlcGKoD1 zRQkn!?i={XvWuH(o2;F79VwoG38ZB69pq8OZJK@LT5a+@h@&}B*u)~TirTKM*2Hpw z8AH|^%$Wy9^h4q1Dq;;nT?x5ft`G5Lq99$H)I*$0WR90z)r4$U&84PS$n45r|5T4< zC|e0p=2f=y59_+u4=l4~G4(iaxSB7w2`e>q9DOnC9qUTdqDGdQ-3pozcVXnUX}O#o zjoPGlB%NwTg!BClNt>V_x53^QC*m<_CqjQ$Ws_1or?z_8Ve$8~{f`=vo4%f&jpfx; z+N|b>$|CCm)Xp7fd=DDwu%d&fk_}V9J2m6#7$`+q>5~qK(|rP- z0Entud@wXsNfkCyHzIG4b4gd@APGwC^(~ad>mF>|Y<7uv&WI(3oKNiFd2aXP@oyH; z25>j!jeS7?6YTx*@<{9&I#H9@{>#d26md+nXK&3iu1`)LrxJe`e-TTbit-)v8!}OE zoB$x@AzEt3v5n=0nyBbCfO+6T1p&SXuD=04-40v0ry&YpDL zH{TM$$ux$(y+NwxwM^H8PO5uBxa8H_&a2nX zhC%bq*KLmCc>(4vYCFH=TIS))^*|zu2D%y_&Bc0}ywTiGj|H{ZdTSL;8FD|)pHGjO zmk%_#8?VBIHk#i=jWEmb-WK=7(_Gi;>(%3t0{3-zTSM6Ko7YcePUwRUx3G)w0PSQ0zc;=smXaz&5i0;y(AiM!R z&eIr_uS}PeO0-|z-))|ResjyQK&-=@O{aY zUGXv7ENn2lqCXTiHWh<#=ZnOzeXBoH-h{_Kgh}N*Dj&kOL^QrL4h_gy@UIZD;YG%$ z^~cgDT#J|F*JWLQ%n45S=LQ(Jh`rn7p}?=f!1i*nI9EN+ZP(_mrtRgrn$Z+n14Evy zbty0%e6U+uuF)3H1EPod%EMW)E2T`L^N!clJMXIjmWk|_()$or)o!2z-<3a|TO}#$ z@8!JWzUb-kGp8?y(j+Ip`p}!RkY3cP&A|xUc9)Z$a)rlApR6wj+JW8YQp(o=ldvA$ z!^#;N^zSvT{%Yl+WxH4GkcC>w-G6JxTw3p=6)twCu^8+$-MKU(;Wy5C6y0~$1%y%Z zE5hGH2i&0*0>pawvqsJs6T9qReOKT1Set0aFS`bWtpslAwGR8`Ae7N9*>LqAVHo=IQNQ&$^I2U6m`*(-tbuSpTi+X3ANU) zZ8%`tCQXu9Z;9Qkb~?bYfX>Wx)g~*#}f=>u_Kp|1GRi`%&@t zk?6W>Yjn!pN)rJj89IbBUI&8J?`JCaFmnGu!*@ntoq1S`DjHsjNA=woTpIpdj~SApn<>|A@c8 zWg@9me<;l=6l!W0a=JeC0(!F_ieH+Q=~TzT-PjzC*H1=AfP!A)+%1)o6tsP~>VYk` z3fDR$VYxIOT{x{7D7w@UY)96P)g?b#Y+y73^T&Rebztk6J$vY#EpSE0eZC&DKipYZ zj>NPGrT^I;;H|$|0d#dDg0hjQoodfJPeJ<~z2zo;KBcK=Ky zC5dc><^nK97{auo{;kW+D3cWA3fUNHg(ICzpCZ36>->%|1fI}SPIab2%EBC10@`A` zQc*!!VdtHD4MxP0XDu;y=u;#Or2(|w)Ak>}{_e?8Vf9i@nRN!IW4ObpC}ShY?pY9@ z+SZRyNIxdrYr-rjgg4Tr29+p&Qb{}0YH>i)$muI)^W4i_7MUU&7BRMiRy`_=1*w`d zDy1mvtM^`DO#8|+n@xl*6sFaWtCOvS6k%%`xBi@fu zyE2!ImyQD%rqkoLLi_XO_3uEV89Bogse-|7S8^Ku&3o38<$Q9+XTN-u>-SUP;-q{) zA%p_v^EQeSq9WJ9^&giQDO8<8Ps!F-THmg-hP1mg!eqx#ZfnfuxCfSRFQnUQ z`M6-!nk`k_D497D;hV=>Y$C;f4QvOF{LD$3eYJOWawuc6>|ZmGT7j0LUt@f&4DAZ0 z(o2?YeDe_w=~0&AQ@=lqA*$5SwU;N%?qqWX5!mqK0(wI{#5sZ4Bq$4)BuzV$YaIX) zl^~|Zr$oxh0R|2%%O*;TvxO3s%0(lxe+}FfDNM8U&T2t31%-bV~643 zCc@kz`J>#x{GKn5(`1;AitdDVn}$PM`l>LU33wAVr0+HNERtK}^l@0+HU1%mVc|#m z@AV>_#l|0|$cOBPE{15-vI|jzrhQ~chy%nanK`-D8{64`?Xl|PBIP1TB32NNeP<{f zilL+_huL_kaGOwM_v76-AB|5nkk3&B#4@a@(Y>l3#JrK&r&)dkz5JOlDK1<$_VKzX zR|3wAto5kpAA>6-*;BnVImW^i6~P2~X=ehs%j4727|vx|hn~Mu;gT;a*t+sp_rz(f zFUN2uX)=}^S#ENLr;%{oLrAhQKEg!YdJ32E$eB`$A+1)7qchga&nkVqwV9_NG$9bQ zIV}}XkTHi|cv>u-Z%c9g#TY*)wKy`Eo}<*#1lUjS;f4a{g?c4Y%=z>BiahSp)X}id zCbCijon9J+y~}zcl6;l&)_q8l^2~TWlYQq*bwrg%8Ep*MKGlchEj?e7aTOp0{MIb7 zmzOc0Qd?>(%g4T+%jm;PmXx#sw)fKHJ2)ZgZCfU1;4FV5XHDG}S!-$8UtD@-gJf-X zBpDBCA@xBLRR~PJILM#D_ReXj;kF(xX*VZiSAA0{TN}{frKjT3vbx@*ND1iTVz+WD z6_8P%&w*!GqYiZKs-<-)ST?1M(oRv*v{0wGH9)@QPt**Fq0cVzU$!FD=Y+V1Un+63 z-o9V*AmJwHJH>&g7?;t;kD;DzF>)|}_Fdw%Wsj?j^pkMGO`Egp4%SN}cP9PiG$)Dp zK2(cXClL86_0zP24l+iA{-j(fXjVsfB{?MCW2HLbbT#D=C8dr=L=Xq z7e;+yNx=jBkxUHJuF@?Rl6WhNV)5R#X(QGbMGTq+AbhW?Pu|2##GZvq&8*t=ag%Hh zioM^-ljo_;zD25NCH5it9>Bi)*y@>-ECRlMCdh^3CQomb3^+0N5}>V9KbGOkGaegX zW{ol1c^XtN9u0YgV}7Rqetvpin zeeVi3&n=f*u}gpIfX6Zs>Tu2OvqQp(zpoJY*WIHB79xDa(3qtsv9_pzY65?dLdWmX z->O7OZNG&}yH6%J4-aR&O$Il7gK99WdhDgYZ@4ab?Ct7=wHYfIH@l@v%^BF2EbE~S zq0HX^Wv#ggkZlZAh8XUFFj|7uJ2TmwpeR>&PqG{5Okdlu-cDUqoFF$uYxfJMT*6ul z3$fz*GBwuc@w;U+n(+8@5?>HQCr6zn-c;cXN) z*bmMYOnO1#$9IH|nmK*D2Kau<5~95}qd5!GXL3ebkUy~v@tGo-Y~|TK^i_t$)yQT( zejz;s4v@3ekhz_1TNeNH$@!*|aaE2~x)5B(K*}FKZ~1fyPm?L4#p|of=m0si!c-8Z ze{wWn-vxfL;j6FfK$jPwt(l*|Nq3C0p;)m|h+#)ZrGdxwz}{HdAX>bBwm$n1$f~(! zo6#KCzcct&E33)r>e0{tGE{VRFbh(kr%Ru7>HGP<<{}Nzc6+>rR^oNPpN+vgtACW< zD_}V2SJ_}u$+S_m%tEVKC97PJ%7zx(_1Um)b2|#VEmEm}JSg-Pz!+ci_!$4vZ7zT+Z9Jca6?(I>Y=}Y-NQ&9>b_?NFvL7T*b;emWkC(GaEBW5-$ zo|^=_j?wIS$5L>1Wi_3=&hW*}z!ZDaTIT|t-5E~^+Cu)oS{^RJ!hQAuUyDI`wf7*v zX|l-+_N>iKE#y`CTiBc6M(o_RwDH|K3nXidTE%n*)rw!%5kNrOu_rRzr9bgn$`~x^ zHJcdniGK}JJI4S)s1va%;qFj^G{0VP;FBem5g$>W!c`#lgK@*4=XqKiuM6ZkHk%~U`6C9oXStpal{zvddv zb;&SVI3L<@!?W=)5fed3wUd_~eEd2C$kMqc@5_?Vmyv`tF#r+r_=(e|T; z13ZanFEL=iF_@FiaF^gEeIJ{g`3O$&L*HRX)CLi$e#!n=$h&9Kjx zLA65R9zJNoW4(>BNDm7?sRK^cE%_|WdC^I%?SpCb{m*s`trBqZXe;Kkx<3PTm8M6C z|Mv=dP!nb1(ikF27tMip$)aOXLlqJk$KS$;1_^#VE3U{+7*$)ZfUxGrewhf$>TtBr z363CC(~oMQiJTH0l;W5U(jcfL_UNL?y4D%c01*}RmADbaknu-#za}d6j(10BznW=} z5VPH_W!pHf2^#jd&_WLuED`lxHf&Q1;%POqF|?r*+1@RZ9pTc!_j8~aF3cptw!gj> zdc#D>a76rI7V1z1f{jWN)+X)mG4cy0WOfPF%+BwWOp)dlf7!kgW6prXh6W|$?BKj- z!|0w>*k1}gc-yBQ!mTpAjI>SaUl#TlZ~r=wozub8kie_XB_55x$a>m|{wkq9=5;(x z4{L5O**I(yIlEtK-h$AyLzscOC1`+_N+QgG1^E=WV-WbCe{atTj`ElaDpxP?zUUmc zmKhL9GpWvLS7qSd%{A|l4HA5N`6zF#>sDJN3^&I>qxN!7!;c~eeI7J&D4f8N5;v+1 zN?;++s@|FC)HeOvzC@(oZ^O=;;i0f(q$1b($kEUP2IgxB=HF-Pibf|Y&LN+o3B5Zc zu6A;To8Nu;MI)X)DE^clT9<(irQu={r6YQN8MVd+N$q0HaaKG9#VvlL2@9@D;Gu+$ zANf`;>y$L}vL_Ago%O=Tgiynl@bk-fm`YnD6>ForA(;zMtv*ncePk|qUj>q z&cUWhnY%%slj>FT_F${I`F21jv@>ds?Lp zC2%sQx2ozC3a6N|?`i~PfA`!^hGh3lxqi}G;oOqx{7pEXjco|167RDRbakZjofB63 zI?<+#Wzw;B%a{vsD^^c?%XUJ`hYS)fav7BCD^-=^M&C$f(|h^U(c)%kue&>p8V*kG z4YAR#T>d5)NO2q7-Uca5JOs?RR_A&{PWLferPymzM@B}bSzuB=o=G9%9=2NX%B)y@fvE91Z2B-yrlYQNJ=gX$;) zEJ?Zn`zdU&pSDSd=+>S$@F9I;`_Z{a1^oS~9Xu%!_J$$a_cZUFoCi9*hvjgPcCNqt0UK)vDUf@YaB=yyuoY4;)Gv9`+{wO1fy z+`aO_fVf2$Ju8h=q@&ODzoOUE(|3umz+)w@m*cLig7$ay7Ea8{xsJQtXNL-c)R_UZp#?*=k-rhwH zqh~Yt0yNO)hBWlWD|7ZsJHUhbR=;PEJLOb0cN#PDxG~TLGMoSW*n{ARsofR#5~i{{ zmXwGAGG+!q8s@u4@q{Trry7xl`)m3;s&$dG0NHX?w8i=JD4Bhq!PdR5KhF>3wvqZy ztJL7dMT)6!-KIX?UcR-+S8beiY7DJ2JC*06+J7o;D@bIoi2rnibw09+kIP*N=vk$e zwW}KyF__=FU2<{_M|6hbF#IqB!o*#n$h+bl@d*YgK9MND}73Iel(DPqovWjZhqKZ`1Q-Me)!=GsHaI$^V~zv0r< zee?Y=Y444t0DqGof&@DlDCq&*}$ZCiTB%K;XM`-`4}R6ufnR?@yzJfCw|@hQ42 zP{8yj!f{yNlCasvhC7>%_?3Engx+Qp?!j7S2Bps3d?Ht}{83IOg#vP1T%bfFm3$iL z<8-h|$nYJ-cY=V5Xz~h)pM6y7kdc!}xLB2qP{iMG*ceFP6|LZKe81gf`Pp?OzU;jtnZD{=u0P`;v&t(omWlqWl&<%sti1HHJ^X}iSsZO}JT!t#T`5Eh*U zCt^eYHc8D$Pu%HWt;6%8s#Aaz%fXAK`&=5J{lZ<9Dq|+}yvD70C@P5ECxKfKX4#6oyV~u`n-nE39TBB}G!YgHWztWp6(k#! z3XZBS$!M42x_>{^z9QG(0n?Q1D3XMEG2lkN4Bn#09Jc+iX!n>bcfH&PmOslQnQP_J zp`$6*Pn}OxbT7>mTVYMBBctm9bN$tmvFj=QJI?uW;DukOyWhGhtD^!5Ev=!`JEe>a z<=U4ou=M-`DPG*l#s;Kj(HMzdE9qW~qiB5avEvGvAQ|Tk!$WVaIS{d{o6@LYxy|0$ zZy))t4n-E~Y(unTONf<79nf=2x{+c2#@-HLKLf2GalW^dI!mehKgEu=W_|Q}XS=!& zWJ|>P`nEr@lzax$vEcnq0pVQ>4F;xN1ZBll{bffVGMXb+>FwF0iqE_3an%>b(iab1Ki_ zR;nUTH0N3Vdb!<~lI?>6%Qta&bqMxU+*}wXbCvUF0N=ji<@s#5<@pob|61=LvhjvZ z-`r(yKlv+LFo1I8=GPP5_Cgx;L^zoU5~#3&t5t8%mG2vPwY{1)P#|j{@@Cz&6ZP&- zy#G94yIMZ4zau2jncc95rZmv*e1%YKa@n@Pk?!fOx+LJ*nNt-)$KS+R_#3OoiCK5W zuU8rG{O-rB7SOx3c6|Ly`-O&*A_T1%wHP%absT1Y@4GMwCo?WHZlg=2EivIYRE$O! zsc=#WG6^#GZxbBf|Fcl?TN3i{5J|9z{V%qEcKm%!h!3BX`qyzHl6b5{ti%O=vK{e% z6!G|^c##pJ&|#aymj7&qq$$XgOHApXgAobqFY-Ufl={CgiMCMxQ8sq_HN=4NRiv763JpQ8C?*8mw_23e{ z>Gtp8uHzws&wiFsB&dVF(wtZ2PX9Tg+4O2w;T#QMqRoHUr>}(2_n%@UAA5(3_$eX; zd>AmR)E2XIs_NgFuG~cmksz;6==t2%*fL){`rHubjJ|4q{ds?;q3&qV zYRkib*4BSoSK&D~pLaw~|7+;4Ht~!_EN|{s6%B6KnNYWfC!pd(f=b~Yt#x}MmR@*u zpKFMk>=E zcfAlCQ(ju(c??!anl$j3c|_P)R`_^bex7R_b1oMiqfn3rIbK2)Gmy?Pd`L%UgVi)V zc6J-;o@q3){7Tu@7;mH?IR90DDFn$E_CE}s&Xyg~8wTv>OmHmYWYMGmnkjQIgV38} z`gvv*o%}cWcerimJn`pz^3dv#4LLj>>kSWFyLntz4ITLt#l@yxp7kwGRz_xER5PM$ z>0JZ{3mUq; z;ZM@s9fkF;Qj*>jyQtV|KSsE`(`j$c-h}}^!fy zJpSSP;BXwaZEV(YS|!i++}g~VQ=5|Ka^*T39G$~{I)lA<^hsTo~RW+(5oQ5eLm1OAq zL*soszig~>Ai|3*hOCWrz##_m+o{o$`m!eeN6Ch2#$3xe(?tSy+DE>i`k|tcOc#0` z_qaEFfTw(}lS0PMDDUqG3t3$lAjxy{4>+C2CY9=HgzlLRfpS&e!3Su{PG#tqF&Ryl zAIqHpW6K+VN90)sYh1LR0-(FxJyqj#<;O3O)<91h$=x3rX^F;>?A+ZJu&FR_)} zxv2!Z%O`rgF?U<0*7J~K{61|Ne~ET~m1OA(NCjNX)cJ9!^Om9swPFUjA3u9-N$5Uu zg*iyW^OQ!gO{Akzj7M0yu6>WMXJonv$CgT)^3wYVXC87S80L{>S^2y2pG@0tKb+Y^ zZxmzzT={bSjuuXuXzq{v@NtwxcWoQT(pN#eV~ia3iRay>=E|Q;fkQ@YGVO2wsUsKC zyEaO1DP{T)`5X8qu7^k&Chrg1yhf=Sy4?EUdi>_%!1Wb2bX-y78d*ilp{3&3_U%t_ z$W8HTDi`}CZ6@j0;()UxRfUH$8e#o|OnVKPup~RNo4PVV1lF&+5blDGfM*l^ig3tz z5t#Ud*Rd-_oFRXh@XFPhyma=ZFHE-T2kuN4Rs0qg_Ec|pnZ!tzNwW}HaVV1SUP>sLDO+yzHr2ZVL3e5zba<0?y~7cV!sGVkTK!% z&9~@kHe0r|SVXo^w-9L(PC#IvN6`qY*RFVyTXm9J*os=! zKF6CcDF!IV^_o^kX$~<(CEK3dc@`xQzSvxC^YIBq3L?>t5^7OhHhz?|dsD}4^#&qnNOBFy|+!0$( zjWWxBRp5*N`jHf5(VMp-Yo;-<-L6o!oue}|FRkup3c zf_xT}%j^SGHKk8!KQY_CSYHO=<>JZf2j!(MvD=T5mKRi3^H&EFmG%`qHEg^z)P4QM z{%Es0^Pd)qHui_jYdQU4IDZRhLRTM~uF>pHg@u7QCS!scw3#$cH?u)+zti6pbrfa# zKO36)TZC-q-Y>_xw$jV$j;$`;+1M@tc4sQQbAv5(8I?A0LiduQ=-@~0Jhb6emdJ&R zmEdlN&f8vICaexZ2`G446?Q)2iYNQ3mQXszZ4g29eTE-WI4`w3AwTF=lf!q6DCky_ zVPY8;`EE#jb$my(@JDZKINK}3l=)`rABDwIzufTaT!kLwavqXwO+Y!Ep z4Esd-A4t<|6S-Dui9Wyfv&}9~P`Q86aF};PjG3 zJK?atV;syeO9qB#B;|EElOC#4app}lqEb?SWGWHzj6w1_(b71j9!^>xPMw5`DMmX? zU2DeD4Qe}E#draU$?}UxRdlL@soK)#VJIspPV_^AoaZC%)F_MK>}X#Tx-9N*bt7wX9~f$QhPDvVdI$#UY*HqSzz2%ENz6I-+1 zx)`Rbwv*B1A~{)ABqD{hW1%4fSYyTt;TXdDkDbk(P*z7aap^tVd(&6e36y(vq8xH@ z5*Qqv)4oxs&-f#ICajuI44NstOAdL8nk;*_h&A!g%tNKWUi2zG1E3cyO8eMgj8xv{ zJhP)|AX6uO=+iR!>e#lAu#9ip(A2OUSS^DIJhP|Atr3BCZV6ofQt!?Qc5vrs#DKM~ z(8XWNuV;Z{IUH!*C&5?U)W?d!Ad;~eEcZiY1E)fCVB+qXK7;C98vplIm=-zx>Ncfjy*A@3#9@c0p0Qa>_A+kK zx!*Qjj?b5o_$L@D&<^+L+tdm+)GwpyTgG6zvr@NG(jNyo4@_W?CDM` zi=lT)E9%Dn(^vC0nWeo?NTXqI)wvbjNsP(^$oXCaxx|Q1hC!lQh+3moNcRHK|B9s| zuYXI-^haDwGi;9H99(Z5&WiR#gVa^pU~}jxogv#59u`%QThz8Jjb&I_)-;!h^RxNJg+1{6xCa1#u}-B@ zV}_Jfggf_E3SEzJj5bZzvLu+mL(mKFx3&-?PGSjiU6k3&vZNQFMTcxG;|PmsXH0tE z$AzECYRlBx^_w6>a<|{Yj!*S#uMeY9*+cNDR^>XzDG#3jZ<94$urDwK9JDp(CbcCv z0b-Flj*3UIY3O0-!ud@dBEw5U7wIQt%CyZo13;#0Z=tMHp4t3ggz@Zt?0Wu59^I(O zav)B7N_;bpnlO&H6d=?-Ez1}QgY5+qPDp-*fxd-9PMZV%v>~kdP3Wl%6!Vd1?28E> z5|>(s+*|U_FBz%k2qxA{>LBJ z-K?tL!V9uq9Krr->i~U5xCo$TLr54n0uI&J-sHuG!7`+ePEa2|`yr3aFBGiz0bIB` z4r%UiAwtCC7YpxD&oEZtaPzUoI<`50D1*!HiDwq(^fz=&a+&fB6iXY0(zD?c@@Mw= zM4V%}1X%O+TZlA912UU<-QU$o@6u+hm~9`vaGx9||0?R;qcua~Eqr7Dclk5rQp6ro zNMzwp1e&>Hvn(O}c&%S78N@JGb7y;37ZiU|VAafOeWpBRB=80u)-cjMvb~Owu>a|{ zO&2mUF>Y`pWYRN}e>l{dhk|Dgtdk&Hkj(V4F~Ik+^OB#n|BO~V(usOVW-{K_GIRD$ zfH3qAr}R=@Nqd-Hh;vEka%ZV zuD5LY*PXcUblDHU>Ll{|JE*&txHyzU%W4qNG|ZY z!Nv@~wRh}k!)6y^m;7>3Ni-?5)Sv?_*?bk7D@dz(8#d$QRF=*CV zZH4~RG?@$R{Wc~H3j;d9s0>ha7Z~RO(iHtgwVfZqJ)GrO+d>2ZIwYiEVIj@m^@c8W z!QNn5X#jN;DE9NmrFl>H;d_=se(K!M>fB2aL%|!-Z?Z%*u95*n&TN5@&5^>~g7)h3Gc-U!QDgDcH{a+8&EevzADlTLL zgrwv5-Kw^0o9O|2Om;R`Ht5MS_51b^b$&9p%BU+y?TpygN}F`%Km@L$dWxTyvZS@N z*#&6=-kxw%fV)gbEs)zyZ-w_&-n_A?~ILe{kAaWQso#U-(}kjATdMbdS0>tJy0W~n!vsk-XVe4ymC?_W(Fen_GM3eSCAdsi ze)%9qCfDDz_Gh}gNm6+VXTY!X^*?*g0z=qJWpua1~t_c4i}FT?raEyhm>u z?#wZJ0UsjkN1BXLvmja1B}|N`U}q}T2>jhx?U8DH&ZC%4)m+A+a?<3zX!!8Q-|6IgeeEy^Of=bWwJczU z9@WgGV2glt>>;D`9%OZ*VB%#0t|hRlEScictgGQ#_0&$;Qvc5R)%5Z{*x6UNNmR3r zUGZpWY`25qFcIk2d1j+S&{@YATSCJv%N|r?8#FnL^iBvGwxo;`@w`lq!4z;#>hdQ8 z4T;uGO$Iqvn<*eaE+spK!EllB0ExOL558G!<7Q{yst}DHR8xvE!7{K~kyK}~7=U`4 z4TLp+i|@5qMwj1q(uC2LRe_~+ArWCidZ@`s2gS4+ZFv{eB>ZM0!zv#-heaTpM!09x zSo}>wweXHJ7447z;2aaP(Zs?|f`(n9rcHd!WdFv}Fw@>taIxjwfIt$q>$HhCc1V!t zPX&JV5LpWTVKl7CAbOd0SN=SkdvaoaHzG+mCkbTKl(n9_;`{~Yju*oDOM=%lYb$oz zw_#3~9LLS(>_K&z4D6~P-(}ZYhxxL_masLi$y0wX{fVn&IQ;l@XdC**<_m z(eyvt#)suXM|2~4ccw7CY;6y_k~zv6j#xQ3!I2dw8kGe3wyeK=Yu1imoDMgnxaS03 z?d4C!nEXPl3OOAyquUS8=T~P6oOyYXXx&6%1RgeKa}bO+P|#df9hi+SZz}@SYMNZ5 zgXM16bS{zK_=&!6NRydUwoW>eFdIcfi{_qe`2Q~R%H`p*B0+K%zorBB>Kb8_tI{7S z3{Bh@^Vb{G!~VL7*4|0Gh|Eh~$l+dHGL$qCXTF&J0tw7??qlJC?gwO5k>MbV;{19b zq^4QWRl%5k5LSOsr#TKm%mA~XOK6BoA$?TL1TZ@g_#8#OeJylB*ZPGpxUGc1;S^?z zmbp?pMHWD^r4Eqz-if(?cTz-zPV~nCy$BX(;zJps!4#lssEwz z*bod4P@%XknF6vo-;@g*^b~!6FSdg)Hl8ND7;=410Q4CJB#@8JZ=^{Q&^kd3!02Z; z%PJUh^`c2P5{q)`gVRS_{s>9@vp2FdBaz0*j{|1a7QP`bd{ue9!vgYD##I}KG*~a^ z{3OiIRferaa=Q*1>wT11Y*SbOvxj1A%nqcF9=s5Sj>Y7m{|OZufpdgQ z2hk2rV#pddl}!_;SIN&DW(pLr8VKliR%SiA^3ZjgoTo;G<4e-zss33!gi${8523et zovtd)>4{$DN;>>)`!)0%lSHWf4a(Q__sTTtJIhl-u5$b@fEp~a9-Y{5a|(gkx5ha%mRJ0{L=U@pr5z4|^a4R%ftpFU_G7ZZk%GMT zm!ACmNk~2u?`tPECpHS#%R^hoABj&$=Mceli=g2@1ti&TI_HeN>Ps>e!L=F;voVN3 zqj0`2oW9aux`b)XfIX8uh_;#O<}eFU6(C1DC329z%wdxU(N#x~%HokG=P4GdF#t94 zqXsLfe*N;ftIX-6!7$_%wFVWcK@NcW5`jbxj4!4+y_CLN z$TpJ{q{n4^sXxyr4YRfiDMEgVIZNCKy$1rx==T~*e!jlb%UG{Uy%WPG&B6k5n6X|Z zEn{fn91glCoXyQLETxUWa_@!1VI+DNMj*MA+-n9pzZxI)Hb7LBKc6%(tBys*LmxGU z@iUFW2#jn)jyR-RWhs~pS?~QMZB*rMp{|3{m3FqgrPVF>KN)tVi?w`cNJflAJWNt%8XalOrjoGPj{!EP;P_i>mP_45 zdH+RPz;R~4YGjTIXk8;%>k%8W{SmDK27+N00&P+RZ0mew&9{e?&M)T05GYmJQ{K|Jj6a9z2{}IFPRqi#1Bky-)4Lc+ay!lSZAJDjBFB{wyrgS*@$|3bY50IIVvCt zf8n(88$I9H?=;RCMzD)%(u)iSV;j--2k`l2aUMSuO;cz7rE%sh>EL`g4`Uma+2fE2 zCC$nT#_acUhNFc@&`T3@s|0zQjI?mNhdAX!-80FJUWS-z- z$A=?f`OTwhH2E8lCmcTYWntG$MAnzI%K<1-Vw{sc^SPR(oDv12&tN40BnP|u@=#)K z?63hrJD~{5eu&$#Z&BB?0)-qBwbex1UACFkXcjUc^_fzcnpOa7JI&GIY5j?4?ZG~Z^foKrHdTX9-4 z8YQp-zNtfe^WmMzBo%EUz2g5FsQj;oiPk-+At%PgQH5bo4F+<8S7@Gj5-pc@k@&E-3q+8Nv7648F;cv>9LQ&+6s{S1Y}xsdlTOkOn|vPiz1lx?dfk9;1$h8dS0*z_J&cAW z&j~My1x|hZ@^y&CPVDgtDfljooG=;Y^sEyeL0?3!atcu;OF+Q-v~fys1id0r<#Gxm zFzV$$5Xd}WabkhJfMp9qf5=+T>MRtSudVQ%hc}EGx8ocJ%M{?Vcw`r5n;fgt$U)u@ zFBw337Hg5LQ?$QIF~jbsV0I;yS<2Yq z&u+Qe{kcYQ_jQwL-%4Esi#AINa+PmRLp`~m*tD4<_ zBPaAL2rW5QSFP+kXT%&H$t5SkeI^dgeL1XfQcN#DL4QoAb;KJinNFDSpn%NQeh>V- zaeC)W0$I1MCK4V@D)NG6^mcQz75+oB}Evrux2`wt%ROG{PxGt z<{OG=U-m*|xg_B?syvfVUgj!C&`<*|jV8COtiO}2W(m0au^G-0lUr7j7AC{_ z@R0EQd~LBaJ0G3)<%t41mX{iVTIErk2WM>%c*qEZc$ncVBViT&WiXry z)?AxJdA~Z&{WW$;a!lvg#KVM!f#=iyS zjmx$q zGR>N!Ym0Eo7LMR-k8TS6hNW;chDq_xzTt9dI<4&+%;v$@!zVoxw9}f8z{l|$ZTp|D zDrQslDLFl=Y9Pv%!w&MjI7upyL+p4tt(5B*o~o;4SwV=^(xlTL643qT7#p4jt_vw4 z*8~B=8pj8|g+y20X42`BEb}c!t)wIF(!6Nuo#DE25TS^8iquHMUNT8(azG)%sfYZ*Y@gZnb17J^|Lkmy7; zk5OdkWmy}5qFiE4Rf+ZrN-t|DB47Nr+}X}aWJ%r=}IN3aK3p@U@^#a<=w!ifq+rtN$E-j zsyzO%9msQ3o9|20l`3l_anw@`O+xQ=?Ke0aO}!7AIO{aE!>GS_s+IS^+`CgGT|rmM z>GU*fFhw%Fs>UqQ!0J!IN|fjv14!2?2Ht28X${TL(1iMSSL3pwsdclgU?6S$Dnwqn zKEw*xJs^O|Z1Ofr(t=IoCId^k4^K{BE-8tGB;RWrVS);M+72}sUo4lNGgG#bv|h7Z zswuO`LX>O=5Ld|fi>B-@Cbd{a4A&k88@o#!J}F^#+y6Ny4E&ISY;uj#vvZG0S8B|r zqfWl#WBG*NWTo{GCMpb&KYuwLO905m$?z}3=<6ClpDK0Prmo9n5a}@QCmPyygv%#b zBF#d?f;_7h0{=w~w(dDs$w9kzkly8;dr*T<=28q^p{_?M%+<;abJ=D~vnEoQUhZI= zx%%-QaETpOm4r!EG}PJQCeUaC7l*CLm_@_pL z4Ynlk^G`@GYm*ZsOqBiXJ>T0wdR9pTWRkM+@%)W1iwl(NDY71euz6+%e!}8P{SvQ` zCex``F`M({3H~ncq`f8cPm0hbUl4p3hIu)=r1A9P4Lc_-sJ!7F{qAiuSq#*I5zhPnP%e^elOR-r1Aa zu*;#dQ_T7+tLE3FqN?=AuS5>S*k~DLd-V9`odCM3e~O!35fmCU;$Fi6~ZLjGV;X#EyX;S{}CyTPT6)Y6wezG=^N0PMqTELNIy`` zzsYrphN&Mi1yn)9Occq6t-kjRy*P&9!gNFSyR{g$CgE43Mr~0eqhU8eR!xd~hnLm; zubsxQKSzV#CO*8vKx*{O2M&A3 z+Fu2PE%-)820PTvg#{_{0;zj8ks~|IN^83%_wpL!U=`im}+gI&i+ zc?S1IqLq#Rwq`8f1(&!v`NE5ASJ9md-pU+IZEwN#0ZldnpEe8CH}TloZhi#<Ir9goCO7_)6&o@AL1Q-8z}pts_-X>S*wmQK;}R_g7G=z4oH3is zGV57WlQryY+I2VW>O+O(zSwRd##S9)z<^vTq`CORZ>237M{P=xs@ftj`O=o^mqU+; zz@XhiZ)41+wfF_qFmeu$8?(`)CMuewI7=qRvU-4JC^$ZF5TVFX70usKbhL#L6QBX840>QdjE zXg4Pb32+yR9jfo$qPa#ZNRsQ+GsxugXoP@XRw?!cPUsd@fh@4^o zx)>>oMX4-~3oGkgQRT0rV3=;Vg0alJjJc*;xDyhy7@^*D7sxZdK5HC)i84Vqbrm%GDJ}3O zx6m$P05-Xzuk$a(o216&}2B5Nq^}uAB2m~ zhd`so;>{Wl$?qSscDM~ahHkAYKRj5~`N3c~Lwd)06B6h2XZ@btEpCFqQy74a8sr@C zqW7C1FjbHb+QmFcNcVcD(2xg41D4$$ey?h#5lAi82Y5CX-<;wucM-fQfrTn&b9!r- z7+0=av3aBX2S#}%X~A^E3UR7grwolWta#Uao%Q&g3N=yrMHk<%fuq7?FjH|q!8MX5 zZ()9eM4I5`{NcK?GlS?l;5BNjUlYPR13$hQpjh>?H`-QuS?j8a0Z3X~^7C@SguLFG zkFo^gtFlz-Hx*TEBXE|nk<2_IF9X?O}c z!Nrm0&4Q>pB3oh7!|K!_p=3TPl!L{tn-#2OXsHR`8CjYf^V_l{ko#$M5A zRE#yo*t_WMH~-z8S9b3Z2^cl+{eJiEZujl%?Ck99?Ck7pf$bmZYD@&!MW5N!%`>tP z1>kOUHIACNceFn|ps}4KW|k(y*wFD!U7I&bH6FCC%@5FtzNk>w=5w48ypQ$(@9nk8 zwChggjT6B8TwVxRPVH_fyb+CM)NgiSavRpQLiub*0OCeU)}6vOZkYEL%G-6&zLbWS z2-~>X{ADSS?ZWs&KV&~eoSeOt_yx$kE&$7qqlawkjJ1COLw#=0nW!5D#PnZu&AwE#IlNQmD2M!8^w%$GftsDK7c`tIvJhQ$ zpA^j%>3%BNWH)A9CLsiiGz53}iP{Y_xL9k45p}$~LaBd+APb z2W6WFFy|cxA@vaD4azo?Ak@Xa$;XIK#fYZubmGWycS{(YlWrB>aD@7VDPU>PP=Hqm zZ#ZVVWU^F)(Zv!H1mCmHRAx?&4O;>PH+-OGMy_6fUK9H;g`#VCd;Oy z#CB%h)>5q2U4i!z4&tNW-HcItc2GBx0-&78aAwSx)s3Xcf_vGF@1cuFm-QzR0~tX8 zaCjZ9i$|BWvj`%0%oB-ovNAvcQ0J7zl>v$@MgA^jfWGwClDvrCc|ot=Hs#~%4H|fY z^iPH#mMqVl`t4vbXBa>@r2?ztn$oY%2J7OO{SJw(kLzLnaocec-^S)C`5)^vO8zh9 zl^4sidLc4;m*qyDB@Sg0nuC`KlXh3AHUs-~U_c%JiUISJ|L&^}a^mx09kSRu!9)$1WX2;7Ek|(K41rik|AC zlIAYWz|Hm%i*ZlLgf~kt^w|8 zsB_9;z#1x)x9rftqVI_T*^SDM^v7?>SMt88qjE1v%*4a1Fn*4gQRyW1@{N?>VRHs+ zAgy#Q`#N7BP!}#TSO}c7d6N0-_%d$*!O=%-OcE~RJ4hSHHt*1t_W)H*rne~Dv_~^o zzsxpI>ik8e{!ycDHz8E9ynR3bX6QD=ocXs}+P4I6ar-Iu0#;E6IZ%kSuU#axQ3z*bBVs3L?#+C{A*E}YXi z*aigViq3%tzLquT_6p=WFfa48;;KrKrf$G!ie1ZFdp&TVnPR85=8Z0X& zkNl?Tx5`msB9I8)nBWNKgJJj7%Cg;6h>sb2H%lo;6=-OL{K;zkyq-ZKBpX+JBY!y@ zHxu&N-c(q6zEyL0m$57ozpz!_s+}@Fozh1D=4|s<9n0&3!I8XF7u{GW?}P&$pqT`& zmSefXGDI}~K*qA0Q`~m&qtvBB@6L-GJ+eDeU^VJu8;)3ubE}JN(VC-s&e=?a_rv!n z^M=c3$%$6kNxzo0`8YFx6~`Sn&gu?^Tz7rQ>p@)?v*Orz?)jY?$!@AuYH8yRuhD=} zsZznZ0V(i`8b8mWu48y9@~6rma@5#?y7uP9reqt=cx*`Ge1i9p+rD^)UM_G6f4P)N z$cztYX9}S*J@a^2g>78VsV=(TV`UTE zPC~;R`L1cNLwQ8Xttyu1#O$@W5HibFoYe)g%k6AblkF-}+9GWbe0yj>dz3&c!2l&5 zY#`Ko_XQgEr5B%ukPrz)a8v0@#{dPPFqZK1_+5bkiYx_qvS3)u<}M$Wfw6N8i&EH! z*haeSfY8fDmYhy=S6Zx5nF3rsN;{WEbk}udQ3?-kj9hnVppqhs)x5-ZZXP9;u;?MK zGsExbxkAP#A~Ldr5cXFLAK1*s$)_4=Z4OkdLzw6}?#5BHOH@55pfZT?gIPBf<{Ejj z+tYyoHexum=cVoXqncT6r$64|#q|h{f11C!!?OYT#e_Sv`5BdN3r;qz=Th(VeHx|j zp8^@yDQFM-f0X$_bTI3XUMNdi*XO;0vhHoV@+dkn8{D{JF+j{5uR5xe$9?^^+E1p z;QCTmh`*py+&9!U^!|&RzMd3{Je=#jxS%sT94lwC3PJQI94Bxu!c(VVrl0wl$Q9mM z#FEugkL$_tEfG&L!ASfYp*j)J?i>=d6mIvyrMXbr&(ry9GqSouUfnkB6cEI_Xsr3W zpwFz=%%V8Ya-YaqPO*xtvuHC5pF_^kV)<|UH;GvHhFViyP7kCF1BgATajCTF`^Uj< zy1D(JU`=(UCO~<`aJQY4;ecX`6nNxcW#h~#a2#isU$|%P=A9)dh=cVWGN_|8*#)Fx zAZ>Mj05~5_+JbiDk^pbaqZ?{@vz%gvN&SPj%HDl0AM+6aJE>FXQ%K}3;Q~DSPCkEJ z(?H!f=L}tT6fwJcgg6bw3|#|b(4jvM!(lA# znt%kr1sz*Jz8d{C9sG2o;D|=aY12IGW|}T>ePqjBj@IGcvG7uX8lxrElZ-ZXO_O>fn+e&%EV8y{OmLovt%BnZ4~H6#q`%%_G^>_!ydHRgy!MvKGSTC4Cp7Hx zsUCQF9m+l|ldt8J33^+@?Oq}{1kGQhm*obfYn>z6VSU?%IdxT)7WCEoK-|Q^lgse&w3!T&V|nju&QgG@OUJwvra) zYlnj`YV^4MhI(EQW^=KfDXAyy%`;%}By}xx#7fN%%&|ftd*vjkHO(L|cLp}EKCp9h zUg{|+U}b8PC8^vNg1vIIE=E=cjh(PazkToe&3pWFf#%Ot$36ix-1TaxJ>1x|^{pO3 zcSg=^LWQiC?|ba=rcI7<#4)BfjD0sRxR)L9Ar)cnAgB+_YilQC8Kr_IKdp16D{z>T zD>5@6Z5};4py7TU0B_JCoB(|&)!7If!nR*u5ra$%BkO-qTUCo5NDIz#k6$PJYM`)gM|1JSP$3|(Ae^!bUY0l81- zm7BSh=iW+__kcGzlZC@@CvEYD)Wt0W_MjWuHFL%?&$^4cZs)b@@0V(ZB6(IfO8uIb z#>CkE+yLdz3OFXUw*f-d#CxM?{Wt^slz@cQQ36`OC{x4C)$c0mFx-zcc|k$*A*DG=VDJ$eW5 zTmX(nnB!JYI2E=b9l&*fb$_{+rK|kOppJB!3(r9409*!h&orT{H9`#QoD4azvGjgn zvA>U`W|x4x_VSjSi|FTBH1NLIzC@R2YRga27Apm?!(^&5&qV^YS2Fb2*hTf(X{oU3 zzb!M(?SNK*bDhP1@?1fGEj(FXi%GydLQHaU!Ex^`^w<6@=!jLx$Q&Yj9FFIGS>7DV zWAo{88d-h5l6#jpe|xvC5ao2R+_=MVxpv8UUC846(CbM|CtawJ3#u6AN2I)QZHJ+5 ztL1fRq!W4;X>%1#RvKn1ZI*+EpUE^UF}OOry_*A6V!r@SZzGeKMK?r|o?Rt&(Ez44 z>>-^V9GgIC3cYo)nV>mv@NkGtd+r^8nT;!q2Dc|mp zoS~(jegf4kz&Qo_h^&bm8qYAkwg(_w&C$iyqSm{Vk!ddsb--|mhY zIY%W%UFMbN#D3^DTjeBw7=ucvQMwvEPzdGo2=~Y#z^20j4dvwcxNHOJ;xruVy|Y9f zx)tIBjLN$qFOCfO!S-n=hd22ha|+ERP{~aTxwaT{0I7%5%Pdsca6N}5GS6Y8y1z(f z8)Zuj<6wWX{rYK@)6%{ekJ&v)(u%@3+AgyNavZgx6flR-)$|q2<|!&|x{Lle2x^h#Zfj8cyz7tys7u+VUuB)bR;IHb zV)Dyli)~GTcZ5)um9La+&fUT<1-WMA#sck@aCf%etaGKS%e`34VWAsTv8K8#%pPp0 z9h%OSYuR1hTzT(9fdP)AwfjRYu;XVPl>lRC?GaE5t1ZjG6IB8fXfV~F_ev}!Z>-)M zfa3&UKJ?^cuMr&sz;Oc5;y#TFno!>F<$n$avQYE4|6ogbUPq;D16Z@8bVRW6GuFp|8F>~Y@BgftYI++cTx!{19qJ0DEMZCaA&(} zl7lmi zAHg7~a{Me3^i$#H!-j&h9scQZ^TQ z%Dugm5l^BTxfx(wrdeTCDd!MHnr4rpo@rSXr7mJN{}-wVPPLSJKuJH4*?a+15zW#ZvpJ>4(T98l_S zZ0;pl^O8l+q*ok=P5~*>VIZa+UKom}gzv!#h?7@dxN(-5j5JX*B zx@lHk%KhO4r)K4)K0suMle~mL3&@|hZlJ~&A_2^-3_UIt$3^xx%AyVz_tN2z*X2^b zfO|D7xAL8gItSUTX62G?I3qzW4X}BsoQA6*4|`(9)KWR+C2s22>VVC&W|6wg`E;6= z$_LSxQkQIg%S*HJQfa$#G)@85*=2xvlXnN!pfbQ?r2UchrK>in!kg2Jy0E0O`d#^u zU?b{~>%F=(d;gq(<84@DUq)kc1mN46^FdNoQb~!K80_mW~488@$To zb<2u{CrjJ$t`&3op)L?BD&&O@^Kg+TegGg3~I z7Q0(KSnD;bp>;6^37=(LBY+9%BoUm{ZTd-Tv(Ken7NZk3sg++3{nd=IKxRFEsQiL0 zHfVaeA!snJN}R(ojPL|wZBc4C0dg^D=+bP$h_JcvTBDTc$V-vmaB1CKfV{H{*o>2s z9H2Px(6AREpNm7IV;eb821O3s(a8!)rmGI61+rV=B9fiHSODnUw4A1ciJS8d^10t! z_(0m1Jp#aTr#MSRPloxzaJL@494%-#Z!rl!E|=P{T+&YY#_h2Q*zG0J#c9ujY}~e1 zAk-tmf`&=VVz(jO?iTWodoH9?{z|(E(u-1xWewGEV`g}%0WU6+f`cNZvSC(yr*=EqsEO? zGk^-&yWvpu6_dgVHMysFT=oYr)Z^iQULvfQVLI#fGwDjUo^dR8M--2MDz`(e^5gJ& z9ib<;rjN~YHajRd4BvvVcF9Wl`JN0c>O#cGh3fcJ_zMVf8ZJ3m>bYKC?$9f4mwDFK zjIY-a9!lQLm4$+Ck$ z9!n24^R+vLX#CwMAYpcjQdiy^n*0w6tip>(Yj{Xrp*;VVAeTK(DK_u$xLe|-AP={J zaW`fxD;j4?e>o%JACM-uAe)h(?5T)cwJz@505B9U+i`iP@Q3S*59qHe%l&n-=q=8{ z`#Q#t++J4|dXA&ye3!Kdhx|zCo;tp+1=gLsw3m-L-}kz?2UxFwJXsvQJX`IeHv?-+ zuwtVX_xf|?Y^r;X0M_I5GG;}7HaIt!K12q|p>pKL*thR0qS^Ru+S@4hgOh!sJ8Z@-YM~VBsdzOI(WrNhX0ZX9cEp)(MfJnJl+U-0{ z*j>s8Y-pbRQh*l-1Gvqg3HfZ!u|am|Hj-w!!y3<_06he?%8zUGNH}H^}v0UhSI)1+l3TO$4~DSH7+HZ5>xn7=yG zi(8P7OEa27_er5s-egvDxS(rb9Dh~Kn2RALXXC~G2FBKjI<>vZ94}j$GX7)-hW`3S zhq;3_2NkOAYsAfEe>Ud`t{5Jq=K@@*N2Sx8M=PfL^JKuf;o!1}K;sU2;~~j_b<>T@ zdX4Ew0scw)%az&!8c9S7Ir=QtRCXS(7U<4Zo0C6AXMG2Pm!rq~4A^5C6Z+%>Rufw3 zFNumH{)bXmeqwiw&db9nhD^;T#49cdlz*6NmXoZEOM5xkkOu^&km*2wapwZN74P2| zO1Uie(rto#RJznV;8SLz?q~kI`9>X;+dzn;5N{GY!i~y>byWUaie(e%O7_hW=#!no zMY8JP%b$2Q%d z16J;hmb>AE58bsOXha=!z{;hfa$|__A(z@Xo{$TZgclA5Xb(5(fV~3pod(qM z%Ts)0LNYE<4*eHgu3DJfo9GK;;uY%4mIL` zu(^x#D&O)&HXJnB(_@(Lq;ftdxFOC5$BmD>BCyR-u*H_3sZBm!=jDg#6n8ck*E1x* z#wr2s0QvPn6BUaic9?>l#9k9LcvT*U!G?mIU0Tv}eDbmEK3;|VWGvr}#D0XXL=ds+ zcumK$Y!X}i914j&o6fLbFw7gF2HSo7k=|6bSeLrEP8PvqmrNhw?rkGfATB_fAu**G)5Qa z<$3tfe&A$IoU#Vit2~^Y7GB(nOyH+;^2a1w(p<1 zHQ@Lb`d>FD4#Xsj$Lf^rFvMR8MfxN;Hs}(HmwG$ztlrKiz%d7bym~v&rE-1`kUIq4 zQE%rbRn8Xxu{p5hd`hS6B1_wKV>)G{8*;B%zVdaY=7ViGZHi`@PuVW(J=jJ8UMOti zOggWE{GPms5Qf~?Sm@+V-!BI8awXc>9G|?G^OXFLJnK^kktg#<{@)UnFJ~K_&39Ze%)Z@Nj0}NyI725co=thkM{!J-&>VC%ZDP~^W7+?SYGK`gyW6(9 zv+wfzBPy8!IC}A=>ezCT?l?mb0E=qCY;JUa8KaXw%&VtO-oj`3eCJl#97HvV4g_wd zL7HT{m)R!Q0c1%j$1do!vIKAogexfU7@ekp6!sAbP%JBeoG-GjQl;Ic=2@(=uhQ9k zVd`3q$(NNBUAg-s4nJes(_~rQaKz*BCQi`oTXtu%pHPZu;lt#bpF8gh3-HGg8FNsI z{U}ybN4ooar1~`|a2_w*_wZ?3Q)dTPrF-e@u))P)c6bn2+w#&;d23~u%XKK+*5rrz z`Z_PW*1pe}z=xB0`6kuOi5s8Eh3S4Z3N=p9uEh3WkC9HxFjga{`Uq04D{mlmb@CnP z@Ny_ysuBOILIP}|2Cm{;W$Yc!X)F@W7l;8I$(X1*l`@R^xnmGn4{fC-g6{=r=Z zp3eVWJF)L@i4MK%(KYdRw;BLH zDg!(+oz1xo6+fce1Tm+5b)c7Tr>^qjn)FX#ZB*{BIgSVhuHswmuTRw!{1C8y0gYvy z=Pc5zt9^bAU_C5Q-L0~;ZPjF@?!sm=S3bIgQQ`bMSJTW1n+@AD@uq9D|Oyq{kS^`n2ku>&Ixv?*G&5C5xCbwvlkD@N(j^rUn zZmA5tz5QHyOw)s#9@4a4W$3k|F192pL(ichmvY$rH1~Mz%4I+UCq{$e4sT2r#jYzG z<&SJ5rih}2lEOdF(Uo1wU70J=mjiHJ`m0P0xA5rz&rfDRvywvRcvBVWbzwHSw$bYV zP~;I^6c?520%4|&gKQr-lT@<@9LMGiE1Zd(vFEvn_3{Y-9_MxP13w6~IoGRo*DFG;ZoH6)Tp@SL9pK zvEf{fU0hbtv6rMP(eyaK+*x((4WX>;#>@3kVNraHN>TP>vTf-U>>Ef?7R64Ay0~+9 z&@<)7k?LM()UDi=`Ghzpb@gtwEANJxCYHN$KUIm>0_@7vql|s)W1+vklDf>*RH*lx z_nw$|65^G{d6+|&PiAn;5llHB!bthEG7Nz8Bk>?&yRg|QA|K-^D*W?u5n|A z-9673O z32!(>`gl5cI}s^{85Z${!O(b)!chf6VVGY-SFK#;jeM9F-zLNSKJ>!@K-Kl~ zTRP19gZC`*lMB7=&n8Kpx9F5}cRsyfT+ZrYRT7M4wieNi?Y1E`r3fT@1UXVHG18K!}7|!++Qx+i=qU&%{#QO zz13t|07PpbO4$5rGOcEz;N~XNB1=uCkL-PATiwvMHCjrcP~2TN?ozb4ySux)LveR^ zcXyZKuyKk@k&V0S=5o%xzv6w~FL`EW@?m8qSy>rtj5*qR!G(PnxM&YPQA!43vt8w+ zoT)-~^}54sOgb-r;cw3iNI2=sdVCmqdu5JTlYVS9 z>J-v)reSs!JmSjQZ>rprZg~53DBl#c5kuIcu+ik~a^$X<-(?*h;b{QD0O1(|Pwg-{ zPrULqfV>USl9y9?)XsHUi&fB@0X)u`z!+NunB+CJLj0`ClNF@)c< z=;n%9bki-Oar}boF098P>oCeK4mXGPX9X!2K}${^0itgSkNCKiFIpedEB0nn5Ul=H z<2yW<2L~zkrc7Z9wmbReP_=p}8OZtmL2R=J(W&xC>VduC?cMc5<=MBK8Ch6f7Zx*_ zxaERdWos2qDXl#?=g19OV2*^5Q#qr4na4vZ0JJ4!C}|!r3-->8Uj55VXqn>-4B84YOb@j{|jnX_Abfbj0)#~ znhF0$PeYx6;G6^R;!`--$FG6E>Z&NbB{~$>8T1Y~?n5`nokHr1Aml8bDV24uH>>8? zNs_pVs-jA3!W^Co-ivr*XyfvZLDz<2i#5FsB#VT(=X!LQF*HN92#Pdji^51OJi zFVXE<;QB6D<+pU{s*#)KZ zEE>)##Lq6#g%7QRl$SFY<-jJhzRSCdrTGro4$X+7Kee$bCyZYm1(=Oxc6{eR;PMsz zdfBwkZv*cI#R|4Dg2M`T1^WhKefsBoD}1P-Ycxrrk90{hzh~1?{ANyjH>U~X$%(J_ zOa3R4&b~@1k6dEWHJ8^sw!@qOqds4JaH>*3lO}^kDqx_(K!Xih%6+Tvrd`V; zaQL3ZU3L>%m%xeeGgeW4sS^z2RCXhjH+r;49er`KhEpgVkZRR@Wg%zS1JormzyoN? ztm^b-CAA3#u@+I!*O(}NtGdg0h4XA@s)fLsx16x?Pne>8WU4anE1)%G1OAjBD|Z?6 zabO6l7e(n|CGvvBrT%>eCy}%lHVgT*!Arujaew0_@mZPi`|H8>rmFJ1z8=&@R5JQ9 z^4=1lTGfxFd#CiwnZOjXwnRkFGk2SCf)Fwjd!D-GS+ZapmgOg`4@0iYg(sF!dtd!w z1J>15x8aW|WD>9>fA(aDO{Px#lkQ74y+CaJ!MHw&sCimzyMn=ros&?{u(&fBEtB_; z;<}H_U(OoYJH+bb(WW!Y1=sVz_{F2>O)Cx4jm=LRKPBf<7zI(0zB_jQXf>G2$!}gSdj(@dH!C)S62Z`^-KW>Df(dt4=Whp_l2E$VCedqq=0r5O4@F_@fnc^ zd<=18U|{x&nq z`-Njn%h>&2{tT&7|Ib6y*m0-rl`5=h zHn~C#TvjZ$%xE?tI^lQx?_t2){Bgdo*et0i?wz~Od@PaiGl=&Xa!7oX>pw{;j&YVi z<#A<%=(9iM|1_9c(vZV^wb(~g5R`4CoPCUgRBgH;gYHAsa8u2-HC8OcGV7Qy&dkD8&nSRKH_M7Gypx-hW5H=7$fZ<8-8) zJOs6r%LG>j=X}-lOv3xMwNt1`5XZuNGogrlfuCMfc4f#x1T3F8Pa@^SkJ=g{J>g4F z2ydx2^p+Uh$SkvPdS+ZcTeff#hd60U&ELsJT*k6vwI@Tyi1{@Gb4R5(#mNM*?(p?) zi|Rl{MQ{U8;_B@XX3(OOWdG}HZ?#X(U`lEQ*o}Dm%V5eRwwnSX0hP~yg92h*yf6Ku zOJN@vDKkAzVIwVhWn@mc|BD>|b`=}ljUt|7-kQumU%cdpEmH@SI$@(+Ifo z0ikmEM(2_8{s!6y;ZQ|g`6E>Isz{1pYH$YGA%SFSjL{|f3lyEj07ZqhrUnyqnzKI7 z1igKgYf6=)e^)0z+)^yat)?b94@1MhR9EUbCz*4rI2i`#dVK>(+cOHc$fI=PE5)2t z)XYd;#IpO{D7_!A=^H65yVmFx-bv^89`(FzTvkOa5=PdNP?ry`veHibzF_Zb4AX`g zauDL)x6+sOf;^DTO71Je87H;Z|CZysGS*XG&%5bTaPh#J@fpzXIKW#W zL*#5wLkPjYdBgl`yLmfP*h^%wKV#5p*?X2xNbF;{?N%6}l@`^do_jkgHpiVyO~WkD zFas&wSs#>P9Wzd??YDENRzsUCO!MXRUnw(*z!4L_t_dI%-e54H`zt0D;Gu5(8?V9b z_B)D{%V(g!zfSpOR}Il01sM*9pFo_uL|ixn=>Q>nFfUv>FS5k7XU_T!?c8Zq7jh*7 z3#BZ)U8+&J?-J%8Yxk0m5l0(!s!;Eu4Z21I7FAWdz0Fhn>|~yz0VyLviaG&S`qV-& zCkI^W#d_DUT>^uDL)srL)5YH@Cg2??C`?!L9{f}|)E$*rh7X-tVj9BQH!K|@Tzc^B zuJUh=o`ou^NenH%EF>xQUJ-cHzmA9P`Gn}IELmu2OushUBXX<7-o5xpf@A2o3 z%I3o29Sgl94~SFk3v0HnZ3My6Kw+mZVC1o{yoF*cK!ly}{`U7^+l{q1 zi$!a7oa-V5m`h7UNV6;)txqiltj9Pcnyn*Qe&bdn{o2DluZw5s<5CEn?@ch` zLLQ?ZMp)q$!HkD@3)C=g~R&Rrms%>z6e6RQ&n&6 zonDIhzc}7+GneT(S9Ji+UR(QE9c5|a(_4`d5Z7X3%uAoer_uk-YCr_&dN@+YQ6hle z_qz&{fp*2+59+aR&R;Y25WNo2O3!6K2q<5|0Qck=RsgS60F$^vKc7rE%tmqAW>ZbT zgFkap(&n#dxoOfK2Fi9l(k&-naKcuBZtc)_I{!J)YNM4p{J-v==1F?!2Eu()J@R{s zQr3Zb_$+9t5Y{F-dXII1NsGxA#^Yoqd{%Q=)1nlD4L&tG@!*T3$8ZBz;1H(#R$93= z1$k#Wv#IWCfu%eE(oGq29rOAFLA$C|U%(S0Z0 zW-9%9o;)09@}ZSWOT6uIk|_I!!6@{U#d4c*#I7=d0~ppE&=GYNJ}Mbsj+bymB~|HI zChX#q<1IwoPyNXj&qp1|%G1MjSXVvLtFG7l!^>PC!;v#kA?Vg&xO7PP#^FG;jmE=#P%t##^sA3q2a`q-zyCS-?zmkKfV;z&0hsf zLcOpKge{ZyE_Pj_w)Ar$`pNnmX_=6L_ckh}w1cw}uCb7IZ z8KOeS?8u?ntG5j*9W_5S7$L~I6)hk}9zAMCM*}41GTB?o$(%h(ye}jPHWr8WR!6NJ z#4nT*Hnum|Ei?8(vX^F=(V7VjZ`Yd%lFJg&*Zy6z;hrYEVcFgdWHBWCanb4~hMGcR zwiT}LSl*dk!37o#csRO@}B zT&&|tv(A@sT`Wt#(_ro>rOAXo-a2VQjpmHTKGe|wo zSp9ZQpT0;Xd8L2!dS%<2bG8+S5Sv676bZyx+<5WO_)=X@^4a59)@FxiOFdIuBJKXQ zJL+&gNv=*h-^BrKUfDuk-EXwD$e}6qD8Q!w3ALyZ+xc7M&VvPu*k+aU@l6;J603o<%yl;n!(2E#VpES!GT zgJ>C*dh=0kAxt{w)|tqPyx5aiv}@1NYHI)5vM#Pg&u29+q%AJN z6j{3~)|J#X*vZ35b3HBfLRk}sCN(DAtvWz`HY@|k_FLL`;@?J)Q7n>+2QZN%F6k85Ag9g_O zIXX{p7D?eR&XL%glXO|z+@7LqPolMgHBznVGnX*=CXRbxK^Xt4Kc$b2`$HO79Sd!f zX(_|ulVRJ>$5ho@Uj&aCiJ%^dqG8mf_8#^Jeehi7mj7|#BWk+R(c^=BkimZ(G@5yV zjJzaS0& z`B+761MCedrM`(&{R}4!2u}D}l5~qa{AggB``JSmHalV;wT&nVd8KO^fel~Qe?zE3 zpO2^P==PRvikn6O?D4W^>he*lh|q;=$ff^a@lRX{jrBPI%2@o(7yj@C~VGRggtZ^Y+N~z z`z0@DWI`RsK%*`n`s_>IIVD{tBdwiET>dTbVp)8etzEXzYXrv3^^V)s-C4Xkb29U> zIiDt4gf+TuOcW;Kr$(;HgWrX$6w)NF>w1gf2)_;g#}a_(S2?Grqc;IZ7zhcT_3VYX z?*|0NbITW){Y%K_wtoaI8H^NNVsk++Q{xS0HPV?3^F&|r8L){NouTW&wH7Lk-^wK` z04BZ?x@|X7Qd6?Jd>#~<$`DUEjbiv~rFnzBxb7EX@!F`kr=3ni+`kF40yidSpNc|S z-IrZ!JPjH{L}dPOw8oqHEM*aYz|((;2*s zG%}ko|AYd*JGE$~ZVex~K$5`@<{_`uGbds09OWDg0eNo*i z3GLF(AJ??m-BW7Y1}?L?q6WzQ_C(j1F`7^ckfs$xPHlq%+J{g#>iHTLuIuw(Br~1T z!)^8LmO%LX`xJeEJx?81tbKi=vNVN_iIIk)J~#lC0IrB{vC<+mxy9%a ze)>PCS(k%D?PcX>+smUzth(UX?4V+Po3c^HxtUHFg!50$@W_)7W@q4MUDlp{DYDZ) z$qarNZg3Cm+2H3u%vM?&K<9EHC5(xf|TaaP#0y&8axwKXt zFNS${{ZV>^n>Q9t$Du|mk!P?xh`*kD<`EWDt>+jvh{pV+?x;s^-AS=@RUo5xMa?P#M8BTn7fd~+nYqc`&>Iaq?GsFQ^W=i}yP-)-8qIgtqZRQP8BjWGV@y}aZr5R8 zdmLCtj`})J!Y*ega|HE7K|+;}tz~KY1*idg~e6sH8STw1!oxa&QcG)k0tbg*JFBTzhGgy9HJOUk6T?vz~Y&+!o+u$BwQ$=eIh zRdz{9R2R4OA7ItSwWL>UHd`pY&pjsmq z*≺)s>NTbPQGIS*WKtNrwKDh3=0DMR1z~P|={A6HgBHOL%#~pPd0dwAhpGgBK?Q z=l9Z@`xCyB-`YIwvL6}jm4XSwK0+q*F(c%-C`G}{50%+!5rn0!!|vPlmgQSXs{2i< zA$?PoUOuWDTI6eA$wZ-%@Lg4l`jp~xtQYd!Xm4h+bp^lqJ7z*q4UW1dr2JZy69iGR z`2d5v!7eEwK6MZ&2)*rnR;L#+xrf6Jq)X5uu}dwvdWeh2h+mpG%xSUR+a~YAeSd$C z^}sg2S!oi*Z2H01v(INLl*4FYhRrnAD||1kZl(QeA&?-pTbdD52evj}5Kyht@mId6BT4qk)D zrF~=x&u&IB-r;ioLa(4b@yX_KqfXYjm-`2eD@lJoiUG&eHvodZEBE0@6jf$XgV%(m)^ z-}0nlCm52T7Qe>m)AWD0I4|r*e~v>R3@Cpi4TpljQVkHrd?B$~;<*i+hu|8$ zht3fYbcPj9o7ur_m1|Q%6eu015)vlRpY+4WHjvBY{U!v!CByh)yU?Qi4Y6g>fc~DlKKhIuRI&xOCSI)WXZbV$%dgOUseUfDMVqA8 znou^k*>ag+g(qTRM58!MT>Me&JR#$){hC|Wv-@cDQK6_@LDD=uKnWj5aA5;|IHKtq5m6X z3Qt4dJB&$^_%AM&S12NcWy789#3vPEBAF`vUxo$wzkxN<|8MC3OFhapWr$fYsJ^t; z>bE8hPD>Q%@t@ew%k0~(ceAToCRH4j zvpO&{)rz+o(7j+)e%IA%_6_5yx;gWBB{NXwJlNt!*$aHTgg(os3gfYsd8|1Fj0DTf z<30oUKDg&1mwaloA6l92)?biQ6s2in?xy6|z$vtuHOc!+0nI7dzGZcNcxOv}sf(D)+3B2(OYm8;t}0J5Eocae1_4Jn}kl*Z1S*$uYx0u?tq0q1v3#i&aa7GUnG9+@Bt^ z^>RGOI2;xN;~UmP(>Y?XM{Huf$uptR7i?CuN6a~57rRPdkny;m+Hyvs30d~ja>NjE z8gJusN`@@bS|(?ijYFeTf8Lm@Jxe#dDb(kS5{=Eg-V`0bfjfK-yO*YSh{`RRc&e+V39L#Vs1ipMH zB6S|jwX4NmDLNhDdr>;$tBBg>wVsr3ZR>uqL&sY2o;@lE!22m=fJn$~G!#Ez{PVnH zW|ld~oor5)A95il?2OOlDxM+dkOici(lOIrji z9p=oxHJbPDSOs^c)U1MMO{(h<8JI1^eI&|q-p}iNf(vm6@qOCw5QTwj95ucW>E9=D z)@n>=5t0Ss`!)|%@3 z@+AXQ7QH4op1unQt@R3FVV%mFJlUeCoyz*0DbD&D)~9Rq{C7iZq%TD5a%`q=UmO!) zXTvjB-|j=d(Q%Kd+vSeSKw22ZqE3PfQEReu$-Ymet)-_mT~%iM<($nX9MMvdPueID z3iJn0!2?CV{W4xR>;e!dOhd@)wLej_Tz;;b7*BR2u=lH;r9l*nSm$4L&){YS{#mFCn~?%0R(pTOQ3*fa~2 zqB1cD#iOoqBa;L{dtpTP<3;D8e}>-Yye2bpgO9izW=G|QMyS|q9zY4jEfdo91^BHw zVeuGL0I$dF(cEhUI$=|ma-IqV8EM9cKcG(=Bh93CL94Xbv!gHmjf5*z)bG?O3zdB; z+oi(t3+qvljK-}dABVLkB~)8s+_E!-Q(-RWJ88udyOnNHE~j-)t4&4CG9-&RrCYVv zR`ubOSC3}hoOPF&ErOLV-sc&op33fB8MJ??Uj+E=jbe>24_{ZlR&iPeuhigf9sfRV zE_xM^Hu>Vzv!`&$)%>%+bZ>g;5x~5fuErU~@c?W1dZTlI{crRl_g7K2|2O}uWNohV zARp%6Ww9FC-ABwx5@ zO@AHS!}W5Pk5=i)QRTkhM^WP_?aI<+BU74WrRJP$7|l7)j-|< z<+YZ7MGt{s%XfkKmQVduRr~%Tj}qu}NbmJFQ1q4M3&is#fhQP`Vqn9P;xjY#?~;R6 zEd}UPohDs9uQp<3Yg)afCYF`rPlV$NU7}qHRCqKHN<1Em*oGTysc3G?P&7`t^OUWs zppulW%2ZoQ5Y*fD1zRNft^vzIe-~MG{*!(FXQPZ@?_A!Jp?Ir0rOp~y*W1Q%5!wqen11R1UBa++dWoTryZ%{CQc^DRPFqQ)#=@Ibx;CP^Kf%(`}V z%fXsGe%*!tyHwAeH1Hi})At$q5vEt25fbR3A)tNFJg`zlY2`;m@^R=U@Hwhni;GKH z-Jrk=9bwgNnf|}NFd0b9cw?od3I)=@l%bYG7TWopZGB+h*H(iTjS`!tBbzdKkc~T5Y z>LbVm$*~_lT=NJxP8(d~rreI!dc5N*U^gj8`lt=@e{UI{+bKtQWX(?A#oLb1x1RI~ z=WQ*Bm{|-a%@)aD60$}Tq8xoh6WU1`x{Yzdm08WO+utgI3YDq;P~+Vu;nhdq;>Q5a zy-w&N@iSYe=o}o9{7dAB!pYAjFv1oL(IM?nrU#&3NZ%WZm&P7M5Jp zU4F7}4!q=M_Ey(JWz9az-ML$XogA+};$b|jRc9@O5N|Xm2L85=^*;H}V*>bHZ7pSK zx_0z!qx!QZT2)dp*;Mk5+c!^t==_49@_w=zPkiBsPw?`x?DgyZL&&MG{1a!n3L@N5T*5sTP1CVJ;$`Vm)t%k+R zsKFm~Wl;)W5tki6aE??G(S5CAVRo!3g-ve@MBfevJG(Wn3^Y0SzeK)We zM@$d^k-To!aBqt*e~g_xB^0ce7TEoC8h6k8b~3REQ3FS1V&N>(@)KHlw=cB-tzRt= z#$TOqYC}tD9r$)ZQL)#z^pAsfc?7JoHmIXnf>DjJfk|1 z?nrJK96s;KHj)u%mR40Rt*PB3L#)~4E0_zNm24)pP#A4wl1!=d0LY@ulYu|9en3y>uGWq67?P5Q2-RzrW?e$F_^h22%^Ax}5L zku$?gioXM)8+(nb@`2E;KS<4qCjl85R4*gx#$?%`?T5cgBXksk6AsZGXQy1C8ciR7 zmJn^VE??#xi*sIa>dq6OHQNX{D+sT|ry3EV3Kj#u|1z{Vx7$`>W;-bTItbLkRNPv_ zNYeCZ={JV`j+|PRK4CkvF<#~LZCmY?mbFV9F!Cd+Nykddl1u&{^~qfiuXo^1^Ic;L z9s;WhbGHheUa^Jlhz>S*AsP5aL6edZN*!WvJofP|{`ZBp;xfqcJG&L`lFyO2J6~^Q zw!-9hM%kX^a#MQ}RthI`zA~XGWh!rIwIe$*18y)=QChRh zNhxw|q9pp5-u98}iIC0g!E@JMPk!W8sbB_h{Q6$BT3N!vnpQnG5ntnLI(@=90>m(+ zKHV7mGH*aBiml}xyQpU;YUW2`?c>YKzq#Up6jx@ry}Gw1fJLmzl@>Xw#67&`O$r~M zAxxUFYGglj-L0Z-h7@&##(>A@YYZf(C+*`4)ut%XlTr$1r{PP_xJGG{sY2|d#f4qU z{b*{hT~xY0N6jB_33q6H00pCgQEHA@owGy)D;|bz6LQE|#bKq9ii1PxTg$p9Kbz`K zzRKd@czi(ncR&@la-> z^73XFd|8>S(wKcQtA(b40fOJJzBIOkwM6xCGaiEuOH!SrLv~Dq%Ce2l^%7*pfZQnA znBMNi+-%K8%Ua|>EZ9&45!8OpZmn0*`9vqFAW!y6U7P3xSow>oSguO;u_mf``A9bT z3^=k5!}HJtdWH}iV4iQG_p6>BTcFLkYGPsDwX7K~T7{oZfBfZB>9F087CzRe@5#qW z8KZ@6nybcG{_wvQkt=OPR9rOEmL#9_f7SQY>}D=q6TVhJebTM?g@X4x60l;V6HIzz;n|85?t<>JW3k5wbq+t8KY z9_X>mhhMbGDg^BsZbNcns%a51SpDhtna4Xr+XCrAQXJ#)YzrQMxLA% zlrGV#EFf=|LW}m|Jm%|ixjW0PgHmOwM!{~yc|0XL9j%=ym2wI4*ZO`DH+@>jg1m_XT^)%D4`ja zSeiy!XM>P~M#a3SDVa-(&kpsz^Bmg`E-HHXJG`z%H~qmzVPX!w+lrpjY?CGz3gqaj zmAJR=1w!1SHmQmF=Z}4&-G)F<6cgjz7@O3Q>hzUvd+FX|+<^*ax<^sj!AxXV|8_!v zX@#nERv>Q6Q0)ZJs~Ejoac0tVt6W?v19xPR3{)ndWHOar{j1crq(gq6+f=4(%*y~s zkq|22N;{?9u>#=rD_JGG5l_MxeQce#VM4Qt1knyvXlKcnFFSG%m%2`$bLO1kb%iL7 z2Utz^GJCW2mQMH1Df$fEUGLpZjbXL7+N+qaxO@m5kGjt?v7!a?i79JUuQjj_r+@V7 zNA8}#`UZ^=Ev3VW+^F|y@?6jKZ~~Eh;PAPJu~$=BZxxe`fJYXoz3BR)vNt8Y5^MLj#k$sF4-G&a#@Whj2k~Sh`m|ZK zK5ojy!Vw?L7L_-{BqdUg!p?cLezYQc7P9ZIB^LDb7_~`j_t%SD>fldvrt_!b5{iU> z|FD~i?_lGc?9B+neS|eqR(;P>yNRzC?G@gvB-+n=Uf%BOXPe4c*5dR zwVh3Hj&FK!e-*R4V6+?|R?9p?{#2bKp;fL}W9_tm&d}SBVy?x*GEl}GXk+^^7RS#z zMtxPnzde~Hk|?4EnLv&re{SKg`3Fozcr75mKLUyJog!8!*w74&YZecc_Z}!it<)MP z$1TxCp5*gBvgR0J{+?2h@)E#P57SYNi|X9iB2gxN??(eq?_N+AkWZ=>S*pPdAO0OrqomaxuEQ_}vtO=vz1XVEEd z8Ly}yYbm+UyPbTTopE0*!9AQVUWjh3E+H4GAm5>4rgW*A%rK-sd(`O$^uuhMX{e9<%+3$Y(AZOhp zox?W=S}G#9u1prCnwd>~ZG5c#TqtWn5PzV)Bv5=mn3hOO_j4eOF79lx7PH>@L-y70 zuIM#H-T1kwt2xJqaOPIn#3yAYc#Ov2*;c;&aG}puLH54k)~qI*h4P?l*HB@1CgPAA#-MooyL#M42gfsPW;pjTC1N?TsV0IqXKb znlCcchp&Sj5^tge>21|dJfHXMu-LBN|I*)NPXc>cTE`Es-(CIo2Tg!s2^Z|HJf_wA;o3ems?%@!7X*dI$9u1*FHET^tnACTY}+Pg$>gb)D@N{7Ql`~<}Y>0P5^s++A@vTE)%Mo zTVjM1w0#}T&QToAU)pC@bJMcIN?mQ`Dc0s2SaE5_*^f(Xc{d>*ghzYI7gBWR_Tzp& z_gO36!qal%n7r0JB7hMa)bmrkTgzrLJ6l|`3%rWX4F+p(abs~gWpOatk{#i>*0}7h zQPI+9n+xq~LS-pF!8s3hlbgxuG_YH-50C82%|vaPhX=LBv#f50r5v?DlRXAL zDuIL&nBcsADf_B#5dLo}Kb4MnEa16V5mhR3*|U3YML`Ij$DB zup>OSX_Jc~l%9D3f{ z4A5+DtmWZ_T1?FBrx<|V&0s#VBPrDn^B2cKU7)XLH{Pu)xh)HsvMH>&wQ>eAUQ>#F zwC5=tB^pwq1=5;nE~lGJIrE-b7(*fV(Vn%uc^FsWfV`=j2R&}b$Qk7Z6#F+ogTau% zQmLcwV|XvKCmo)_FIDT;n=;;S9RoU-7~E+meVz(9GiOVa-tM0>9QM&aLv_JnNUmx7$3RKKqAEhJUG; zz?*)m0K2ah_*s5meoEkj`{T`ib#$@z>+(4N7_!X_=&xi$iEqt5ui`7Y+Ml~M=V$9i zxa5nDA?A9&Zf^!tIi`b(Of>vo-E83P-Qb(tKxSl0j$1GJf_nQ!j@y$9rA{jL1GrZ2 zu6q30+KEnYw96u#Ht$yl^mp+Kb-v@WMnNGlJtkC&6kcR-e!Q65_jDrThR-^UKul`A93>q@-|c$1`MW zMkyDFe6<}Nd`~ioxAuL!4jOKn7IP@ik1?=KG#9mK3Au$v2xp_(MQbROD&i;=V32eS zfZw6b@iMaJipFB79rdFoxEw>)k|$wqIy+_j?B2vQ-Dk3X_`dFnKav}M#e8rSJen)16rea#CL%a~9gijFCN>?jZ)L4Fuy6pm@`79Z zdGV>=QMkWZ$<)l4;Kbg^p>vA+Jfi{>RQGG`d7x zQVUwtAPS|PtxfjTNc4+8ChYZ>saP0K_ml}GU#vTZM(-!b8cl$|;IrW}viB8#nwNeW z0+v-IW9MbxTc8pyjP5PqKW}Jt$u`qG`&A@JwPqB7tzvNH;RHqkadpXJtr~jBGBR4L z*addxnZEnJn1%De6Yzb1j3L(cwpQ`Bb}b=$tg%RKc-Tn1dUUNxUu3Y+ICeL_kn8?w zFX7{UC@J&i8_rHQ-@Ho?2wacuvXvg;`TVWYh>vS<=g`_}L-7W-?>qGIWUhS-u;PR1n4kClR}h;+Qv^vzyA&q+%g5GPu5 zWm>LjZBHv&&<3k{`^;BjU#hbl=Z;mnR{P|mV`k%`CB9@k9!bVGcWZKlbDjf?jv^I7 zSQcZ>q?k8Wkt%eJY6cjmXHXR9efET2PBJP+<4w#NKxF$(g)X~rNc8SG1@Vx^my(=K zM&1-vxLJpW)m!wsahL}6Ufqm8nrmP!PE<-|XDD%Lji<0vhW0Uz0V5{^LzmPNi>y^A zt-4s`u&%Ut&On)~CU3avk0jYhse_-!6Lyo93-0n-nAwNhi_G~m$Z1it!@FoR1@FW7 z41KPG~LwPxBSKOfP$7Xa*i|FVI}I-i|d*rU7F9E zCgt+U*Wr!V-W;@o7EDIXa%@(cmU)~fofh6AoBQ4;qqdU+Ym^c?bWuX6^m`A5!GFO> zK5gg|a`JynMsIA{EFPiR=OG=VfRc)}KcSWq(kcJWleWtJ2L>e$dRTkm!fI# z7Jk1IBe&UAe-U5xAlOSMxk7QWoQ2&=QoB@)?=+=Cab^?LB3$!(am}D+Vd+*>Q|NP( zfy-*w%f;5P{C6qE$c~0SuY{*Ny7F8R);Br>ciqw!12A&qzutN)Xglimz$lPo0t~1lgCO{Z@mM3I0=TgE_7MGFEyZ+^g zpMX#*@kIH82ICF@ooMex6W_fE9_MU>$;*MtTP{*-UZE$G*E9YJ<6W1^af*_DUIz*SU^_7s^IM{DMCHH=;ZIZi+S<223T{S<|8}o$M(V?t)%oN@FXWK zd~v4C4K+@*w~Kt>1BH$cah{Y9T~=5tSzUxzIxTmceM|YAU+!&s7%#kdg;(F23iKgme+QF*x31nIG#U(4pV5;T#Q7KFWexj~71ll2Qd@ zIZx`+L=4GT#j9gDrq~IYqy6c$04<1jgAIr0{^`c0xbNgz^&syPUa4Sq-Lo!6Y9oe> z<_3I1M4Dtb*_I6rhnc{q1Q-j8;dO?G#HNS%vA*g7{A3`2Si>%@1=(LCg?uR%i4}L- z%ab}uMsy9^IkY%_W{@*OQx8ld%y9rJPxkoPb@}d|Y{hgcT2lS4y6D#No2D=wJ$iZq zyGfl*KO9+=jS-Jko@I}S_NG3%X=q1|`~f`R55368-Wv6`JA5l8bqz78{8?WvVglf_ z=S_ZC^G+(2z*AeqE!RB^rD6c7$*W3A&%o-Ryy!$5J?A_h8OMA{rJ_qOxMbhB52GEG zQc2^xW)NIJ^KNH5<0a>~_}Hy@YLkn_$8MEVlRvU}Y6EJpIiHF+;I?MjLY7l%r-jcL z*n8d8{E(LvJBG(gff(7qs>!PMrPt)VmnvS8gX)NGVm=pL3qBD#Hy4YK!ATbMlO#Uf zb6om(I#+H-kyaM&DlJ?LGfYuwc6lJeR$Z1T?~G$>Dy^I@W3R(Z97?xLf^a~Oiwy+o zU=;_KE~4iD+_maB;Vz}O)S3JXSSZV_nYgQP4G6@ou~ch8QkWf0KQ5_TQprJ4sZobC zt!&KuQ{<&`a4|| zYC7Mf2Vbq1_kYGE^)>5lB|hQR?q&9|R{BslZ(r|J4m>C2a(0`i#|6nN9eSBC6Znd8 zUGj(q$2jmNGRSys$*CTbU`Vgrb7^Pz3UEP)Ce-GkE-%~ib|jX$c#z(Cm_TLlMij$3nK$1OtY=4fQgWB}K)Is;a#!%9KZ2l9dhKgtFxc2C+M;oVHHrQeE4| zxY1lge|yh~p4Te_DjQo_!=_2Um>V5vx(~4-ZW$vA=VDv7;eL+7ZnGuTgj@pZSi`8*F# zhiOU1yID1U1D)!N?z#Uk#kx%E650Z%8a=Bs=G;P4{pr`nN1XDFUTD#Z22B&Ftu0$w zDWUHdA?->j@gz{@oS?Yf><>e-`2H)s?>ZVPg`%D*wGq9 zY=)_|%jc^{P|9F&8%6ECvJVs0x$q&9--NxnDjXs%6o&=ktKBJInqGS!X7kgVJGUKa zdP3ZH%0BHgc+xTE`3I(rM(+H`8ESx;&VET(L1Y31x-zC&2l`Cu{)7yBzR1iYt4c7! z(XoBL1ZgIg`=p81S$?!>t8fuf4U*BOP>;%g`)-k~8Su(0Lc`#g(N zcE)|$WsukDCq7sgbu|o58VfH;S1bPMS-GDGmfxS&7G>9!;&<@3Wz?{?jWqgwi)Wqd z&<2KRH+VcY^0+(XB2lR*Q75RAD-YChE^9zPC9mL2;euIj&P9yQ)Lm?R8X(ymE~T1@ zBfP@VY!Sm;8x6_YE^pjHrJt3}BoX_tHxxI`Pvfx0D|%5>u9p=t@qvdyH`+BJS;ESW zM5E^_`wSmM^?`^f1+E?su_3kk*y&G1V(j>3H3Z}&gOhl1AaqBMDPj+o+K1RnHm;iF+ud-&YE8@0wV``Y> z)L{-my`rNur}?J$IKqr<{BF9hlG5$)EV@fri3QzBUT82}RGHRgv=q1f9mQct}I7%X_b=6bZ!z!@(BJ=IwqCnN!vr@Q;2|7ubj zjfe=NmuhVuH@P4M`+5D5cd0JX3)J7sTv2b<^_`=65WG!dfFi`4C)kvrYRq!>(CB&k<(`_9&ROarY9|}JgwVn_;8x`PDwEV zwNmOeS0DNH-9vWTpM8?4Q`2le);rz)p-`L`*u4(?c3Xu+Oc3L6GuNhi0>_tMF+T)} zBgA2PpSq^?FzXd<2d%9YHwRcVi`jGG9~@zNUG(qNv|bkcN2OKwl$9e4WcHk;L>zk! z&^wyTi_REvC%P+%cE79);rLy;9P9N0P zI%|jMO-v=`>)zadV5VhBcFvNcg~j&0YdiSfEAFPIFo{{OeUlTeaGh{#DpaK5VK=Pw z(VcUmp-rnh6?*O0T0Zu`-nX316s0qGw1bi~2yEFv7tE!coE=6P{VC&`V@h2m!cSlc z$Ng7c&1OZ~!+O_P9F*VS-kKpG#zY4&p!smE9LNVb=Y{nXV6uk}Pc(@-c5}^M%{m}T z1jgORi}DF4ihgpYrI1nL+~tfds!H@7+otpbtQt#Aa-Fj!mokLU```ps=^gE7c7NHE z1Q&~o(-XNB*QJdEtRW}1dGd;<9B$BO_tT7w0LXrZXZ*r9L_1_sf3Vf+Y-#9!lBT^ik3wD zhIVCR3InC@g|+Y7Psk?g91CMpnpE!vwry@S6Wd-O7>!<`M*fqguR^CyUlfwpD^su9 z8wP4>zrwPe>fHw`>NgE7u4>VmVHO|KAhkW0I`>OWkIKN#XOj`%!va-*(jt+3UHn1; zLD!{}k7xf$0#-WHhHjL%#Hsd1zL^~PMhQUgr^kW-at@A{fY0Dp)1L3rb{VOTpL_i1 zJM?mYecV%7y?cxf@MuPy zFYXY9x7z27Vbd5W&D>A-T$7oQ$o@UY-7kA^bv%FPJ6IC-v!%*Mob_K7r=lqqRFqkV zg&7jujk9>?vY!0O$9liJ2qaQbDDe#s8Z=o4d)E^bFF_PYl*3WfgLnq4MJ1OS>UY9=|71D|w}4+;lo%Q0eGq;ph+d9`LRBNRcR3vyVSS z4YMo*bO%HGo>>xK0co-+hJk_l11(15mZW?^+}DhgVkdg1cRwE5>+251rw!UFOg|}Y z6ym0x$mpaR@dC9Is;aJ)dKZe=7)tcCrg5}%CZ+{r2Xa&}zsaH1{!Z`M0}(t!gU6f; z2^H2FT-o`PU}=!94(1MGQX%1KtnHOxu(>ChjVzLY0T=e(IeZ_Gs+49r6<_+Qd*mxs zxev>SZbMII#MHD3FZZ0luk;{xR0uEb1hts>n;rjyqBAD&o2B48o#nx+$-=QAiN2yx zktD@gH%*na)ix#Ns_DCJawelP0lDjYRN<0mKxdN>WtgSC2QcF7ZhD26eS*VJriK$v zdQ2>?X^ZJwEugs38xLzYc-L>-$Q;izU*N)RoLj?pa`z>XjQMnO%tagS6sQMhCNm&= zWFgHl4@c4NrmIOT2E9nb^-QAso+Go9^6Lt)_2*ZpvOU_Qw_!<#H)^qA%BB4GbQ52v z#hGA63oBtk`{6Yz>|YrFXgwAo4ES8YQ^`c-?(C$T%BsCY>9|sDm~?vgSI)%H4Xs*oVyXT&C@RHYRV^pIWGezm zHTq$B5VqYO^#Kw4zP zh{hj5kaJ3>`I)XcuDal>bqMdXzbH0|Iw@_JAWO&1 z`O?k4#K!!-&JjB*u?+azry_T9h*fB$GsAcu3&UTV^_S-}Phi+%D@XK~qQ=E8=6@(2 zkkPr#=#q+SM%A_+3>DUHK9|?HOz@apYE_odm4^tNAv)`h&Y%6s$>(qJ_3VM|#?g&W z9aKW%YaUgndCa;QZ@vYXVSaqt3c#+D*Xd!l6KfDmH#@D=@xZ~m%>d+FK=&B?(&Zka znp@}Ha_1zbhM~9iO*fWyT)kCZNqGgk@(G;w5m2n#Hd@S_Sey9glr0Q=TuA(}^%T{a z-*bI`pGFAVZdHl{zFFc{Ql4G1Ou3lnjoI6v4bxi|n*jOK*^zY|lF@_;Zy)F#aUxSN zKT-cqyMM&@ivyof9}{l`OC0M*GBZ63eC5Yp9N3l(<%f2sd~8J$Ej`=5xrqg~9_+Hx ziqmw;NSZN1ul}^0fsO~{yp~odE$?(Jcg@*}9Ky@CS}Qsq)$Nk^{ zFVhgCoRwP=XdDi$Y_uLEeR$5|ZO$?z9Co~YGsNZzytJ3v64iQ7aWHCEQ9Wum(8BFp zw^xEF`ETTRYw}mjU_{XI%w_x7$rAbbJ7P7jhjABVQEwvd|8=iRZkr=vckm(}Od__r zP4g2WN9t>qiE~QLly+gWQ*k1cR3AQ)3tha_dzd&?YtDyS3X0;fRwsi(CWKi54atUs zdYIt-;54szHRW@zf|{Ry^oWaI(v}uGQ)Q3@pY++!Sa?MV!M1dJHB7( z;FbetWXZI2_Nq#Z-~|(--wO85mJ8ZXiJmaUys;X(sF7w^SpNQ&XXVgX`TW$&J8?*B z&%v#8UF8v;_CA}=ahGP|b48fopGfN&9ajh<2n}>O>6=})53zH*kK2xO%;~c${p5JF z6iWLVsJh=#uMFC%IJ6LHwJ+Ia6x1vv+H0iMkE1@&E9)d>-|kxch4y!+1S^ANgpq zaeEM*$3hjv{lm+*R47pJuODpSyMU@rdc0cY?o7rlJ?Ei)#ktgWzs=~nxmUA<9RqM} zh`dFw=$|~@=Zxy4__iGrot+GiT=hc_5G4e$V!`@$?1eob{|JJx<7Fuf(i4CoxuoVFL|V_AbxTFDDreHebESjLzl8Xj?1@6>x+7{Hk#So@8+0+W$17b*2(2(Ey`i6U&G^P9h3$r#Oek)Guv{rYSv#< z>e?@JP&t%bEqrP#-d#2wYX|AVJeNG8#GvMvT;AgcTe8TtQ^Z4GBgKSL;I**A@xUiyy89k(sF2ybVpu`yAa}DbtAj418i-om+?I`nCD)O!{1$;j7 z(|F4Iycii*v>3V3%+Q3{B?5z0rxNNbw79d=lgI$r3-7hch8aAHyTs<~W zvhMTM{iqixZ;`xazT;>N7}^i{dn`2;or(;bS8Hh5L53wEPbQ!zxgaB>4vw38pQ}UCvBTs`qp+C9lBYTL^Z5&PB*USIat3Z$KvI~lQ8LMgmo7#wFCU34tz>iAGsGudOH z*oT3&RUm}Du?@hW(SC!u`4GFfRemDtEEY4i5q~y7CNiVGGCtKySg1K6ssR_#q0QSW zIyP=KXk;0}5RKB_uk|u}Up7#n4pPTW8Nur?_lqk}SA`$H6R($!yHhUi$VD8e!S{B* zo{lDuLZCXP-CPD!rpz5NmZ~=AWmTOI^#A|^l;!yygQ6v9sbsichs*JU()NzOZO(%n z+X<;&1pW5S$wd@{NS$t^T8|ywq`cCbCATCPIFaJS(@ENpR9XSjNNgi!!0B2h;v}1O zDZEhUoHYk8p8jxJW0}<`}7vNByg!mU7_t3wRW|!BxKks+<frX`b^BxiJ771*IeDSE4xb|CT=l) zKB`$BG&?m#1q>&MnkkgitcH1G?Q^fGT@;$7mp&;BntEYyW(`~ULuCSmwLcuUxu2a# z_4|TFTbKFc$pUHRHOp87ES7EJ-&l_-gCf+q=1)A5OJNmW1`@6BHgqOiuZ}nm!O}$; z_Ppmu&x18;xnfzB9RHr(ds?rTB{Yx}y78K><3ka4VZVb0=K{WStsQ(%LAVZ`a3(7K z`PzU@ImJ?PKl4iZbE57+ZFB=kJeJzqQQf+QZTp=8Q42*91%~Lx@|PX$>LRGk+RMT0 zCWb1zw47P$_<(@cgdHnh{(mt0p!{CZ1oUamU5c$HE=6Q{^y`^E!sbtBDF@zRT5Xt6 z0JiJ@QO+?r6v1>Dkn4%z1_r*P6~$eqP?)aH;S2nsN5&J)*+2zEkcY0jP3mE3k$P*6 zVsYMKrziv#U8^iDK%c?m_IUe-okS+}w4aFPw>?L9HQfqu5hTjzel;t?7v(~-`;!IA z3{{z>Zp3p4^yu~dk{xV2tTbVwylnLn5s{A-3Zq`g<}6iRf3UhPt?(o_M)9!-uSAz` zs?X|BB7)ye6X1N{Iy=UurbCnM8H~sJB8|f=DZgK1g|?fykZFVE(6c z6U|BT77|M>PrdR3BB;Za_+1u%U{rgVOz!)&!l{<_V4Dpi1)__Lt`CJ_ApAMz(nZNL zG<8+ZamNw)d#rtHwE^5-+Cvb%n>{454=NU6AMFZnTVGdnw*9sk}#4=tSj!yEBIhMuWL)jm=D%|mfa?;bZs zAmgDW(i^uX39P0a$xC(`2qKP1(X_YkP+}@Oa(ke}lX;;#he?w@!r76!JAe%gC z&f;_?!&WAcjoj+WlM~d?B9#YJY0&Zh74dt^6YO;MOD#H047*k}u%<}X4%+e3^D2Xj z?CUlwgC-h8_QzNnM5@vxJPRA_^+Y>fTvbCH3^q-jPiA#j@&Loo!Fu(!a0P zSbGbfx4c$Xq}~l844w|LqNsp~+^EJ036p_F=%-y>UOa?cn?2FGm9o|9ynDbYN?@-w zC^0A;{Dy(O)h=4d{QUiPpJQw5fxMnYNVld(0{?8 z2sHu!Z!VWqE|=UL|ITfKgUg*8Go@n!doeq+s(5w}_PV$~&blEG`9OG zGw$)WZv?2I6jOgvPTl$*=>{Z9$kW(*B+{77w`AO?u4k?u3+u5tZAUVC&zrACaf#49X&Y|v^hSNYg1852{&YtM9O=_K z_j~9jlJxesC>mP8^;KVS6WM>|owaY)j71WJ*2H$?iu9w6N;ngvNE+;%610XG$i#ma zjGjXW(IE4;kM%by6o^qAbBVex>*}@$L#n;JlVkqnC`q>mobK+cjsWoA(2dF%&BQ1r zs@7xJnsdXU1z_{E{RdK*KlbbM10Dk8zy#clbjB3P+&Wr!Z z600S;FQ`LmV3Y{+T5H+{&lAg~Hr#k*@Z!YRHE|+~`b4}h!BG)lV^UGg+nwUIR3AmV zk5)?yW)yJYm9WXpWpf|B9&S*eN#r|M7F;phqY-ZcM&~wLvw3e%G@Rxo=|oB?Qyi{x zo>1NpS9y3Zk8RyK#+CD9-67RCX|kC}t&@PmJ0i?9Mv3fYm+vcUHzNbXN1BfymWHy4 z>yHj1vSVK{zelxd`yrkWn5=uC6Kksd&A+FB4h&-Yq7rPg$o z`DZi^b3N)ny>_u5-c5qNQmU(O{Mi$lPF4dS<5RVS28{ySqfW)rz4b4ogqkHZX0Lo} zYlA&jtekG~1D&9M-!g=@75MUFB|F6CWIP_KzY){#=fr^5>By3 zX?_QP*k>m0RCGF;wl^o<#+cPu$#zv zr)s|ve@N|7r3H1^edyu;*UP(H9qz?3H2V2+?CT{Pi*I_N@wvMEn{HNW1>>Qhx=D1_Ep*0zO<{%0pz2p+?_!DOn%EC>1t#d(q6fk20 zlLRcLXL>F#xI^Zv5Y1O)fcs7aRm=VZ-5&pbF%AJ}a@}A@H5vCsYp(dSXW0svCuTk* z6_TAcnnpBhiMo*E4)>k+Jb1fu0#JOvdYR8Cu--dcP{o%Rx261Uv&n`+>)W@ukt*v8 z-@lClJzoogXx+z5=+%KDi2&E^kiIKuw4mLf86BV9Y^zGsqPv}kl$jmBQTbX+>6I{a z(a8CxM+&bx+^mT$JqML0k)=8JM+A(|`L^2E*lW-qqdL^M=#KVRVCW|azMu4zf|uGf zw~Ym zQQK=eum>(f$_jDm%zE711xZko`-h>CsPh{l1BA~9f0(qz)x;qd-DF@*T9aYkeEFT+ zIKI12VFmQ(Z=BDtujBT0Txzfk*!FjW(H-$neTTd~{2F&)Mv+$4YTF?bw;ybo zYUPCi7(6Yj*lzz6RGBXe=`VYfoX}s)_py_o-Srm*L2iI3GYd95=ko4!41QZ&TmLPr zYBDG03FQYDTDpmWvSS5{lJ*8i1g02udAaoU|GzQK@GMX=tPaxSx4Y_i>|Ng_eCf)* z@-{3w73|yHU;UDG6E+Kd~Pr^K)xaK)%rNGm|bnLA;TKXL1C%b;oK1&7delo#;}R;Yu+aSivFmq7gFC5?KNazQvvrd z0Pv@cd6@l*RUP{fdpPqqvD&{$Fi{kIecu`V9`gdvZvM4+qljX14G~tjp#LB0Tbt*t(iBRu+8RfG7tU}eG9DqD|X(f)7|LfqpKb? z9fcp`?wd17*jsa>B21nSoRx|Vs(wn=dwE-!BeOh+-p8vG6X#bNH3A%_31pz!D~bY? z>!-YbHJ2u~hY5dZbp~APq@TW}@{`xxjCo@XnZ%t{PLRz5Mb$Uoi06txn6f?FsFU~) z(alp|l;IJYD^PASi(B0LW8Q>vK8|sN?+gzduo_^g8 z&ns$|5uJl&SKY+uTMxxk@D;z>w9Qk9&6e_P2PlO);IKvh!R3xWk^v*Uy^|fDzpDyo zX0e;0t}o7|9yJ2;tT1G%A0{#L#R0QrFYSqkw2#<9x#I(zF50k-%RT$F6>&#HdC0j+ zZ-VBm+i0NTfKGz40;k=q-ImY_*|QU7rVjQejIyU}>wC&8$wOmDI)Ft8F7a*T-Z4!l z^Hi1J9!2yOFPNQIAqE?I_~{ckM^^DpK2~T-QJFO@epT~5)w+Aas!7X967XaiUb7JA zJC8b>X6cmVfn^5Gb%oy;51x#YXyT?;^8rTX0Ra|i7=KF3d?YSt%Gs@l1q7l3tYAO> zCK|tY+X{Lc4*ePBTI(Rtj%vK51k$`m9%PD4CJ zgVzecPNkP%g!1;Q_i0fl+5I|17AQ#a$&1)Wgcd`*{30p3)G+Dd>=ls#;^|Vyi`@L7 zC;j1$;7t#*NsFP(#(NzLB%fa)idReNMPqgQYT1VAvZ4NRP<^w6za(C}FqH*;!*W|S zaYef$TA@(b$8WUI!(h~(vH~Nmy(sAEh!KcJ0)k`j2GL1hii^K8(#}oezi2t#UWv0` zwg@>tVewWSZKL9pD*lVscIR^}m}n#}u=4#B78w3tqNrQi9$61y4ffW7j*)t*T+@wdJ{+;yLG#9I`QRB!8&`mc2f)#tQO9+e za%lVDOHx=sf(i!nr7c3-AAzEkAh2!{k3Cs&nD^y z11dThV>udyvCeq9VK(N?5-!E7o!LXL?uj5VUrU9tZL#iJ&VGEVI!4}5t+8QP_PvYd z51gVB#EM11o1}WVUZ-j<=t!n0{=ew!RiHUD`>IuTpKU(I5zY*y@cr{(-g9W%$i!|z zVB7gkKibBzzUn+wRs~0YUzS?TVW0^IP@)gT$F~1xjjwtP_aeQ`J(^LO2qz_p z!};YP>W0Ai4-}}&zqmitfj10FxDSq}3KBXU|2;N0$VS&Adyx%f zfOQl6kf3+V+F|!~a{fc0!*!keHs`D_w|3Zqq7<-*Zno%D?%FX|N;UU-d;W#2i@LZ= zshA6gc)GEHDx%1!wVeZ*$iJ==c8~7LOHEs}qekzilyM|pWs4(jF~f}9O9YxY$S_^q z#NE>-{5Ru0^owNsnO^QM(+Sr%^|%3kmU6}g@NQ#cW7rP-(cM6t{mQ@huYcL6z+9?D z;jo(1Z??+5?goGC0BBDJbHnu{LAxKmk2jf^FkL1Asme5HlGKYss)nP>#`$V)rO2U| zqxe_q`4vjU?e_B)=3}_@62tAc#{W`?G6hK&C^;JKZZ?@88rM;pvBG(i8q856*x5|5 zuq_18DCZ(e?OQ9_p+HrEN%=M;-x@0l0-)9dy;rqSf80>FT@S^7|36o#;Q&$^$lYPv z6t1qJYl|%%))WJo6*X9%ua|nEw&p=^d4-6*U$%OnI`|+Z74nfz97I~Gi0vJ%(PHq6 zaG|p5PTefLmxHeIM&DqR>km$iDmt4PSk9^L%?qYZTmb$;?yYYWYC@)GGy4pzev}J| zTE8Bm7pR%4?Pg8L~9DqD^Yd4;sa0SOwK^{;B+vqbf$YP<136IRRtYq%5l&9N4(>3sWi^`87@Two=`6v~=522SsWsSEm45L;X3E$nLfniSW>VMAe< z>sLb-*+BNo_+o9|Flf4QRb+La+r*ywK>3VU*k_gY--TpBYWNN&5DSnjw zv$~o~=vGkS=4yoq*9I|9?UzJW?;o*DhXfE=Tg_-hJ4z#AOYVluKmEAz1ag%=u2|ze zC&3Ec?X))iy{Vd5UT{zi-$k7?=p#}uKArTfRR8$+UhB#8nE`6JXqwW}m0!AKyHT8c zsRaaa+&vq+L3T(NeLlg^2kub4f7aUSW-eMv{LTb8`7G9)Qt3Lr`E*e>`vv;6Y)t|j zIC#mck&#s6&v|juZ~>i%`I!smBe(W|)mKl3uxQ zJUu^n7cW{E<}+RlPx_junEmY!og&>6(TDZ~xm3v3<|iAG2&A8%zm#M>7T4X>a?4*P z0$6AW1=(Ag2?eyBWjOSrYaUP2ey<67VYiG-y(K>T@8S35SL3-~!5B*F;$m}z99Wwb z>!(Q6+Vp7)9M%6_6yBLV{$p_wNbqjWHSU(|Ax!3q>C8ls6ueXswQQE{x+JOXwx6v?GF!&?g z@HQU||MM?d<%#GE*h@FxuBobBeWDJBmw-dDBbo-Y%aF~kN00>965sZ5;L6~7`wh>N zqn0T}(`x0P?fo%N#B<(&^On3+yKz_FTws}V>?4CN7`6l6t}87%Gk%d)cclk6 z@_S#B=bL@Wzw_d<*L=5n+If(HmSz+;wV@R>o88zl8#z~sd}QUqhX1LSGu%I#2}M96 zzO~5GyCOJ$)w36`SaH49eqd>tfbGJxsr6tUu5<^8~Wq-h#U4O)A04v4T3*MOl8=DadVMmmaRs`Hf>x2w_YUB)rjwx@W~Zj zSKyi_l%E_kig!(VgY^)cXI|;Hh3D~8(t4ASD!l;zvC@BVWPUp!QfxnomZ|um+w)Sy z!p@m8C7~ofO&vWnl(;H2%;_cQ$R0dh6Z=Ruy-fQLx<_z~K zytk-VpH%tb176mN`^eA(F~Ya5Vs~ua2;#wpsGq$e@%b0`;zV(XkX)A?YUK~U1#w*0B(eBeLFb$FMEZCiZfGvwy- zlha$U_8_v@so&yx-MQzc9>}Hf-7=ke!~A;hYTwxIpa|wlLso9RFf_KN-^A!Mm_<>{ zO8|f-DxZH`RnwnUArczrYVihpbno~lr?w47@R*i09?W3r7ICbj)pze};r#+1@$ucf z-bv7rnG=UqOVotb<@XQ`WUAYd4AZNpTLu)@GO`g8g+a1y;rVq6RZ1VyfJAYy z70d7(kBk9{W2cjX%(JY{8(`QZgt-`qR))sH)qdr^sK<>5=Zg zgAS1lC1-Jsh9X7<-!=G6w(ZlD34adIb{-N>%87c$+#7J>TtTc4FdmuzEq~SQK$G<%gsz$q|Or#el+=fULu6cA_FABqb#4 zcD>4}0vZ36)zBBCo4;JOEgh_Nl<{3GOH)wS7E4D68SH=(~~%cr#`B(DKq9~S^j7OWME!#5_3sT*a^R&xE0ZV=37;lY+_4ix|J~Kd zyv4tM#Yo6PtX9UeePzR4xaGAwPJQRx}?RpQ|PK1z%@ocUGisBxbsySGgB81fVhy#VB*l7;Hb6%u(Iy(^g0-Cp1u9Z@wh8HTITNC<(Qd-uZTJ|V-;}MFxFa)_MvbDDU zuYOeeqg!)wsMjB2Hldm6=PNupCdyMXbHPP!AzsWAWrySi{Qs?FfZi0NoTN z8US^de8TRKvG*oaqlkzqzEyn1B$5^T+`H=dU#ES~lDF(n_cv>C#8L{(!exKayxvW8 z3r|=6ebaB9l7mF2-i)XpaI=L(+$8h18UNEz*}Wd!W^Hf^KT;6 zTwhVb`DTUSUjGu%jZ99!p6_Eo_O9<8!aGD~)M3kjd>4@@BrHRGMfn|lMzDqG3NZ6| zmcc;x4aBtmbyt(vtH)PnlfGSCgln}?1H-BV4&xkShLQcVDq(yU^X>I+0PY%PYNX5C zn@OS525rXPtLx55epOmld}>;THX%1Db%d2Sqa!b@v<0DuX!uBbEd}3EmB#DDT35Sd zUgLG~-%b3T^A34M3vmAVF^qpNeUr`NbxgCg+OmU$Y$rR}R@{##`)pwcX<94H&6AWM z@iCT= z4_1#ob&{Vr(a^$sXc6;k8`6wLuy>n|ryA@6k)8tDh1=}Y*_toKeb$}~b=~Pyw^yR_ zlzmqrJFN%)lp7}-->U^%KU{2I17IDY`EIm4e1<$gP*E7x=OT$+XkAA}Cp!pu7}NPL zgl?aE`Fg+9`UHMkp{b-I3{RQmfXxcV0yzmY;gSxzpA>N0u#oOWjt!8@=iR9$x!`_huvcV2n$ zq=C}Bx`g4MQOo>opN*)6*=;8AMPl?jX*uH{EB&P7i_&^fTHdaqENv-t_}Jw5xz}xl z9C=#f%yZAB$e&7bft8`dna|#{{?}R|ITqwX8-`Y7SQ-JILMZgLe_Jw3C0Zcmx?#|p zW63gkq4T`MBo1AMDwyaHs7CZ9-r0^w7dKiMRszO_9s`C{!QQNH75cf_-8&W zg5NIq*Xk;P)V!8rdhkU>7~pG|0L!fzL6#)Z_P4r;|IT119Qb1ap^sX6Z$IaGi$sB0 zB5MvKb$nNUl~agqO!EbPRH;<@vDtU>3}2tDlwV76R@Q1b=L{>uxLnDoWsCsWaR#c& zN!tSl{+qWVHp>Lsk84~FNnAR!3*|B6BM!_JS`?{grz5*NNIE$3%^wO_m_<&4`q_;q z9RCw!SKUTQ}=*{pp>VSc~b?b&wtS7%lc!U}RnYZ+Oe z#&o59e2&J)XYkDZM>ZOiTOJhG{#U;ba8rs9^Ah?+zrX9hf?KH5-*=CtYkqn?ddhlL zsGrIY<{YJNpE(EVt+}7y=Y3nzn-F9MwE_k{JIe;qLP4jI_V#FJKJ8* zUxuj_#A2Iqm3UmmWojXHApBy6VQ*w{;4p@+_pnk zU>D-s_2cI}M4MJ{-Fa5VW*%I7{mK3;&TU!>xC?WXp%c8#nmmX=c{)E8zaeXkby0Bz(O_Eags+#5@N}6$6L+t2Q zmJkm&PbdvZ@%Zd+@@@77O<3UDd`(dy>lma5ip=GL(_R_uHo@uA_x90Uy!~G@%t;7^ z2)var8tdbgz&_Ce19QgFT}U9jvIEJz7sq&(?LMx=El-*vp9`(6cO?Dg{*J__+fTQJ zZ}i0#SSkyhJWpw;330P5FeBx@ZAu3wLP+KwvG+=s4_aPTUx38F4&1Q~bj`}4-^XZw zOWP__06u{;3Bk?7^FzxO#tQN;tw6qcd-zC zYf93*tL!iOxPVx`}K$QGu% z_{&^gA!T;-0{-LwVrL$}n^OMC1ig9BMDP0jP)@r&dJG@Gl7+rD{F=!^Icv;)=sz{W z_t3?jL0P&&4Mxpnqoh}oiCJJb0OR41_Hd)1kl3q7LaAer*Ruvmc9E|Wufal5kSE4f zxK2Ul|W8C1MW0i-?!fZ?#pN4Om=E zvgF`D{AlwYXK|wCcQkggWpRHKoL3B3lsA?6`CZmz7XQoRea^_nYBEOrFEn5?rs|L0 zN4Vf% zR>NM*mvdVA{K)WrjX33Uij|GGu0Luu}MnGZg`ZmS1@@mkSW9 zp-th8BEn=m3s8n4NSYp+)XYK1F?Gske zhW$I(Ge!6+)3#-bg>TtC|C8j|Mj}VRWi33ekB1vBDq_q#ce$32rf7`!-}ue9=6Khc z5ggTQ)<5{)yExzb7gnjKU)Ywd7tb;Zvd&@l3X@SKRLXhNzQv3}Cgbn|dj+_<$xVjW zd)l8Z4xupKkHU}bSqY4vq&aw2#V!CNjpu)=J9sc2sx*;*uV}Jr!4sNIzbIM^JgX~Z zmp3e+;25eGkasmoqIfF*?lffu`vAvuz9g_c5b>8u8eewVAQdJeJ zA8LQJwHsAw|K4!EO7(oA{y;<CKRsGE(4&$a-_D(Dnh;Rz+-&@v)8G=*lf4yG z!vxpOf`x*mU(RPy-?LeDA$Qh}_ZkPOgYSBRbn=$sSC>RA@O#bm$ObjeNsx-#uCu&Y zT}IipEw`9P1v%rc_OcoJsX-S3vtVosHjVZwZe0ieV$sMQu3+sK83l#^+;|04uHClC z%OznFt%Hd~IeGlV2@iGULl?R#d^b?By{aO_O*4k_J?rh~HfsuU2H_$g%IxtW;}2SR zt7nz)xtuw~BUQ>tO`7|Po)N3up{3?)$GQ8c8lJ0(fjz}zGGsnk33}>7(C>aX(PAk@ zvOGiYH@0Mz0d|zS3^|CwS%e$a@>KMWZSe?!j-G}8cLwv~A27&XL3>=O@H|buDjc?; z?~8sH0y~vHu737*VNH)!d{1k!t&QpCbFA>L@b1SYOjU)mn+4tM^yceoWorx<@IB6A zyuqLFv^H>>umpbYCIoCip ze^xKR_Ts0?nny&NVd15AujV*hiq}=he*Q)7&rCC3tX@5&IQBZqtW>?M_3#ypKy6^i zX%yA2>N8LER-cwW;nh9hGqk$9%$uuge2z-z|D<)U4;INt?BK}X)xvr$$EFP(50O45{$Th;@;D7x zxr5eF_I-1{ueVL)A`(Xl%Gj_02y;I2=w-R$v44P8>pvF)jLx2d-W=4p4eJL{g~=*0 zeMwdj0V(E}$J>+si3dw!azRR7NM0_04NcFL>lE|}xnscl_pi$kWI3e} zEb}w;qCLN(&Tl3#OCdC_NA1^1gHGN)#(({?7+~x^cy4JMxhduOEv~6t8`NK5yUSW% zS}U(1mUK1~qIC`UJL%?er8vD1`EBJ`V8K%s*N0!nX0zIb0r{41JvRAQ;(u_wCR!D~ zyNu%`D~0IhetO`Z=^Gk9{v!U4!Jlr*-%6t~p;u}bYWw{~d}BXJDWEB@{S5W6j=c*! zE3r~7SV+=DiO`xJKZ`&}PEf==MzlnTxsBIw@=NNYtVx@>_cFVu4H@SK(q4JrezOc5 znx%VZuo(yNR{E3d}c8I#LMV?pJkE+Zaz0k&?J&OPw`HOis%7xCq)eX`Z+ zul2sz9d!$*19p(p)41vVB|eF!dbg1Xc}d6OU) zp644~84v$OWa)+PNOs73ElaNnvl3wUN@isZjTitZ=`0yE<-Kzrl`Y=r^HAVyux=?v z=*^F{$J*1PADSjZd<{Ns#bhng52*OGu@mB}2JbXUZg(gV&`z$}>#*yQV)PrzWxX`nXSD;O{DBRORwn;5+=58i zHJ!Vyn5>AhTP1}g@Y5q&hpi>^)VMjhqYFc<@3e^jiQVpV3&E-l7^94rF6eD_hYGH| zr7aKqtBmf1z2b_DF2n2f1db>CPN?L^kU4h^EttBpJ{@n89GRWL`Nk#XpIZDBx>{lY ztUapnTz~-41f76DMT(``i}e|ZLo|cxGl*u7;a+sv%4x-;P!tk%UET$o65nx1m;W)X zek$e_!BzfMoy5xl!NFoZv^*SZqD5j8iM_-IQjMGR%v1?98%;`k>qb`6cN|& zBJcuIs~vk)X%Mh={Wbqo&K zVftNT?puXC5e{fZCL4)*0hLfkRlK>dYzu);UyzkVxvltT3<0TjvO8^OYOGgfZC$m$ zek|@HE3L1=ZLj|GZl{zsQY#P(z@Ofe4_3hUEUQ>}`s_Uj1EeDkXVWF*SFw~oRis&r z)WTI)9md@g4u81y6vfNDOYH;-nW}F`H*XwjF=pp*e;Zq9tL_)qkHlRGn>VAJbh57H z+x6Y~b8>w@PubqB?%Xo)ls2b|tzR_HaeL>Njjesy*4^Zf3H8BcQU%^V;V6-}Y4h%| z1x0kDHAdi8*exTCrft>4hEgJpMrYo}T)m$aSCl*q%1fm)k>`h`IuT)f1JN{{1P5AtkF${+fLOF2S*?G#t#+wKuq^O8ZhX-l*?JYEb1J%UgZ(-SYEVEB>?jq_G5tJv3O^5 zN!|K&n)crQt@elGE5$-L7W*KOV#WIz4aFKA-*T1GNpKk1`7 z1+pN6Dh`VnGd9@^cF}*5=XZf3=dSD`8C=Xi0zo~Utw{J#F_HSTn;>qy&2iY zy9fJC>5ayIQe(B(S6nA@JEuweOOr?Jf)op$b__hH3`p>A3&ir`hgw>UhvO$N3g@$;x)f4A~Wbj^t{ zdZg$Pq@4$9r?um_-pVl7gWb7H-i|4>)gF7gu9~P&@A#gYm9BVL;b?^%kRwF+*8mz26%F=|x+6`Tpxf+Mx6*>VIy`6A|)ojG7#> z{}%O26!o=Awc>3QGmjTsp^=|Re-~gc4WO9SJVl9cZ(U?i9mVzwlYhkY-4m(Zmlf(7 z0P1{{|ED;E5sQ^IMlqAyzqz4NNRp36^#ThwU0XR42``2Sptu4FSa-;{J0ypa_&$~1 z83JKjSC$2%mY#;Z#;?Vb_Ee46Gdb1NA$ztAN=z3g{n!7yEFmOiGWk(;`}4pp>p#wL z)xXd064GzXNg-=A-ySe9P$*m!vq|~PsjsYv^hEDY)I;TQM}b(-v?%(gUo9*CSEz_@ zHzh4<8vr8H-c$zO_$zklNS3IhC za95*;z%uW_Ry$1l8@8xgAJ|)tSck4NGI_M# z;BIQ{vQX@N288g<+1Xrj?z;|l8N1{x%o3mya>?11eqDflOP1~;+*M2c#d?IfT+wvT z-%AP`7JH8%UH9c&n4j=?*c5j%d@vopI>c-i{+E7ZO-3$9J_pHcFov>0HCFmT4xX-H zH!fsG;!|qpJ-rffxOX`7YDMeLC&PrJDLskIFur}D)AcL8d; zf~C?57Tz1T{why=e);NSZPR#WfrK^(fuJMqRgjpK^?B7^pP~B8c>Jkxnp;sqJ*`~k z&zVZMXL&AvUadVUNHp(Ltk)J~wxMdy;$|w$aN(G6>xjxUvbm~+Hc@K~jKR9cAk|R0 zn)<^gJ6ApABZrQ22~2%IpIS4Qey&e!uH?;ftVzt8V{)E|44w^~4&rkRh8e+Xc&>Pz z{A|wP^??7NZWnzXvn>mFvSGC?N{x(mJNd~eS%C2>3iX(Q>~JWLv^e)vT~Wu2;xr@o zj2kTrYV7}oMf8bF8TTz}p36z(73Zg2;;t^$wBlppCKYSKYf8fE#s%1jBp&*SThdMj zvM{SDzKS1bGRRrl?awerz+#U7ZqtvNB-9u--P}pXn%?koy(Kle@bHPkb){an=OD76XWQSxr;Z=-i4PSL+=9Cxakir>+n8AEC0hUyFJ1{&`;(rr)mmnSOJ^L05f! zTkM}TYjHn-vRD4aM}%qhsI@!n#K;>MRKEO$tu?l-(i^IhNJABY+MwSfB2xKT`zS4d zWI_8~<$PDaY3yF-*Q3>rKmO_S*^aAwbAr8_Cw&V^465I;_96g9g=3txro-5Pfs|FN zjgJfe#g(7rW2B8_KIkLGtV6MP^Tm=ib8Q`5_bz~*i++^<-Oqq0Xcc6*Ia8t?&@I%c z1Rj(r74Y!6Frk(S0FM8gvwnc;llcjH&va0UNs1tup^k`0I3T3?sV2I^<57M(V$!ZCs*I#yIk2)iJE>3g3F6)xxwibb710?0Q#R3{ zA{kSyR`ug!-%mMnSa5NB&pZNN+GxI3CjC+;_FHC9ZV74(4P;UaP$P2&JTKK?j0_3} zb>(4O-3HTty$NFluLF?CsbVClm}lpMtX8Sc^u)@Wpr4PUzS5?%=lhpv`XNgP?a->x zaK9L00bu~sx9Mt)NoVZwqA~&@4eAjk_Qf^s4CEW2o*`CxLn*sp$hwP<5Bk~af=0+2 zkgC@-?$?_+;1WqJiH(s68X;-Ja{y5^#&%Sx}C#V)h@?O;ZxUmu)y{?#l1ohm6Ch=3kTC(E}fNO5C zkhnocu-N09)dHgOmmsQMtuLqi!?tC}gdA9GPcm>>iGi1#?ce8coK+)C%q_-1HCdjA)SuW`hHIJdFfe1fD(yCuD@j^rEVO2rcBltY{#-maZ+SxQ;__cDA-6WWPuTP6)a3>}wfX z^RhmF<#hj|kDu0aZtf+&@99B+o&3+IOs9T}Z<0WHy54XXmaQbEhrN*TZ4FMp$e7pp zSr`jPbAUtU;AMBWd57-f+x;QxW`!vaj_Ih&wBb=;=Z#uc_3j13MRq{;-2h#XEWH${ z_IuUH#)O-YMPTDpgSC4sM&7Vfp%xE9-D%L_X-O)B^Ktk__XoK^puM>>8fF6)br=O7HhX?_9Lty{m#n{V0Aqc6`G6&MBXty(l4_&I^jynn) z(faSAsed}*wr9?WB`e-@XkyNLdzxrvv=`sn8%-caTfXfVsn%2}z#O*$rt(VvA7MHuTG}kT$D?h5y1K3(aAensUlKZRBR^@~)!>;(JEq zPs-{M_C*c@G(tPU_#H@pQRH5`ClO4s?36Ei_iWoC-@gWySapI~sFUvUuK2iq-5(w@ zMQK^3Hdc``@8Eg2%~z?+=3U`5k^8;JL3{R>k~{%LywsqI-+4jF76{LtMq0RoPfsH` z&>RL~ykait+1uMYPU$2SojPR;{<;%P!=BHae1F^=(}w|DqbCb!w`FSPGSoZ$pRxj_ zHOprQ3!8QQB3%-?{}CKqr>st>#1y>aVZo|4vV9z>^Pl*rBSWwdI!#yCYtI&7Oip%ui#9LK$3# zf#sKCuhNgTME}7k@0grxFNT`NgKPo&g9h0TNC~&mih1eYS(hht0Lth>M_=AQ(MjcQ zRYS=CyV=F$8kql<%d7H(D44Gp*Hiw#qPLe~Ue$yftp)43Ve{W1Wj{P=CaZo+Wr7a2 z$n~b64s*)&bqf1NDK2C=mW>YRbgw(YmksO1M%4#-r(%nE+ESG6o7_Y??630B>j)y9 zZN!!CyU>A?LG#+ncg}qc=}+5gC6u<-|(~i`#N?wSWWDpL1E&S@4q@968|!0 zbkNmizoFi;gOjUApI_pM3bJP0Dv8?mT&H;#<5&R^a!`!jd#o*!Z910k#_2OHZ|`+F zhB*v&M>v2J)Kz4>mbcWNK3XMA^&j)1`>4W2YFiY=XgBVr zy~Xd_2q=L+4U#NRK!}jzV{rHmRl#vT@qdu2fiZUaXD7JFxNk%jdF@pm{jmeC1WKKYmi-D@C&0}r2frD-70VkB{Vv)Uq^27h( z#%zYucSw2>@->iC%YE1ka3r+r5h)j{p_MF4KnT(75`hBj7&mxqX~5vyL0}@i5J2EQ zpy8Yq9Avy_cOIBnZ2}RwMWmfTKSoUkS)?eJjY;Oo-TxMRw}dk_&)>SVlMNUe{@7QQ zxZ&JX__=K^#PK=?IAY31HI2UvWv%?`&eqg%9`pKbiIrx2Qe>lv7&bFThwJSse@q%) zlXP-c@+#usl}?_M&P;cv4HIA)C~6^Q?8#2sV`(y2Hz% z){@}a$&0l+AKK=rwD#UjhU!BpF!4#P&bErJqk{Zl8f4hf?f0B^`}b!$yqC(N8G&B2 z8noC1dVglC4WCCmQ<%+FokDzeFhP>4HSn@PAO+O#?ye9`NS>1GuDbBuv8?5!QW z4_Nk%-^Mk_Jf#tbm0-7r6!#kWyb*w?xhl}5Db+sW(NFa_S0S* zE@ZGE8!pf@=8jua?t2PnwEx&-a&P zdZ2zeKfOhg4zK0zB?MHw@viC`$#!4C$y1R3$aLbxtx)!@ z?ijQ>a_oKp{lk$(pO{|3;qLuJRcX!PFs_G0Szo0eO5XvO$s>VluVN22-Q#RcXCyd~ z;|kwpPZKoYJ3XpwL~kBq4cu=2;4x~A8@N=}oz41qfa5lzT^PM~ix|DWQ^1a3TwVT@ zf|`;K#NQ-DbAW9hP|RN192h4`n1v@Eq$f zZ(u=NZOm6y^taThD7&yVRIXGVM)@-mLTdsE$K>2j=k%pt6N4cOZh6T%AwZL{B`C0f zglu)n+Q-bM&#=9&{!#~5CO%vP;l;XKhXv;G!lo`xtD93-%ZgYxMdGOxWHY*nql(b~JJfVtRtvpo*X|{q^mbJpf>hhvRul6CUTt3_^@)Tj4TOQF!k;f-61Czz=DS*$Gk(5u^! zt^#a34>)49_ZV))5JpVio*+~t_&N%ysnhs2OM~~{lc5Wk6;tHyfz`JXt z5Lo&5L$-Kt+9Z{=n1W#44fqN^K4k*AM~3D3nt}Br!1l0((zN{KEv(e;?-Wh9q8nAb=(tATcY8E^pt^Qg_3@{8(M&%X%Z_ z*q68O`j#UnV!O!L z*2aGFkU?v#6Fb!h)b;-Vh3{MR2%=_;$+z2b$M09ICH85-dDR?HJK`bc>1;YReu#(;_h(jh?45le~s^Fu!z&2w%?hZI!1&PHv{8#bVst!UInTlSaR zm>-@POM2Pw5Q9!n=#(@;tXPotZzLK}PUI$Z`mL;fNgRT~;g z{kNa;X<_4@IKH~{SNphY$CfkPOJ*_e&o8B%ZJz$INS!(n1`f8Lq03M`Kls-HdHsd6 z|M0HskgwH+Yi`!2vmW+zuibq)*B`zzAU_;jlu9EpQaU2k{W^YWglc~`S8C?IuM(LaK!E+?CUQvBJm$H7IXk(uIj&6+jB=+C1 znkl^H8#`-$ENQSbptvweB1#6^b)U1wjAqi^tc!S%O}v{ir~L;lgRF75l6eiF^qQ+| z98TMcvjt>f>f}Y)(W{-a9x&)|YQ_GIUn@ex0D-GFV+cK|BhDmpa4k ze7>|IDMvV`17d6sFae~Brrg8}iZoOMuTSLWCt;7*4__31Y-lmj!%}$pi z_(r9dH>|6O#zdWWkt*CYn<`k5+|Dr9imCr;3OG&>~l6=(?naWz*IqZp9l_pB(pCVL6W@LD4-XY4BeFah{TpFit}8umq3 zn7l8Hpmw~JoO>m2nR+YjyG|+dv!y>PK{6X0*n*XX#AZf7P5at0RESeHgao-|cfF(;?n#PL!ymN}0tT2wF}74qVOUR#&WOuCqk)7Sgr zh#yy}iSWih_4peUaGRZM4U4413RuP5V-07B@q=uc0lg^}Fpu(4=R{g<*$?U&&1X4$ zuKa(PokYNt?hpx;Ra2C#5cr3h#PX^U!fP7g*9mz&G~ZgzQY<8Rx;IrO931g_? z3v86yV?I_W9_3Zrs36)KXC^5PmDW!Z(Vdv3ziywoFOUg) z#>AG+`{Ib|v;Y|86+H1!%R+CpQ^CbM#|lxPRu# zYPl)DIu2`@_o(h9PRQbSCI1_lxMa0Qp=^`K^Ni({qi?9TV?|GR`R_=kOo8I<)OcaQ zsomI~us#RDLoL}R9%-k|9Hq^i#ZVl$mbkHd>bUsl+R~rcsK)v0S^38tNMC+li0y{` zW7O#3wwOy;{kE1?^>8-j1FI}9m(BPTU}qtH1qgJ7C^HHh_lat#=V5hu!1w~HDo#M3 zx6qS!L#%Gi7{_NZ!X`^22bh)lby}#llT7RJE9Em>{>t0T_nO1v1`qL+1o=_TVX7-6dt;Q;HZ_0@ z$*G@BJ6_M3t$@bud##1JhIR(v^(}Cs_(9J^8$4+bQ#R}MBrB2#8H4r@2;#KTK!H4& z!+FDk#L?|TC#gpO3Js?&21-27HdJ-7df=K@hu<%GwX!iWh%E4iwRYWJCLPd5Yi$Qp z!`A4vvrCLyl9jxnc9THIxiegIy(EPf!3YEdlC1voK~&1kY+k~eV6;g!SPN35NdCJv z%s}f_`S@R-;BhlKeMrfsw(Uue0d^Ddj=;>?nC$7FF}q5hKeH@93k`RFgeuo%*R&N* z4ex5z*mOFZdW*@0esuQVJs9zFpZhim^rB&xvp?Rft;@TteZH+~wxF%7medm@hSCS3 z+7hAOjm-3Unbj?SHvFa)qJwgxKZCTCRhWJqiplL@CMk+|t9fwj34DF3ftemnfmLTu zLm@L0P%moXO`@wcM41RskXuV>**cf?eVsIpJI48ksfmTXlciN?hXiA8L- zk0I?s0M1*)Y@v(}A=IZK*ztR<$%$e$J2q7T;~lGc%d_O8Gs4lTt#z_=#`s~e^Gkli zO)O(ZsuPyyE;%>`2O17Fg%g3NJHLk20*)l_t_A1#{)+1Ov3%{8Cuxq2%D2GS=BhO) zg&kQad;12hV?$9tI%`6nr(&~tsON0Z!rcNR-ce~n%3UNMm}yHShPLF%KFaGSR_XlT z4@+XJ4_mM;O6`ITYzrv{<-9tT!L8=jPteGfk9{!xcpoIznn3uc~%qEl;mO z+mH=1m&v4EBxI$9rM2IS!!tf0=g%7|{ca z^QoLLR@r%0S+t>iK=j{wwny+tv`B!ySwsK z+;?iGKoL(kN=^VVSPZK#x z3o~}HyhOo*31$y~L^A;XgCX^r4UU*@5T4`9ce@w`yVz!s@}oml}tw;Wz@e8qV*Q;`gQiwtio{?}ufZyoUVja?yD}c6Ppm+Vo+_n35^3v0=!_lrJ_iSVu6yp|nxL zx*Ef2%s4JImoc>`?mK>Kw~Cf#%_+g~uCrxpLnhQ`@z;da;}HvC01$!7K=IO2Eo46U z{jr*LS(*HZTfx@YR3j>yz^WfCdQKpWmC4pz?#}PBjz@6^AMUy3aiDDC8TBMm{IU

rxbGvuBA@gmOu8%RVfgSJ8@txgFAOkR z3hs`JicIgORo}uX1N#r!+Au)2lmsO5_hM18_80 zdDD2f&HqiW;?Bt4oP#nNE&JcI!X(3a(+$|Zp(;`6Ii3xgC>RLMAmIw20OwQ6MB2)) zlT^1Sx)8D%L#-sj#u1)WQm2v=wB5PD7`vkt6fh~oiNol#A zqX&=oSjH%w4-@tfFq%T-)yXlV*4rJt(W&UG^m-J#>QoKi8HWXJ(9UPjdl0hK#X^&* z(tZm2gj%$aMkICkWy>Gw;3zfx;L`*IrR56cy_a&5DHjopJF@I;C+iv{jprpp%xV?5pAc>s5U!Y4UShhsPfb3ACFfE zNBUJHE7#p*XqK4_lt|+Ra}n5vSx#%(-%nNG=UVX#@>ZRaHjgpwd@=60@cjd*8tI$S zxz#|dJEe(fLp2u4Tau;oVBXv6nmr%!_?+&-g$w8BuP?q#A2T%7yxGSbttSzEiH;Q; z^+M~?Md>RXcisJd7krC4ky12GZ+yYV+34VOBlaRY`~nB{`jsq}KqT{vrvcp)oIYDg zQJIWmiie}qS$X*?Td*$WaI{-EXKWE$|2;$+a-IWN>$nyF)#Eo-pInvXXunRikR_+Ztr1^T3qm+0LCkRpQ5dOKvV7IjD2Eqle07mue18L20J6<T`H48rfwAlPF zzjyt1ck0#N(!Y0AS_9`*0-|F0tcgV#Ck8n=k{W$ik9>|-HaI9vN4cd#5q2qp`|g2W zr(f^^dy(?D79P4^X(&%HO%9&l#y|e_PT>J>+OwERjy3U8vrvsIMLwW`^qRZS(j2{o zSvtFda9Fd7rv3}1ufYwzrd*~M=aC5IYkBuXOShwzlA1upO8#4eeGow-h3Tvts;a7O zg_RR`=FNHkFqCOo89CwgVh=uzMHB(asO|5K(yX^7`5ae^_7sllHm5;JjY1D74uozu zfCpns(I>tq`Qm@mJxk*BUwSz_(*ZR9e6zIKERDDF#|plxIS+hAWZ_}Lf4_!Vn>)Mw I-=*=t03WPO2><{9 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt b/bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt new file mode 100644 index 0000000..e6722db --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/sim/db/vga.db_info b/bsp4/Designflow/ppr/sim/db/vga.db_info new file mode 100644 index 0000000..f681679 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version +Version_Index = 167805952 +Creation_Time = Tue Nov 3 17:30:04 2009 diff --git a/bsp4/Designflow/ppr/sim/db/vga.eco.cdb b/bsp4/Designflow/ppr/sim/db/vga.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8c94386ba2d0f63249cb2651a7af5ca398532096 GIT binary patch literal 161 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u clk_pin_in.PADIO +reset_pin => reset_pin_in.PADIO +r0_pin <= r0_pin_out.PADIO +r1_pin <= r1_pin_out.PADIO +r2_pin <= r2_pin_out.PADIO +g0_pin <= g0_pin_out.PADIO +g1_pin <= g1_pin_out.PADIO +g2_pin <= g2_pin_out.PADIO +b0_pin <= b0_pin_out.PADIO +b1_pin <= b1_pin_out.PADIO +hsync_pin <= hsync_pin_out.PADIO +vsync_pin <= vsync_pin_out.PADIO +seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO +seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO +seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO +seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO +seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO +seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO +seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO +seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO +seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO +seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO +seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO +seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO +seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO +seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO +d_hsync <= d_hsync_out.PADIO +d_vsync <= d_vsync_out.PADIO +d_column_counter[0] <= d_column_counter_out_0_.PADIO +d_column_counter[1] <= d_column_counter_out_1_.PADIO +d_column_counter[2] <= d_column_counter_out_2_.PADIO +d_column_counter[3] <= d_column_counter_out_3_.PADIO +d_column_counter[4] <= d_column_counter_out_4_.PADIO +d_column_counter[5] <= d_column_counter_out_5_.PADIO +d_column_counter[6] <= d_column_counter_out_6_.PADIO +d_column_counter[7] <= d_column_counter_out_7_.PADIO +d_column_counter[8] <= d_column_counter_out_8_.PADIO +d_column_counter[9] <= d_column_counter_out_9_.PADIO +d_line_counter[0] <= d_line_counter_out_0_.PADIO +d_line_counter[1] <= d_line_counter_out_1_.PADIO +d_line_counter[2] <= d_line_counter_out_2_.PADIO +d_line_counter[3] <= d_line_counter_out_3_.PADIO +d_line_counter[4] <= d_line_counter_out_4_.PADIO +d_line_counter[5] <= d_line_counter_out_5_.PADIO +d_line_counter[6] <= d_line_counter_out_6_.PADIO +d_line_counter[7] <= d_line_counter_out_7_.PADIO +d_line_counter[8] <= d_line_counter_out_8_.PADIO +d_set_column_counter <= d_set_column_counter_out.PADIO +d_set_line_counter <= d_set_line_counter_out.PADIO +d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO +d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO +d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO +d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO +d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO +d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO +d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO +d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO +d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO +d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO +d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO +d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO +d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO +d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO +d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO +d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO +d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO +d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO +d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO +d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO +d_set_hsync_counter <= d_set_hsync_counter_out.PADIO +d_set_vsync_counter <= d_set_vsync_counter_out.PADIO +d_h_enable <= d_h_enable_out.PADIO +d_v_enable <= d_v_enable_out.PADIO +d_r <= d_r_out.PADIO +d_g <= d_g_out.PADIO +d_b <= d_b_out.PADIO +d_hsync_state[6] <= d_hsync_state_out_6_.PADIO +d_hsync_state[5] <= d_hsync_state_out_5_.PADIO +d_hsync_state[4] <= d_hsync_state_out_4_.PADIO +d_hsync_state[3] <= d_hsync_state_out_3_.PADIO +d_hsync_state[2] <= d_hsync_state_out_2_.PADIO +d_hsync_state[1] <= d_hsync_state_out_1_.PADIO +d_hsync_state[0] <= d_hsync_state_out_0_.PADIO +d_vsync_state[6] <= d_vsync_state_out_6_.PADIO +d_vsync_state[5] <= d_vsync_state_out_5_.PADIO +d_vsync_state[4] <= d_vsync_state_out_4_.PADIO +d_vsync_state[3] <= d_vsync_state_out_3_.PADIO +d_vsync_state[2] <= d_vsync_state_out_2_.PADIO +d_vsync_state[1] <= d_vsync_state_out_1_.PADIO +d_vsync_state[0] <= d_vsync_state_out_0_.PADIO +d_state_clk <= d_state_clk_out.PADIO +d_toggle <= d_toggle_out.PADIO +d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO +d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO +d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO +d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO +d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO +d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO +d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO +d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO +d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO +d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO +d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO +d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO +d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO +d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO +d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO +d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO +d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO +d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO +d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO +d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO +d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO +d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO +d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO +d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO +d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO + + +|vga|vga_driver:vga_driver_unit +line_counter_sig_0 <= line_counter_sig_0_.REGOUT +line_counter_sig_1 <= line_counter_sig_1_.REGOUT +line_counter_sig_2 <= line_counter_sig_2_.REGOUT +line_counter_sig_3 <= line_counter_sig_3_.REGOUT +line_counter_sig_4 <= line_counter_sig_4_.REGOUT +line_counter_sig_5 <= line_counter_sig_5_.REGOUT +line_counter_sig_6 <= line_counter_sig_6_.REGOUT +line_counter_sig_7 <= line_counter_sig_7_.REGOUT +line_counter_sig_8 <= line_counter_sig_8_.REGOUT +dly_counter_1 => vsync_state_6_.DATAC +dly_counter_1 => h_sync_Z.DATAC +dly_counter_1 => v_sync_Z.DATAC +dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC +dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC +dly_counter_0 => vsync_state_6_.DATAB +dly_counter_0 => h_sync_Z.DATAB +dly_counter_0 => v_sync_Z.DATAB +dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB +dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB +dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB +vsync_state_2 <= vsync_state_2_.REGOUT +vsync_state_5 <= vsync_state_5_.REGOUT +vsync_state_3 <= vsync_state_3_.REGOUT +vsync_state_6 <= vsync_state_6_.REGOUT +vsync_state_4 <= vsync_state_4_.REGOUT +vsync_state_1 <= vsync_state_1_.REGOUT +vsync_state_0 <= vsync_state_0_.REGOUT +hsync_state_2 <= hsync_state_2_.REGOUT +hsync_state_4 <= hsync_state_4_.REGOUT +hsync_state_0 <= hsync_state_0_.REGOUT +hsync_state_5 <= hsync_state_5_.REGOUT +hsync_state_1 <= hsync_state_1_.REGOUT +hsync_state_3 <= hsync_state_3_.REGOUT +hsync_state_6 <= hsync_state_6_.REGOUT +column_counter_sig_0 <= column_counter_sig_0_.REGOUT +column_counter_sig_1 <= column_counter_sig_1_.REGOUT +column_counter_sig_2 <= column_counter_sig_2_.REGOUT +column_counter_sig_3 <= column_counter_sig_3_.REGOUT +column_counter_sig_4 <= column_counter_sig_4_.REGOUT +column_counter_sig_5 <= column_counter_sig_5_.REGOUT +column_counter_sig_6 <= column_counter_sig_6_.REGOUT +column_counter_sig_7 <= column_counter_sig_7_.REGOUT +column_counter_sig_8 <= column_counter_sig_8_.REGOUT +column_counter_sig_9 <= column_counter_sig_9_.REGOUT +vsync_counter_9 <= vsync_counter_9_.REGOUT +vsync_counter_8 <= vsync_counter_8_.REGOUT +vsync_counter_7 <= vsync_counter_7_.REGOUT +vsync_counter_6 <= vsync_counter_6_.REGOUT +vsync_counter_5 <= vsync_counter_5_.REGOUT +vsync_counter_4 <= vsync_counter_4_.REGOUT +vsync_counter_3 <= vsync_counter_3_.REGOUT +vsync_counter_2 <= vsync_counter_2_.REGOUT +vsync_counter_1 <= vsync_counter_1_.REGOUT +vsync_counter_0 <= vsync_counter_0_.REGOUT +hsync_counter_9 <= hsync_counter_9_.REGOUT +hsync_counter_8 <= hsync_counter_8_.REGOUT +hsync_counter_7 <= hsync_counter_7_.REGOUT +hsync_counter_6 <= hsync_counter_6_.REGOUT +hsync_counter_5 <= hsync_counter_5_.REGOUT +hsync_counter_4 <= hsync_counter_4_.REGOUT +hsync_counter_3 <= hsync_counter_3_.REGOUT +hsync_counter_2 <= hsync_counter_2_.REGOUT +hsync_counter_1 <= hsync_counter_1_.REGOUT +hsync_counter_0 <= hsync_counter_0_.REGOUT +d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT +un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT +v_sync <= v_sync_Z.REGOUT +h_sync <= h_sync_Z.REGOUT +h_enable_sig <= h_enable_sig_Z.REGOUT +v_enable_sig <= v_enable_sig_Z.REGOUT +reset_pin_c => vsync_state_6_.DATAA +reset_pin_c => h_sync_Z.DATAA +reset_pin_c => v_sync_Z.DATAA +reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA +reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA +reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA +un6_dly_counter_0_x <= vsync_state_6_.COMBOUT +d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT +clk_pin_c => hsync_counter_0_.CLK +clk_pin_c => hsync_counter_1_.CLK +clk_pin_c => hsync_counter_2_.CLK +clk_pin_c => hsync_counter_3_.CLK +clk_pin_c => hsync_counter_4_.CLK +clk_pin_c => hsync_counter_5_.CLK +clk_pin_c => hsync_counter_6_.CLK +clk_pin_c => hsync_counter_7_.CLK +clk_pin_c => hsync_counter_8_.CLK +clk_pin_c => hsync_counter_9_.CLK +clk_pin_c => vsync_counter_0_.CLK +clk_pin_c => vsync_counter_1_.CLK +clk_pin_c => vsync_counter_2_.CLK +clk_pin_c => vsync_counter_3_.CLK +clk_pin_c => vsync_counter_4_.CLK +clk_pin_c => vsync_counter_5_.CLK +clk_pin_c => vsync_counter_6_.CLK +clk_pin_c => vsync_counter_7_.CLK +clk_pin_c => vsync_counter_8_.CLK +clk_pin_c => vsync_counter_9_.CLK +clk_pin_c => column_counter_sig_9_.CLK +clk_pin_c => column_counter_sig_8_.CLK +clk_pin_c => column_counter_sig_7_.CLK +clk_pin_c => column_counter_sig_6_.CLK +clk_pin_c => column_counter_sig_5_.CLK +clk_pin_c => column_counter_sig_4_.CLK +clk_pin_c => column_counter_sig_3_.CLK +clk_pin_c => column_counter_sig_2_.CLK +clk_pin_c => column_counter_sig_1_.CLK +clk_pin_c => column_counter_sig_0_.CLK +clk_pin_c => hsync_state_6_.CLK +clk_pin_c => vsync_state_0_.CLK +clk_pin_c => vsync_state_1_.CLK +clk_pin_c => vsync_state_6_.CLK +clk_pin_c => line_counter_sig_8_.CLK +clk_pin_c => line_counter_sig_7_.CLK +clk_pin_c => line_counter_sig_6_.CLK +clk_pin_c => line_counter_sig_5_.CLK +clk_pin_c => line_counter_sig_4_.CLK +clk_pin_c => line_counter_sig_3_.CLK +clk_pin_c => line_counter_sig_2_.CLK +clk_pin_c => line_counter_sig_1_.CLK +clk_pin_c => line_counter_sig_0_.CLK +clk_pin_c => v_enable_sig_Z.CLK +clk_pin_c => h_enable_sig_Z.CLK +clk_pin_c => h_sync_Z.CLK +clk_pin_c => v_sync_Z.CLK +clk_pin_c => vsync_state_5_.CLK +clk_pin_c => vsync_state_4_.CLK +clk_pin_c => vsync_state_3_.CLK +clk_pin_c => vsync_state_2_.CLK +clk_pin_c => hsync_state_5_.CLK +clk_pin_c => hsync_state_4_.CLK +clk_pin_c => hsync_state_3_.CLK +clk_pin_c => hsync_state_2_.CLK +clk_pin_c => hsync_state_1_.CLK +clk_pin_c => hsync_state_0_.CLK + + +|vga|vga_control:vga_control_unit +column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAA +column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelto3.DATAC +column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelto3.DATAA +column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto6.DATAC +column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto3.DATAD +column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB +column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAB +column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA +column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelto3.DATAB +column_counter_sig_9 => DRAW_SQUARE_next_un9_v_enablelto9.DATAC +column_counter_sig_9 => b_next_0_g0_3_cZ.DATAD +column_counter_sig_8 => DRAW_SQUARE_next_un9_v_enablelto9.DATAB +column_counter_sig_8 => b_next_0_g0_3_cZ.DATAC +column_counter_sig_7 => DRAW_SQUARE_next_un5_v_enablelto7.DATAB +column_counter_sig_7 => DRAW_SQUARE_next_un9_v_enablelto9.DATAA +column_counter_sig_6 => DRAW_SQUARE_next_un5_v_enablelto7.DATAA +line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelt2.DATAC +line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelt2.DATAA +line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAA +line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelt2.DATAB +line_counter_sig_8 => DRAW_SQUARE_next_un13_v_enablelto8.DATAA +line_counter_sig_8 => b_next_0_g0_3_cZ.DATAA +line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto5.DATAC +line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAC +line_counter_sig_5 => DRAW_SQUARE_next_un17_v_enablelto5.DATAB +line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAD +line_counter_sig_4 => DRAW_SQUARE_next_un17_v_enablelto5.DATAA +line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAB +line_counter_sig_7 => DRAW_SQUARE_next_un17_v_enablelto7.DATAB +line_counter_sig_7 => DRAW_SQUARE_next_un13_v_enablelto8.DATAB +line_counter_sig_6 => DRAW_SQUARE_next_un17_v_enablelto7.DATAA +line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto8.DATAC +toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT +toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT +toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT +toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT +toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT +toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT +toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT +toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT +toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT +toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT +toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT +toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT +toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT +toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT +toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT +toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT +toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT +toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT +toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT +toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT +toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT +toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT +toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT +toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT +toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT +v_enable_sig => b_next_0_g0_3_cZ.DATAB +un10_column_counter_siglt6_1 => DRAW_SQUARE_next_un9_v_enablelto6.DATAD +h_enable_sig => b_next_0_g0_5_cZ.DATAA +g <= g_Z.REGOUT +r <= r_Z.REGOUT +b <= b_Z.REGOUT +toggle_sig <= toggle_sig_Z.REGOUT +un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR +un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR +un6_dly_counter_0_x => toggle_sig_Z.ACLR +un6_dly_counter_0_x => b_Z.ACLR +un6_dly_counter_0_x => r_Z.ACLR +un6_dly_counter_0_x => g_Z.ACLR +clk_pin_c => toggle_counter_sig_24_.CLK +clk_pin_c => toggle_counter_sig_23_.CLK +clk_pin_c => toggle_counter_sig_22_.CLK +clk_pin_c => toggle_counter_sig_21_.CLK +clk_pin_c => toggle_counter_sig_20_.CLK +clk_pin_c => toggle_counter_sig_19_.CLK +clk_pin_c => toggle_counter_sig_18_.CLK +clk_pin_c => toggle_counter_sig_17_.CLK +clk_pin_c => toggle_counter_sig_16_.CLK +clk_pin_c => toggle_counter_sig_15_.CLK +clk_pin_c => toggle_counter_sig_14_.CLK +clk_pin_c => toggle_counter_sig_13_.CLK +clk_pin_c => toggle_counter_sig_12_.CLK +clk_pin_c => toggle_counter_sig_11_.CLK +clk_pin_c => toggle_counter_sig_10_.CLK +clk_pin_c => toggle_counter_sig_9_.CLK +clk_pin_c => toggle_counter_sig_8_.CLK +clk_pin_c => toggle_counter_sig_7_.CLK +clk_pin_c => toggle_counter_sig_6_.CLK +clk_pin_c => toggle_counter_sig_5_.CLK +clk_pin_c => toggle_counter_sig_4_.CLK +clk_pin_c => toggle_counter_sig_3_.CLK +clk_pin_c => toggle_counter_sig_2_.CLK +clk_pin_c => toggle_counter_sig_1_.CLK +clk_pin_c => toggle_counter_sig_0_.CLK +clk_pin_c => toggle_sig_Z.CLK +clk_pin_c => b_Z.CLK +clk_pin_c => r_Z.CLK +clk_pin_c => g_Z.CLK + + diff --git a/bsp4/Designflow/ppr/sim/db/vga.hif b/bsp4/Designflow/ppr/sim/db/vga.hif new file mode 100644 index 0000000..00d7cb6 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.hif @@ -0,0 +1,79 @@ +Version 9.0 Build 132 02/25/2009 SJ Full Version +45 +3235 +OFF +OFF +OFF +ON +ON +ON +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +synplcty.lmf +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +vga +# storage +db|vga.(0).cnf +db|vga.(0).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +| +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_driver +# storage +db|vga.(1).cnf +db|vga.(1).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +vga_driver:vga_driver_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# entity +vga_control +# storage +db|vga.(2).cnf +db|vga.(2).cnf +# case_sensitive +# source_file +..|..|syn|rev_1|vga.vqm +e33e0798c86c3ba06af14062cce4d +28 +# hierarchies { +vga_control:vga_control_unit +} +# lmf +|opt|quartus|quartus|lmf|synplcty.lmf +3057712873b497a38b70a3917f30cc38 +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/bsp4/Designflow/ppr/sim/db/vga.lpc.html b/bsp4/Designflow/ppr/sim/db/vga.lpc.html new file mode 100644 index 0000000..be9bd42 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.lpc.html @@ -0,0 +1,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
vga_control_unit240002900000000
vga_driver_unit40006100000000
diff --git a/bsp4/Designflow/ppr/sim/db/vga.lpc.rdb b/bsp4/Designflow/ppr/sim/db/vga.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..6c0c677c175415732352ca61497ba90cf4247395 GIT binary patch literal 458 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#xBECZHyeK1K+=5<)SYg7PaEmrV4{K5W3#HkUU{;>}{)cTeVD=rg=g+IrD( z;odJYKDkDY6WSMxe0o0Jb7t(OfT|3hZ66dm})xFf;S;+Dg$olkc%iTm8BH}$&v;iK^W>>#gG(N$|s zD7-xJZpPaU>*n~ngvc*7XNgcTOWd|{WpU-3-6|UA#d3v&?p{=PT=`5^r^V59;@4H3 zDW@1?SKdm#`NAXJ=fBSXL_vA3$?t80KBUGe7u^1|{=B|d>_yB_%U3sVRstJyH-~{zJfBUH*DSxO{kg`2Gp! z>;KJ3VOyE-fMGHZ&ozfx(jAUof9LLrnd@wFeqCNNC pY}%&Go=OYhX1)McegW=9D_Ukq|37e`dt#%Y#%8t-P*DH>4*;ZV>mHvj+t0001P1ONa40001^000000002#1ONa40001Z z0qj^wXcJ)+t_tpm9@M&_qJn~UGMObA6r@;`BH}_lc?fBn)<~L!B-NvM^XyUaTEqp! zvmOOO5m9jgPYP006crWM_+I9{ud&pN^kCXIFwD%C?`_}z-ppj`^?H4@t*z}B9c?!a ztOx$j9+*Kh`jcjKBcI5or*@15F&#_?R>1ne`oQ|Y=D_X))AK$slgeH|dotl>4s>>P z@6RM^0=cO`A$pmrR|%fa%t+BQf<&Pry9Dvmirgbhwo1Wjt#E>ra$X6?MGE&7NhpVa z`aH4(@L4G7q*4y4W2EzvI9!~Y@RgJhl8X#4ilZbD&bN^>>URW5!!^5Qj*Gf(h#wy* zE>%CCMqe*T618ikNq8nVk~GZrky)b-7ry6+rx11zVFwvE5Q#;DMCT<(50o`R0q|TX zJ{)h8Pyqy5D2NA+E@2ADX5mB-Xt^LRI9D_lgw}LW`XkL4m9G*vK2p}T@UY5X#VQ5P zCbfd{qZ%Bseh3mGon>Mb!iwjmvF_m9A*;B_P}dP5rBVAkO$Zu(62t@YM34xsBl5%R z2Vg`fAy$+roR0^D7cg!X$f1)F0ePdbV8(xD*^v+At{@(Y|E{hRfObp#07~ByGZ&7Y z3X+D>d&DY&)m%YbaIUEp1llW{6nf#Ua6a8lrW6iyO#+$MyV9zFG5C=sIfXebDMT{r>M~qC@YTA2*!@s3V^Of zfLI-3kPyBX zlOs+DIo~7-UAN7my{A+@MhE9;X((59dWS2$*`m{*@6Q#68;6V=I=$7h?{wv=`N85q zp>)D2mn%*+Kjh?#eU;o$u2{8_*azVctWt<42SFZ@M zi|KM{T>AgE(ub{I&<)LV(_+mq_0l~%DeXOA?QQL?3bfdeoSu{kXtAQJHn7^RQ~Z7R z)TFfcN$Zzs(l0G`BbyrOw$pfNe;t$)uIw+H{-j5uHJ!p}guW5;_ll<9__XN~RHH$P z_{0P;L1G1LWNa2}7Hk$`1#A{<7Hk%57VIs$Spj=Tvgd+57wow(&7TXu0RRC1|9AnC zWMcpVW*}AuVy6J#cqji*KNrWK$oK&NppbZ9M?Xh**PwV8#}G#+$6!~_`57vVAT10D zV3L7>2}tt+F-UKKV^D}^h^N1wOT1AQ$WR7`7BC4>CJAJNlzDi%xVZYE+Vt^TaRtaI T1_m84$-rO%v4;Tw00960BB?8o literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.cdb b/bsp4/Designflow/ppr/sim/db/vga.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0c67c6f61154303dc5186fcb3ad3b8ec2f74c1ae GIT binary patch literal 13787 zcmb_@cTiK^*RBeJNE1-$9qCGyUKEgCR6s#`7wMeaROC(A*X|FQ#zwl0j|@IJt;G=rN`E4NzRBqGZfPeUOUN@x;cE_060z^o!5pTV2-J(t(TYd)&(MchndY=lIT$O5GO=+b76XA;%vbs8L|@1_e9@WU+&tU76`TB0N4h^*QXFF2(*W9|+%|BnhSFVaswj;NT7zcgHq zI*w|scRE*nd;^3kLGgvgmeE1?-$Ru;6rmxfV?yIX<96*Ae?b55%Krbfbhj+J8j7(e%`$!AteQ4aI`QV5LV1 z8X{y4p#E=#LjIbb4vVhK=s#0y4ISlpOZyQmcVudWU;xVir zF^CrMe7|ev8_nL`a{!0m!Vhl`ZV863+9lkzu{G`m znztyqK*jR+sT<2_*veJ3ky+uo>#c`(L}S0<*A-!kkyQIM#gP0(Hp_`7Qi^D#yaW?9 z#Zz&K#aH;hf44jeYTEkw_J%AhBw953>Ze=ABS~XsQYEay7%2y-1V#R*!_bzLL=4fA z;CP zp#4ovQ9GRx8EH`Wi#s&JDsCn`X^lURk!@<@TvYr~5FTibw!&R2Kk zRrm0ES=QUgA{(LFmc5?^Z0Uw_fbVs3oh21Z9EZ(}4Kn5Sbz>^&od$M1sDfEKMha(( zpX`?SY-L0S&J>0>&RexJqPG4_|GRrR2c`7Rig;JevN@#~fWmLloM&1cV*CH({H%uD zuSbLtO@I1&NyY0nXH@$mFXD~Tk^7BaejcD(X+5(r(H#FV&*0=$#70$nApzS1 zro0DQua!A12a3ZUKov(BL4RvN(lM2Bd{^BP(;zs7)i$4+RJrS-Y>a-$R-`-hCrW;x|4YO#Nih^uL zXqd!~^dcIw$kWzE1{qHDo~zs%R_bqSI6mFuIInp$G6F%4-0MB_YxP0`^j+7UPSrfi zxA2R-I~mYuT^U9uxkH$`rYI5jE?504#j2Ug97s~UH8sos^fSwYl}fIx!mnM5O!izq zC@L*+|2pTG`kCizSz+9J`pR%yMaj*=Oh!vwh-*bYePf0s!3ndKZ3R+iw~R0X?z0m{ z(0rWaPM#p6P^Nw?rWEo87#QKUggkTjqZtT92_0rdpXU_jOMDM{(7EJ7w=}gSsu5&s zuEj1w(gYJ7k!zOd97FFfL+3@qSiXX$xe8)`x}A*!*~pAUwb_j zn<5&Of4|jJx0*C(oAy>B5eb+e{3fp1(AMGZ0d*(7nz@S5=a}azNEvYlS-b$^Lj;~> z;H9QfJC!dn2<&(-A!Z`Ed~X~d(Xogu`O@B zQzK7EO*4xNWhDtHcCwc>LzwT^n~eao=1}ZxOWG&A@SjV?TM@23lP@NMFiN{qL78OJ zpkE}k?to%vzs$*WTMWnj=|ygn9po^xUHMo1PI2c@ff=UTu^J=5ubjQu|Prd$lT&5n5t< z(l@>0XKH(CWODZVvyJ~Y+^Kv-;WlprOr~zJzR!72Tsbmg+53QM{G7ssSx5+Xa^5|< zdZ3~-tAe95AcVc(fc=kLL3)Wp_fVxS?&^S@;IAyTCVp3^FU?uDQyu~tJ$LPVI8BK< z(L)Pohth_i9ms)eJxpn9HVp1Kx|hY91G&$u14h{AQ-08mBfr?3rQr{MM5diAT=w`p9h}^6D+G`c+<;N`mVIENWs~C*py?_?V;Nb4?E4xNz0> zK6^Q1Vc)(c3$=8FbFj{Hb*>Iawf;#q)_gTh{{@n`u7@!J=W{x2s?HGoEFUAr-uJF_Rkl zb!$$87c8Jo=wfX!Z>|kD5I0SAb}BDk%!zkvU|LIX8}n1f_isVG)cKaOi2o%;I4;Lc zxh*fed!;hkhfHG6C0pOS1>2giL_ncEQ8y?wDVZp}Oh93Fslb|Df0`*fHWsZ}^xL3* zJ6cC+Xm3SrMf($Bb@l$Bc8%LB+~QDI?&t#E=3~44$gut6m)fNhD~fl$^JvJQq}GDq zImc4Bq*dq6of*-DJH4xX)I?^dmV!a}!40oF7m)7zNYGlvo||%K^g~6&C_W|03IUO> zwilq~jDNuFdf4ZH3TwrK0p&f))tH%7J7)qkzhVoQ@O65p=qb~}|%T7mI zxgqb^Eav3y3h%$4+LQoAw3CHU&- zH&+q{FU4ZO!RZ6jK-zPnTxF7%lnPz>gu^~o-|@EOFJA|Rg;2{d_kT}(J2Q;>uubIL zK>LL8I;0@-y3NNQ$ZlA&7TvPvVAzg~Odi9dmDyYp6PG4NqcT_je z)m_O5+AJnbSp7NNx-F;@)J2{BkIw30VFth3O|HMYcj6z`_cBwmv=6m-hVN*;w!fWp zAv;xNd3uzMn_PY!F9dC9P!VO@DRN-EbVA(&nmAu;eZPnr&y0=l;(0Ib^pt41&XB`% z(l*f?cyIY%LGk4F*aH^1@BPe-oh$^{{;Tm0tt|f?m+$$3kM~cwaf84ysT7GP`HXFi zsYUlM6}S2)YFO8v07LU%D#vO2KMD@drcVu#)cZ=wG35HLK=0d`ydnpQqS7ahfc{B_ z3@%NSQ>LHvEXyiLg2v1R_s%q=EpSq3^D;Z#;s-Q1D$B+jp6Q%RoEKEHv%~VVp_;uOhjgtZg zO$#Zd?eU9%&=ASe9fp|5khBX`9kz~Drv$+*y?BUZ_GXXye`=-XZC9aP6U|S=R2vuX z%O_U#D*1Vw@H;PQ(X*8&vcF)ZBq1aEPL}Aitbcij$f5G3Hl^c`21mzVh7m_C^vP5G z+iexr_|<&!VC3J9u0kS<3+{a;^8#PrAK+9=rM43T|2!wc7A5qnN8krn8grb4v1t(D zjC?|AQ2lOz-J(pSca)r(h`=Q{#G@sR_1F|CtQ0|4PwPs?Q!bfp6o?!RQ5BTnh-ky| zPH?>ap#9fLx@I8{R#aJb{J*HPTYz=G-22x{J4Cv=p?sp@KQ-)OdpIw%ui2VB$_m2~ zo{XsQ+x-CqoxsLru2n0o)v|*}hY?4Wye2{|0v288f210hY##lJiXdK+;S1ZAu$~(z z?>aKlD(89YLZ$W>2%3#TTrOny31d0FseQT1eM*EHJ{nZRxm)q;A(MS?qbQ>lY_6_VloI=~ zoHN1v#4WMPF|%v1H7xxV4wRqCG-`X(KimSpF%yB2#z*X*ljD!I2o9urnfg`}-fCCx za*NZC@KphcHgbeaD~mJdDdR(!x>vs|-{a+qr<7Iqj6rc$aHSU)13qyyHos~blOSqU z6}%jHLIk0Ifn$U+hoh%5(*poU%N2DGs42|OF4vr|=ySY^!l+nqy!ySJyrbjIF@|1^ z&aIkuV@;O7P(9grN!*iA^-RFyt*|bCUXE(x{1lJQzeVPZq#Oo_vVI@C@Q}qHE23Pu z5s9gCgSg)sOAlr6eip>lXQXrtx>Noir*Zy#_%Sl%bR_`2_aCeqfY>_zV7mXB_~u?^ zD{p~tvE*OxZcDd{hTA)Fi1Z-l_o&LgjAxE<{gp47GzPru8P0?%eU)`hQ2onei-$St zN?++2dU2wh$d^R_a>xLo`|T-58FHxQWlSW#zX{n42hOw)suDDXaUtaK$szQuE8PE? zm9{HZ?8#J7b$eMFo_Ew$3 z*D|KP?ZJXKp@LGq79X;*7*O#=iRP$j2@zJx++7KW?~AR5VbMn_YgPHx*z z-|v5NUh~$2=BL_^m*G1k;yV9g+V6XpuNlA9fsJe47{lKw8+p_8%T=n^s4bbWGo7si zgw6g>DA0yhbuZ79{!P6a$R7hW06B{dHV$0g*!~NQ2$MG}X9+#37FqPE{TCDAvtV_F z59YjioJ)+m2~Wbf4W9BJiAuD24UN(v2MffYe8}wA$Dbmffz;O{o!)Hw8t&Jj_G?Rr znV#He`-Nn$=cSTAnm1R28~xF|@oDw>q#-={+oz8SRls%tq+QxG*gvgas`2z3?GCVO z`t8wDeHK^IP%W{?)}2n%H@V?)+72*&VH5nU)n!kZtNBuN=F^%;4w}rqyMd~oF;Am^ zhn0Lt6Qt2VCkaeZAeeuBrP8!+bfb1KmTWxV@4?qPQt*2JHPgxJ&}LDIs+X8e2Ie7p zQ~zPcF$?!GE6LBpQw7sKYpGc4dd}mq&+_Gszik5YEx{Zj0UxTEG znqa~TAT~A3&BVZx_^D ztPrkE@{&{9likp$P3C8;d0d-6)fSi&E>u1wIvCbbOA3MW5=uWNUg(ud-`)K9N zENkm`;YpN3`H?pI8O{1O zAOU`4OVv=(2b<$hDd^^^A>vNqJiQcq@hnV1?K6uE>M$F*3SN)2Ho=CpWyVzWbk&fG zp3Sjwiey8hM}}MXfjtCg_b2_It&Vj9Qbz3j$fT;F^HO1-U1Fm}|B$_0Bj2Ysl7Pg2n9V;&exqDUCiT3vE|KS>h8 zt4rQ;dBm8(Mch=ipO{PVg54+eLZfs6kI6(JHTV7W@$KunB?S!MGmo^Ap{8xG#9(6< zX`iwI5%pfNom=P1M=M3p(dYEeHAz8t-vtEpuTZ92IStg~3eqe^F{#o;=wi?XU0UNj9d2DaELE&9;JljF^5#qEyW+LnBpLZP`}J8$iTBIk!+qWf)0*uOgS<0{ulJICM|1g8;0QFc~ z@HXj@JS#FS7hXzD*sqS%NwL<^Nd*9FG<|GR^BY%2vXX3^lN@(EYlgVE2C+^xLx6r^ z7p+uuh;>FoxDD^O0ijAFq4Tb7uDeu;&oU^JoDv*&tfu)s9h|HquY>b7IYuWh7QaZ> zG&D*rzAm}#uj+ha*vqHp1nH}VaxU(f?fH8XER26ovblL?ta3NwfMak|U46tx!oX#R zJ=ta@i+|Fjd1v8Z5fj`m%$(|21d^mjv_8&L>T?xhZsctMmjv5Bvp#-ylvf!CFVtsG z;2J;$YGOuf6|7%)`1DWN=x#^x*UA$h6S7Ke@GE{F!D?kT2Mc8b>l!G1`<6qNs!Ntl z*H>8uQZDWw&b~0aSJNyM|Kjoi<=$6T+~;ON7T(z3M^YSd>Hmi4F2q-U@qrMC^iL&* zVKrg%*vHkn2}9xb^6eAsp;F)JScwTnl`xRO-aEpAg#M)oMfx@i^2`$BeF3!zd1Y*P z2dvPC#a<5=lJf2ytZ0P%sp3T%-_{kxov0ShuO>!bmwNp$9b70Pum70ZEG&Z{_s0}c zyjBrj9=kWZJ*o(jl3-&&x{~jm=QX(5$_K>^%{)DOcNpYZZyT(_dGF&GMMluU@B`sT zc@#%DNBXt-*Dq+8n@}Lw*d13Z{P687sm`ta=&nutcWfd2lbP>-Ryu;5oc{?*W=pH` zz$4$A-uCev(uiZN{L$b52OK^jF=h9)%D(0vXHAq8C^H!}0NvZ;@58zu5iVeib$*7E z8D}V0*c?9hI<^)qT@VJ^Aa%`cT-ftLCP%bSFN>ZCF(=X*$f}}D;J^ERL=Nj*`0;!c zW5`SNe!Sbt-q+qpd&=$lg!VOoUl?!;1^$rHkXGc|7ChB%bli%nxTvEzx}YP|u?<0A zW500k=d2hNI)WbhDh0HaM9B=wmnQ(6*R;fZtvgLgSEEijg)50;+yuBq(`=kS*ziom zRWQ^M93?&=IwPUj#w+iFcgVyB8QT0PBCT6jWpq01pLIsh#xa<=5aK zWsj}}o9;=t9q>)mzxV*lesdG?{;}cm^S80!(uEPf+(0WTvwW^C-o`!;cf7`xv`ogy z<|11-p}G&r7f^t@+~7HZdJ$XWHt)1mmAJ{4%6?eO%XmCOh?nsUBl3VC zd;T_!0IcVP||ZTuS9OtixaQanamwl!R))#urP3RIbsXlL)|elSEZCeGkn@WmrEy}-@5Mf+vx*If6=}yJ^*vFjKAgcAB)&k!0&Hv zbl4eEx6qYReY;~i=^tV{a<7zmOXX; zXqQ6iuKuQ@a--X%sNOrKx1dtm==@dUt+rIH_+r^+^i?R9G@ZZ|Fp^_Nz^89}H5(ho z_{1j$>-*~5A5x2SmSyYGiP3ButerqbHtkPMe;}khua2%4u*XDNyT46B%UG} z%0?2#L*)CLJDshKcL_(^Muh-!Vb|{f7R!Nl)whT1|HM(B&1380(uOw=yqsm?(RT%S zBs{MoF@}YNXvu6e%|(x$AenEHN_?DMmUwr^ECX{)d0#Xd!_;qyrx=AcVmJb?@X1eI zoJl4iK&th2AFd?SzO`Ptzd?huI@P`(%xd0WmO^=j7s?CMAu&OGiq~xt z<7QXI_19Z*Mb4kLulF3!KN=Q5Vm5eggvCzk(o9qe>yhuEX1(lJdi3&^*T}C*;53po z0Vh0&NpAe~p+67u0=X=Py$<%2GxcT@+=kaj-t5EPyGzFG^=Xth{6Q1wOw3PuI(2)j z$YleCz=H?xs&5|3&-%zUY*qR{yyuo_6e|Y#qf~EUr7Kfgq2j-hrn*~>Oxs9RE;rL6 zBD@L~!=7W(46ZXFy&6L>{hmm)x?BmwuhaQGm}smterp(pkQ(`QGvS5*kP!rx5SwmrRPw$y&0Z`w~Ql7zWjMFNA?7jd}cRjxP zQHImmsJL3{d8rV%ytTE}Le`GiZnpQBluQV`77Lr4b79$cz2pIjAZX&OKvCrfr<%Q8 zb@kp!$+s=4=F9sTzpls(Q~Dz{c9t%t#7uuu0>U=ehh7| zyi$pIk(s4`X_fN?vxMA7Yk))vUla}H?)dxSfuR~JI60wG;g=tcfS2z_>o5#6N`Kj7eR*IbDZEu6mo{^qtIc`eFXXtX>%YVk89X*~I( zIM_n&;NXo9k4+)G15hnEkD){_8W_yvl|w9#sWWev4>wIF+hO0c$?K0f@(>O~Nz3yJ z;r>w@blD&+6K%t$f1GnkXBsOuGQ^LXP}f1D4N19WXR>`F=U$n*RbC;#l`?CWs0I4Tap4TdMRQ2+I9MjtbZ z`2A(=4%LWD1msOpgZZ;n_%B@bkMACW8>9hdj;nBaj`#+?irpjBuF_52Om;VnIj2R0 z?$SSe^Yp{SlN<>7GX%7C@Y`iA#w^cy6@HY|099{?xkX}yV4)B#201bCS`$mJNfvca zFD#g2^!B+UJ(4<$>N~{QbSU5{2~n$K;kT4F!kwN@Yc39i9V&Rl-K`L2v;)?AKam_+ zdFa}cvP`}17c{Ih2QEHK0_z#XRj-%ZxB$t1INDjXH=*QrY7`LdCq{qZQkdgp4%y^S ziBJ!w&y6cv)mK|`_~|(DnBmO7V2xU?v@!PmT8~_otj4(Pq+0hLLvnZx9qgNj*Q~Io z*}Mc|=Y(bc9!JQgRP3~DS3k^NH`{tQ9_?>h0J-Hc#ERKiD7F}LEcw*UdzI(1S2w(| z3@aS+=6Bg>V|HkfPjj{|k^2hCTayfB--MDc14j(7<8cWdCDA+YHsJIVg9i4qrPWsw zlY51-`SMS}OSgscCWC91r%+jp&tP~K9rm|Z*wNKh@DXXw+<9aeEHd5X0;XP!?O9(n z`;m4_v?~vO+bvq}%M&c0ODkl|2$p-%3ybH7_7s##z_IYD47F!4`QgQRmH-2_v(`D~EvZe;SLNoC`_i_pz-X z)Ai}ESOu|J{c@3RxALTJ@mioLG%*ybqZ9?9mo!T>+JUci9?h=8KZde?fXzHAirJa0 zi3icO79R<33;5-+X3VTuo%<&W4&8MEF(6UNNof<6)g$WHpxO?za{DcZ^fH?nw1)JC zxYewv%&p~7*Nl%YI{Mr}Aeq_cbL~w@9PSlk$;K$O=PG!xFxlj|wfjfb0vAy*}X!>AzOaQs(D_;uqMg8$cW_&#>-wH&t1Vo7}Q5@L4NyT1{zCu4Ra zHXV+3aH=H*M?OE$vAS9K)2>0cPilDkOkOw~w{Ccb#v#qm>eTLL;k=#uChCR+^NUae zrIOnv{q7>@H(J?|k;v4P#SFH0b!yNo+S*O>=fIcMR?~$_V2N09CQ z@>tk#8;!t>bAwt$TIpj#Bk>c&{k`jY*PlN1zGzK0fiqxn%gIgEl<%6!t0@(J(^VUW z)6PGSy$z#!h=KMG1wK^jXhozQJSNO~d4iDKYqcafb@yWvh(Q;(IC7YgYaN;$0v}iV z2Bo=m6OV=(l_fyeLqT$()Z6oY0851ythU=pNSJT_3P=)nlsoBqACuM`wi*iNSP&30 zCDZt;t^l&Hx*iIXF6th%GHEHxdL-b(LpEc-8tS&zU==}qP2QJG2|U|beeK~>vc~@32BRK4ys6~n;7Yct$G|yeVxjckrwXx zycTGA+u3|M#u!cQORLh7oz*v39@!$(-xG}~s@^@9oV|;M&dHL_26L&wuW@QC6rX?S zvpVf;jr!(|F91%htkj61UilrdV$jk)D6zi97uj-h3*u>U++5!xqbBs4jg5cTf~{sX zm1AqYWyv1?Zg+6CTcBj>O#3zMHl@->sJI-&e?6oaxx99$BCf~$5u(BsgN`c7_tti;Guq20?Sc^ z8L7`d>kMc?k=-@|7YE~y>Xye=E6*E#a%~D^2dLtb*^RRg!ty9>=gteADi^N)CBwyO z(u-5fo!VF#TbM>6>XFlh=~z1OQsLIeG}zSjY=c^KwJrBEGcq>MVR2#2>SB2Mv{c5p z&ulyaiZ!fp8706px|~oCcf@(QJxL0$EK@Q5>9;sAd|-JIbY1N_>fV?xp1+Zh5 zt@yL74k30HKwC5>$Y8JQ#kN|{#MLH{+IWbBl>T_aI!5?OwHw``bf|R4nx)^h58ii~ zpD4Vfb6vE5J+eVt;5Nm)@!feF42=oG2gBG8G4xnqp0u+P6#EALVj(y0pEL(gqsmC! zPN7e=^*cxd*Df&I6)JWqow6(7hZ?uta47>(r)`Gj>OLZz|6y&Fn+2D=tX z8plA`mrj5BSzWJ^_3WjPx(#47n7&Ia=jZ1jZ^rG2Fmy$biX7bQgf>!8JCVcj?LuJl z7^65?v88=EG|8*5{-b0-nb~)k&2T>s9}_94W}ZeJjTx6I_=3j-D_{N13wM+Nn#c0MTV;*EU!27liuW+U-WGDAIG?DyK;$dhsP3f3Vd*hNf9qyId=Kg z@UqZFt7p%Q@x@PS=JsWy;4VVf7G`I=S$J>7M?l3E<-FY}5_&dK>BJB4k~mx8X}$7C z=0b#8`?}<0_0l>lG~p$kd=#Hw&L z9R$*VyFH?Sd5^TO{CR$&1}6qxhDT+e-%QGY*0L_(Jo=DSR*5T;SC z!NJ;*q+i$V2ByhiXObur=AROW)NI@%TdQ)>aGLDQU2}|?SGjg)Jh2Za?oXDS=lL%c zJ9khNok4&!&x*oWAI-b5^F`6)f-GWMGW*LrQL$w6QX^Fx%Somn6Gc#3_jB?3~%Xc8Q3*Q-$>d~K z!2L+87G8u1_BxCHvMtcJPM7NimChNO`_t|wMV1SD^1XQ^9+*=`Lni6S(C|2k&^GZ* zh*{Wp$gB$cblv17Qc&xsA}Q9ao{&IjDpa!m@GdAe35t2Kn29p2wCM)nGa=I6A&Zi;9o? zm{oV4fXj`8m+!*yf+69YbA&S$`6u7C1q=j#&>V9yafT_5+|)R!A0ei~07NK?Ch>24 zvAb`WHaNTx04{;2qcJJOl$+P@(nFK%4dK8_GCtOu-_9Hj_~Nc9+;Vfzbzga2E>`YhahWq8{_YsvB0jj;85vSf^gu_?b9#1p_|6g!)BHA#ow}R%DE*xw|U7Z079W9 zFgQ)R&R$-JmEodV&Ux1Mj@8AQz@>4zoB~2G`@rUSK4il$vq?7xvO6haO1qTaB85r7 zJ&9l%sTV`K%q;WC!hvPc@1fqqbhYstSh4&Ov%P_x4XEhueW-80^h-ykrwD4yjbhF5 zbT5_$=L1ZrYnG(3DwCff*NGm_}m={XO*eMTep!NnYy!3s!9MCqRydd)uR z%dfQ51_WM=n?Q+YmxrM3J!is|-(y8h2#xt9%^s5({=kKDQ6aqn&`2Y&3v8$@*JcuO zaS&LwMEZMjizC)KY$@+tPa+cB)f7bQQpXrkLkq!iufS+2PU zVjisNr84qi#86e$-jSx5@+WNnR>{h17x{BEe~~UD2uTHck3KZc0bV$#q|2n(%|S83 z?Fp8kIhmGMp>A_N{~Rv1<5F2XdQ)DkPJC~mDjp>=wf8c+_28~!U;HikojbR;zIT(X zt?t~@W_e0zX-8e;YezmB=*x1nPfhul7QRQn>XE+zdB%sw{uXpMBJduFh4ekS{{9|a zHdVRtop=A-(eJ|lVX^J!`-ec1K+<2S(^F|=`h%b~LFwkJ_X7CVR*hCFqq+hK79=G6 z=1DI>!)__*^Zp&7rUM$o7F9)QIxSZU4l&ZnadT82)KNpog;i58E*nu0#wSGh6qp5#%e zGc)t|B9U47kGYA3sWz1wC0+I9Mk?gwSVCn;4)Py{CO+xh*bH4pk@K7LJqbi`e|c3O zGz>7mwx=eX%cg(zl0~5Fc}U}DMJ2C?(sOUf1Cy%G?#3~=2AT$Bc8vBsA|+8`^aQx7 YesC|rcnB}u;UpscM+W!btJ~ZE1*li))c^nh literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.ecobp b/bsp4/Designflow/ppr/sim/db/vga.map.ecobp new file mode 100644 index 0000000000000000000000000000000000000000..e05efff040e83d1723ed53a45face13651ff8ac2 GIT binary patch literal 28 hcmZ3(&&U7*6?2TAJTiJ>^nmN6{sX2U2L9hnHUNDu32*=a literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.hdb b/bsp4/Designflow/ppr/sim/db/vga.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4c1e16814531d35761e9374499165bc43f7123c3 GIT binary patch literal 17857 zcmagF1ymeCw=PN`xO)g5AUFgc+%32}B)Ge~1b25PxI=JvcXxMpn}Goy=f7v&ciwvM zuD53PSG#vrS9g88y1Nt|3JR(c`4iNyj~~m2u5RM!WMOAZ#?ADDOvJ^)+L(-mjg{;N zD>EwxGwY8Z++-?0$s}B?t;zm7VM`hG$5~KNk|O^JpwQv}SJ)%|ze4v<=RS!3#NrK) z+5fDP)WlZc#KgLP$f%38i?Sl-C#L^M|0CC7O3*uOjP*ScVU&We-$&fRuWAYw*Uiu8 zGEAU9y7*Wn2dSAXBCo`sOyuyrv#M%M&81xap`(ROI;5(gxOEadSz4x2TvlG*`XVnY zD{I|Xqf@H7T5G#tgux$W+yUSJQ=wa!!w5hUh$eRMjpAeZZk)UFE`k73G%-qzVQc&{KQB@KXZnCmVAl;OrNJLe}$Rc~TF^Z1r7k0?BiOPq* zirmHAr?9-Y;H-rB>XBvMuPj5mHTj5tXAtpg3OjSusO-ev+j_Ju#vvU ztpiK!y|aGXLZs70*#UCv?VRVi&>9%pWj?<+9n{71s(bc0F!7by4UfN*r+IED2s{&7!+E4VR{;ez`bQM! z87y;;3LN)wMjk%Cn-=&udDgYtlI$Pkwxo?ny^KzJ7xYzRdUe*VTk*|~?lg+VPnvxi znaUh-k`dkTF#kSCt#ZwVjPqFfP&&@@W+mUl#i+P^9KRWQjLJeNt07yl3ETC>;9_Q0 z9`{WN6ncT_YN_#rzW7}|lrODx8gndv8{cQ)GTYVC>$PuDy#NUr2akq9QSEi%Jab_)Bl0V*_^_SSi2oVp%MYu4HAQsC7x-{U>~FxoVUM> ze|L#jn9mc2J5Tc6>Gh3ytC{-~PfDo8LvH)qtW+I8#ELBQWC+ zwa(L&;S0C@7xNZls*OMIU=dxwEj=$nODKOcJYEOg`AS{R{uZU`@gTBJp(<~c+pi^ z|DsRxH3v(x!D8b$YPt=EP4(tINdWMVO}&Ul<$vDyv^Vm&C2jJxAb5P5G}1%p4z)ee zf??I2+A5A%H|t~{y+Wq$EZ;@m)VkF_Gxo5>Is3Z-P$3kczBN*^7c=a{dYbE!A&@(D zR%t}xp6%CB!(`Oq2&*6M7EFBF6!d6kz_;)?|5m+MI^0FzVL|*bsG`($G0|6v{a~^B zc=|Y^;)aQYd@hAtv3db?_y4g0_@dX~TT)n0INE14#?x-DN!MZDw+y@X{d=Q;c08RG zXH-nA&6gPl2FfI`>$mCT2BSWmkU?2_HkU$P{6gLt#?q$&Ky%d1ZGEOdloK0<(?t-r zPWUHd`u(wyT6n{vhZb5DerhN5BKKSP?xz)Ixj1v!FGJ7bT#KZJUGUr!BAJOC?q7^a zQjjQVUDA6VFuG@b!K(vYIeMbV{U7ug|nvY+(SJ# z1t$wzkT=64wmm{@Yy}1B$VAOz*67ApSN`W5X;|kDw~kxh$1d5WeR7b$7NMNm^+J4q zuEqmROPO=BJSp3~#>pItK|^eY#{4R|>Q>f$neWgW`LlC_Q-gluzQ!l7)5rBqcgMlI z3O^e=Fp0(OWxHf?=7#*lV(}Qa+6Bh~SWc}Y!u$M8`gd$ENxhKMtN#<>Q=urrUdpV` z+V`c&!dYp$Aoj)5!}&9{cMg`DU)sRpU*>kS{Ar8Sby<2{fjOL#3w0uo9^IV=VY{N9 zuDGok1m;~?0=~QJ@NzG%s`QR?)x@H&QWu}kArBjBs|WFm1|q&{)5)-nLuhwTOnOCL zrk!WVzAYSx+Lo;Y&u?l&bA`UVtpYsno_i;T@5FlOOP8&D8C7$k;?zB9EHPxms@SpaBXim&f`V9?(pEVz8U zM${s9#LmRi<}fokD9-y8-^Nb2UJi#JrwFa#EHH%Xh>Ib;C1HxRV0JWzQhGGzLwrBP z_CxePM1CGgAuc4+$wbmKYb$>Bez+_{MNkjshlo&--WW|1Kjmj*p09By)ms$J^nI54p(dgc5f8d~!Y&cAO$~N}wW!=U`xA>5)@XK8r|6Iful>9ix(y&k&1< z-L6VHmlHeylPq5(?C0!g>vCUiYgYL9utBp?ZQsEuo1%?c4~2n#mEC^ghXPDGBLo-dZv88S4$3+E z+VV$tYM5%DM}mobg&Eo z70vR!xEg?QHVR8C&(-)^g5TLHy;c9NgNUuFxXcPiEwhM1@UTS(zt7YqNeN{nVy5KU zhB#c(FJo`fHa6yejD0Qv>v^d7J?SR%DxW3inV>c7)XSfn)D|1m4#iMjHSw9#LDEpn zUypg}Y9Ud$dN3PmY*Xddr6|!=+Wf4a&v@o|+e~%C0vxD5wFyYR)MX{|N`5uIEBVvJ zHm)XVR!dZ!PZ7sFCSR8@`FT0Bkn)!q*tkn;%?34Dt&wXvy*4(F(FBusO~{Jr2R)su z{6YrS=H&I~B$lhciVj~#sxC0g8gnrRa2q2XbT19VIzr27dU8kN>yhJzt|uq5^n7A> zh`p;&Dt(XFM?tJf*RCNh4fRff{cDK~{o1RTjgdPsUD+-%T`_Xj2SfRB;C2uF0op>F z^}bx1bE&Edy)b`zEu&T^Gb^(yUFqI60+OI!&nli2tMO8RhB)sSOyct>mTOU2IoOSM zT`MgcpP4KdcC2x<5cwrFXR*r=gN(x1qbYE7HmB=kcZOpjIwM0a!w2hkr-97Ob-d$f zVS;A|EoL7n{|EsANkdZ*=v#Rmc>&T>4naDT_)Kd(+rqJS-S1aRjdVItvP6$VM#Yb` z8{5{OCQf~!1Ok}w{7Z@HD%PF9^yu_p8;VSQNG5Y}m94ut2qieHNh>*pTLp2;W?pgu z9C2J-1Q|N6Rt4kuqf@0xp6fe70Y7UT8GE9R)pc&07wDr}k9k%cGHOqIF94g3^PW{T zVhTOVBP4b(A}fvlSjv9b9uPzXlQs+&1d~jX5Ab6603DtW;FbCSLiG=LF(S{^erNf* zZ@c%S8?ltCRL+@F#?q_FSv_5-N(B&Llt_ej) zm~lLYBWwJf*gG<*8Tf)^_-&&S#bTTsLW~=IUaa?Vj1JqP@482(FnP>Ji*e381FZc%>0_@HW2@BV#dW>T z!(RyJA^om*d|&r>$YVn)QbSR{h(Y{04!S9Cc8>2`9&rT9kQy zT1NijkDeJk_8vpz+Zi6S$M|!~RWIyDSQu7ARA|upk%QI4a)gS+#9OUYBR$snbtF z+0tSfaMKsl-rx@AuF`IY{L^IA_x)Ch$1M1$9+?WP zEFTy{zs9t4Db09naHFugxp{1O7+PBz{6ERq#n>y>l<^X7Eg?7Ae#-de$S3lq=N3mt zn=F}On_*p%s-}G`M?KcmxU;90KkJjpGQ-5VHn~3K6s@gJNj7rzw_L$7XPh&RH+mb6 z((X59T9*!bvsGmp{Vq~#ksaSqab#U3?3illJ;jYB?<0n7k8$I5X6O_8+2SvQ=$0@fn*+BU3Wx#K#z=>ob~5V+fg>!edg`#D>Of^3Ld+ z&b@6JZMv*zFK#f#6;a!%euNh96J*7V79lU$bt1f&?qctIE7H(dO@&<$u(NTLZ>0;= zO%|6rIAKk&)6kogai*=(7uhSXx$3QHE>_ZTa(#hC^*~ZIs%=FkGY`Y<#<{3Kv6E(~ zKJSc}AR`3gg_*}8b%)a5JPWy*{C^ZHwu;GZ!*dcH;o9O9`D2y#Rz^vzwy)tHS zz+bT0Xa{{#CP=aD?J%9gXrvg>@7T@{2z1^fFnUru=W`s~H4M?+TV`wi(DIOV%%lr9 zw5V#=qQ=nr`w1D>-R_p<<6=0pHQ8gE5N4GJ>wcvMzjn~4JT5r5tn!jM^O)&PbF!{s z^a1@IhH)F(HfAvReZVU|$n=q^%$1KXG0v278dMh%%N|Y?s2-769<}EcyfR9?lef#3 zjFmSw0og~x&E_UdYjz~jBlD*1tSr@uHD8UDUk=ALQ)<|%%!J$)Z7v>@;hZI>nLYt^ zHPpEHk(tLe+rCVG`n7}C+HyD zp)F&k)=n0&Mn6|?OfX)hvOc1#*JUe-W`T=>)WilaIoTUpf@l3psctl*t(YOtct10@ z(%(IXM#7@>jK1>ZRI+VNBv(=-QtOz_?sh&OOmKdilWO#cIyXHVUS7G4mN79lTl^h; zndYKO#NiqZer&bt-TS$c{-U#-UNOSuxp3C0rmrzLnc_0ZF;@oAQ1r{Lboi6vSnzdX zJ)QMnd_BIF?I~98vOwDUq^zgXl52L-<}ry!BQ;`pezDON%0X?v)JCj`b8U5{f>(Sz z(MD`=@{!Wrg@5j6Q|$5^uE(elkuW&IVG=iQ#gVpbPREKQiSI;_!a}D{u)g`?n2+Ii zCfUP%m~4*q2IhoE<6!20a??&dvp=MqY~KIx@>rhzr#xkm(K#|DHvXVm>L;i5U;`;> zY+!3!(y>D8vgNkwz-3s~;;A98vT{v&yl2U9C(US+b9xeg?U*t|o2l~DbvmLQn-nLJ zOCZSb^04?~O_;?E#@TKDJMxDzMO&QK22N5L-v}P1J+zfvG39fjduEuTfn)_*MHsH+4HJnO%WrI?BW$!~yKIG~{9zNvdLq1WB4D$DEQmYB- z<+zxiJk)ywv$l;4t+J_^CpKq$Ntx|veGu^(^y~J6bRoXHz89}yh*|0|qz`&l3Nid1 z5HdFssFaN*53q8`Bt;937PmcpQQ`gBFEoZ01^TASCG90>8AhO-Ijs+Ui8?MT#01X7 z=jEH>{Hea{sBHG#)ci zSl8w;qg3A@RBE=+ERz$}36Z$@ao0LJxT7Qk)52J26%hEST{2xc zT&0*{ez&d(gronufYksxZ|L&|o%4@;z`*1O$jyJi!paAz?R>z&zPCGA1yR?&X|0Lh z%WgI`KvKx|cdY+ z?dq`eW_`>Rw~lYMFP`GNB-RF~(T!bObC37TA6a>PWOezG)dD}XW2V>VeY_`x;fo8* zIGr^LvL+5v)#=21XRkhU?yVy)Z!E_-Fy(&Zo%C?@QDU6V6g4>)P(j325cp@^Um*Nh zNAa?P4&Cb1w7#Xf>6!Ajz9m~ShqH<5Lt%_Bp0Ek$v$2)gw{X(=rjWUY<*~Y+61S$i zp->`TyZ`-oy#nuY%HS4BFLLU9|Ak4EQNSwP8&pwwx5H*P8af5xAQi|A2)-$U)%7Y{ z!kll9ZZuYWWV!J;x7fW~p6frVDGr}9Qosfd<$Tv~EY5IRerg;1TM%WQIZvOEJj!MF z7-5V%#h9?d*(9~--6GD4KEjyba=QNQc6q-cjSt`T*}b97^?-acdTse=dtO`#g*%ceOQcBGr_O z!!SeBqiSH-x8|jg5Y@`{H>!n&PPzp7GgXD$n)kO+x|7N>%3fxqKGqYqREGjzV?`0i zCL0?Q1~g1T%-s60u9)NsC5`z(Zk~!Ha<{Md4Jy6d6yg(`#b0%D84#IPH*9L%vcWTv zr~XPc8vQazxVqq8VXpwv;2W0D zc7l$i-~UAt;3RfX(R}BXQIvg5{+o7AC(N><5@=YP|9QYz5HVuJ7V9IyA0zh#nXD~y znq=!G#mugSF_l8N$w+0q`TAZ>l)q3U@QGQA%oI!O%oKzx%oI(9)yU!$wZ?ec2!h>?}X_t^h780*xjR8&?}x%jm;(JZ%Wr)|jKSTn%Mn3^sa z42^~^)@^^$MkUTl*O{}{s3@$T64km=pK1Z;^hTi|pR$Z+WLf4E*H7RiBvk(-rv>MI zC>!4>sd#@${d9eZOIj}#QFeSnl^%f+m-#imYELDmIw@!7J_uugo93 zntkxPg%B~aiHVc=NTlsmK^eOs+NcBkGcxN3t{Ro4b-g8nrEe5qo8Gb z*e#cTc9Di6L{Z<6(Hgj^M5-zs4=8ma+(=!s(-OUB9><3HN0=$x^0f(8buL9ec!vR- z>~HR+I_UMdHhdVhFS&dPmM{&$)3&vw*Rupd5hd)WgTFveN)OR7ov0 zx#D9QqL-bjX1z0pDjCyWj4Bxxca}e`Hj}5qo->s7Vba?gP(sOxN4c@v!%h?rqjS(q zo-5Jj$bYLb6}$BQxNJOC)DJUzt#29S%B|ZgtSInHHVc}|*d6VH3uVsvp(il9zi#CC z_$gF@h%L!1kN!=3Y(2<9luHwAZvR%o-Q=H-tdoO231mO|u40-?dqG&+oVQ>_=sS+o#6OFo*=E8F$oo$WiJKcDY2s(c2LHwP%sUyHAOChW2GP&uAaeI-k_ilXSwxy_A_{=C6{i zvGL&-{RTonrSyn7S5%4G*?OqkR89rAWZ)gL-ly$~gG(=0eIgw8`?TOAms&OE-Nq_Z}t`6@&RH5atB3>$5KRrA3grsDB?| z%gY)fGAyK&y3=kyEhl6+wuVfW6uo3soecbCO>wJT!xxC^=YNClC28f!&R!0A_U1DfYvrd51^ zS~|xWC1i*hIg6dMzHrtTt1->grp5kMutz&M@>0hhvjvL&Z?!lCx}VyAa$x+xH=5hVPlX zNyzkRaA~pNBGNHCbu{MN;eJw^B~appDQL4C(`jpmKWyn+C-eykMYk23KIGc7x0#aOqdVS5jTw32oYe^QK-}eJar0vtHH51Q|7)J5 z&gny+-s)i1kld=Y<;Z4qj0C4zci)R@gztS36OL?d7P3{w^Zkg;z7CYPFI)M!FB=2r z04bhzQ7|<(VNtxyBH|?cx{~H%;lw$0SO`C-Eh4`qT zRWkJXgmO;p?CiU=A%|b4(M+0Rl8{HcCrv}$j_A@;c4d~hM-nU&FOKUrAWt%3ez!#TWqn zJ^#T75q`Lw@0)n6bkfI}#m+Opn;FXX!=5c{u@ZK!WP-^JXE(j%NPM9M5%jtI2rJKWB1p7uPJCI^8 zmWVvV9d2`_8SaSQ{*Ao<=$kL|u3FeufIIPSIYzZ4gr`3fqYL_GAdFeE9ruPR%pk&@ zZMPg#5IOnv^X3pYHp|u=7>f?>wtoiz5*U)4y|>P4+TBj{sesuke`PaV4RjGiVc8M| zAl+1k#fn4x!W4uc*!|vHCw>@r(rk-2#{E;GQFfmx8w>bFhiqvG|6&*Cy&*$AqwS*g zZ;7wRfT+WOfoTSqWS3)7q>=Z`n55w#*M8uqD@j`5RqKxmsku=9E_{3RZ--v0s<+Hy zUf(*=Z)E%3Ce26yA9mVpj{FMnAm*s zA%>@*3yV4f|G_UKh-D7waS7d1@>0$3vNn&I^$e-x*oS?58@0#vOmq41VC!ES?JnBM zzYGISOuSetJ#5FH5;-Z19uv#`=ic7*v#Mc0_DP98XWG{;q`Ow$t+DN-N9UxbeqTS^ zC=gK>KQFs2b8YxKk>C5FdjxUxGsqa z^~k$S(?S^_vRQZvxh@`r8v_hK^mg`oX9)jyj7EQ7x?3K&o6xu-Vhdhh`QX>o zdn?>M`>MO&Tg~#v9F}g5rWH1c^L9G4n zF`$7kgOD^&{b-O#znu_YU|OS2Xj-FgG>AV87@5{6fXrgkMZ~fexJQ0>B|Lu1C<0OI zpAz#XHjJU&l8^;L1a=cK?sSlc$PYC?=Ec@9NJG*{3An$oY`yMt5rH0{8aMDU<~YL| z>Hk^R!z8Z<@5yGptnQrr&6k!j5Y1%W;*TGmV@mq{tDQII=7Esc3h2kE2bY58H-wn# zj0bEikeT~p5VL!7&kxAu@kUZhTnFi6Y^xTwFo_oXMX@SLzV8lX><=D^e3Dt4`u-3C z(_{L(O&#U7LD3F?kR~Oe04FvXw)HED#zTYX_7d>NPFaH0lbH;}oMwXBEI?%)$6g_R z9%L@Cn+woA?S?;`yXg;DtcvLTPxcIzbcsr zgHw?$Jq6)6?bOq*h2S?Yy<@Kr3$n8@q>C91EJ<`b1=#Na>>K!B>sTFYzS6^`R(`S8 zW1p@|&@&kk`WWN=#q3T~^}GWxCM0ulv8fWDr|KrJU<$xPASytaos;xJLA&QeHR}E4 zHjT%2IuXS67ck%k`g8wj< zsy8SZUiqCGa{Y}W1HFCL7w_6fNCn4b;n<#@aC zA9msU9QJ%jqfnu`mtp50;E&9AN4=ORdTu&2Zj!it?Qorcg=v+HVnNw9^+hh8 zBK+Ifp9bHR+X{Q7+M(B_n%qr@Zp#4s3l76pyKzf{m zy-Js}-5lY*%f%Cp?wX~hh8Wx0FA}?Z-Tl-%Kq(Gst~=m(02t>7W)sMn=r$d&@8mGt z;BVh!{*Q zE023UXri~&L)g@ycgEr(nSjVNODiHrEuz~i|Lv~gh5Pnr$A3mDhHuvjD1BF_13#F@ z0z5p>#_1h@>8Hcol@XnCClUAY|2Jhf&uFTvnDQ2Fj+zw^ugZJS7Tpt6e+ z-NXsi#SLvIz=#p+q5A0y#(9_7DIZ+xIn>v>c$U;KIExTi4`MvmTA%AEaq*bK`n~TB zKQAWxGFi{C1H1h+kPP8hW2M$S@KO;o!*DRbnF%Ztvz#Lc9S;{h93;QnjRQLjLklU| z^i+e94M5Bn+x+}!+*D{D5=4%4WR4a<0*zT%1nRSe2l_0pJ_`puncX-O|8vyWOo>JH z$*uO)Ozbd73%hTyK(JbfB$os4IN@aEk*5dIt+r5e-_|CJ)nsA^*dNr&_jd_~l5336 z|1ajVd*aF(@#w|R?rVeFh9=27Pf6Vo-o3ads9r4eoQ|kS;#{mQX6>w};xIIU@NLw0 z0&#CB1W4-nZG1@r@RI`|iDB?Uq_Eg-N9bwxva_dZ^JffWbLJi_2$rm!hWX4uKoe6P z>oTg`69uo%;^kn^sC+OKyswLQZT?68Iuod9`etw4yPM36>a8sKIDP~rOx4RuL@FFE zr2eUw#pF0Ins9KC-YRG#D=Mt&PFe4$dWZSYcAba_E@ZE@S-lU|e(Q^PJ8eWW-AA(p19Q!n5)Ew0 zPAX7@J95;u7k9Q7N7#$ub9N)>KmB;oqcwq^A4XcZ;#?1#3}FpM=-5vI>^B1TH5`WR z`Y>#g*ZMH*6Rvq7sO))*w_ZYz-Q<<3o4D#Q@>r(7(sq-5s2*FqUQ$(m9d2tsU3NR> z{ysQ~^u%|j1Fv?4LgUge|L*>LT8w*JBhs=(f+>C-zbeI9>Hn|ZX|?ywMYXJmg3nKo zM>~ajV62y#&M0MXuD4bTIcax^5mtp^yZ6FFj$v8>%nd#*To zhw$zyW;+a@n+rJQg|#U4x4R1;7RPPk2DPrWumBB@K>kAxc$J!AJ`a}xg-jB~3Nhtg zoH~KoxXy+a=YK|l8@=!4hLDyRawn*j=Ok={fy8tPcplYPHyrhkpVJ1 z$c<6Xd#yJKL|@+SC1t1T0^)f$W@+-6#m5g*I=JqO!zR&~3Hwcy`Uz%q;gtK^w^dC% za99vNUttEq>cy9tqYEsyv#PQ1sxGmq;O>Z5*5!rO<%fOTvr~T94$UsCQvb&v0i!0e z@3|xeRY;5pjWGWwQxrWxq^LgL#LIL+v)*mAHd8V45?Me0+V&_AkFSLRbK%&gxVq|B2r z4#QAY%<%!19z?xQKMr`ZaWx!Wi5%sLZi$2p8NusBX-5a$s=EUozGxif{_&MfJQ14M z;uWypW@kkmhCLjHTLJqJz&@=1utW=4l`&=6exy==YTifl8WSBFv*z_9QO`d~u`w3^ zVRc@ns(mpbz+2XA({JbF`DvG3ix$N?$6Wc*V9T*!%ci!!b<%rDq`*%Paqu3 z%`01Y=nV>Q(t9%~_t34S=%t9(zAL`-0Q#VH6fX%(FL6vSm;h*LUXGW3^4N&OyJH$d zQ=FSLn%x?u{!XQSU#0#xrT$M!pZ#j(Z8w7Q!iK~#;bAZ>HijgViLk`^$Rpeh+sQ&d zDlmyS5vDj1fq4B#L09T#ej{jYx8Isu=R4AkH*K#SWv{QeI=5)lYo?Jk-a5u!A@JS#>FpmW+0X|eg)H9j(&Cbl@huYw1+zr{_*C-m)xDAnP2-GAwq^#&1L0AsJ#Goh z&Kz?m;eTGn4+Rl-^-jV?z+qVmQlq64hK|RI;`Ngk_mfYBiONTdjyeom`2QPud46ei z!EU?hZO#yPkm4d65pVYU7ia?!w`Jnl$i#M&;2R4782>=iA_&cbMbMB1J!(8@A-AQX z-uhh6c|Ipt;E||z`&vx(E?#c#D<$8Hk8dX;n+>t?QKNBlp?Q4NCS4(r&~VXB&4kq; zS1js@spH<=UTer+9DYAJK2&e?n@z>YX0~2j8oms zF6e66gy<)pas^QfP__TA`;36w=K6|_)1gHx;X7RODi39fbZ!@HAUZm#GxOPnc1e3t z6a3ZA6Rh6RAXGeGU6OC!-`WbRU;iYZi#`hq_DfF%K7uctczA!8 zwA8Kg9*&=-@tXOX@xHleC+6tf;4W zfv>$G{g}*_$txJlZhQLu^T#I{S`caB7Dpa6^1s)5>#ajiiGgFg9nh;s*9c0EUZ-am z60ePLh!QegyxGiF9wl>3h=Aka@Xl>8sf*Qj`))|bTaL$&pW6>`(F#rLzY4%m2wjR1 zG>G1tK?c~ei6gTo(GnR>KYbt6?#y=n7!c2&U_10O|2@6G0qiW%xjX~1)~yR-#h;HP zp1&0MSro)dJgZY|MBG0cbLi*z7T+3bu$*nGXa7k zg8VFy9`l-f;R=(#rjIE?IkcxbbZHPhnh$jLeZ^GbAc|s(`wm(dq{F0c&j%n6tr781 z4-|9_wt1v#;W?sUu(Qm5l~n}QrS zUgu5uxHo}Nv;;1DkHBZa^KEo!)B;4`nkAh5Wg&Iv0s>bYj6PkGAIK}Q24tR&&kvIFfLo6VmWDh4NOb%adSM& zIgnEeeijqK=%D8UBeoy@NgxEyi{a`TR$qi07=V2uUkwVp&kTeuist$q~u^)GEFj4j8w>w;0+3mHvjAjp_TX-zVi%ZB!7w=N?V* zA~p|3E1vXD3^2GTib5L-aIF*dLQUI?5!f{dHi{JWgEhzJu1^hk{1)m8Yn}?I9}{ky z&((ulH9@;jo@R10PxW^V7Hz|?Pe-dnS#3azHagHCT7~(0aWNeeY~y>m9CF2fjeP8{ zAxdxF&IF6#O>UvC<3vGc@y=2M~k5#=BqBokRG%YTd?;o zJ2HzQ>2w!f^v%8itt8PRg?PW%2H zE3Q7pk>VJ0N?B@L+ZAku z-Iv7s!q1+kio#v|Ea3WbQcdoY5ie1DuSSGS{ME-iUigJ|c0=M>Mv9qp)|*sBNRER)4{;0{a2#*-gR=oS@H`Kdy)2{_Vhh(cSvRSQWuLRw zqxw1;Ga>x(zqsWxJSJ<7`2wy8+2lO7Ko++fHS_y75Jtdw&B8&OQRSH1kbde_%mC9C zai(4;FH@WMWFi;RqZdEul4y0l=WHvD>|OhM!z!Pav{hj)O=C~2I@UacpbH7{$#-(U z3k&h>HcFap%IC@V`}={EF2nH4CAJ(m_1lf1@Eg+ghSyJ(H!3MeCfQUCNIBqL{(9o9 zzElVJa@oWlTXWTFbL+F@nfUHP+4_f7AK6!H+Ybg}h6Fk57F65z!-kk4K$xz(n64FI z-mRd4Pk2Pgm>zxK6gRwFu!ql9QenXZ+kVp9%%9#Pq5bTxH(sRTD(oqhyYQSbAVfr@ zVZSzE$1@NPX@1#8{#t~-BgGuQ3-GY}e5duRxhQIwPynW^4eu8;x7ii`z94KTFOcg% zFub225JKHeiUA^p_iO3yf`bSLyt7vzfRrhs?R06#2{AQq^u`(viJ8t#1q8hBUugbZ=&evj)GB!r+N zLq4Lkw}FUnlAqsxUJKG)Yxuvj1PCI$aX|ZVgGli~Fx!42+jpIiCg}Gc*LL_I-7Qdd z_mR&IG4wm)_PhQzDfAoZ=eNC{qjrLLE+UjuQdog2xp&HIbm(`cfOqa|FIa))9v`?{ z=Vo;3SiJjn)Xuh)d=nQ4epd6DamEm63V?=l+l=h(wh`{g13{#|^o%`Zd80scdmY=~ zitLvqfA{M4i|T&o1;yfm{9u4yW?}6+@`@WSTG$|X%Jm1@#CHwKN9_A8^fv+M_t5R8 zkIy%@0Ohrrf0x|$7J_eR_t7iOl^muA%o4{D5(F6N*WUe(2%<)UWOf6ou6vk7{^x$6 z|GjT)t{>X_@z+?Z+6%e1j#k}o^mmR6rb$?egc|}`3IsL3Cmr*o7Sx)qfjxbbGv@r*fEJ$gW znjFzUxGI0oh){wqQ!;djzVYF|wq^vg*G{8^4Ccod4F0cOK}jNOh*ZHO`Hm;!YRe@$ zjsz3cGS*e0R++5}`6JF+r3v+TLBBZ+sGK~=Ujurk56X?vfb8@mt{m%u<$ewF!WzV> zd_g8gEkriDXX|{cJFP3n)8c!1qir)tD5_u@A}vu8|D*$~JQBTqZT|Z8!&K7A?v)QF^tZJur+#}!Z8bT2cM8a`q{B}<9;yVAd`?P>etg|dXN%k+X5Bh zEt7xWF&@)a&`f(q^G!}a6m}sx@NUVh=9g}{@m1!3<}6K43Tkp0lOGeeU+Nlln>!ok z10$)cH=mJW=Jw%LLU?#XR}UG}x=i2JIkL+&8Yc8y^k2>!TZpX|Q6>(HOis?xFJIo% z2%?j99W(1zFN&W@YOCQ>C?X_vpz>TpzH6v9S(-~IWaPE8P20(hf}QY&RdR~0 z@8<4O-&4f=81)Zcw%7xxw^W*cTF;#I)a6gV@y$E=q*qCJR0Jp(gprILrP2_h8-UH( zfE$$A4511k&L+G$Ca!VEosO8KWnH6BBOK2@?xkq^(k|#XnvI@kmwhr|84r-Nv^!9b z@+IW@;ZVn}E#wvztb)*0HzVr$G9YH-htiP0Pmfg{?^?CwoBO;_Uff-7L3AQU)vI)y>m z;QK$vh ziEI4xd9tgIgVt1hUY#6jdTMC}4Uc+&p1jn=+dImJ6#1oz8XQf;G6t)T#NirMF0Pq% zx_t&6z+4s*N$$UY8@*YQF{Ma^fS~M!``>4OxKjdYrR`%(VwQMqcF&pODdWo?3`+D1O}b2jLFn2cm($mKHo*XTWvbZgQmNL@qL~tQ~Kf&!*ooI@_PmC zG`u6dh^iXe8c*YeqMK0_8XnA*Zxkw* zJZzs}v(?S3h(#WO)}AFkXA@inX05ZLk4g{Ol20^u=%R~Pp!RGz^cCuYE`3j__e$)n zqlL#OjE+rjQBd3)u{e5ioz^|ETD2b4mg!21mZyj-@5vp|QGaKF-$6w7WOPztZt5!~!|qu_ zmoM6P-t`NI2}Kd`Y9ef#u7(c%CA}9y?HPG>$0mW#L;SY#Pgl zrQcf0=gAGYS5#z7-hQW5ST*n8@v3mw)h=Jb_Ybm_`eHGURd>3Y&kGi7GnE@$aQxEP zk><>_+^jOJD`hI4M^n+mqZKU8!cv$`ze&&-8ygXd5j=O;zi}J0x1C8(71__H=Py=i zD3qK@+^~tPy1(1-A*{aKx~L(eH$(7~{}mmsK>2*{*WEf*{HEB+OQ^TvBq8U6%WvGI z%~Q>NYH7Px%)(Fp3O<=A)95&e-BAi+%?=A_2;cccyC)?Qu=Q~M_xUA<<5h+PKE_0R ztgNw2!{I`+l5|U#ZIOnw_9!-?dY%{W<9)G(TIm#e-NwT|pYpIsZ+wK&q5t}@dp6Oe z?_I(-QEMjU=QO6(=2v)kpcz~JXzjDqc=7^glAb&dqZaSaf)s?qDHNKf?kfa*d~r!* zQ{zNP?LM$iqq{>7QUm^pi$j(-4C&>Odx-1BMy~!Dnwn?E(O`zV=Q2|lk)tLrr#No; zE6nf@qjmS2B1rgmP%pZ9K+$u67qgK|-S_WBbfil>TDY!Kh#8p{P7T3w4P*9S*%40h zHF-wYO;T%wl@vLP`2~kFW^OU)S>SS!Nz{RNvr!Ve1j9O{+WN&V6(^mVMqe_$xomD5 zpLCB}Iy~zk#z_r1toqT7-sv-F+KuTfo9eVv7PQHJ{;yr8ryDCS{97egZA$N^DNQIF zOTyGfryZQdZ=Bn9tpBnl2ySpR!Ja`&yK(vrQ?X30OrA8qpXB0V zb$9Vol-A`;Viddd{{a91|NnRad{o;@6j2;Ln%ZjRwTriEE49@u74l(8%Guf3-GQA8 zGiO5~nCQ*ShsqZ-@TJR}^b!>WWfu?ab^bNB{vjqH#|wZp^bJPK z7Ji@B)Y;mL4U5p8S@+3)kJfFzzuQrEqAqV6(JQmu`}4A zxKL`q1JGN8M{i?^u{QDc>808bT`0Cop!Nf3bq!T3^Y9xcW=A;x=n?JYK-vw~KxK7YbLRA9Ihiw%0 z+RNqADq)el1K0q$5_Fl3tiuMzWDRxDx6GxX*q)W5LxX#W62QSn#15HD$V9>nH|=FD zRT1Unsu|5tR^wAWzT*+GCG-^F(9>VnI%9qoB`N9T827tC$KuDim0AXWqcr5b zHZ8~4h|BcM&hB9A)H9HUd}NxD(439PlQ*MNHS9^i2st88|F$F8P%`u)QZ1~F5>Q&I zW^_B(batpwtURQZ78;;Y4SSQ$)_ZC`QsQGtpsYt!8Xx}rX_-}s0M8IdbHI~!tehR{ z_HDN9_+o=7{a%8}7;y!Qkxg&=c|DsZcX7F7aTWI>u1>})MPZE-N|rX)sayKpVj(dx z(k^r(M;M3^O-Xc#5OkPA@BxxVGjm1(%OQ3@0OVUOcXTsd06EA80!aQcQmY8hXk&dv zXCy4@r6J$|yFu + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/r_Z + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|h_sync + + + vga_control:vga_control_unit|toggle_sig + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|h_enable_sig + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_driver:vga_driver_unit|v_sync + + + vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga_control:vga_control_unit|toggle_counter_sig_19 + + + dly_counter[0] + + + vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.logdb b/bsp4/Designflow/ppr/sim/db/vga.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.qmsg b/bsp4/Designflow/ppr/sim/db/vga.map.qmsg new file mode 100644 index 0000000..7961635 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.map.qmsg @@ -0,0 +1,10 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:30:30 2009 " "Info: Processing started: Tue Nov 3 17:30:30 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga -c vga " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3147 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4440 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "vga " "Info: Elaborating entity \"vga\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6195 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6251 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} +{ "Info" "ISCL_SCL_WANNA_REM_USR_WIRE" "" "Info: Found the following redundant logic cells in design" { { "Info" "ISCL_SCL_CELL_NAME" "vga_control:vga_control_unit\|toggle_sig_0_0_0_g1 " "Info (17048): Logic cell \"vga_control:vga_control_unit\|toggle_sig_0_0_0_g1\"" { } { { "../../syn/rev_1/vga.vqm" "toggle_sig_0_0_0_g1_cZ" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4013 3 0 } } } 0 17048 "Logic cell \"%1!s!\"" 0 0 "" 0 -1} } { } 0 0 "Found the following redundant logic cells in design" 0 0 "" 0 -1} +{ "Info" "ICUT_CUT_TM_SUMMARY" "292 " "Info: Implemented 292 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "185 " "Info: Peak virtual memory: 185 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:30:34 2009 " "Info: Processing ended: Tue Nov 3 17:30:34 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Info: Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Info: Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb b/bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..ec858e7d7237548bf3bd62df88eaa5e0e40774e5 GIT binary patch literal 1355 zcmV-R1+@AP000233jqLN0AK(y00011WpZV>iBLDyZ0000C1ONa40000f0ssI20000>1poj50001Z z0qmGNZ`42##|IdDQJv`c05nk2((z3Y z9W4?CNK}ZI0P`QO$C=%XlS7seA-1&I*`H@$GrNCZl4V(T$n(5kMg5a%0zY7Qhhgxu z;C<(JjLP~3EGo}Wm&i62(EO7^y?Jt4&0Y^ymhIw0uTSE=AGA9`)b56%9F**D)&L;X z#T}7W^c9@S1ojuyP5D1!z`4${r^r5K8p+L1z~`byHDfQTH$}sJCtfw?UycCM7uT7R z^7;h1=5cOfyjMk=*ly)I-a|rXuTd`V;mhM(fghb8t-P6I-mB>U4t|$Ve<>#itqb9*6sNMa15p0MH zsN zt^iZCnC*E|Cah8k>X zu&qILNgd6zTO6V>^ajUfl1`mlW3CMG{7CTz&2b?tx4rGWMdy#5PnoePOMTo^NC2jq zZVU#))bubmyCaikH4gTxxL@HPRPeBZw<>tMf=3m+Q^C6xyjNUscfY!OP!WcpA`C%A z7=ns01gvGwa##=@%!26Pia}Tq9d8yy2eTkLm<7?ny~HTtmZgOGNi@gRI+QqN8f7K4 zeOU=@%1UTcRzjPy655oN(59?}Hf1HWDJ!9&wh~IlN+=mCp=7LtlCcs>#!4tzl+ZVM zP=1{U`PVsT0ezfL;H^=S;`2Q&UB{*CxO5$-q%UwKU7w^|=?MBXAb{MFyxI)%pg^O! zj;GOF2b!HbFxPvVnW))J>uaKB`|QJC}JxJNrW*N^9{IiDh`_2W5zHXBb7_yhFa{O9vd|H7r>Jh9VOo4)}7 z0RR7Z0TN?l00K@R76)Qy7pHh<56^&jAnocG5)>Kl=^Nm4q2s?LD+41?egTMp0)8M3 zQV&(?=^C69ty}<6pa50F1f*f+dU(19IR-g0mS12VvthD5P#ozPd_*RbxEI1xxg+(R>lie=I0s`@8|F08u$Jg7dO}# z12Bnd7)X_Wh-;AV;r>6ZJYWS9P-UG!8fGU*nI}jYn^0y7$OZ-m88FGfzzU>6<~zGP z#``;YxjKh{+y&Bza6U*42*Z2}_JWg-qqDb@e}vaYWj1!OH)a5p2m&$Omq2TMJcC1c zZW`=k1FOgZ$_gW^fQR!7yYC-Zz-knL^04RvTLkvs#itqkoD9W4IURI)u*WJn)%`iZ N;j96a2LJ#7|NnLGc2EES literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb b/bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c61b334ce65b092966efac44d8c98a7535d8a601 GIT binary patch literal 8374 zcmaKybx<5Yv)~~(Ebh+Y1b252F2UV4xVr~iAhf*RQFW%3_27PR22#|l*xy%f7n`Y!nji)^-*Y?3^4FY#gi{ z+^igIZ2S}&UnpeU?d&N2&&FFN=npR_C{5D;t)PzJ|4TPh{FnBEJ~i}qGnDlXbdkVA zv~cEu+~)^dHPLvhNO;x1Q1{5_7tzrvLje`)t8`Mc>6WR**nz@K!D4c9lh7;mI3pVp zDhvpGm6k{f-}qk(i<#uJNE5~Ius{v}p4Zz7Jumz@Z`K)wN1HiZ-P}RlPyU;JH{-mn zhZ9|n=eHljh$LdqrOi+uKCb_mpSpp>WVj1Q7ACgBSqLQ{8~N?@Z0ptJ{VqhB?Tzy& zS_osjE;?fU#X8<7l-lQ|cWUra)6(om5q@~l_Q+9n0x|<8*$=D^Y@ws8Fg|pKh{IS2 zDYCqP5E8#)AzNbl9ve1;eo~Xy*X|M-OH+dt(uAQMK)qabiu7ko_1`E2Y6W8LBJ^1v ztMhIIZ;mUv%UpcQODJk|xNop`gjw@X&TJY&amWf{UVuhf$%3ezcUCEs+8lhdF25Wr z5cCClLqpc#HQwd_SDf^NPL!KNvS-@osJ0&dye#*wXNqXo2aPYD$cxfGSP5zVr1gqV z-kq%x%}*0L>mfqD_A zX@EqVB7UKO>mbL|fFr#P_*dB?R=7;S^$MZ5n>ap1dopXSStTt|c8`llkj6nnU|_ZIW&z1yiz5d4TuiO$liTJ8#PuUh_c>`CrX8 z+#XJ|-nhg24h@YpWS~NBkpE#`^-6I}7y{7Y{b^CwT1~(DFKbWZQo}EIZW;M`P40u^ zk>8Ub!8P1})8z#WxhS(M3b#+zD6ieHIax-WN8U*jfpwIJ_o0t_LJFmMfSV*Q!?z8o z?wN}>)pik6NXCJb0Bps$Wrnv+Xi48GNqgod!j%q5LW~!JM{Jz(I{vOLB2UHqOSV0B zja8mZE8gT;k9SCYxwFZiBQNzbB8HSDsCf&CV>9oAQ`c{HS+KiPJVLb$x@0tLd(O2p z14|EHc8B-Q*&eYfF`7Rg;V1fC`IT*T2_O2_N}WJraB92xxaWgUUQ&qX&>H}mbk$4zS6m}g$w~y16!k1e4 zr5LUE_6er>!l5HtNA1>kJ=xsfexz;=Vwyb2Y@~%Hh5I1C-)9 zKI90E`EbQ<2iHwsC0HE(nYV5iubR$=iEqo`>RK`IX`)LrcO})PGWx~}6VlNK0G#7- zvbAQ7^=>(Eet>BJ;9e_4+7%w&GlG|`^|_-RYkbV{@eXc&fypQg+lh!Qog~9$EHY8Z zrdon*J`8oXj5?ag8)9~?UW~k6L-Z@`bZ3b5cnO&Rw5`tgx8KwTszG}7F0t|yYXC+A zTfcdUwa42;Q$>?62^saT$d-iIy1#Ap(|oslie{NI_bxJWmxeA+-_CiS44x-CcYW(~ zS;Ek|LJZS+fQ^OogCIlG0kLjvwrW zuKJ+aXF22%11WSZ_x*e_PiMf;3XtFO zuNM6Ioplk-Xir~N=8ZGaCa;!SQkUtSkjJE!713I7p4C*Zy-nJ?f7^ynoGgEhhHxmuD9XDet z#R<|Wmu>v;9grqUcNH_;{Llz&dM~1?`=-;sM{pd=(PTSwggXZR8^Ng;fd`Bp>}n(L zWW4or5G9xOGTdJCY{3EL+?cK2e2vWHm;kv;yr`>nMBD{W`tI-ZRnPEvY*A;g;FqS+A3(rPh zR52LKFI>*s&#~HtJn&YS3{se?4K&>D5@g(V`Jz8bXM>af@=WIzQGCH} zw$GLxF6~*I5?3{tCZXv`jl~NUX^|}u&tAmc*ATZj$V<$(6O@#anl6Y{BPSLSsQzsI zMY$?Bzc=?(Wm-MNrQlsKAvi;S^VMXVrzeqzz6`4P46xr*_u1zW(UyT z3ivk`BS`64J0td4D7ey0(&-dQIn|^AVL0|sgIZU&WON~=77);oiwHVwj0LG*HxyLb zW_!D@puWI$)q0L_acru~ut@xi&O-Do9!m~=0p`u`pQ0#m+|1jG3j_{nKv9HH?#7Wv zY5D3Uaryop&9RnnUwU&rMz${t*27cD9_QB!9BTsMOW33HN*0_x(VI3QPHJ8}c5MingdqrQqaDu?>OpDyzSylFzD6OrK_$7tDCv+4 zyhsAJeA;nXj2o5^VUZL;M_iO!s(xx#=ePFDdHdvadKdF_C9kBA+k^N+DE-Zxewe%K zxfCaz6`HDbD9sWgIvO9C0NjKQZ2@%+rN*k0`^e643A`HWE<9L3dWPdKM&rpL@#G`% zH~xcB<^#GN()O)wu2WfdCnjU=hG!V-up=7sy& zJtex!@cv|o+Ntx8z0H_lW9}#9lExbAQ&^}#v>Z>Me(esiG;k5Buj8HvHv7l6o{5gD z8Nm+PZff?#E`oY+WP5R-TO5p|wh;M+Z5^bH$SUaM%rdDTv ze(>jF77{nIg3rW z8Ni@Qzo#l-xtA3nPYbIQ14{5ST0%Y(*6W2=Lc^Eg#yl5-ocmx1hHy##n^4SKZ3l3>=Z`G1e;L+Q<%ctBtIuRKw1#8mxIy{zv12! z(;`qY9^9!s_|y?o@)e)Swlm+^TV!*-t6-_Ec`3`$z{9A!tjX^^QG z^90l8)m+3XDq_62*tfj|TMtFe68P|{1@mwUTUUD9ld0apiE>iGs)hY_QP5Fmm*d5e zQFKJD|Bwse1CxI~(Exp!#MRj0nGXml8qP0MaBAH$u-*+j-j8i@afXoTi`%`YNt3^M zg}F4~n`BbZuYqxJD`pEAj2;En55`cu(j~lBs>^m9mI$Db44{{EXpp?MI|2nwJ?!G= z$vN&RIB>n%oXl+WM1V_Lf5^rCL+AXYN&09*t`wJBAwPUmBMC0lf0GGc14^DJ13j04 zE47}hKnPO5@T21uFY`Wd&y{20>tManboYT1IonLpJq{CwT)IYZT^UZ#ZWDsGHNnwK|y$?}`d9_~+;K-v=*tFq{m)a|ck;(;ub6Nz8>^>JKcnf43_ zU2*~Z#^Fo}Kn3W8&U#FOmQn6uIOZha=#YyR7@R_BmQo4%i!n28ys*yVZ3KfzXQgOFk@@x0-rIkooJY_K>uDp7Lb*J#U-Vr(zf|kIG6d><#JUS#k%M!v2EE*P3 zc&4W~58fl+a}i;d6rqw7p_6?38t#XSG0fP57v;RPf5NdR7}Totu&xT;tv>P%lKcCn zig#0pW99(!yXr#aqcCPn?6j-*xc6TdRZfr*&O~qP9X~V;#xwjkO)vJXY040r3 zz;lT;3c=nVNgGr-L97lYg#B&br9^2aCk223Hr|2(%S@8ZkoYQ&z0YE6GRw3mgxFzz zN>YA?Qht(BLa3o8&mc=kWNie+zM|NgmY@ROH4@=9ML3;!iZp?r*G&^ND~r2Z3%bej z$Ulddf^Be%>1%r|_oy9b&jVy&na&b|KTt0aMI1%C5cxMP_$M%psmc0AsjW3Iv0V;FGin&<&BZ+T!3NWGDf^ z4ULX;xT=PkN>i??piw}iQIM%#kf~9SS}Y+9jq^qlSDTB2=_@suaD>e?^cH)#gJIg^ z%Wou=O-hLsJ)D3iOeeKu?B?+46IJZ1@)=w!xE<9a9n5DSMFI`WeQ6#RMgtTQG-}h z?zmw(hl3$7z@<|LHv);3vq^zmG$65_J|<^4NUS&+HSM<}JLO^#C}I2r-$QGeorlZ) zEV1s)Z_EZT8Ei9?6{1LhmQ<5Y71aAtrjIk3y*B^=R{wMI4hQ+L735^!WI=@GR1|xu zx_z+>8}i`Fc;H7tv>~jQ2{)jYG-8%yNd>|Y*5hIDcx!uVvzt}xG=9ZvP!7j|Mk2%U zNJF^14K%C-%l)LHIahCk7!j(c0*Ngk`$0~>M#w4fSsj`0lb>dMPXd2FqMq1eDMT<+Wu6^O0D}! z559`QvOWw;I64iVx|jJB=x+ zVqV%zx+P21 zc7JhKL}=BJ=rvo{I`3~Cl%ZOi4m%hE^I6KB=aO`!mB9 z_-X$3kCppY_S*VwJUw;W=v?jN4n4WmXRgg2Zcl}bjyz}C^8wBOEK_!`Y|LZ?=SJ~# zSAU1M-0fH1`pj1tx!9RU$2c%9TJaKZNP$FYg>7@~$DHn7eVVeAl(l@9E_vE*@=i+8 z9U-_T%@noW%^nTYO3fb2RUe*r_0tW135P_(JPB&z#@kjwXVOB7{{+*}>l8x&E_aq%vhcprR zr%Q4H`Ly}k=i0NgvvgaPyDt(Av98`)f1V0)Ne{0}VqM48|FlJw?!GYmh;^0!4@V^x zMRR6}R!8u6D%U>D!;Z|0el*&0LhgrY-O;#^<}->5+0^}AzBRdlHi;k#zK2`T_SZGM zi?AS$tJQ)QC;#0VnVO8ne@iPBBlvJ zLcTynM)Sa39fa;3c8(trFH}i|`o9rs8z6I9Qgpibq2B|TckQU}Te?w-{Z?{blRffC z*1KKpRNqZRZJamij)-LWd16qNl~L_B>MSv!!ZUcHT-ZV`{BF%Og8VKZsZO#`iW$hA zaAs^ud2)wm8s26Ig@|w)H0*N?be|*tRx4JuX}K)Ll&C6za&~S7zjtt`Y%Y3$tHVmI z(|oq=??ygv(t-+R?Cf?}1FawMyNnjtz0C zNtHE7lMJ7a1z1N0ghpnrf8Jkudvr`~HcrymAB^k@GL}4OeLGn`U=F=6bi9_EFr?me zzZ!2bJm`2uD{7x?sWRMWcI#;Udw8?QPR(ybF302g)Wqo6Y(6Qm<+^MM+-rMr1> zZNOpgt>cOqh`!c4fBY#?oFd1P=Hl7FE!DJt^@!)Gu{El{))Z zo$EG>)urDfm2UcNcQdoJ?_z}~JjyHl6}flyfeSA7URfe{{i}O(qq#g!x+j)Ng?EiH z$JWO@{%)dQM(VbUZB1uH?Op8LvPkW2%Si0*8=em?_3@{6DV}0twUh1liAPs^pP`w1 z6Ziy(w6X8ApRP$e;8o1ma;62=rt*9L?mdqB=k40p8oz8I-Vrri4+^WP-10x_MzzGY zK!<<3K-hrq)btg-3Ei{K^ng{e`cWZQRde_lli2ZIMyiR#*>^PWokOX zDNU=}{ddW}`J*d%rPu=PF28A2N8+Ug@c7?0%AwfM4bg9v)ao8Y~9jZI5a z{ubH2mhbmxasqlrAn-e~+l~y-5lZ=i_;^fdo-A`{X;2B5W?4kkU(liscO~HW{aP;r zvtx2x){(UTH{+qUg!S&kv;76n?8+A5fpNVYb2Ys8{bp%vKjF3X1s#Xhj4F247(3$d zEF0;YZ=>J-K}FdLhuplwKta8~dqMjpZz|xZ;ryv7DbcdgDzR=(S+Pk)Mv_;bn3y<6 zJAE-ZaG;09?#g&leTpZ1oQZ2WqWO$CLWzMKNx>$8_}!;G?JX!bi5?bsT|j>5YgOIh zFcT)|nRe55m`lFtOZ*u&MkbS)i*r~i|9Hmjv^OQ#`dPifor;Z_H!DE+u0S~%qcK#4 z%VTCF>!nf2Zv@Hj7*1ZvhIv=`p_#LZ-%P>!YiFLb5aCj$C9E6u%RAwt`ohSYIc8jO zF6~Rr_U_Fjon)ycCh{UTW+q1i4tp4-l;SBS8w0@2HuOn(qHxabj|=H)EzRo)JQ5v0 zN8{zL0Sy8Nq6m?DqYK4056N|a-HQ`{R*e+K8v~p5BkepjDC^qRZ+{nBCKe~C>6Vy` zH0{KHW(ssNAu85dfL(bMJrW%q*4aMp80XPDVpU1XmGun|ww1P~d#L(8CR^Qw5XW)(f=SadJ3Zp=~%8#3}*f6v5B~^u-=*K>tPEM zG6GEemam)KRK$mB-8iW!nfuf^t&BtDHAgd>8lE=eW_~{A5=gi8)tR)|7W(V=R4SIx zt{0cO#!Q8xb0{7P12{vRLPq)!j4zV4zl`)UiTTQ3ghOGSobWGHkEs-IXRc?(7pA#W zlWIT!1%0CQbAE^uO<)+G4C4qCpYg1*w%~3@x~g)4$@RuL;?8wFSX-YTY}BtA+p0fg zG20QK%gTZoreCP3@dAT7cPnvFHnk0pt!qxTfD{H-Q5YU#flVnDNx*9g1fsz2rk84%MI^$&-ry= zjFq{jK2x&S&fb_XFfbKpurP+ue|9LXZszP_^ zIoR0vKdF5CB0L_>F^TRw?(iOm9m8`X z+oVp7qzy)6{{mb3Rq41t*8Bz8s(LY?JB^kUv$LJ#-CsNf4th?H4Q~AAX;Le(OW)Ei zw{-U&L7smXFZ3T<9M|+@sWu$;?T)u&t)r}UYxyoN53f4k@9x}E+tx%R_jZb@TXL!Q z+!ikna>wS(#|mSm@)6r=oj-R%Jhq}dIT35qxKPb%DLB(|_qxh|;!sZfmKuj8#F_lv zv`%7fUO=Z3nr8Q%z0Qt4##Seiay35ao7)&D;VITS48y!OH%s<5sGn^-V}^Q zJ^z$_8R;-o4%#E;V61u!9UD3P*A$4aGkZAR433|NZ;~htr~28vz>v@%SX{I4rRQ)w ze{UjNw1_O@;RT*k-Zp=R!(1a<*u;JJfzwldS{zl*vi>FIC{+%v`NFC^3@MK&@vI@9J;Q zq$&xSH=YyFd>kaUf<|HDd} zjhHoDEE{M9*oa|IrjMxd+9ImQ)Dfl%x|p|Udn*ZWCGSiJ8U+;mo~>oXY-Mk|d^8-Z z1eFriF*-;L9FGt;Ls=(r&;^_hT~48k^FJbHMnbcx`R2^-)hk8^{JqO0-uEtkyUe|r z2A;EQ`x;=Lv4zuv>}?7o`&3({GO940`ZxdJvV(V}ketE-M?3i;1wp=hO_ zPStdrPJV*=g$Q5`^j>D9v!YRU^5ktdc@eSPCpBG+k$(f9v}EAC$0eE}F0ugc7lIUV zoh>pmP4rGzJSEPwXjfoPqTw$J5yn(Yrh)FQ_t@Bul9;k(Ip1UHvx!1gguZq^_X{zS zXM()HDkyE5T5mV5_Sgj|%Q~39gzc?8z>kAvYG_8jex2j4dClE1W5-Ay&zH4ZQ=Us< zJ5iRr)Xe!i;PNx~{aLqr_m~yi-M>KW{R#VtvmEZ+6fbajS{MU2c0%P0WUiL#eNj47 zH}kQy{9K%AVCiRPBP=Q%J4xO+p^XSr2fbfHzmelB7C;%&`p4oNR;(rA@AD|izS71r z2bqpe9?`^azi!wrc>-GJTtI|SFWABety!DBipy9jc+*Iw$*z#Z2ic0 z#~)WNM`m$FA+0_;=s6c*YWK)TjQ^!JU1TERv$OAWRK9h?;Z`})Cw{*S3&o0b(upe; z5}Vm>t$di6`D+J#mrc!#)A4Tzj^%|Oy;9%Q+bZSu%~fGZ$_`h{4|-~N^fDG}Zq>n$ zHR;*oM{kxjp1v#(QY(MNI(Wy_P}CfNv-^PL{jvaiD%Pi;DCDg?!~u6(rYN9_VGTw; zr*sttQ>A7A&D5OE`+XlIxSX*$mBO^aSxIXX&CUR$XfgH@;#q~v2E&1f;R38bT_ZiH z>#DKsj+~sphLUxMF<5=>hR4Bj$oxS6y&uMTsT1?Rc~pJ7=QSIb0F7vW?`B-kifd!# z5L7R#oKXGTdUpF&8>)ABIN2DakzrJX2GtvPoCV>4c7E*jQE$IrTxn)7s17K?X{ONF z#bE?CIH^rcK+P*htf1!GrA+_Z@c*~T%!CJ7>n2^j0Z`*-M*_|_GvI4=mqnL3>%O5; z>##?t;;9_DCV{AJm+GRN^VM>+dzz*U{JaGD^?r2vQ9vGSd$>hO=dLlh@G;8#F+j;| zI~GNf7D}{+$T!{4`Q6phFT$1*suH6mj7I52QvXcH#jvH#9i~ndf?;O1{*g<4B^k;T ztj2lG!&|IdyJgB@$+9retLAjj*wXlUj7e?}Jv>cW17SO~m zm&s3N(7dPNa3l6@EBuUmZbSh!CDhZ7WG%F>9g{3bzxXpx0<&Old)5EuthFTuY93WC znuOM6J+Q#*3Zt2^FA%#6SDj8Pm>~dDKCaS_+CuQ&N^GmKbXK3)MO@j+<~-+RKQ^T1&ay#)0cn$f0N1W88Of*}ougoM?trdf2Gc$PgRa4I55b@0gqc0U}$h>Qc9|m%QCs54$ z!%do4BjaZuUICa#5{`;7*Qzp4pDP>usD{J*<3zFnYcKkyR>N{RQ8Q;hg0jPswcVf^ z9^WLpt6ohxhuta`0-ITbWe(A(`D;y5=Wfjmk&mII5yGLf?oiOOtVU+Vt z&qGV_O;2Cn9jfaviZy1xsgPis88 zvc5UOo$590Noz}y|A9zL!7g3A1Ew9pukEB`G7Q7&wDSqJ%|CBh)MOJz9X4}DwUb2f zcZ=fIf?Zp!;ZoAA;>ehtMC(ivVp)c>v)W+!iO0$O$Wj0CNVVFHvAdaOi8lMPp_|!K zkr#U#2a`&BsvaRviT)VYzG)h9V&%Q&d@bQq{QCWvQG;=9A;3w0>ZprLi!G!*=kyxC zO@?y!`(qEKipVWu%yC3pC1or~vl)d_vpKDuQmZ+xJegCfRy&(7e*Ur#x}kOd&U|5; zALkL}W1(9X8+Z0N?TfMI(YaSiChXYhT3lrj^sf}2=4FgDb>{dBq7!86$c8I9C2Yxo zOMgJ>`t1yU`7T}c>L{R1_98Q#TWA~V{dcPwi|G{7MtS94r{T8>zptEV(t z36p{{^OVY$~`jb+;kzh-{Eq`c9=w@=*<;o8G&7e>6;M~Qf7U}Hgy2;DbR%O!B z*#xE$UT+LD0utE{!hYcu4=yjK?Gy)=HW5SLi!}&waCEkPA z9&2JCd=tt9U*vOLTJMW7!5jmG@tpc*58`@afJZ{gOBeIJ)pQwEm4Ol@2Ey<^`8os; z@tk~a(3rNU?7P2h1rH6=xRtT*-HbLRuMe7O$e{PGGn+LHQpj)NK9k#d#DilG`sYw9)&7}PR9#MHOH4Y>;*&C<*tB(%=7;jHRLTseO^XRt!E6b%$+5Yq zik_TQoQ?~1=d0si3Y4^$>pVyi&$3EDSlx^t_}gmhpY;iQhN8RyxHifru7c?y8t5EG z&AVE#9^R~eZO3(se%@ytotal_vm}pQMz>vS*(FSC(5ConiB+|PVACzTKQ;CmX(ut8 z>-QQY#q~Cv3vw7ae8r9prRBx8%XC)}j92ZRH~(eY^dfp)H4ZQ02MN2~5S|}8nN@K3 zg4HxA5k0VV@BeI%u|g1X&u7t)Qk!VXag2_kwSprWY?}}kIdF&dVMHym;g21M*^xol ztC?9@5KqQ8c~#HVK#q2k6Zf$S)aAy{CFl$hyuWr*P7Un^xr&rpo3ibsTJ4_*F@iHn zQSqCs@;?sO7nytN6(=^cc#`AT**sr1u8c38<2CHk6mlqIe`wuI10mfF)0(V(%B0a` z(y%FnV<`l#V>zm<4I26qzGU|FL|OlABTCaRtjLg_MqKunq8rp^(8iaGMaySs-?;Af zsB&z5r$McArb-bMJcTaCsG25gTq2pZK)8^q;bA(bw-XUm!9GQT=zs0N_$j$g+jhWo z6a9&=p3!(rO#^2}nca9rY?S%X%n5v5X}m`AhiC2~Ez-%E^E6E4N!9}R-Ai)4Sehrq z@#@L@W;6I+?Z~wHic#u&n&$Ly%_>DU-ac)# z0oN#;FLJb#%QG+C&Yfm|5_Y%TBuYj=I}saP_f7{st1s5)hJ%mgA* zvl{8!Wq)VCyS()E|)A=1kddL{q%u*TmYthafaSK8F``VPBt2a7Q13Yb_LjY=bNV((593-d6U)JHSLGbqy2yL9)?_DxOa(pN}c0X6Aq#{eN6f#7vol}%*I z_Ogemv{&2AcJ#R}-P!RkA&1W&m_<;sH$)rK==+ZYOO`c0Y2p#u0xyMf9*&of?Ix_L zi!!E9c_vKR>w@d8q36sJczEL_Vi#R^<95cFa4x1W9+3hp&%n$HhxbZ zVdeQrl$yO_f2WA55TW@wv9I1ZJtv-u74tbn1ih#9Vh;VZ#w^@7RdNLo-ihj4NC;Ju~PxZj5 z=5=>={D4S{26?|@IHRvHtoo@_OKri@I8*sN?_1M|T#hLHAp0z$%KwqGhrGh1LLV?~@*eB8&0QkrFvn^juv-b>O*HW-&n#yY^G zhsyphZpxE-5kZpflkT>+xTjqk2B5h>&!BB^R3MVf#Eu3qT_DqWTSqf`9uZr> zEqb7Ea;}u5Ujk1O;VZPhfkCFW+`T{o7?5TK%Hr$dpp=s`cDtOC6v!b zdtlIRL-7ehT#3A#oPT=z`!a4REJq}XL2B!OrB8#7VB|t+zAFv7-Oe`ARi~}e|Kkq+ z^9RwAjCi{U17<)>xLxjIiCb&is!q;|v9*EA`B7`V@ex;1`P-jbP9-0q07i{XY^EBr z&Oy?|VcHTNF{}N%yYMF1_*;>^GO0^yvo;6#1mRt?^3@X>!h~A%>3;HBz%7N=RWz?! zWyH7^n(+_WIVYk9>P`fw}_;xT)%BxG_|t zW7Fc6_-&yH8*ndKS$+l0qhc39jaMLN!4FsqMMbKh{xQ+;1`$7?DZJ*sM-seHn7V zqHyE(R*KgY+$z+5rmgdVGULkSi^Fz^lO z-Le$>A@~Y%FHL3$@g^&#n>y_WFKtiuy-&W@R;I_NZE?*PTe#FNU@?EGDPV0E2i+dV z@=CVp?CE@na70-$J7GCla<$5h15CSJU}HLX_gRvN?50V(oL58$?G~4QMc|bPG0&H6)U6tM1o0O=_)TJ{hi}5ooD36Y@1NR+%A)Ql(&0m zWh%SaN0mI|5V$udD3~9wXUd%8cmd-C8u%EwU@olO;|BbkHpM2jfbebx&vQ`U4|dz=qY@*~ z)Zbh|f{Z4@&Y|Ap$jbhT#&pmi!Q5y{aDI$dcT6`-M2x(?jTiu5r+oykTjRLBB`{m0 z?IH-HWg5U}1xN>5(RHT8yJ+_ph)at8o&4>K*dBTK+px%+mvun5|Dfahvs%fmd0&AE z=2zI#GGng>5wGK>sLR@2;>T+-e3pmL1zl@oRh(Linzy67jPTBn%PI=Aa1Hd6TU06b zmd2a6pDN{(GZVOcPDawkJ`mXR^Seo2CP&>A() zfCFy50p)PiKN*Ey=EAY)w2(K~x5RLksZEHv%`81F1Cz*W2zq82#GEVCSrI<|1^#(I zjR?Y%F5lsHCisX(8ATG>w}lL5zh+4dtpRMs|EkYO>aZ%ulVqN_!2mW1Dohlrl|MLg z^~Wpxnz8;}2v@U}oMXx*rzf-aLyDgFt2^~=>%uxy`F9!vVZ;>K4gqwQG@lz{U5lMj2@lYu!@jMji_qTT1MQqBn6rciuM1r{4D^e@tOW_kQL?)CUpwDR0QaM)RRr9L zfZ#$453QMDknN#q2S}c|Xii6`)$~#`zAe4-_$4`M;`&b={!H49Z2{yGDC4ad z=JoTyP3r{*Fivo5zgAW5bCh8tG4dcTLu&dWW*E7~TJSf9jN-4F&Qq>@oXSJO^U{pl zQ(b#Wj+WviM3QLraOu~@Bn=rpL&{=t^N>rU>qiHD{F#0N$v*3X?H*Xi65@48d-+R} zoyJHZqmBRLOL)cHZ?W?gvbz)zfAfLOWM7>)<-n`1-7|;Y0CL$Ka}Vx?8AugnP9D)V zA2|5Ts#~}@qxJdr<1M!42R08pFxIctCY>(vbcWI}erjR1gN#V+#>TM$(-`L-VwTZd zbu5qp)(;rsICat+Hd-wyqy#0UvhdkV(;uU?y8Qs*?4u~=OiJf7S$oQWa?jt+Lkw%k zkor1`%mt+cEu3rS107mW`UHdL5OZ0QXU6(AQ&O)KSo&()^xt8ff_)^nD8|WQoAexP zc=%~Q%w#<`_K#mw;RPHH`ZV(W85%RNZTE^YNi9(hvJBnsY0lXiv3N3$0w3Eh_P`5r zZhOK&|GqUGrYA_e5vpa~-XKR1_YA-^c753BG=VZTydlq+CQ> zb@F0fQr})iM{OSqGd=Y76h}80;jc-%y@TM+SlofEpBRw4=p#M)IxD-MI7K&w)dTPH zp=>Nib;L9O2b41_&u1~ucs|1uiav4vt8s%lK}8_Tmg8e3K8DGIP=#)KhqW6jK%?W z+K1g~sP%dm0vG5|)9bA;e|~yE z3(8V7T(;y}$-(hnc7+EN)_RHx zl7Zz-y%K968PozF2iR28+a@`G4&J2&y{ba8tiwFoOE+JlbI$+C1$tl#f{3BcVqZe; z{1CwK$LID?@EcGl-Z;S6>fScD`SX8mIp^TYF2O+z2Z#A{zi9;^f^ChMcO(Ej9kHsD zwc(wdbH3vEcjcJI)y9W}wo|F*k#%|gl2T3Q#a49w@(C4`0t-gM4!?;Th=qpA;|0xu zZE%5|HjCikvQQ6y(S|!z1aQvMrky(a*{NU86E^~QV`Wpg)f(t=jtxe`1-@E_;dj`R zR7Zqd;X1VP)VbP-ZoWWALChV9R2u40o^zgE(e+dh04u1WpJ_vTs2S)%4{7HM)btpW zuOb5T`w5uSGM-m*tvr6PlbJv72txR!xH^d2DTVE!pbF7j#5j9U>wUt6PLh0}hl(Jm zm~$&YuKe?`QvNje#faTNVK9po)HW;@7iU)YX;%0GE}9-kFPT;#T)l)_cQ( z8&bZP8+emB))%8Ql#x{WtdADT{kZ$o)n+EQDZK6vydd(bSAmi}{=iry&^Ydq7QZa8 z|7m1%Hozkzy~q34>$xA^c^=BP!WoVRxPJU@!=<@-;GA@u7Idci3}!qz7tw0i@Gj0d zuPo_$@)ZP4LaXTq&9)ZqZ1Uv1LmfJGm)PKGRM4wUSQGgQPcBK5kL?RVD^CIEumUi} z*ol5ieC?lYm`6W!24@t22C9&k3;=k(Ec8wfTJ}V^vnzKX`wVH=2t(NXbI2V#0{9Db zJk-z~dKG|ViV!_+!ik9DqLqLhb7-}Ka$-4N%e&eF0pJQ~A=pJX+rbt( zpEm+H!X4;QOa#_>3BjMNR_^4j?QL^`4ixk|>0jE_Rs{eHLv@j$x?%`mg_X_qCTn07 zG=;Y@wF}Ck#>x2>p)Uyo@l4t&EeNg=2;%+1_CTiJG)GGExn}Nz<1CC41|=@tu8@NNH}-vl zo=gqG*>Kjyf#!+Il}y?P{tJ`Ofp?ezcNM$Q=YHf}Wk;6J&EK=b=aon;R|KU3@2Uds z_&GuNh(PLqVfDaigfnQtW8uM45}+|(x|ZEri3&P{ zt=CB1OtN>J(hqc&pEROH01pS;j+z7gRT^&PFY26Ap$$OG6<&RRMg|A^!w_bN3lv4Q zRABFY8iaA62eNCh`8IkJV0B!|^MKIJB7q%x`zgY@bimHcAnmmJZo57kElGPq>N<(&v3R4ydjm>`9)c_fHy z#q9jB!=74Gya>f`=ZI@?_NVE(-GgdQyC9~63^>(l6?E7Wwga7gSf#m11cz!Bs2bBd zsM>GgKiynJqqM~TVzt|%2cRcbksw^;qnUka=Ke`=mURGK7%Z=~wESmheY|Tq*isk} zY*RqSf=?p6xD4)56C5IeqsoT^A$txN+kB65k|&uOFKf)UJKsOty8%Cbeepj2QI$C0 zLWBhX1hXb*)5=PLC8!dT7+A53w#FGboSc=RC$X&!x7=x{GB`25lyEJhy@a9X;>$Zh zkeo`{L!r5@k@c#*D=_$F%MYvNlNz3K(OVO=r z{w6oTsms=6d#A;-egwc>Y@ccpkg>+@R&sJeKW7Y!Z@_|idx^YvO!)Su|BNiv$=C(@ zR&$MvuioOR11)LA+rzBp+j#n3U)R8E-hzGpbOWl9u%fP`Yf;)95N}yq9RkT>0_jHQy7*AMD7K zd<wnz^)>f9i%BZmHP+&AuFx6&Ewz`!5I4rIrc@uLpnHVCA zt(LvBsJ&GRz(nv-5$4fkD=L>Aw<`UjcSNQV@kLH8Vx(S~bivyMJI~h6u~FZDNK|4+ zGkktKl_k(>W)Twks7?+a&znHnHL^?{8J7Z&NC zj}ycVpEo}Y|6y=(AONh7%fsoq`GvM*YZAXTet>_w+k)vh>>v9rMy_b5s0yLHy}4J+ zc6-rIh>~aZtHV7$S;YYMfNQF`1wl|*;TD5b*jV)wNT>6bB;C9 z6E4e?QeIz-6qHG+w$eZnM2X7jtJ~4J43Xf`klEk+p$K&|YjYj-?&~94sT8(0_^|v1 zEf+HYY{mL9qDQklkAv1@E?{`?Po<>=%DiKygUyF5|Mr1IJ=w@G~%zr5?UkwcG9jwWeRnsr9%Mw~ySV zckvldOM8DYagBs2lm6Vy{>Yc)Dpv_0!CRH8%c9Il{YS_gJp3Z{$0htnnM)-d%2vL= zZ-ZKfRpo@t7!^v~+Gb;B86}!zOLh=|8SB!jGRA8eZkMA0W{HeBCM|<#_w%9aj=P?H zq8G<3xy6!FsgD4a{=Jr~nth8GCpP*1@~$y4?N=&~X6C_;Xx8zhFyjF?j#F%<%AYQy z-0YkPmt1YSMNJ_Q+HHEtHZt}tG-l?a*%m2(%ahsWhi^*OoaH8DeV$wODo1EVlri^r zl5M`3Pi!}xIA`e~b)>iKu8451UktjpFyx#{cZg)`R023S0sb~AWtV9&i8hPBot2_Z zPa@aD2RSU!TWxi)0K?y#PqdT#7$Gl1idYB5Olql?Mn2kykY;2+E zZvmAUe?Kik(WTFM6jLmItI}%2)mZ#eISu8kc~ny@dbzh$Rs`#^(yY(9M&X;) z&Q+>BVyNz{tg$S5KrKz6d38AWOH}H&>AtEVwfxJ3=JhC2I)uBt+oSQpG<*l6X;-^9 z{^t2CY^0xKXsw#~LNm0LpJBC=z4?F0&hh$g|>M zxe<*Bl8+xLmAo4UJh~GPr7Kd_ehWXRt`Detv4`ai;Jr`X07F7QY$|n1G=GS6E#HXb zf)igtj=T=vh^)8XI(j%pFJ)C9Mx5gebAP`l)z0pUOa6^qhwvDB@%?Qt@=*9;Q?642 zh(NlC$bB|TO-`fBgkFagHGPt|( z5bufx&&VA78kxi|{F5ppM$nVReJPW6Bw3b4!8C&|nRttVHO&LF#d;jv^XE#u@#0r> zRafa{e--L2@@efy`(M)duyOm;ilcZ}{PiQ=CSwQ?_xEjPxZR|waA{COks*G#|Ml9G$XWX^0(M^X4Z z<8-(g-uNRom&%0KRl%jg!tTK#u3y3Zub(S<%psGVRR%YqAFT8AiUo7SRv)Qx@VG)r z<;O?3yg%g!2!1JmzhFa6d7KgXUh@%C{2+&BVcSu#<19s!WstXSWSihsw={&KGCb9j zjG^vmM*g5o=9Y5(~qmfOsy^k0kfF#Grt#H;T> z*PY#weS3}e4+&Bl$2LHIW&+XZ?n!C|4&Mqm9u@K+PLzTpB*~Nt@E>GT8$=n`8gEG( zIJpR6$>}qZNV8ky=oPY-cwq2i&sIy!JIpTNUQfdsAnPX9T4#+75unF+GDw#98|>^h z>Q46wCD%D0E1tho{JD0lA!m%m$T?C+Y5hU8e?}6u)~3RXFNk4jrhkO)cUZpqnfSaB z5woTFJ8T=8$GKl99rZO?$Eb6pBB+TzW-FHOgSj|`xq!(#BeWgmL1vO)gzBqRu{aZ> zah}qD0W8MUuTpvz39i4RBj~hhrmcKH19&qei2jrJl;^*^2_vzOVLoox=X%#gQ? z(S3RTY^AK;-1hv}N}Gw9Q}IU5fm!ZOkYht{6PtQcG7LedU3-#$+pW6JIoUwi;-@BI zKOK}J8u_#4ietcQ5QAvgSe`7saIH`*i_+sgTJ{Lm)DN>6S?x{n z{K=IKpB*ORT=p?Z1R5N2cy&hm z&H_DGq_H-OMDw2G%IU__yV$BF{(>l~qJPvvbSg-lE@+2{0G3Q1;3-HVKV`8gXTDm)rAFuv?`WL?QPw9T?fi3^EO=}JpE;SJ=lr^NIcBt1F_6IkoG{fY#qvis|ydP;8;Y#73v>W`& zm=e?rw%}r#aAgE&gnbimye6wP{=QBs(@6L=Ip1B&sTQ0y@mfRkNy#=a+uC1|#df8F zQF-#hg(1maJ{d)XX;Qf%hu#ho^`qLxfkIX{v7Ey?>~P5e6U$`6c+O896*%O8f6F;3 z%sW6uqs7c63Gb@B@jEKkHc9C}oeWlO%jX}2|C|YuJhHNbkKYa+6?0JL;WRF&b$Pn*5V1i|QGj@Bs-0L>G4UNf?HD$aDFm!;` z@C35Qt5jr&jTz{ei0!`HS4QWKNNfiu#3`8YtK*?a!Py-(4{X4Cc!KL|yUaIUq)C^g zR5FRZM4t&^q+rc<+}!e|+`;PSI7K^iZv1@|ExHQXT`D2X&2ym7iLogAV|m5(J$_BI za3G)j16}Alaoayn{i7rXOYc*XdZUG55qrE_IsUTqXZ-V5BOmRC%dRe*zwb*Tnzjyl zQs$3S*tY5h;DkT%9a_CZqN>S85J1Je)r*>m|CO7ME*tC z<&eUSE}K~+A^ONNt2u-+G>ZxvT`kdYaha1Y?ciq_)tcV{$<8-9^S-2&&joD8i`Q^- zC5UNf;E~%DF3kez3ESsbWI!L9iGGHPL*8AzcM^+3XmoW#GrYoAPwN$b!Sk=S~78u6E;B z)>kJM?7KVD;?Mfo5jp}(if5@287wMPtFpS1aF7E1$3WL-29b;iF#&EX8Vs^`3U%EQ z)7tmHDM|g^XZogpKyoC$qes+8|9~j?dWq#h@ItA-wc0>`DAmf6YE2Vq{=m zcC!2umXlljsN0PV*;95*9sm2w)motTQxjKO5RL)qd1A4d+t)!CPo!*Hp? z8zBnSQFtR3`Ok&uAX)^I4p4&Pjqvw?c-p>+@CD9Ekb!suSGq{qMTkOZ+w`5G!y#U; zFPU#XJ0Vk(3J6fHXCeG#9bER03!(nNeNWiQ9w6yi*VW9MPOLk`Q{v5482|aR{TuNl zcn%_ei=qbr{-b##PDp_NxZen75}*jtIaAcWDN?q7zfY)xiT)xM5R+fXKlb--=UZ34 zjjRz&U=lLp(d==<*5Q;uc`+hLJ4v|OsYfQHHl4|1c3xPm1n06!u3HaL@RJ@WLTM^p zoMhRtg6C^o5W-+hQ~7at4522}x2#B!vfJO#g7>I&TN$<1MRl~}o>#t_0sddoc>e$wT?+?NCQAKq-UAzfGTZ@F9W zpMzu}o|FS=U;nR@cHf+;^54a=tiFUlX*o{3x($@cqvqfNlRq$oSU&*mKc1_SyKZ?# z!cShk%Wm6FH$0;+kQci1n9Jb}f5f)g553sIk@t9MNrIn5f_lx@Eb!-of=+k~T)EwK z_2(^ck-#}f`2StmPz<3Q3~25y%t+Z)ZyjyPgP)u>8c*s0b{uNDn9aZ08$gFe|E*Wh zLEsm8bA&_!w8wqx3@ZS5`X3QX0wn$L#_=El-u^cPE%1|R|J81*>nh~UO((PvD*sjF zuVDBtoT z6Fh&`eB*4mKpuwQa<6iR@c-A8DuMH3e4u{lolft)&wJ)qi9=F%SO0%07(#a+fuU~x zs_)i;`deKpxEUW2N0vKD+$8~`8l*NNGj5t zz$1b1vb>Z4EzL>F{-1(zUN~&ur-vY0L};j~ZWwiXUwxBk}W<;h@r*$9A*$Gd!Nd*7>AXykFH*TXN`PA~W}H=Wafba=>8G7M!!7H(ZLC zgV!PMvI)xmBmG~dW0n~vxfa9-!YW42#-V7v_k^G6j%J<5;yxj*QlUD9_wj&JZLhS1 zp8ldVmh|lOy~jsfeTUr5qmndy@O`=F(@WYM`G}W|cY7STtow|(GUztZ0zBT>fZN|L zE!@{&9X_d9PuO2RZlA{eA#GFWp3H$3!+FK5HxY>U^A^ zQF0kat%g$3lEr_=9=C!4(VX>C89)87|B5#R1}9Gcr8tGp4;%PHXDFpmG|-;cI5Xq| zD6exw_aR8`Une#DC^>077WvR)5H4wPMo@C66FQlzPgD^C+CjO0e}q1J73DFEl=CHe zBFp||H`V3iuPAVA6k~9H zXGASdLyeR~tGrXado*9G8zG63XcNy87JnLc|U_SSyRd^~!B;0)rhxSI{yo^-xC{TYfE+5%* zp*x1B1WQWP#j*Z-%Etf`iJV-sg7bY_ZKV|c3y8wKbs(Ao4QMGBTv09Tg(2{YH`8X@k6W~g#ZM&G z*57E|Y0ok8$*$w5^;C!MXNAA3A~YuXTg>Z!P;a{&e0c!jpEj=Exqu3@h=j+%ifsAl z*^_XRcKA1>?v+?lucwd$WC*n*kF)7dXcc;+ z60A0!>AS)cv0{bm0{`N1?YVx zUsiq~Kq=9kvgy|?K_w3W@XEBl|T@Hh+d{LwrW6OY_sB)3`ltuJh zkUZJUiRsvY{cVU5F+65{z9|2epXKH)*KT%q%#bt*MNKrX1mB8Qw+c0YP=Yove_IJ( z->w)b*14dKbxCOq9(q7WZzXIQV8w{g)F4(`hYm&BDQ2>EzUB_<6`tn~mYAWqC+1<9 z(8oqP7R0<9X?~C4k-v{$b|Dttg@j)X?)C>#Mu<0#)Az*r6uyGG)v2WN(Mj5=fo#i5 z!GZay1dyUq-^AJ5Vh zj@M4z(|U+8mZIJ|z)zx4`{-^lK>bU6zDWAbw|gdetQbC;yUZU*hGhFsa<*=yrX)>X zYiHtqOe&CYx<2xct3Z{I{Oy2!qVp=b7uDNXkuZ@dpYI#Pc!T^YwL))T(Xg}Wd!|#m z;5VZ0D}G~&$ADv-b(o!?*SVa~=2@Xj7N0o8cppAloIT6h1p zs=Y(nK&%v4DF6Eo>BrWLvJtH#w5ET&m*|b9&JcK|dRUvMw(!T?`%Z$J$%tm0gafla z+}ZRY#3-A8bGb(4({3h0%tU2zP4_PPrO_G~{;2*qvaZnTI30RvevH@mO5N02=K^6UnQ0lUBk!<3Gx zBhlgds9v9(dmYQm%(V`{R%MvTch7ldvkhds>l(}6`KvXHwPfi#EwPm*cysi7W&xUy z_%ZmRl|CK{`ck@@3XY7E!_1TGKbrBGG&$Ef?}z{Hx@&oIWC>^#Nek%krxiU`>d;=A zZVbD31_zAG;VoD7JcuznF%>Z@3^>U#YPq+J2Se#f6L*W@T9TQ~TE&j&Z;3x_!0AUC zBcP>UWKJe)Qdk}+N2n3`YaFLA2iN)(@&;!fE;V@PC`(5!Ld!@NBHM=FhosQsymAM# zSdR*%rtuD(tXnTnPIA-bxD1wveQa#u#OSghaAiX<>RBSU@;6Fcuw+b zw@8v?oj?v;yQeo$on2A+deDe!P9LqM$`-@(X8|Nx%zQ*@)s-2TF{C$ZF*|L6ob+qw{*>~kLqSkNtuEAFJy% zfx2AlRsWe1-}x$qhKEV`oH5(G^na>zR8OaFn7(rPd!#p>iShO zkXAUx%Je|h+w~NgEN6+3;0!}Bu6dZbIvV==;`##R1GXLp#sG11ySAgZ#h0gyFrh;m zwn=*@!T3Si^caCl!JvEt++z(VA)7ju+cHakN>86HLnNsUd=fo_U-?>);z5^~BQ6oA zfM7~L#x%FRo*=h%S4S=+H@&7uwO%7BH=>-S$?j=?w3ry?Ath`Q-;w|HYf^$KEAu}K zwl*8;-N`uC=j^-bWew1}yEtCkbWg_zkpPfmFs?H51)>#>k0A$lLHP-&&e!aYb8iL8 z+vpMq`F+G*a3{#uD(C2m&gOCBa^2kS^nxVruNwQkK>nXc?b%xfG{KZH3Q?TbM)MX| z0t@+l1bqi)*D5!zz1`Czc}y9?lyFP8&*5l!g)%KvM>vaUak%yL#9GQ(m=Js6 z4tjFBxf~ku9uGC(-5J11H9#!6fQDaALkxcZMeDSvYb3~@+TQB<>0j9_zuSJchW2GpQ-H^aIWvVE&nI?a4Ri!#6=aKeM%40s=f!%{YSzx~Y_1W+cR zSb?qHj}0n(0kLgcFTH`t3$%8Jc70T~`qL?BGAtKS=Dh0vvsL^o3nXw0ULKmp|U^6Ef$o)UP@0m#-%>;X&}TnbEjr}j%`<|VVOHL_vRIQae(GH z>@+Ia(9OT(@~wqA+mvrQgO!>ci7Pv7WeYW1G(Q58K*tv{Y4Dlq@~*p{y_En6y4w|j z)Av(S5uP~1Bat8t)E58Un&=%=x34Ma3P}swuYMRrXSuVJ8imj)OEV$(Y=5h_!xp%~VoR1UU*IdX zi?IHLVV0o)dJ`9A-!AgLJ@0t?`Brq80iOPO_#6`5)QEWk7t>UK=#n$!P^)Q&23 zTuQS!c4u~IKe9`JXH_*u;{7nMDD!`GW+Ji8AyY~t+S9Em{F?FHN=oF5);oNI!PL>Z zc=pkA={0!BF6a1h?(_`0AMk zRoozPT7JM2d(kp%N1xE@ST^`ODEg1m8P@PDOI{LI@maYkfz-2Q&%jwTP!lLD&V zBe@}@SG>-loR0h@*^1la)-6m2x6Zs8adh)> zp^mWz7_E$0@QEO_4KbfRTw~vkvJQKrGWzGiB#+Joa^Rht<@dUDopbsQOp>9Hl2qon z!N%`dq{y@=pgtvXHo)!#a07WEbHpm&Quv)lE4n3 zx|8-iEprDjGMpfNxu~nN8U&wy&g{72HcPBRqo%R0rCbuk(ZURxg6!AC@TUwv?~5}t)q9J>81dk1v$UBetG5X_Z^(lADjsrjP#@1qp~1~_PQ@} zQ2~~wnW;1HCNU7U@knh!rf4uIh30E$EBJZr^0~YJ@l|RvWzqjU7p)NeN62xe1-b5{ z@?wGv`btLEYyTu7<@STmP>dWvy(S#v3QkC(@7Y)lz`xn=n)uY{whEn=Fxo4H?%hs^ z7+^jo*R?6f5J?o*Uj4TP5Z6b<&(S;CII96p@3EIS#7h6~=;9DrBCM01BE3Bv_FE z4W@y#K4wJnjITesc(KuHH+=!F^`@pJ_ePWw*f4)~>j++MZxO0i&C{2(K>k6Ri@pw> z$(8#%KITdd^0YXPA{p>aMt8=@^Zhb(=5B@Q?4KuEnvfY$=2v6CDE5`tkpcf0E*^)**xADepuc-{OCi zuStb5xnw)WBKTD-KlJpV3ZopA`d#lmSSR7u{1ss)YOK8+F_)0!cdR#+W~idM8C_OB{T!1Y zjQMJsjcnLZoyl!S!f<6#^zzA@U5%C2Wxh3;{%@1*=hU^FL$`7J;IIrDs4a<_V1vk*^@FTNILVlH1JD-O zyYcu3I_X-BZ_l=DB4q(JptH20wf_1;h~LJ->a)oO%We`+aP=;&`gETxv?z2FKY@^T zFsGL|UjRxJ@FjTuILv{HBIvMg0||YaDm7lAncWjl&C#opUFc-KiH7&`b$#%Pyy)8{ z>hQA8n>*vzU`0Ld4-o-tYO$VQnwV!e!={o-E-~t#nKABnw&h z?e$F+YgZW=mY@j5$a=?p^fTl|sBteKo536bcqv0KwyRFmsy$aQ0aX~y`|E1d*=oAO zh_$v463=a`q^Oxr9mz-MyXM^ocKq_D%PN@tob+t+w0cKw*;cfVl3;Ktq3KgESwUDPQ1XeDky8o4uh2Z?ckJfm~n?~-0my2LC+re^7YZ0 zL{frrf-ZopNSeEojnsHj;!!Ozp%_=#Ssx=w-3Rd@P$1qjZNN_bZ;pYs!(_IJy^h0a ziPm+M-X_b^Tjg@w|AhuL?4Es{2W$eaHuIh0^X4WyE1E}*ojV2)ultw{u|a5W;!`A~ zemg2&4Ojfy<+MNk@>iO5B+vQ$?r8xw9d=$k&jt6ZQvDU)BiQ*%l@$BF>^+xvV!@+? zPXTD3FFk6Os#dcf0Cn^z#Cz-Ui@lbDqq4O)jwn|xGTP2Py)>)Nj$#^4zroRpewx94A5^}z#v?4D{-cE|R zedhT4gjU>;T}`o7yQuhRbnBbX=SQ6w^9Gp3)ocWey-`tgYclQmj8XoJoG#sUE$2Mm zu!-|Itr$gu>HLLn{536x&|r{jMa8674S&GZzcY%PU7ce`wVD1o9bq)_5}m()WZA9z z?4Z}w@DC0rT>j!M1^x%kH;>nu<;WREgtuLU)5oal%ELxDleKWhdN{rZ%M!-Ro0zNx zougeSkUd%Uz^kX#WRHKKgClAQbMbEQ{&xr3&3Y;?iDH&Z+K=Hi5A9F;c|W?kO|1RZ zU&;5BWnh|S+l2b&@$tJO*fznlioaZaFuoxpCbqE=E3O(4C?;W|t05B*qWLs7M5!w| zR(En1qCC&KZ)Yaq&<-67zJF$VkBf{ zMnUm8fil!2=h01iqBiFB>{OCwuj~uWy&TCGqT~`A1#@??HtBvvH@*&c8?WwIlBK-m zVVh4GyIilkGKVwzFU(Yq@WIau4_DCs=Gj!M?I}g~ue!njV|JN3cj-yS3%Lg!LA> ztK4aEIf<LecDvXqR8a?nk~?i8{Vv|!U`fr gR;;~1x}vI~{ENc9qeeLjy~Hc_2Nb~HR&H$kAGJ?P8UO$Q literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb b/bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..0a23d29af69f49eebbcd5ea77eb7665db181ac1f GIT binary patch literal 33501 zcmagF1z23mwl#{oLm;@j28T56?(Xgo+}+(FI0SchcX#*TPH+p5*V)}-j+7?_9zoh_`5i9WF~6EQI} zGP5xd&|t@Mm-bJ@16|Gm%iCcr9zQ zNY64&T%0o1Yri#F8ha@#ua8L3OxMIo$0XFy&{%}kNRv@jU8NUq_KSdzDB0t+ZHaFA z6vNVgjKJ+z; zINyuXVZ*DP!nu>Q4|mXPt{`Sxrbwhbk}J3r!+63l}N$WJfi@-<#-z`Y5b75D6F9 z_&J{~`JZX5`|UXHe#rhJ`25rn7^?dP#o@6cottI|OKQ5}Id%<}d}ySZv;>JbXv$3d z2YeEdS4=mrV$s#DNOXq|g7s8|Bo|CAFWB*T)=VpN5~d8H7)n-o{UJcat91u@HOr zG<$u@p%P;iCOVH5-S1~H@UHkN*V9i{3@w*f;Cy86Nh2*|)&04AaJXyPXW17=ZO6O8 zNVt%ESFgJtIv>644_v(Z=Ih)KvmbFd zx-@d-u8S^vH_lHzuynIPGXvWa1GG6J$gdA)AlSu?kxD}pMu}W;Ts69!-_v8AV6_;1 zMh-@txgClA-M$OjgT#XGJNr#^!w+_6+8*j2^8aac&=H@c-zhzb{@rrxp{rWY(%U^! zcU$(*hpW>*vpC+KpPWcVu*B7JUsc}~&`W2>ex4igU4>2q|5rQ80rz+U*)H~)TFLS~ zubZx){*x**wCJG!Rv}@xa5Z?;m}yhua~d$!f3=!y&fI0`8=ij$*XMEjo^hF;R}68b zwQ!fzbC;$BpHWNmz3u93)X6)79py&vK>(O}&tM7|u+Q3p~D)r*;Gp5YcfUVHU|v+tT=i!*q)}T`sUbpmaIg zpP0B-R&4k%eqhbi&{o&g%v@Gzh-2zm4=3XR)UvwTx{Sv7XDO`GxH5R;6p+KOctO4y zVDGh$yApTF=3Kxt+r2D|UBnT`uT%TT(|OLkCEw}zHaV9~hta)XO;d_@#xcB?8jm6G zXP)XHKzzVu%s|_mX2@ypyRTk=QwysF!77UJEX-yzsrn|irtvcm=9x8aJJ^q zg?Kqso6x~~(&qRdwTD(lw6y)6Wn)&s}2xi9y9X)#-lw>baH&GRspPuH92Tt66i z)p&&OJ%=7|dq{>8>-?PCa+6v`8b&#^2q^bbsx{+Jx$B})f_{X7W4o8F@{g%+sc&X1 z!tO%1!rRLrc!ypaDA(^da+W|eb)MEa&f4%BD;|%}Pw^lg2qjN#kDcN2Z z%ceQ*^Py{Mzx)I8eWvU>a@P%9KkZL!tOI|Q-Q?kEoN2G>TRWo{@Sk$kiZRFDV)o11 z6)9CP%kS60XHSQnUQO}VB|c)6>3sz$bQ47fy3a zQjML5JjkS*%=@d&^U5 zM8c3)?N;r|MCSI!@8#u6>0DdJ0+)l^b~;s0V@I8Bn?LBCy&Y@zQa2Zel(rHEKrab- zMmV&rDFwOquT$Dp>^pr|@y71{Di=1teaEwB1TgqH>?Y~1EOp}fkZ~>CvCV$ZzFqoy zcI&$QC5zUZV;Z^SK{+;{ZS8*a%;|2kptC#w!Vue);ZS+Wee+C{Dna>!WzFm1dfHFiCy2i8R>NUWheS!VH%Oe#h`S~WJzwrIp;f-hU6c)R% z+FqCMUHND2S+#cbqunx3Oqq_JFXzi?){97Jquy2|WNNgko>#}%&KNkg&x*bK4;n^#hjQe5vVQ*O(`G{~4= zm-uW2sKIgjc-HDY^4@4=b0`FCffxQ0(CfHBlh=q>4Nu&&z9(rja``AUi|sP4>?6Me z&)cM^A=}ODV%MnM;h^RB_%|y++?&<%l=e0=Av2*hvN7+<*2sm>VgKMb^!(Xb{Exv; zNK?)!^CDfaI z=s%A;I->l3G_kF{eM-kfuOQh{oA8#gE2j50@y#q$sJ`?Yg z@5xtVZq&_YXui*<$&9bNch%hOc<=I9Z>)WAyeD&+RQ*;Q^bnt9iXN4SkiPTSKir+H zTh0}RaeH?xw-W{(+Tl*oW$UPC>)y$$4ZZcOj=~rt`4013FA>4LF;7G`g@v zrY5?jiJqAf0H3sV0nU~_O=Azcs|Py+nCu)roulHN;o>}7!|z@W89`Rl$VmF0^qp3b*dM^Myg41#_fKG=cKnn;BAk=_R0YV7~1t8>rkO4w! zCPb~0zOn9ZvF)_8|Bd=^;A-OLj+t#@Aq9GXP&+K=v*UW7bZL-k=5&l!C}XQusB4{8 z=hcj81?Wwre=0f~aTPf+avr_0Io9;39Cjh~> zZnV$j=vr{$ANE>yT6fO-?(A`}Aj`57x-_UN(~+X~luZ_m2Kh-uWuE0q9uQUQ^-B?+ zZAXg-A0>I|yOeG$t{bi_t|9I$u9Y7xu9L@#2i5d)Ft_EO8lDdIEEKx|OFb%bwBBW9yoQX#A_(Lir7#XOqM z)~zv1Nif!v zZh>IwFCTIQq8^A_AXo-~e*;m!za@g68zStV7l)=ougQ-Q#KYog>tf($`k-8k*VMtv zfl$HKkW7_qpx@h@Kk#!bf4~#2KGq{Gj>m`pXlchPK`XRc)CT`4qgu!ozYDC@`Dtx$ zX{TIP3%cv@ELtm+Qcw%pXV3kM-mZTcqdE$bG6YOH4k^me&Gog9f)1D|{HT5Dekp8i z0q4Ea?n3H7DPy>jo}m&Y$3qRQe_jCW~V+7*B&(_;i|BIAfPs_+zbDIP_fpK>c9;fD&-L-O3+O zV~9o53#=6^(R6Cep8kn57hxyKZM~jySijvcd9*K(l(_av2sorZQQm<)Q4SyZC?{%0 z1Ne|d13(1=5r_!c51=x4Xl(jks0LO#_mF7;HHH>=OK!I{D@X>Ff|r^6RT7E>D@Cla z7E0-%_0*H3uoms_t|AOl{hg_E_wfhzi+*hs7Ch+ymhG5Qz2gTD{+&q~E&tN+3 z7>TT;eZE3Ccd^h;ghFj*ST90a-Qw-l^C_C<2VIGfTAmJ^u2VEUtYiJ2-$#`r-hLv< zBDxZCyIdXDP=O>{c66yqF1q`;+e43Ps&xt);ncZu8Vt@4w~ZuY7#=lUR{9VXcRUCu zJQ(xi^6|F2u54q{WaYpoygoMyb+x85H~pM>H-biL`eGf64m+BqEkGa>Kh5K?*Sp!z zBZj0L^_41I_ww4AgNd5s>WK!LP4%)u>#Lq=Bq%8d#-RB}1q`}zRHnH?59`cNpjRgD`qPKQTI=I{uo5V4ve#w>8U)Wymz_0jF50@7{uoxwBjfgQIo?(^9$B|=BW@h zST6fmHPyRVL}i61_u_0I_f17-0HROE9b0AtARDZx6C&+xY`7Ln18hOksiNt_&(y{; zoIUG(S`LX-Ct19OW;zKT8~Yb7QaQX$G7b2ES@UW2sc%FE=++EZ#!`+~gap>EqAAWr zQ-M4M*)T{?c_MP&bLbe26qGa2*p+L?)VaY~nr@{_d*8r!QaL&;U;EZvnAOQxyZ)?Aie>SD>r_@(+Pe&3)d03Kfi00Ef-ns416CQdTw}HI|Lfit z{X_k|EJW-{uMTI0HrRsgzVb){SOAiMPe3pNK@S8i5YzxkFV7u?U1}h-;5$r|o3hMV zR>>cvBp%$&Om>S+U5_=khCUN|F*NQKMNiqD(QQNr<~OL8rk=wPZ#WS@kuz>If?l;B>mq#?oSl zUG`Ng)Y|GB^lr4jZR_!cAc29=U1*xN5PT&Kz5G%(-3G+tgGb^*L9R;pufW4pHC5Xm z_d^`BZk~{;_6DI3=Y7KU5)6_vV+c|5Mopy?L;M`hFmFuQ-ej9R!EL48Z-XfP_kO#2 z(wGy2WwzzYDoZN74b0_jY`V@;9jC?}r@|eUe7eq=Dv*=jEtgSC8>p2QCHuSJsan|* znG|wlvWZ8i#iR3K^ZObD7B^%#q!eE!2W0^9HH9ncL4xJYDtyux0rUARRn8-1I`@<$Qw(GgF@=huB8e=&=1b{+x&yvBc{r)#@o>{I5a2IO6X0XY#L7lhYY6k4 z)L1_p*HlKCdFM}~^%f5>1EC0n0}u&7)B!OE#0?N=eZ?64Vc{P|r3aV{NkUN3KSh1j z$M#nNbaFEn7$7YZ^F|m)4hyd5=RY6V2rVIs7jw6h#Z8$en6QBPlQR^fa8AK~kM658 zgE59e?#M<}fdukO6nW$&p;9U`ykizp)VOpv3o|UO2H1^KSpu%Vf(bF0two|W?)D=sYR;ol(q^xZ`(D3gQ5!( z4)-?4wPhm8VMNFVTV;)Akz{8rMGg3ik-C&N1(Qu2m8D7v6Z!_yam`cFWHw8(jnwEM zZ#;&78#tvh))P!8)s})jl}bLZ%$C%NMoXO7mn79uAke9d#hXOqsLz4UQJcto#4k%C z9miqgE)bhm97|^!P@;w&vy9d`*Wl*s`ziXU&1K=IMg@&SF@4viY;smsocU@#t~6E9 zn_}FvPhD)>u}@9Or+t&8#IAW`tjesut73GuA1aycFeWOQJs}At*zbM3iQwZ<73m4&`*h`&e8%l;KcF>&V9g^;CFvm1bM}El1k`N7ZGo3y^ z#o&HTKqR0xr>=o#lSOQrIjH}ktzo}F$3wtKO@hRs_|0py2DLewr%&_GMJe3s!_*2xA;5?X+~w(%-H0B6wQ%Q^VBTK3YJdIig~Xe(yP^} zbak=X1|!1JN!p;*L!7$e7V0RRSk)Y`2*DfCOPb~(khy{Qm}t|ue2vY8>caAq zJlNH^DBrIknn`h81i!e+JF6Sa#$_1vqAVgm?1-to>3sZ(?jX{R=nT~Ak(UePm!6>0p&4w$v zxD7Wm1rd~qIVg0#cP&6oR;N>9v#^jDGgCH2-3`Dac>$^GLgFAYn-5IswE`CqB_Y3@mm@nD<%Gcr-II}(&oZf_|5 zWbLuYije^XuJN%`QX+|FdE5Lmy_OmYNZrc|u5>H}ydT zSe52@@0b)$pADEB8RAY85mN%Dw1xq3d`38EKKqQBo|=L_FfIKB#DT!s8g$F=09_~b zaL|5(5XivK27$N%8nJ>4De9}Ki9;orTq$uUE#9-=BJ8+Y<&j%AJzAQx4GIuVmevJq zmPWJMd>#tR<(H>vTu)z`VQ3(hytlT-SQyNldzZt@S}X z@Rs+i&c~>PXjaRGa@$v;Web^Cph2?&;L#?9A>-U~Z8YHgcQqRA*9;6!48tHrCyzFu zWv-{M^$S;B52MZv2o~gr1l`KtXtwxk22qbavp7U80u!S51~Q<7Hv@$|I@dWukzo4` zq2CZaI(eSdbv*Q%oax|WUTyFsi!gj$>T6Y4R0wDeIa9q%ukWslJ|$lh)-lTxdQNsr zMX2CNn$BofUlSB>#9uz-erxNbYnCjMTI$2tjd))7U7Qo4du^gICOp8f zx>!=dtH2ik)HF1O1@DMdX^A!5RB3_Dz|OG?*clB1JEaL=Co~W2fZJ7)Ht*`+=fVSN z*-8CA^f*%m_x|s={vLxW`&G7qJE#t|do=U)XjfEzv*42Zp1#CZ@?3G*kyz|-nqk}6 zsWi%|^tF7tbL*qGKD;f;X?DY`%BZvS8dI6)oG1s4g%WrhrHxa50y|sNAH#f~lib>I zTf$I8TB50Ck8`30iVk(`bA$5*>uJ@%^Gom9=`|+{UfmkhV*RoOLv0TSG8%kQ%1``b zLSslASP3X;o!Buy1y^FKQNPk_1#G6%T5P6!UK(&w9(M4e2QibLl3~-H2GGCHICBBd zY$p4Eg1eiJDk(mQ4IF&UUldZaVySxNW?Pw`&BQssNmmNgNq4cVv1*h>d`N>ThH4)? zUC?)(%ECE^^;hq0jY&!>`%a1>NTi)~RBVerj*Ol`o0qNX;r_PAaT(YaKUno-H?V z!s}>dnuuv-lIv?_9=K^`R*Kr#H;DwaSi%jIEDZ+!AJ9;~_G)+;TwKG!S~gCd-@ZOf z;BCC`-E*mp%=O93lmb;e9iHbZWe|H5RQ1SY+Vap%AB>&ZVs2(KMjlg+Q+l3^R&(iATrj=gg*NpYL-RF6GH~7YmJf1Q=uo`x$GD^!hq^R4QgT)I zmoL^zzn0QoFpnZ>TO7$cEJdi58>Ky=JFb}|;HS(_W$kC;RjUp&Ul-#yj+1>pF3I{l ziY=yF8Dp|5S7w|XLK5_C9yGg}cYLW1*{Fb@Ze=d1CAf0$ND(z7{wn7k z1SxJYrWS=87->ej=@;WbN}mU$pX+2o8R-WGZszkzvA18H%=g6Uzw91-P=07r{mVw1 z_}fMS~VVF5Ml>NSAG?X2wbIODU zS{Q#EgJ5mpmV$7N^Nu^U6c5FjPRY$&X*$Epd~fDVyU2lmp;r38l8_Z|>dsn@_X9(1 z?F-?C68I9KwwV^saf+y`dGhZs81@dXjMrNAd4k(nawU^(;$~AkQs4UYI!^h>EO7w^ z3^AZ`R=n{w{+NZ4&--D?0%!H^*_j|V_E~fPy0(JG-Aj83fhNv*QDfg;xfFZPNW%Hf zEzIsS4?zN3E&+1#Mcx6y3)PK0@D#BG>G?Ivwvh*b?2MgP^jeOM`Q^oZJ<5h2xu2F2HH{W{$r1z!Pw9su>cNH-_tNioKq-M3Lr;#9YGtRe^C?XqLIEAhaDzcV}mhXOyzeE9Fcg= zw(s_Mp>XRRzF;#k;pMV)ajT?BK`i&uFZe z{BwD8rB4Zmp!?19u!-Fa_<>#e%IkDp#++xf2D8x2m6YYrtt6T_p%DY9EA{r}H;(ol zZD;dF*uB(sKCNYGhQk^y^VP2i^CxPnuX87Az2|c$1fv@XO2%)eckp^gnLgB~LRUNZ z#d;8p(;5JY5BnJHKZA2*xZg-Jq=4O53GPVBG%<&W&9}a3;w+!|Nun$t)Ct0@!Ndsy zuE9&69kUqO?vcZ2*=`uq=&4CL{*Y2xZkzzgLdSD0PC}MU^#v&qH+phPNmp#u| zcMa?U1aj)#3UwcSbeUfrAH-e}dQPxbCuUBtdOK!LQWdM)^$HvXe-azM1D~WddcU|B zyga?0qp+yX{y^@1yk|8|{AMcXpJxAw&i1TkvG6O}vQvv$WF)n{j3)C((Q7+f%j-RT zjKRD<#h(0rOhV+XlF&K#jp~|xGLfNj>or>xtKijG*fu>6$a^Y6F48L)y&0l(Rh)!%0kqE1}>50D1097tcdB@j~Roh zh?p6JSW)O1BJl{Qe_4?cfYxYOpcMfcXpMsS4xh$XU3-^YKUDA@;+sx;nNGfr(C?5q0&=g!s&;+(VeL zleO+JmpKu15LtF=MG_u%;J*;%cD8G7JKKnlJU<*vnaPM}60-KV+%7;wTOw1E23JaT_`JDg)I{iYr%d#b)Nks7X9`@nF*1thiUURXl%*F)P=FYejCu=sq095XjK)q;H4^f$+HqzokHikTM~FA)_N=LKZe4 zTcEg-t&k=IQCJlR0qe%WK!)%HK}Qz8b`;ssYy9p{6;%MLkR}fDt*#f$o}(ZjZTRSuR07jU70c zsGzI}E)i32o0d5#D2zn)F(ag>G!C-xY~JV^2!(fU8kF#2Ed?+OegBqpnA*R$TSMk9 zLVs8=O=cg5!6_mXwU^soq$3L`v8=9|!Zgw=U}~W3N!NWfguHGQvjwzxwj7Q4TiUWJ z@JU8nRemY>w6h`rPD|QL1{udAU`z>tu1en5>i+=~r!@_Rlj3v#tUy1aSU*22p3G
kHBGPXoIxo?F+iJYNUac##SWD0$PamGz#M5FYO0tp$00;hE_!`bcQ^nJAv zBiT-AR^=eI43S^70*wIQiKg*6dq|cy@gfN*>LOqss0`|S=&*l*x0D6ke?G}QKqI3C zfzQeuP%LrqA5#2G$FGAR!Y|Yaka9Sn+_+Q zk3hTFLTNkxJduAL~;g)AW_Id)}= zb2?yLQO~1(psDLJVbd@(Oe=aIaa9}2zu!gtVhbhZZiBsEYmDB6zRSI&X{@Twx^Jp( z-gA5NmVg7vY3Qb!33z;L^eFk3^dC;i}Z}%iW7a($fzd+MmRdEh)}KYgP~0nu6M3AWXQ zVVSgXYub#DnamKPZa&11+STMB%}Bsww!o#ss^MP%IfL

_Buv8}UL@(?geII^P7z~#q|UT9Dh>7VYf?!Xb>EUN(+jq(~;cx zrSux9{(Np%xow(-l3Y}T?oC9^TLHwSNt3`OiQ&y$U|Ci&?ZlQ^2@5!-Sz%C#co(=Of6hTrdzmg^K3y8dU92`*A1kL$7+H%rB)1M=`tGp!U zNm;1;`3SW$CHMH9sbxruh=k#{M3!|H*7BcIA{tsuk@E!>wxnE#kXkl0MLVS{sVL9Z#;dcIQqm zUk-!AEGOYzjS-&x)4>`xjVfPPaRkC@HMF|-W6FYV1OPTZb?_T*;moR%+z;ToPT*J6xEb)=`VYHU1Yb{ihY%9eZS3R=VkN(|sZj zB=lV1d<7GjYBti+2s%HMZb*d&(Q}G&XW*!+{b!!!XQNci+(~9)AMp6(_W_hRv<}(m z2w1HNQle2{Z|2k2d!kd0-||RPokx!qatU>ud=N%I=&(lZ*D+vAz}@9XPQ_BTev1rA z(q1CZm-P2>ntiS%S96-ZN*Hf54x*lkW#HzU+K*tsv2@T#jbev6c|V zONcM#=W9ED<&2)bt5OMn8cBS*8EwK)2q9ca#N7{-t_-}0Wk5mg=mu%(uhb+|{ z-5qYH7;l1htr5CXAbc_%rH?22VBC2t?TmqNt!rzp^u7`JzLaA*jEKRRlKr6@lpWMh z6}v=N=(o*Woo%gGrw2~?+XS?{?axl84;$xwz~x%HLl68ig9GVwhKJq5VMKp#DoJ(* zx2t8kLq|32NxyODOAJ}&&fLBxWIQQVe0s4?22@FHQ zVm}cKnj^K-rTb)?SI|^b=u{sm?~Ly#??~+`hf~4JX#hXxw*r2?Zx4hk5Z+ld22eRA z7wGCMzN7v;PmA*3<6Wt)T0UdAE#Sie4uP!pK-Oj27-&)Nt+;#pp z1P*IYj#m;cwT>q3p2cWxrEvI=T&wB}4g20J41r8R;>Lv98wi^XJqXsRao9PUw4bXJ)Q2)zfNB^pVgLsOm(;UxV|smyT6}M zW}JT#z3olOKnP}Vm6taTFpD37kRywS&6XlT2V@CTxQT{KUlpLGeRn5k?o}#(Qi&aM z)VP42sd!&p?1GK{7}AELk%yX{FGvcI3Kn(ChKs(E{(!U7O2gV$uVNl_R6YYUt=?eE za?4mxNbNiei%icjxl5KXR9`$f^?Zqfhb3_@qKJ-Gs`L%xgc2LFm5*HVx`k?RuNPxS zebF7V4oZMc5*Y?;_NEl&=gtO&ykteqNO)-$2#B8DFem=~0I1WsZSuVQx@={jtuakw zD}1p7<3ZX@aYkRl%TW>Ms1$sLltKJj;+zaqQu1DA@-FO+L9Gr?0o-`_H>KIn4w>3U zzlg7NIc44J2n?{g5Oj>!fRBYV(c9+t@X7JUas%Y(6}g=*G%+o3_+yF3|D*a*+i- zb#pkR4>Ia~+o@Zmi`ehPjYZOW{V7jTM&YgQo1Z%>7dJgJ^`$^CJogc&QacuzziA4!8r~o}9lZ!SK z0?xH>42;6yq4+Bi4s=(hk+zEWQh@%!`tg3)_cxsim8Uy0Gm9wummQh_>Szvk>~9^g zs9oy&_eUf_59r`)g6BB{>DZ9yPs?d<(o|a_NZDn~hm+mMCgyxm1=(W|U&W0Bn0uT1 zeJGN!;~GbOD0(KSzV>u)UYH49Te^Uu3;ziDnZ|!wD(x38OhJWSD^0}`2fXSxW9sH_ zMrAqufMXSRK6G)OWLPHPdNUzOfi;0rOao!b*9YTkzhV(!pT2rTD#&4$CAwFp=n*DC zPYPEDh7&gdn~3s*^?p~UXG9lgv>1SSgP`E&iH8jiXQfD@AW6$*i5m&(`<)LV`k|Si zy||j!j~Og{=EDp8+<&ExIv&If4$Ll1fytlmVPZOHccKo0A`D69mc@TTDGdZYTw0#O zFiqZ+2$&rU9K}^}&vTkQ-cCvn#4NUh4@H~@4Z1!_JnWb-9r_qT0W+wnXfMROJb({9 z0{sH*D-pNi^fZK`oC%mT8M8oIn2BJTKd=U1PAIKID~w1_Qo!ni1pc{t!F?Q~9MvWW zN)Au6_!+sh&-w<@+Fvb!(MCk>ELpTcXo~)d7c{~)#9L`i{>lGNNq?YEljQG=tTXQcu4 znQ>GmtQ%NgYwZ~0Cy#dbRk(cD&uR@lVJtX2?wECcjYK4%vN(5##H@lnk+xBFU;Msr zjt?-O!F=ptr1!w)Khp?E=@|!6MCO3deXaRQVF|4cZ-Ky1@C`dRNeLT-mQ)|4yWrRa zq|&I6$!`Juqn}6vD^!ml4tNq|7vWVCsL4&8ZO=MX&)9E5^52AN)CffP5K#KpbkU$S zaYG;`#0fyJa0I_(R3<+?!8>NZrAoz*{HL%8J>N8N!1alvLB|Biz{mE{{|@K$JDl3z z;Rfse4i_vAl{8Ap45BL91L89}GY+CzENK8zO34HgX>5Vu7t9Jp1s{(FM@t`%#U{F_5fx1vL9?Edw;ynJK>IhOsAan(v_%*_e`O~~e!1YC@ zKsuIG=;dZ{X*Sw52d#`=j%5>)^>sRCP0xZrtLT+Tu(8W**ie&BFXnGp*GL*?Jdn12 z)aj^x5rx9p`cYOs7C+a`yZaKHH8j1zx-G867o^;Y5Wx}8nVC#!wTo5~sYWnKTP!Xj zpLLzE7#@;>@TIs2#<9a?NsM4>t8@X$TNG2u^)8L_uD*UxQ+- zK$|otq{UYtm!5?^sf^OAd4U86rbPuE84=<=L_x9#!kkGTwRpcU&D?8Q8LoxqRHSIS zlTrK-jUm{ayd1w~=`fKFMP|TXF@EH>#1|F*{6lg6@gFE`OK~mMivFg!)cTZ$!{5u)t ze4#9tf{jAi_C=$(n6DeK!n}h~U_kQ0VwA2toHhD6Kb~S!z2MWLI6uxQtT^rcN8rRN#f5|`7m(Li zP|UF&#{QWTWl~U!5=x@V1*13ybXfr$3dQ)8iMn+0`LBP^yV8H3_c&5-_gX0jKm&X! zJ3ag-*zCdU3L$cW5c!K28VhVoHbhkUN45iq1^~O|ZNmggT54~ei=`Aj7Z{b)Su^PB zUeE{AV&ktL3>_wtS}<71HzDg4^TAD%Lm=uUThL7lRY^?Gsb}Hp$+1m?zv8iwGYm>g zxb{{o9Q$b$68Bc1T?T2CCWm2rJ~dko-bw5dA1mh@NJ*h6%keEV^zrYaY@+w4Cm_hB zeuG)Mi4VPba&95nh$!Q@KW)X}C^`j=ZL7nxs(5Php^!4;z4D3?d^4rTcLRv!*-DKo zR9i?WR8u<(bXKi^-WQSR6@NID!8ce`Em;O?iE3*$`R#>uC(8JOwz1)gV^`z_bkc)q zjbNI$kJ!1PsoIuk1WB=F4trg;%&g4h3*DP8i4Ti=zKncIra=2A;tL+ml zK<%V{HK#kE;Z6ZDR^l5JXh!97_Zqo90)2`rR-1*SW#rwL_)Os50m-Q8eNBuEE-^w2 z?$y5|)Fll-bpI$Jmdyv<6AI`I$o2zR43ow-Zzslu`jhP^`*ZG(56s7f#yjmlH>wie zG(4pK@h}-C+czMBbvGeGdS}#69z{3?;O4l zqoDwv$izQ+4ErVg&rFYSy+_8gzuZlpiUW(jR*LD;(0Oh#crqjKzWtAk-(?gCZ|-Q^ z1+yqG-1e_AJr%P;{R_CO5eA6$D6&HUQBERVP5Yr)5Snqjs_zD?(#vNqH=vIh(! z?TAkNTW0(L9dcNZD1gzbrK=5K0jU)`jq^n+WcFFEmcWUGtUz+!O7lqN{=tG0>NS9q z{TcjZL~7}3&0?NL5$vV_KNf&l^Sgq72UR`#J(Z(4y14F|B9i>s4SVs!V5(?0OmRUS z%s`GbH=G-$gqRLv#Oi23B?OKKb8E$9^O=yqFl7^iM4#|E-q)TsP!a zZZ`WCAE-gy=VHVN;MFBY`uWjr#`y7WOm;0s`o-1uuhmapH~K2xsC3;Brwhr&wo2(m zwn{f3-JAW9Mt&lQ$332W1KDK*02lQQayh|iwniqOr-wj9=Zl6~!PZh@F5gfAZOQW~ z8IRlzGt?D@FrIwmN|$y}_7Ll|!)NWVL$RSiO8e~d8K{zG$Mk#O5yr!^NsiEjAaKjG z@j^UX5R6~iZOnJr-l%t{caml=#2bsBmz5tggY8`8`(rKV6n(VV6PY>iZ`B29VWyFX0KHwFCP6w?1h z(Ey}K{uf2!KTvS~rhxvNg6^Lv>VOm~|DuTh2a1osDZu}xK=|(z4g-s=2p5C7J^4wN z)RUzY0y^}Dt&9CFOQ@qbf$uif$9C~{4aW|4CvaxOJ@H3$64QAa^b}j8z{PL{OV9f z{g|JH|A@ruId`B)6!}{u*3$fwNNl_Xip1EzMdGf?KZ(SMBcMp6{Fg|q_$QI5G7S`o zdjArMTK^;xX>x!`ZzcUL65IbtB&zTMMdBOv-y+fHpG0E0-~S;J{X8Eh;=TR%+!qI5%}Dz~)=!tcMC4hcz__Q}O2Ny68`nn*D-ujOUUR4M}-38VD}JBTlMx zPdRNRo>Je>&QfV9D=wlIkX7E%3?H*+qfyk37RA!0uS9BDY9MP_!rnElbfN9doh58*@im{_MLcmaMzUh+MlPBhE@c zT{k%a0B7UV<1YpeO9wlv!8ZNCy-1bm=~!gD0~}{$tEc$RP&U>gjJx{_mv24`U)HjJ_>;AS7nBYTEgHV;a! z!U!3!pIC!+0@}5D{Vz+!Z!;cj3oM#B|A^U)g>N1gqJJqf=PuRucn0(o6-$meruYcf zZmu7q=`O*4^jj@QsuORov)7HYeIi zJ15G5V__p0!|GG6eZgl`9kmuT^UYmGJ%CxF{m(XG1)k5(Rn|N?QS5f@$Lx+dO(u~AI0i;47DAL+^a*+ zS`?b*V>a}s1hk5)sE|TbD7bZ^lmLW6;1RsYQp(Vd6i*;m6e`?T;)ZL5wWyw*%Q+gp0~-Hu#a=CQAQD z6xg`ZQCEQ>#q*GfgcdfI1Vv*yL~Q1i06c6hi706uY9PA6nVSs7jLX(A%UGn63qE4! z6AKSfIq?rECXgyAp%E!1{s@RFTtQ7MYl4g~B^w<1h)B#Vng`CDl7N?%o&b`T?uBJc zC&I-%owt?$JaB(0^fMNplXJuSc%}E$zvJEQ_RAXZmvM)w&4@o4Sbu10XtWAz6!}KN zLpxX(b^n~%&ccvs?zP;ejwT>L%4zHJH8woUwppiiu@m~*6({2*s>->^(gQsT>s8Be(?Kmtgso7gdm$J2)E|G$-9wS<^~;<^Nw(Zvhq6^F4rzARq_`(g;WiNO!X$ zA{`1ycPU7BE=adDNQ;1UcP!Gq^a4w#bjPyw-_Q5=|DW?eZ_n(^zBjXT@7#OmzV~iS z(@FodL@5jf1Y3I8;z@DrX-n?ae9K*Jo-{hnq>oBO6}F0WDb2&Q&eclb3WxzZFPR%-6sa>ly+-)k62R$Jv`ENdoNKIC2dWfBJaUdr*^@Pgam{4&SQWXU zGZHLs4pvp&sdynhHvzB23_be#40G?vb=6FNNP{>IMY>X&&q3@jXX47!8jC83#!w^ zdspjusxF`_nU9W13sr;)oHbezF9`tO3$&9FCupgOEZ;jy*)Amb5)GJhF+eur$*){b zjxwME&h=KNasm`30A);p_bu0ZNiy;{(|cI}{43Lb{7yEeY%m~0Yg|7`=swk6O)N?}*Le~z3Y^tj-7X89HCa7)jRTehtjM)J z$;^IJceM+(Mpn5y|J3;0hPuq)&Q<)VR(zG{HIBg+6}dLde6;yC)@wYO{tD?eezz## z+-PNLHPjhn`6eJJ4pV4+QX42=|CJe|E9K~L)>3s+Yb9$wB;A_;E2qEuYA;ltESrZ* zp0+NUlyJ(3M}h&_R>vW2T@m+9ot^K1aH-s2NcGMDRh9Hlz9tWZ*=GSfky#PFLav?^ zCIw{y?%$(-aNVhgby=k1`mS4@gKa1et7093GgT@}up8#h;WbKe%S^=$X-hW&P$q%S~JfC*<<8xmpd{IE=5Td50EWLu8Uo?54{4+Z7 zRUK$n?WN-fNJtZ?guz+NIJ^!zuV*HYo@LU9a8KmX-PRPIEMsi(5!Z=iI5oe54o-FA z1<**%xhKEei(`yeIenh23%F$!J&A$fSv$R^snjVHn{WO`_oYkYQ&_^Rx6xj1Dx(_e zIn{%|{3}4F^O5lNs)j?+5GX7lkWk_S{KL8=?j zz?RvSf30DoiPM$0+1*eSRPN@vl~!0=HCjQ%P;;HB^hokGyQJ|tU&%d))f7<8jeU6r zhvq>^ngbCOm)k8#SHgJLt9!i_e@+<*=l0Fmr9jVX-Cry%G1gvnSnm&Ed+m)(f}Y&N zAHC@jN77rihSVo)owdIWlJ}Gu+LwJP{T^C*=7sE7gk5M<7*}7)gb~1(-_jeFNTSt} zp|2|&GL?@SuLEUZ9!(N;?7>6i@qpVGl;>qfel~B>mW;ra$a|l9ZuIxi6n!k5vtt1F z97@An+U*D8p#m$5>c==3*E%6(+yPrqmG$a0c${?vu^v1wq`X&!MI&u_lR0C?YB;a% zf_K8Om#sGM4sO$i!w|NJA~Q68N>qcLrE@(_hI9oUmL z=BvUj`CTH4Abe`zv=HSXj#iw<7Wr6V;oQ$PPZhwZ75zCngC*@5A3n zNL26H`C?mNUp{w@z29vjEvb!crGo4f_IIzYAe%Z+*}H%nzqG#G?fsTOWHr>)Rv9x+ z9zy)&Es$q~L~_rrX-L9h0w4hx#CC{od98Q|2TZshi6u8ZfUa20^OzvXoMS`h zbUMq<%y)w)2gsc6&dxLPLLM&jkwwybSJ_~IEbQeZe0D$ocwZa@L-^K3%s$)Iuy4FX zxbjRgKBdx>neegcjG6u*@oNkdCS^E)L#Dt`0F8EWRc*_LEWkL@ zYlw-(Qs`u7s#xw2ApZ1`8AW1B>C~MtYVg|{pm|0ONuHF8X#4cU5 z&xPS0{9KHqoZ=3|N&FHAPq^k92_r|1C7e@f-Ge21&D1sl2l)Ag=Zvml;E(qt;=NRy z2+xG`26B|W;yo7!A}!(ktl+#M;au$Fz1T+^g}`|m1tfy#VgE_Ox!$Yf>Zi%TilM~B z0v|`!bw)*t`=^+weF9l7!+_*omxPLiA$m|#q}f74-#J~yJ&mx`GXPmQV{1#FteSrG#Z)VkY%e@m`9v^9&zNNC12szTMJne9+_UMGI8EO!Qv#Wehhvz(@0k7ga+= z&tWoo*Z=N!w(KuAqcro50Wrd-r%G@Dhf@m6OOQHBL@`e^C6`aKm#_3(Q>yn&bu-^1 z3=q`|IK&5vhXZ>^Wj|~JkMKd!z4-@!(N;RR#_E8ssL_fep7$=4El8vT3ksz>#^9o$ zy+P1X&Yho|0Z-yT{8{ZjrI42mB-b(i1Nm_`g8Vh4xACgFq{dX&t#2|V*ZWWWvYEQS zmtPM0R5^U@osILu2OuAT61pejOX#`DkjU9TD)1*uRS(9ZVVj4z3+&6wmk+Y)>zdQZ zk2{%2@>|iEzB8g%3;o<_dKRn~Rn?UmEYE1vYOG>GuInI__uc}up9GANN6C_lep$Q^B!EKk`@mJbj9z}!N75kD z+aq!>d6wf0&f}5~i(u_VRay&xxsr3e(MUdo<|{S52Kq%+Utdw!X`OWq%^g-U1g6-rO`B-hNw_BF2{=|d z0@L2!ieEFF;KTWdjWj)mkrnc%?&J}YOXG~o%pKpbBgfBtk3}5SQ&uEqAh*|ndA%Iv zyI1RSuT0dX_=;=qrWmhD6;nB6*Ok2y>Da5~N6Od8`Rmfz8E?eiU-*G0ko`-nENM(_ zwA8Uoge+*LF!AF4PO=xQb?+_Oo3ub5U#s_Vk&D)%rm(gIIQ6=_lj+WnAQbGe=Bn7? zQcfCiyY0jB>|{Ka&f-g==_0;-NBBi*F7C2eBW5Nbj181^8p==f5c)(AiX&+BMDQ`-?n%%oA!3E< zzRek79QW2_h2QBmhMLb`Augqhd2q;-blb8f>Y`S!Uwo0v5^+Q!aC#F%efBHwvq++S zPIb~3F3o3PZcRSz@ZS&i3No!xl8OKt8Et}s655ngpA;_dPR>lJwfjMO=ar)bG5oe| zqTx5Fy|=KR7ZaBv+u6GggJNTlIOp^lnssh7p|w;Js4{!RkfW0BRGHUQGQG|uk1AW7K`Y4LNAV@)58m0I~03-R$0t* zPD%M?@9?QJ{Bh!jWF8N0GGJ{xCkDH=*h?%YG>RWn2&`SlPy_uG;uJCHEXANx7lY0U zy?&nsF3SK6I@h|q<>cqT8BH`1d~WI?z}2_21yArk1CQsuiMSh6b(eP-U!;6>QYd16 zjh`v)kE50_?GCvLW>sU~Ob}CZ8KR?Y-2V8X56iogDOhT);4DFGs%875Y-oqnns_aJ zB7zRRnt>=iAN)P>n2MC(;sZ{J`w;xeO1clJ=IUJ(bf3$N zQrEn0IB7*`X@)>u2ais~L-nO53sw_^)V>bUq&ICplZU!Ste%K#3q99kEQlxhI%ul4 z1aZ76OcM5i~r=!l9#>KH~4C$e9l-`PSYQ@;sY$Q`9<(JQQ z+3$Uh{rdo)=(A)n#<&<7vs6Bfa8(i-y#GaGwK5ea!0R5@^^#F(q);0Am0hW%@+u#S?vt~a(}+dQ*6};wmoZ9F z_;QJAdm464Bag&?9!xftw7!B8L4v#1=k{BSUTm95Vt$flDXhA}Zv-#Or(I*k>=$Z3GVQaV!w{rU)gQ<;=i>tjPd)-{2p&{cGHJW*b-+`KxVIfqO7 zqu_+q@U~Kyq(VlJtZ8j9xhI)IMazL_y63pEXfVrhQejUuG(0>jIDJB_;wM63!|Bt&PW(Y53ef4Nm8zUWl;UtV}4= zBx0Hen5GoF%}cOy)&ch7x(@_Ds5XnXtXjbVu9*?F?moY%CihLPuBb@oK!@vhG_YMb*o7@$Y*VC z(|&Xz;C;Bx*E~p#tscF{`7dRG?>#d|u4#|e=>{W>s!pGC;XvruZoY3O?K46>eac6N zZtWxUlGm$wEPihOC@Iu!wbUud|NX$i{cVdHF;A zNrVHlLzn5~^W+uXac5cgX@W<5EY<3L{1CXdaJT(C99hMp z=uO}01lc_LS9J9drgfUa>J6xOK~}RU-mdbCcv&Bwcblvk-tw`=?{j;d$kI}xbl7Ln z%LCUSPeJ5a?r*><8%zFy^+N-u3Q>Nz%KZ&`I}`HxIMyNwjUOAp#(g+v4F!Wl23r5q?k+IB zlp8WN-<^c1!G(Et2#6hhZWF+v>_Jx~-Pth6G8!jX6s8!VaPB5)md@4pp_(98I_rIm zQ)Y=d-j~Ma>_;8b?;36|I8DOxReG<1HH)HUS)8EG1WWAsE&pETbvjB|ckS?9q=G3U zB`lqP4Yl*psi@ItM?)%7~2TPQo_?1>z-Y(98bI56fecX#9q}5O@t4V^s)-`PVnFzC{bE`t5T_ZVWgR z(YB?r#tc-*pcEibC0sW4q^)0xlSj}nx0>gpUCBHD&}&|2Zs#q8JY6w6kE`2dxZN;7 zNnv=|yP#xfzNX~g)Q@|S$5{{p>u%LoSF4!XQ|oTUS65w+v(8v(o-TEpaoqV8u8`pG z2L0H1tmB6rJ%uJF`)7Y8Z^7dHEEeISzoXd83{YYI65XkqijKzlht2~*?w`gC5}W%Y ztssBvtnj`PH~X*W1!_a$>6cnEzDfX-$8n6|+BT*L!X5yl=w;i?@Mq^Fkd}&?A6qwd z>f(Ear>VAr-1Q>d^*r45GTikn-1RB%|13VM$!8F~jH?|M>SG3Ds3-gV{faF6H*2bB z^IFp?vuV41PshcDwc6nuRyTT#u_mstRf&uxA&~MF9$N>QeJ~u z)V%k+EO2CWMT_iY7V#5Q@cVyw%2AQKM66isFZ)^D(W!h*jLZTL`A{BIw7DUGM)XqK zA}ODZbm#tGJjAN5FJn8O#CD%bB|3WRFF{7G*)x^SXx4wgNj?yz@TE6v$lbTwDG!U* zvyIa}ZM+gzg>JeA()sF9+wbCNjHz-O4b0uZ-=stnMCW12Btqv^E%RG zvto%|X3QA$tBdlbM5;n#r2M)s+oMmVg+N)X9Ev#(u9^C8acJIV3;G}N#Hx<NvC#wx_qUHW$HRODTl84Mh93_<%DSrKWqcmnrbB^0? zb#gUajXlu36=DVdDz`njs#eAJb#27?nF_*1YfJGv89;>$3y3h(-y!*auhVg zY^M-*QYnhCsV?7YS!&Y6?pV=2z1NkHrCwm7Wa%dANhE6v00=Bd9!OZF7zm1FG#-t@SA z-BWEnqu^Xa@0i8Et9Vf3f6vS<*!uz zhr7{c4#xmE6f(|~wNGH3rzjXuEcHB9TwmoIXP!PpqVX(JC3@tk)Ep`xP-_eJSBE%* z4B921#v&J8#2O;;U94zG<;CHuPY3?P6;W|Ck7R)_Q0{9l=+8}WL4Um5Q^Yt{;<371 zbX!5cYA6{Wp~^UhZQRA_8|#(GLekOc1xf#jmvDt{uFOgA$oK#={T+B8von4yLQ8)q z-Xe*SSK$E_vI0)V2M>#d&%$UdVKjyp zMK~@%-^t9_qJz)Qp2bRsAxLY#=R53l-S*cel_uja=i4zD8)pVKpHlt2D~%1Lv4KXe{tpkI+bRG*@Qyz^QA_}%Zzp+7v%++@u81&Jv^oJp_5`{6P~ zjD08bCQ&igAWLO(D&1qSNb<*>ZPU}*T9b>0c)j*Q}G98w+3$4xr#7}7L-%t=J%=ptykkK|?KD=3Fa_c)? zmHgw2_T%h5b}*r2IKj}6+{fceG3}{!&E#i(KorruHgj3Fa*VTczS{I=-5_Q1_t4B4 zXQJ3|gt5o?vB#Jd!pIejmr_F?b7<{w6YJw)5(Y`}VkW0G@5l*bD#|S8q+c&&Jv@}4 z_Ye{7>GeCp-8@E(OUwrJMSmxvc9>8ZhwHTu+~F|1qqG_jbN9Dq)w`D3cDj$C(X8G1 zZgCE^^)c;ILG3JsP%Pp_0A`mjsT~%>s1umI`_<0)<;+snZi8wAZOI;TuePeKc38EK znU953IuIyBAJYJz`YQv!6>azh0!PN@62}I_k5g2gT^%{_dp| z2X*Y0>u_aB#)*z<_viM52WMYsvDKG5lqtKyyzw?=$dx6IPR?cPL==_Z{*wL9s1?;c ziSa1kKt_52z-D%Ux1TZ%xOsSI_2OO;(O{^&!4eF`(|hDOiKv) zyR4Smq@FsLvmd+}(=z+TXl-De35u6(=CuZ1q#H;FwYF+~#R?4{D4w0Y;(0>woHA=v z*W^GUFVpK(IcJEuWfdotaM)Aggt*!rIVH@vGdjWevo6PWuoD+$g{|{*B1GByXZ&5zs|8(T9>f^ z&8A%ZpaV_!8!%fpfeIV7XlNe!z-8-Y2(4ZGzB}jw=7v4`cm9rB>K& zP}ZnQE7UiWRRKoZB9x;0%+cqgk>ZaD#4V1{x^@!YaHD+btuYqaM%mjmQ-{kq6}S+j z#uy|_bu9y_k>0|lx{PDmvp7D_w2jBKnLiUTncK^b0&{pNjL_cJN5zPMzpF;xIoKWn z{uV>`3G*h*lM2=DUxoR;c|hU%B%PCNqSA&nj(UUQR>r_Kr|l+V=z$+rVF>?F_mjqy&r*vv|h8(djCbb=jkRJ;co1oqB@x9;zR$g(jBwR2CFTglBssVC;YI;Yt`Hh9obW@;XJ#a_s0hWm09_^h@qiREZ&aI-~ z;JE$1EDQTjVU|;p<>0L+wU~agM6DB_@?nj6Ls0tH;3GUc*r)w=P9aA%M{%e8-&ieW z1ADW6)nH-PB^R5uDEARmD;!S~BGe*g9heUI)#>z5enF(amzDKmh7B3la4=e-Np&oD zodBn%BegSpyyAnY)Dj)l^0YZE*T#>WT*O24rdf*)zg3bP`F6^5W9?IKZ@-{(I*Uk; z5M5cG`jBJw?R}1zW!6_;|GxMZu`O9#PcR+ve*6+3c74fA?5do2pS&_#(7FGilL>l4 zvv|8>c1hrL@m?*u{wd`aC zG62gfioBaOVl{b9)0&+e{q3M}_tfi3XO(TCHBwjX{=B4K)iV8VCbjz%NH%zJc-2kg77=Mc6Mre2K)QzUlB9 z0#+EERvC%y`2ev|=L)=T^IDP%Hv-ZIDWJ$H*QumJmcL$KGKjV*(1ENfPaKTsoxvUbXS`wx-6pZ5}7ZJe!(>Q+!+YTC_S zfQFGNP)4E}e-V)uKqpWT=!3RKonty|B2!>}aQ3UnJIy_kv;1+!HNeq#0DX&pdsTwx z;;vy8O*x#YRF~)GbNe&*@zSITDaaZ)>iG8<`CNeEkU~j|N-_J-epmmK!kjz5H@0?` z+gMG*irSD@4F_?T1V%@p*n5~&8X>xW!Ay7I^DEvbPKZ9Mb@T8_+0T2?zP&v0sEz2z zxxdKof$m&i0+XI(^%_ifX*VItI!SldT9?wWHFEV(25w*%Ge&pHB}T7&BI^E+nqD@O zCKgJzVI5t4kk0$U(^+pR!m^f_Z){+2;CTB1!c4Ga7=<1SPi>m*-sXM7=)P1Rr%&2M zX~S2VL;rvGNljylafzluUVdL;$60M{JA7RXUiXu^Kf3{|8qe(hml&b|x)i><#AaRL zySb`z?7Z<9rsDanBbRzDV6Qnm>xZbYtHJVJVT~dDzwnfme7k(4`*j(12Wu@;x%oz! zL~PHB%-FEg>)+g5Zk1_Y$$1WM)z9gcft6K|26GGT&2O4!P7Up)?R_m#&00wzpNoc- zZpM$>mclo)mbCkf_9z3o2k)O`=&lV->1`1dxBvg*I`}*L*q)|>nFZs=>hHfi5XAnM z#9@M$Gt`sJ4VPXcFtyK|n}>0;X`uJ!Ms&`KSXI=f?Om@?D|byB0jFhQkkE4d?wjAi zDPBw=6$-J=1vQSJg3HHV3jGkVmQ;eS&0`d&qiS9PK@=MM85u>rPSL#uN;mh7P z^N=a@9FIwZu)otHD54d3)0UCnUGM$WGw)xQCkgQr;nxi*DGl3D@6*hsI!D{f`|JDW zgA^psHuSZvt!+zA@Kij=1B8zFy;1pVNXC>pS0dfQ4s!6W}E*<5eOaM76cg! zpf%vqnCAIV+A39KV|)548@L!;yjedm0!J*0bUL(~xZdmz4YB7V3<>XGC&)a%t>pdI z-T~O*07st*jJ_Dz6tQo=ho|3*`ZJ@o*kkV;40Lf_ysy>qgxUWgIVa&=yqI@2^D%DY zZ>cUFgZ|~$etqU(6tLOt5g2qe($OoXT|&AhLM-l~z8;y#x01$AjIM@VjKF&-WJ!#& ze6{H9D2O#jdv)8EFS}jx1M@rijMFM|tNB*!6v=`hDgx}(nSSV&Y*dKP=Z8P|&iB5- z;=|}brj|1HH0Sfu`l8FHu}^q)Tlq{d1~dtLoff6~I1-($to1_VX8hhx*b55U#RAJ95X?$6p`xCIHr|BD59Eyy5W(Kx zB^=cE^6#<*A((G=95{+n(=1o6&D)Q_BrUR5eY%lWL)yT>Z;HS{`&LxkjRlgX2K5iT z_;x;@@k`*Kn~d!{>W%|IrK^KMW$pHr-Iuu#tmm?`W&-Vn)A)mt12@_`pHs0QEg0kN z-9>@*d1^_xMIiidHQ{?S)**lO#5JV9n=@82fzU`>eQEA&qH!u>BYCGn|09#ZH|vr; ztEzuu$U<*2v!;a6*3SXCS9RUc&RF_&TchB*7rb%6Ph$&>@paVISJQ(>k-O*mZ|x-- zgBql%<+t9g7u)>4E8&TgbG1NlG`SY;YDM6E46@Ulx^i#0UZIzn@rL$ZPF!C+8+`|P z3*fqBeQ+zh>M)&&T>q%Kd55t>2T#tjblOKR+=|emhnaUMMD{*x3&qcu9~yZfQfzx1v2Ruei&YkJgh~ z$v{^hJRDa-T=IcBzAY_>vB^V)$a=q>hINsZeKd*hVG|#Qz-4{&kr*qhvHd^2d^&Bs z=^l!^`yZDsU=wjmiS^o*lh3AnHr}D74B;oJz^bJ?n8Bu}avg)Qc~+Ia1)XQS4{hPL?Xqb2P8x6-rsoy2-;C#lN<-8z{UL}H z?bTh0_gUzm#52P1Bi~R6%Z(onC>U^mbc3;8IYbG&I?71r|H}K=_G?gJQP?%dRLV)l z-1;8&f(Q7sHQQ)K#PvpCF?{0`HV94)40K~oha9c)8N=LiI=Z^`5oBYq#b!I1e*+K4 zmebp<{&lHx>6+KeH+89MHAd(mSJU)0*huM!Dl+i#?@8D1T#Qt97zJCFmv7LPuIQ?2 zXm{5#dON@iv$Ij==bi<)iRYYkx*WK|cDBT`UswCM1_a~MQ`rNy{^3L~za-vNs*o7k z>rKnu?>&Bm-VnTvT|Jj0)sNtU`#?aviN5VzCN}HrXl5|&i#&6WC7`xrUShz*jETeM zC`q=_uI?s>a#v@!%eBN|8Gn|vb7^jMJs%09Zd(8>j;NIE*@liWhk7ObC;BNfR~sn@ z2uXRj*+RKlfYiAU`IiEfVta~(g!zXHxS?bGmR2BQ*!7o#(Q(S1E2L%eBn7nC7pU*W zlK}>IpI6^@jC!lLRCMwIiY`mRs4rJbYoM8fWk;lK`8Yc9kRRnTnFy#hO+4~5E1yUD z8DCg?RZZ|81cpp&TeX6x;WPlR3<-#xxg9^Sa6w#(6(0P?b?-0jE!N?mj=Tq}Nvaam zOJOSxMcZ>dF3p4xyn64?V|ZE7F{bD87iEajhhztRTgD{y6^lE^ckpdiv|jr0?=(ffWT$ftE~ivjpk>x1oEt91ajaQ&=H&-T zzjQ8=Hj#T7$T_mA0EbS1_`JVFp8qab(`-5Gb}26k55VM_4Cc$ib4bDKeu!F{?8(nuWj}Jvq<$j>G?qK|FE2PoG1wi2r@i5ze$kiHOsYY zk2iBPwSoIe7q&%oB$C`$MVmdS+y$~B7M>v^urcW9MyXqD4PLidud1Q)_bXG>8dY{_Nx}|1 z<>l9iQQo=^0>Ndm0s8J=?zg${0Hpsd?(SC4LSP8jyCe!C%L zP^)~K zOv$-7Mr)S&MgGb8)*UC4ilCRc zFvb@E7@ymr)63&4xuk1?y%LA8FNKPryWMtOE+1KBAb%juA?uiwd6=Ymhcka1$AgB0 zhEFVoQ0*sP$!`v{B4-!|M zQpDy&dWhD2&^uoGFm?z_9{Unt3E<`bZcPjFNGxCsRfn9K2T+yMPaeEktA@Zd2F11= zT2#A(q+9f2*t>l{S1YzL?E1a$>%>ADY&0lfi0PI{uT*^C%r7~W&n!rL(y8i*Cz1g;^g+bTMwVS-F|Z4KK>8C zh&s$t7Ty1V6(MSuw`ub8ui81leaDQu`SGF*le;p2lTWwqw+%A9ml%D8?f(E1Tkn3m zr@NOnkur`^#piOiXgAFM1BwBOKF;lkC6{|Xs3#YIC##|JyuU|}`8)OM-`C7v3hwcu ze4;~QGT-1^a(w?2`RZ3)bX48<7!FI1=#baAwD*tXIydI#oibLT$jqf>Tj1&Vu`d5J znN<^$8(mLbZ*{QK3%olz^_Qr-png{dwj8>@iE4-kcczuYDlUYLsvfL8)!VBvKF~|q z08V8R#D$2Ann@SA1Zj*aTvcp4Q=&{`q}Ba%QlfdC(=O6L78!sj1YI~t^Ao^!4w!UH z#0ggNUA#2huEM+8xEZ5mZN)v{yo=DJ%I*aVEtTi~O7VA`9v3o zyD=F4y0hhvps=itK4EL|?EH(E%fC&(e`IDC1Ju9JlY#^0R zdJ(lyW0PNY)7bT|T{w-=5skZWW|GMezb?CoDlzx9;rdha{ZC%SE3 z;H)`Mqp}kAw`&1DIPlp%eqe>UU+7+7CCquZt`haRYxe8}_%h$}LgFcWyW$+tvIqGy z@BAGJA4)#jrW{`C#94!3oEYO>`CQfiKq>d^;aRb&(Uvfu#n}KJ6y6wA`Mnn8jKB)1F=(8Im zJ^M}xoEk-2+OC_VQAT9Y{ujK@{k~B;zLmFfNscCDi0OT0Zj|;BW8cLVaU7!~P*~z^<8RXms;=T46Ljkh*mnfqc}!$12=EJ1wk)z)_w9q zkOv}ncqSmI?lqzv=cwh2ZvX;zQuFBs_@Wu;ht#N{0{2}G-nnwU`{ddTzH>G3{UMjP zyK98-6#^TGzQmnwx}(p%qXJ?*dW1&%UpZQh^E_4I6*-w)LD+Mgn@=*=Q`*(M_&`)d70%=aM0^<+(Qxa75=WllZBtB<%hq(eRIMa zYTx}~Go&9fvcDX%Nraz{`nlC({xgumun253)?l+`sV5vO(Zxe#w)g(o3uixPt zzLevF8NHBeunl1`hrCx_e#g&AJ(bOpc}6Zntn1AUsUtEvuYUeJSIrFEpQx=XcJU)zV+Ye}d=RSt?IBkb`!dUVA&8w0DXl&bm;u&0^p=6Us0{(|iFlH=fk^H2abTp~LxA=Z%kol<&@n5P; zLTqju9&rtM>WRMjR|1pSHS9`)2}w`MA~NPZtgi3X?6`W^T;~PJ%W({^+nyheUyY2~ zD<{ne6Zcd-&MkV;P!OW@^-uU+d3yRDu0}dv`~v}5&p^lSQ(e_R#7`O!##$~O>Z2o& ztB7KCZ=$B~IK2^;_ci+F8-yE~v+Eh&#l3Idluj|Z>3P5c|3T`-2iE~vDpTy;(j)xy zkmhXzcUM?*Qj+c*IQ%VzN*2xM~uwv4TC9) z{nk8U8cjFc0iTo-kk#~jo7;D z@E20nD;(04Zv9%x+RV63E(A<(eFctx>F(Lkt;Rnp{>UXgJDDce{K*^VqkM2j!FYGB zC_bo&1H=ni_`1?x{Pp+Whp-3%)v zV+RGx-m(=btxRo!^62cO%vSRi>lF)2)LU6i?Fmg;?bE`-MHEqie*|uPsJWQc@Py-AG1KT^BVq5!at%PbA$}kzrR)k*utw zYO1QLQ*%f4x;1W>^Yn*Vl&XDDaTG6E4gz-ISqs?NDRKb%hE*N!KY;3 z?wd+WOp<@IKyHM7FMUKZ!@x{Q#W+HCzG68Z0IavIkO%R1&*x$6RfkMblwY{u2dy`_ znOr#n=7upx5Pg*lVfv=u%5%7E@GB=P?p;c4B3Da0=(NPA4B&fhv|xf|mRq5_OO5>P zZx{S;RhC1xY%jMGe-Us$cLs*)+JAO@s!r#k89I`ju6(Ikg(V*vX(25|Bo1OQ7Qbpp zBJz%rBcz_Y!G?Qd^Rb`GIS-r&mk3e0G_^d3tGWW)MDS`xrk1?k7EOVj=b(X!iP) zLnX#4O>~YbfBrg)fp^1CxtV^pVrZR81>+<0NE&G!tLe|>gTr0TKFho~YCql$M#P2W zv;V!T(&gr3d%)n`ztPZ&|Hv1%@mrQK^N#Y3$ce~lTX7F$wProUWK-9&bMj>}Q^yAJ zxOvt^_oA`kW8&&hi6;V@#z8f~dwv??6YVv+I*ctFTeCp3Krg~WYv08)7@Q3vwc)kN znjsqhSJS3L+;;WvM2vfJt9wqJn_M5q8~Lk+9q==UjQZ6>|DVR?wf%axlyjvMa}gtl z_tW=sV#21Oq=b?7k$>p4XZS=`>qqBjv%+}373ehZe>Kc(aL;FutrG9)WgYkP`ss#g zR$xYdn&@D_<^f^5bPagam}zt3OB!d~f3@1|uenRox13%?|1^DG_wBRk=@}g`cTDyT zawea{`99N1xK_kY-n5w5S;(OG(QkheZ&m)9t6BZd@n_l~_>#@(!?E9u`;M3eUflmk z>tE^p87rm(l038*CkezKdZZYHb-$|r_{Q5&=8lTIDe8PV&sI(BJ+})OpQ@=` zcOgV)%hb?T*VW8iQfQ20>X{2C7*y>2uRBFQNIsBeLa2%G@f zN+DIad08$_$nt(P4Xs&|;TLu_o6Fw!pR;aZw9to6kAa3lkH9udhxWd`-6am$3QUQ{)A~4zZx6AOh4C}C&@9A zLy;P)`to1-2wX=Gx6Yq9RPWg?34#SaDk^w$yk*69_U~dD-v!?$3qv8H@YK-!9vJn$ zb%bO%v&{kAmzvco)6goQML@Y0Q>_`_z%s*cd=X#D(JjK8eQVyW^hZWY}PHmV4rRyut;h$W4US) zvtQJmJ!)E&P0w7su{z?eA_xXBpXmH}Y3em{8Bd;L9$9@L83;XZIp(<(C!iT( zf1kNe0iJ2m{+*P=qQ|0_PEdOmUd{Vw%HJo}yQ%n0x{bTpc=eZ1b-eC5?}|?7_psOO z+I$pz$Gk_qEj)XwDcc{9?}B$D+ZZYqC-2MaYc^d!Egb+af$9Yvv2OVTmXpfRw=X^7 zlm1c#JRxdaTNY1ire0R#e%+77(MmXaYmb0;`@CD9JOFl+Uws#wZQ>I{yVup zBU>lum%`b1D4RPQo8%|Olcg`3yuj%ei-+pjHEP}*P%$_U4-P}$X#GmUHs0QVS5$Pl z-jZ*-{L&|B&sW%>g|?Xa1wJmlSL-}sV_g_<9;$HN=Rffrq9RdW9cMg;+FQ6_0Q+`1$LgdC3m8? zB~!U-yELRUq-JQ~RJ;90;=3KdLEy;)W0iyb6o(}~;$HY`&1{er?W?t^cj!;YO&gu;u3vTIb16JK}wMQGa<^%fr}pV_a&S5>nBi*Uuh=;ZS) zG``nUv&Whn{>Pfh1nsT=nW@lW;6=a$^crrP&xrSkcP;OqHIcRe)B5j;5d#<5V|m+K z`Tg*XV%y9I>*o)8 z1QsgpMgEEZBh}(W37FHmUg0zI({PAH#m?~g*0~;RO;oC*7sX9#jqXbyqs;H}uJIit zmzfG=)oYp5Lt$)PZVTct3ziR3NJH>VR5Q7p?gk2-h|-N=uP$hQ^Iuat6T2(H2P;Je ze(I^J)Nh5u8Yge@WkoBj4deZ5M;IYa@R(wUgNz_#BPhG z?+$jlJqP9nD~1|?NCd(O2qhp`fj|Y~ZlGdl4u}Q_8~24(MOrDos)9{!o;X8UglRfC zMx}mf8xQ{Xyx`|HRyT%;BuVqZm-AMa=Y$RycS<05Hn@0P*1343Hn_Zl<+)l!p4-bv zZ(NkbcF&4q29{*-!)r3QQ1m!l-1YVXs!{v*h+k>zlvwJD;?$XAaI9KV7W-UU&*SGvLv>RO=RolRuZkViwX7xRpdLOT2g({%_%{+HuSI@tC}c( zIq=i6^R>ulU@Hb1;y*A)rn3uJnL7MBKY{cTwV<9|YTxXq+83rRB9^0$MVY3GMUewy zni4nyF--v+ftV%-j^qnYO|Z!3W}TKiv_LQd!3qQi5ZplU0U-p07!XpIT5O<};%HcK z)Cvpvkir7XtPMw|m?37Sa_Hj*<5EujJ?TPP;fmN$7A{CwfsU)-UM(+aKO1)5JAUAC>dH(OoYNq|Qj+0ajv1f{RvA$Ryi{AS%?(0K3?v66B`>!+1% zr@wmZO}dzJgge&EvxOzp{q4za4_PqfJ2G4P_K8-*jxroAbn8h`yENyxdGbY4+11V|rAG zin8g2&wWA(P}YYEC_{;7&W@T=cBkP|aVG%+2MDw*8nEYE8-;|2eg=T2SjK{2s+cX7tnk8;V5)^4{~v77Z}GgO7wvmInd{r? zP;33@0!J-nW#sdDj5*ms7{@$bE&5T2jr{AA#S9<8j1S#Ow$3q<%?HUvx2%?BUhUEBptzXp51} zogj2My)%*}`LL|D_LkRx%-dB|iPc0qRnzHZT4mKB`WaH?juF*yxnOE!EKj@GMK|tND&Ht`C1pMw8DnYo4UMW`|QmY&E3wLll18ZD=IC)B~@+%71+VCVdztCu->}3d=u( z;(MF9T8k;^WO{3M+zCMZ?kit%B`mbNmb;WKfW2$3Z}iN6md^?$tq_{p zcllDetda2JQjvF4R=LaL!g}B$k&Es$u=I(0Wra1GYJxtRos>Xe0s-x#X?t}->rYVC z(;)thzWeZ{@mcd|Zr3VPF0#f3XefSZ%x^_*#U4pjMdJXIBjBCTQF;zpDHvewFBm`t z;;ye?U=D}|AQFLS!6YEhMgiW0O+8AYCTrne5_JrJW*Wzailp?B1O!#m1W17Tn0Y_;PztRmnmU{-H;!49eml1`g67^!Ds-7I;W!?OsmPphTzs~-(hrNS_{>4D z`S5)w{)lkR#l4)S|M7GOW$e|Jmh)9p4q3_&5uVM2o^d)DA*{Y<>X#3OxySsz0km4! za0k4=lff!R$Xw>9SW?$j3Ux&Na{2>6oC}PqigAizZdJ0mR*;nCy8aRifxu!_N`84# z-Z*iV_9(+DJFW7uB9iKRaP<*pB9HUw8ar#NA$Iu>tx#*LeCXZi0Nb|X3qb+{qx)Xz z>bzjpWDF`RHw@eFUS3@imWngw1Ae(LgUQJqo?SOGY@50PDtN7eUakiDD`c4D<|a_0 zrOa!}rbf9LTtVGAQQsn_vIRF*w|*Ih?YPU|U?xI3)t0Z(s3f~2BUMe5+eWKxpww_- z*Ki@%tj4P0o2dvm5ms{-u)7Ic;n1`__Z*~{p_GiGhR^ML3|QQ)kz>*Y7%?*Z(+}2R`M_mp<#sxAmHoK#en%Kt<*$1&VxTpO_`D z#qxAkN8{z9iy^?rz>GyaGmAxxEEc02TB6I(c2@u5<-Dvq)X+U^7QU@ym8+58C8i%+9{w zHWQyg6)Iimpop8Xj@Dxb^q{0F!QdT1TnihlutKl|W^7M0SmUnZUPdEbZAWcoDBpLx zvu5TtX_j62_lYMm@HuF66-W!B<@s5AvkP&UOU>TJOcFoL?T%h7eZdb5P4|ALoPRM|}_{x!7u3$^!Qm{TB z>!`%{KfQ2b9p~KtyO+_r@X`cnx;;SByf4``DWlOcDx;C%jKV4|grK?|f@#=ebnbGF zpd>S;#EYy7Z3|=7p_^W%z+3CIzWbrg!Ye7GIdXmfWa^|Hij&ipD%Yi8tew8RaxGy@ z(_Ad2X+E0fY_YW7R))qZ4_{+Zk)ym)fpn+an(Tbf{=W?U8ttw07K`2{GFXY_NwN6R zG*{l6(N{!pO*p+NhaLii7baJH857$|Z} z;U#skG1Xv2J%QyW%M|^d`jSVT;XTPH4D!=?S_M}y;!op1EjUfMbHQk(Kze77D{eJa zYj&xYVX26)$>=01Jr?aifTMMQb+10>G1P}Vr?{{tA~_C2XbOCa5IoelfHz#SkT~ad zo^b!cjAO5(xj-}CV!fV?gi8oL4uhFy)DnDMCPyVjdHhTTqTEHYo~<>FQC^&*5&pxt z?_zqeRPuu%f2xahXvx=QFN7KS-QJ@|^0{3Bl&J%%jPqZ2zhpsSma)aH86e=Z^{$Mg zAj=z?6a>W2i~&}YkECQ#KxY8RYkvQdWu z5!ekpb0xREE72O~1!YA$&XoHv@iKT4EU#Ug?-XB=r4;LA- zjsPE0>RZdf*M16JK~zqt1?ldtEnj1<6=>5PO2EKMM}mJYETHHoo@g~8CB6^$P5cxC zg~$R6ZUH%m7T+|V$YI%lIQ|>QctE~wqBXDOc$s%rN3X@oXAn{$_pkVs^zQrf3mNk| znmC5NC&uBy!*d1m1luN*Bx>lq5!##m)9s+3G}#1229(NLJQumZltO_iiI$ipti*uL zS(IU&*h}H_E@VQooK&mr_R2F;F zH0#LEWm7Q9*1{$+Vpua;*R{H^4}GVwfLRGutftv6Z^EnWEF@m1@D~GowuJ{HwdhSk z$3SUI!(y@o_jzB#e`?7&eV$krIq-H3$3tCc(Tt| zizv%@BiOX&HB9>Q=afYFhq$$>46ie6(N%fVF8q1Zto5=pGs1Q_myb%vl4`%mT)`fb z`tCT}hfNgg;_d#JL-iSw^ExJ7k(Y+0qgqS^HL-N~XzPMSZSx=pP>9LkAwha&%yg-c zWRx@68jLeG>00AlTf+MD!C>s)Vc|>3tN|><*PfxwKm4C=ErZq}*UB#s)j@cTN>*7u zubmdGvSCDMc*wcw$jO5wn4QnpqL$NUU#=PiSMfNq(qS!ot=5gnpFLP8QC6$;`M^Z0 z?D~h83FN1hf}kKZ(n}G`^HhA1<))+4L8$8~eaZAHp#JrZppxzFF*AN+A`oXx9Y)Xc zX9~w>YX^u|-J@uQ)m&pE?wdnVgC~-B-K*-!Jej`QWq}-lnigoi3L(8z8=LX(^nSP* z3Dko*tJc;F(#aVSoR8!=8azIo7Sbl~(3MehOS*SX8g-IFqX_9|?3Rn+!-(nRiui4eT~HWmiie(EIZtSCkZb!g zFpZYy99N=8E~*;NX{SfGOFazm%|ZRw6_eUl8B|n{p5!5uvqda`yxW@YCr_jMVER^pQZIn0 z8u=2lMStm~1nx#@q0Fb_?8ez>$<_Jge+=+*ycPv#k~p-cvgu`@OI5`J&P6$gr7Qcxix_cW^Elc=3>! zNl)ppY0vyyDFW8RGQy8l!er0_f(+0(DB*w3901R_s6h=;&G512XQyl-V%){5BI#63 zw%(r2>${0LS=NqQ$$oORSS{wf$}<7FYCSh9ER@g_4WlLqS!u| z4Lh%3Q;c6dTI0`e6Vr$qBk6sWlo@?=jWPy{dol(oU@|+oXV!KQIwgnef=erXy*z7u zS@p;egUxvNA@q2{GZ?1G0|gGz4l)kRNm`7L$F=0w$F*cn$F;#g5P3PPKl5-gcbjTa z$#GfZ9?TkCoq6dmydL{db44_8T56fWZ(x{xtYVnuSw%LSn@2W4SVuPC*+n)il+sT% zi+@&=3BX!tcmMqVkFSw5m$9mL+ErB!4l=HsANY0*CrVm`>$aF`$n&^kZD>SAy4h0_ zE-USr^?-|@oB2BcovxtQ+j?Kz29?@|&ZY&b?%p+yq_)773^vNeTBOwudqra&u^Cp2 z8T-KrqW`vTSF2io9%k$KXvIO7r`YIyu8XUI*++2C-8gsXIYzYl*A*!@-^m+8o6wsM zzD9hCwdXt?=h18=UHV0X3zi#hx5ja@wZ?)h?onTS-KrRqT{j(zREg#tNp81ZUCYa7Z?%9*KXM#+4DnxKgNj8q1DkzBM`=yD%tm5}m3SU(Xb0bG*z9IANZFV zr2$DjH}{2bLv@c>lEL$1O#V9w*~-OZxvCZcFw`9d;p*4>=TG4??A*yi)O}p5;3Op& z@C-w`F%=Y@9M^>@N)mvs9b3m7mMhHlqw^)>tbU+^>D$1GRvu3k&hdCTX>gOC8!4Wy zxpViPSR#pyHBlMe+p9N~52!4dB8e@KoWvrODlZro@?JZ+iK_&Ca4)q+qJNrEiw^~! zLXyBShz)%vnA;=PXgRr&Ux62OFP)FNi7PyNa1SG-^xz@GrSsrXj`1PkQMMd%7iZbN z1={hwfOZlupxvGHAN$0kdsq%C&_4qU=s)H3FaI{6e-13rU+d(_y)+Y549Jo3=p0x? z!>xPm9;WyE+Fjh$XLv8qk({hgD1jX!ivv$|B7dUnEpZ2OyLJ*Zs+Srg zpvP2hgQ3Tg`EXo&44$@_weYTuOdl;IvJ0c#;81bvU$t|urNf1KXK>m6nKQlAQ4AL5tjK{QQk@JOKVqjkIm=g4q< zkYq>!yB`uTIde3>;bBy&j!0x+1PJ?@q?vt-B%e&K~ z*gHbc3FgYg%n4?1=gdi}Voir$p_AYnh2bv~-oZ-!uN_Q2-oCD3*cHbgLf1aLpH>P> z)8zF{wGkrMxU5~MiwD^8FE@7$CAAY%5JD8**U~lLzha3vl-8mF|6UFbM{}GOI}V;|^#V*dI;>r`^&US~F__dcn%Q?)o9;9RXmPw>;^C^G?e}{_Jzspzv$K z7ERKw66wp1(U7Hc%xH=l^95FaTPa zf!+5VeV{cy_b=;>9?+Tx?7l1L0j;1pVwCFMUOQ~sPiKIH5X3<{i?~` zob@zR-{cy)s*C0Oox*!7C-0DUKXSOjSSB_)&7l0lWf7&D%Kd;|DNpU?L zrzfM~+v#}C>$#cej+J880?n1nb@Qg)U8I-L>B!o8292CZ-vZQ<*$Jm^tQVw+p9E5O zZL+128(|mM1aV>cw{7GyIXsBeY3}%m3_t@sh~P-`z67`#nvj5ojfsMNcDIt}`Fsu~ z&GUKiETYe@X*+-_st{BmO&lb@z8A~^sXWcSFw=>n*;p|)%SmyW3sw<~q#`6ySV&hf z+KYPRHJ@TM1uMGFPZi?Tu}B!V$K0trm!N>g4jfEWP*wz&h^e<-%bXMxMxy4J5wb%D z2T6D~Z*&!e!Y4NkN_e4;0z_d&KcF=RrtWR#mk~5#a|qxfJ0CWtTwltWp6x{u@0{x%F`uW-LWVjvdTPuZoc3ArR0tooy18;2> z5m&iCIkH1wl4*CKI&!&FH&YpaGP!$XPpHCV)|?rKLzZTg5s7nP%BX{ru_w~G%r&dFAHnru2YlPUByXqj_;=rmf zHKg>X>l+}i>Id+f&8xFf#bGVE(dk!E5`5w*!eQwZ_`b+k$k-2wpE#fhgLhA<$W12* zd_ZB!Q=`t&IL2J+9}X=MY~>j#>IYSzYbX~3wG4-O#YZ61d4d0;Y97%QQE8_kvzVq{ zT*f=Hk$hv`i(W|JdHRMXS5_A#N8q&U7eJ3+#gHa&Ld06EiR)vpQ-=1lo)* zbCH36=0+rxv9{Nch`q1Rz*hX)B3Ijd6He!T2OV1!6`- zSSm_~B8W@(`4aXyWr!}e%d0H&zy;tieXB_U(N*OIw%LqknY4aq+JcXf%mA$W5I=Hv zv!gVlEw9-;rw*G&Kq2G|+PkqM(FtwDD@|>$APF#XKsum1yTHzV@e`=mm6(PS*GXK7Z~RF<(p*=U65*bejg zW+OUyc#L(ThflOI!#wD<{S+r1nH)gHcj2e{ndDpL#M4>l#V{(Xhtzx40|SR_K;I`1 zO_1g#hILYbi)LzrhPIJ%n9N*5gpci@b;32w6VOpqTf}j$mic*oO8RISS}nEFD4!Y> z4$qIWg~^1lwF37lp)g8NG4CKw&DJ9&j;|ZQ z;Yo@f^*hD%24fSwL@x4I64$7ssXt|yPdDAIj6uM$sDkZa<0*G~x~~$9hQsN-UfalJ zx0~1B(Eehc<-Gn$b3CYau@N`FnOveOel^^DW*ytm>eK5HgIn0O(Phut{>nm*O_mc0 zNc9;n`+-t^68c%`&suZZLFYU5)?$%W<9NuoU=AAF9fd&^!RftuP?>cr#B=kQsubi$b%c$%ZBwPGZ@4O7GP9hGeKhs_Q>|NP87*N94DcT$DbMDT@GB!IJ;|?p6+6CvaH{_aCyMf|2P+%`(>xG*P4c%Ow<-9#zmB0`l_$PFWTa z+n;MkRM9Hk*Tltm#+neDZA}a!x}FuxJc$?sr>VQ3rl?%TrC)28Cn((-2Y0@?h=jg+ zrN5#CkEUKrWUNFX<3xhNCpAzhhvw|SpW$qIO31pI?}|q{#d$6(baaQH<1S8h#zSn? zcy_i?4c;W;5w$lfm+b;q6J?b{xqWss5AQUG-G#TDWYx<#Fou_zhqYr}9dFO>S#)oE z5ru8a9FJ!Vg^{^jfRVXfkdd13>`k{&*V5AER#8ghlDwpRMoLy^Q3{E%BWiVW!2J{W zZ$q1P^ziJVJc{eY>aPqJaRttVM{_2;=!!8;=)b2}YbuU?=61SRJF8JTN!GM9N2Th8 z#vH15^^6W((7V*=&S~so$nD7CsfW>1{kYRwTJPIygLWl#zZ}xrmf@*s`?k2-Ih@EK z!VV^PLicH{D{@x1zF%H%?gB0^fVT6G%YXbhfPNM&kHEQ)o=+Gp$}zMIKi@i9S{u2& z1Rcn|I=mvaXc`9TpAgO6*5~dAh&V=u_SB{b2qW02k-FuPk?>wW1^Ij#IyGAEas4oG zS+v`9K)GUPlo>JGA@Pb&IS(LIG>xoakd{W!nO3?b6&gg%DbAgNqpAs*d6u7zQZe%& znTdVGopQ?0BTaP~Jyysi)N%Gj7)94%i`uVe zz?Oi!FNmCqrEJTO3`x>nBrlK*@O7SjsUuf&p1n>OZ#NF2o{44P;+xu!V8F3-)JTo` z0{J>Cax|Q&JuA{L%W#$uU&7DVe*FG5g0|h9YS2W7B^~8h472N3ah|RnYP9oYp03<3 z!OX}e*8pcMUC?lz_73?KDax@|7KdndYs5E793us<>iUySvf8qzj{UFCF~5l(`)O(2 zY)*YH;C!lLpaCtY59lspI9Dho0^b8EQl44>R19JBimjA`wx=Hr9FY6#CJ&&&DqaGO zrjNS8tArktmZ2{bv{#Ib*<2!a$MkBmR|X-rhgImhJP1`OCVmk zRQeFUDRju{8~lcZe%w|Q3J}}O>)E@M-&Sy=y8gk9<~{&q-UKq+go4Gg>x#|%7*QYb znGML43Jjep>NPq7Z1*}@Tpzcwxj$>;@c1%(BH&LIb%S^rD+iQ*ilm#+X<8^E?(NJD zfw*h`zX2+?Ej?9>aj|V7X}2Oqb2Ej*m*hrO4>*dC?0;Hh@#v>W>)ff3-i+#bzl94h z>^6S6i!cmRBCMnSy?l$}xB9=($+z&Mp!{a3bsz9+k$2#?JD|XCcff&w1Ogfe zSRmkm__WT2%=05`yH^+jiGswP3Ar~AHXC{ntV`ptYawZ$bRL=gXhz0jk5;WFSSAnx zzP?3MW1vfVQh3lb$}Pds$X|lRh$qe1l#SssBRwu5qq{6f!c_W0j>RZFn~b%1L5jtQ zMl&I8wzicI2jfYs->|~T<)wI;n#23xP&Io%k(MX4NYx!qs_GgcMRNz1uBq=%%`&*8 zW*%-@w+ubIQ<2si-dkp6bR`wAjvAkDMO%GdYWIu__(E>~Nm6KaD3W;t!;#mkr6ZZu7Trx`&S>O zqoUV{E}LIF+22hwcKT|nx3c_PJ}%!Y&fJdlbbfOGK7HMIQCqs2`q}R5_G{sxy52!ky+w6PuX9%kGur!waFGRC1k`4Wy2K<)mY#h{Unoi9TXyl z1F8G!%J1NeKYh1MBKQoEf1pGBIN2tXo1|_KkE)~w2IAd?zvn!U4?R=Yp&~1!<7#Q+ zOsZ5isq;1YjcPin#uiTMbVO1t42+}x~lKn#M$i05(0;6WcuJy z&Xfg)-lMQ=!}Ea@D^Rc8oGr9dee|XC9ZJ zab@;oT)TBQs`pw&Et~0VTsQm;5nPBkfwR&%_$npdCSET@8I9fhrs{yTfef6He4;wB z7Udkj{pDWVlEJh^HlW zjSl7@=;r3qaroIDQ|RV=Jb0d!{0!xf&(rod=ePFX{d_U4(7*AH>f#t>`nE>sOYX_& zhEUlF1~FoIe6+#jeH8jPe}1EEFcs~E_~l^AhZ(T=EulSzfgV(y6Ok1SX~>P*1@x0oNA3dVZ1oJ9vJohO++N1t~mFH#B72+fwocg z_Ws*lDd8rI$V~ovn)fXJiwzL;0jZ#c1hz1p&j!pg^N6(=04#qVVOD=w&0$FckTOapkVtb21pi<*C@OewzHJ-uv3-*b|CUew$$tnX{}9$FV)38CBJ}KP z;DGBBM}v+Dk^#L?u|a4_^+A3H9h-nuX%#W~&!b}bi!`u7^$6mCCk2Z`C5=-46YgXN z7_R1D;Y|J&E*^m@QO+2oGRhzHJs~d@v{5#>4@6N;9|U6*fCU0?fgq1Tf-O&xGXkQH z4GR8TB*+ME?n4s{TA1AfLKjoS3<6wNE}bw-uJpHf&{`HSsB5VpU8tFMWjJtg-T+W_ zG$|txxWG;b1ceG>?T?Nq2PgxrTxG^jXFFz{NelpzpxaU=iw&!hepL-&hWWVF5Q?)j68u;AKS#lkEs%4?P+R10$@2eyqOmU$0& zJXqvy+UvxPn&bW1=27ER zPnpG2VM~ZU{o$gew=J}YAdF@^86t^J4u&Yq%l2| zJkgd8pe`cKk8=tuPWymWI3A=F_g4&BDj>zlHyjHO^Q8!9OPY=^O; z>CQ&+L&~I}f7jM1jsaCx0Ea>`K4qdlU3~5b-``7CivC|!(_|U9B#|-tW&YO&Nwfc3 zO$1}^lLu82_VOy?PV-HODS8{0vt3FD`ahRfbW3W6Xvzpd%zwymg3`xEx4UbahE0rb z%d1&R(Q|@PNu6!@pS$+KH7K!6z%g^0N@_=>C0u^jD4PXskQDl^LB0;#ph%0%>Y8dE zypn*>ASfM^hLUPnT-c$nYU$il35e5Ig>~zzoR=Jk+IZJ$GW4Xp`RrUiTU$g9Q{F*n zscAq|7k(LTAR`e{BBcOffve;aD5j$k!>;PBRWk}mLt4)% zkpC$o1#LU6P`UK)X?)ca};iAT}Pf-D9+fVn@IOH&KaK8s>qjh}!|1RUMurf~u9*117p* zzQA4AgxCT&5YRe4Zi^-X^_ah^eGNfxS+PKt#Ju8}XnwONCQ4INN5Y6f9%*D3ypW)fAInF9+@LOh8;|hq|k@AOTcDoEp?_93!fn5P>Kl{-~zpIj-d417cr-o4`X` zQ~W=8q`k(KSh@er(dzvphzEg;xCUW>SdSt*geR(ybb1SOM<}~`j*>`j^=4!bBY)abV=k*N^>r<6T^t>Cni<#VWan!9G! zpZy@Wa<|#HK&J-v0K|w9z^hA)^z)a%#zI#9??R2@=;C^4 zib(SRwe?`CD1Yz!Ur}&wnG#|;jX%{y^Hh3>!unyng-5=RLvWSxKV z|JtxWYax~Ux3&C_%ObUMrzyTfrSR{|f=-{D7+B&FT5yMv)bJ8wBvPIDVVj~mC-{cP zD)$|Bp41dPkCJ9Ij}k}B{S7ECqAOM+fz6Ugu&|};b{s+;3)O5p_5o}9RBM$WpS9ek0O z0>4&~zt7V3|A8Xu55@O?C}RE-g*uP|=U)^f|3(o< zTK#BZ&Iko*Tcj*yA-qJ{55JF>Mw`=#e-ml3!As1 zpw@%n!sv5~rjn1o}6Vf#701;-sp!)YCTNNA>;7ES1*s zj$S>+wg@G%E=8b$4BQA}<6D#X^sMzYpLG_D;>i{c$mt*syf6X#_+ z7f$f~2SsfLIfP9{FMu+#UYw`-w&ecOz3i_o)d+r~dIJ#3+;XpZ?J-`bo#leA+u2;P zpE%`K!<2jo9$yqZac>l<5gn@V0$UfOMLGeo!s~z|t$;7PDVA)z$)7lPM@FKR-rP1= zcz9flPmk>l9%BxGzb4xBqu#lxK2660zq-b8L9%*|?+RsSD@MD2xNyy<;-VI7fC|)@ z4XfUeJ#IAFYjfo`I1#IZ(g1!nzIq{?+?mNvFz^!J3GIL*G(;*pHXCMio&Npnz0xXd z5n(X!KDOpL7Qp+mAGRk#@^A^+xbr09xTLtMtL@*?krHQ%b;&HJ8f^ZyAfj)ye(9*} zJ`fw~?w#Jp(7REkFZ2XdvyqRsg(sE{Y=A=CNHzw=$kV2O$zIJ1(ROKd)v^uoeqkEG z`=AF0z**(%-}(%*0QKJ_DWt{3{&VEDO<}Su9-zn;0Z?Q~2hik2u_)L?wW8@g$?OMuk`boEM6S!9|NKcVI9P7ho`Uj(>v1{|P3T z^*==RxIHlMa+`nh#-Jqn^Z-$q?1=y{>7xcF3tO_sEwfcY$pX>FGhvEOA%A)GI~ihsnA>=<#*&%cifZUYQKO>dURrY zbrsuVS0QN~d2|w0)D#j`wFF|-rFhcC8C23mz@8P}XR9)2{>nUBvGZ?9*EhZn%v|!l z_IzRSN&3NFY;@7U_jm|~)FbkiG~q<;bZ!!*g=YCIjdHTV5v)(d zETVbfEGY?iY3T_dY3bgW#&m-*Bg#$mVbbK(qC@5|@yu|*SxNgN^GOgR^ZOk{M$}{R z9*7SFlibyAgsXn%J-s`ZLc@rv9QzN$85wigY255TJ8hQT@;rP^Ob%E~(tcq;f7xG_ z&Uu?XvW+O7({Gwi5=G(&mD`x-W2tkQZoWY6Xd#v+9HHnYtw=rN=lV?>ETR&yF>RQG`Wmpq+uh0%zlF}cLvZw>H@BJ5?iBFvbR%Zd@XvGqzn5`U^?q(x|(WARZ*XY{V-hF@n_kFKx*Llv_ z^PD~B-1p}`JMlU9NsZl2h1Ph079^oxVMDWmPPwTiQf|~*N!!sN$;#@9<^=N++Dk6( zt!#HPHO(QB67lxVO06N_eyX9p9n{Kj;VTSX&wM5x@s}9I^IyOn@z?cO9v6HcDLvhh z`@35ybvuV!z%VL31HJBPQ{O&hJ@Osw8epHY;b;U?VmJLQF$f_z7_ zOC=_hsZhz7jJ?)fVPnd_`)+n}pNUtMelWvlvr=x5jSS**MH~jRS3-zv_TI8KT zh_*5Jp2+7a7ix2zAuXOiPoM5xRy|=nBwtFUF0=z46~<4UUfLK3E5=jF%+9kvAf92h1SE=cF@oQ&z6h9_LClT{`8FqVCxU_*&%3%@gVgpATzsq zLgJ&~)$}eJuSeT>=QXu(p#?bByrg;-4A@dXNOb{h1%ZaXQU^VX6elFlYxrwxeDrmH zF~z*ZUFPgLFHvl@#j+!esm22H%iR4r6H{)gZQThYXsC?&>}f=2ffrMdnC4JeU8Sib ztZgpF`$3O(Dux~xuvB?0o9*NB;c`nwnwJQ!_;MFMJu_Aiw2&WJ72AvEMfx2@es*XJ zH7}XJ{uD7*?{%yl@mDe&nwJW*wG-1A^7T-{j!sM1@3)1RwFh``TH*_=tvhDU4%W61v%4UOwS`4}Qkhcni!ndn z8(B4J81c7})Ze^~G_7)HQ58%YfzAphfQC#pR5pWn7Utn|g36$wt9ikdudueU81HE2 zvzHNnk8jLyfrOGEO({6j{N?Avy7Iex2Zt~m@&Q}6fUQB$5LfYV@yA<&ryAPeh4+#z z0ZdZC<|S#L%5}ix48{MlHfbh)6EYCB#M1%mPOZU{<0n|X9;Qi^8KaPjd!C`x&wHwV zz07|)WcExq`apne0s+BG)-P`4i@`I0S)EQc^f~Ad$}VUdmN@cRa_uQo44%kL@4aY? zC^E2ip&%-+jTUxKaLT>x#pP$p(hq@59JGpp4P|A!HQIt9QMAgKPu@kzYOnL_>H92_ z=oF>%e~#t-uURRUOpWt=9h&Z~uJW94Li;cxU%=SgojgRR`}nEx`5TsxL5-iDPQ@?C zko-+v#4z@Jem16>|kVMH6jGS;=aejCXV8->`id1CvgIC& zX-t3eb9}rZraj~4chub}S2uiXKLb_HWOZsB*nGLtU0hX3I+^>m9!~T2cm(q$_fiZW5f`*E zV@lH3_T3Wv@|oLjOB2Rl`s`_*gqAOqwy=bjSxQ1s#$TPUKgYhllh4yxBJdnNGQ-@w zzx@(2eVKL+II8_&Z_jw!m#AvaIO>ikMJKNOt4J~EtGyS0Z^f-u!y6%$id zfIDOn$(i~j_ZJ4{Y^)lh!zowT^7EOO%-5}_2Ff=MAJ56y*PKWu&>Z7(%rL7g2r%gS zF5%tM#GfisC^|P39(^ zz<8Lu$A;K`x9G_!-)vzUse<-xq0vE~320^Q9?Tve`W+o-Q zdLN?A+8D6?v>j3vB(=Fg}3EBfP8Sbfa;DG&ujnU1fNg8&GG*^IkC$o2YmQ$qYlyFQB|N*0p* zq7?cWqaZF*C%-+gGOCE&F6oAZblInQ0xU65%`Lc1LW&Y)!{*6|I2uD2!D#nSI4i~n!|EOX1Ohkw= znfXfXwhUYuN4p0M#)&27r>%I3kKd6grk0k?YPHyq`zDKaSFv8JQ;Kny&|E=z$e0L- z-c+97+)!g4#T?UVT&n}ROjXu^Tg2J9$6^v)+uUdr(^@1oMpE%wpCq8315HDX`KWl^ z_~}|-@j8PCz5ll+53*O22gba-^A5z_{`tq^$40;m0#?dnvM|04)1o)&BwTv&f{N@$ z@e}=}%hatn!r0fVHj6pbvus_&8bohG8A7+XV1_KJvST#EzBN;~4mJZ?wH5VE-zk&W zL7-ci)S-Y3hh|`*fb#@d_Z8hI@z3(u|MY!gG{yMSxNP+&;FKMKqf66|*lbR0FtMDdzF1Y6F5 z{!9{3NQ5QVWN?0Sch3H@vZC=xr^(_avNG!WD&@6r2aReV@4`3BIYmI4eHZujv3;L+un)eaiw7h@Zelv+8fMqpv!%*r7+8{F*? zqw5=^8BoQH-yyg?u8Wq@tZ(Ks2`qB$P^twIP#%UQe{PC(3d7X)9kEJuaq*yC65k3( zLy5S!o#}ReeR$M}l{0G?0VbfkxjS&`CD*u?V_IV^I0m|HY!^xIuC)a7vOAv|`8kDRA~$43 z)v9eUP;KhO^7hX5cBk%~0V#E@c)PPg;4sGZjzZKWv>i5nr7L+Z$bxlLyk;Q5UMh%> z-%cycmU17^L|4sd=uH5vsZ5uD`AUa$T1g_s^Nq!)h~-c&Z4{xPt)6IsIz@i_;M}Dy zuK_juL&o`UfX9cc5C!`AK}JS1sInQ{Cisq(#4g4c`?D(@VKLdi&)Cw3zcswtn91sg zWh7C&vkuK)@lE7FXY-)VVvfl_iJNpR)tw=!k8JI5gGP%i*`h!A5d5%FlMampY=sX7 z!MW{o#U$`Pyj)6MVHSkJ2pX^TNP8L&{b8n|Dqze)!gZzWU%5LNNgBefG zWr26V9kFF~todyRA=&Q}?|c4gLn{)$^`%;?q*~t`kn>;tiz4UA8*OII#C4~J_{edr zHu{m*Ihan`EjQsaeqOmoF(p9EN@9%6R#L&S(3dB7Nh7f%Q+X#xy${D!Z;-K3ukpNb$dHtl-F24|w2 zk}DaU2EroAxI$qLA9P0lf=1(+wa@Xp-MZ&Ob`g_mhKU-2jS}Y655~y3yLM#$X^OJH zgp*N}9c5Y@(x<=Z;4(9~mmO?#&yTX#L*^mEFFQC8&Sgftnjk=ZszgtD%c&xwL-{(T z(QiK4`nK-%*tv~i-tI)h`-vil@Iv(kO4aO!=jk#I%5VJtdw|+L8%G_Cw*1*K{eg&5 zIP%O&oqsl;`E}6Aet2?7u1l+8hu0Fvm)}Pe0y0;`^eMgsQ84NIy=yFZ2&dTZE{(Pl z^D%Ye2Q-4q=MnS)KJrnDIB^!?#HoW5XQ}Q#uW4R$Kb$z1+nr?PrtA%e>q$P>caRY3 zS$%^K^F4+SWxWi&!g)WEvm2VFeRh~D^5vX3Rmzt@C1%WR=IlGC3fEeUn2Ixu@o636 zlR`J1XB*phz;X^UMr^bZ@ku7A6|gK`#T<)f#4cr{i;jE$4Bw}tAURPWD0G9N9xNt% zQAi$p;MHR5p@yk)O?|GOA>x%-D?Sn-vFzx=1;waB)}w_mj7)V z>Rt+qVV077B_u1sSgEe@Q~Yc&67Zqn84c~vD>ANgxZO@$_JHHcIQa ztIK8q8JUbw(+r#I=ZAi#ioO5u{8w`J{2h_EZPCbMK1ZpmzSl&a4z-lDX8$?}8aUp|DA-MK2(46YYg|}A>{5TT zryn9BL`7R!X3^XzkyGq>p4qNXqrK43dL2Ih>^`NtM0m2a-Bh=2Y}EVm#%j(g%Mi** z1LZdYfKrH@b;f5ganVU|2-6ABk=p& z^dY&-!vwqR;Qng$F6Ry|iXon*^PHIhDbX@R7C*{R2APN5B-z1c!RlRAom>>>f9q`z zYjGEk$nNgNotczergMRdVT(@jNsce*T?&Dtb7FcYAA%@h>HDGCEO=UJQujkMn=*6V zsrS@H4k5q0VrK&QrUDGQX#WW0z1XRj1suI^3+yF_XGj{U&ZRdN|9Uxmb~|-Y5=6%G zhDYIvo{w~eW{yqwwR7ndvw6sBZ+EMSfYd44qLoZt=VsQ#IJan{(M~z_oA@6aYaKq4 zxi0pJDdKT1RV_&i->{KB#1cd9Bl(7NXM;gsA;<)6rC*d;CUuIL&b_GguLf+M)2{We zHGZl9WvZ8Z~y9jM|pR|P0NCP zozLb5eU^NZiHtvL;UrT+M%6{{-n?7)vT?)gw|$t^4q(V(!NhVV;x7c843RCkS5Hz8 zL5p|(N80R01*Vw7;BlpPT{{?Y#z+zOQ4<&^_Ube{UyH>K;!?T7s ztO5yrPZEh3vrOI9aRolnCG#QxG$G@(k766|x+v#R^ki;xnOS4pEj_!g(m3Mayt-H8 zJW;`+c)27c06X&7x> z)H5EV!nF&Jch8|g8R>1DnZ@yk$U~TDZ%D$wj4ILWk5=c4F#{w)h&6w){E`D{6r_ zQ=8q>tDUS~8smv3E*^I$YoxM4;M3E$l%3B@{KI-3=RMAw6?==7Sn>(|Rst=EdSjN| z^GTiu&IcCdK5^C&4MQ7bXA@0t2c`)`t@Oqbb|WeUi37G>Pw@Z^)y7LKJs`b zPT&qnlcH+-ZGg2hfA($tbwyR5XP7*M>(yzyS=VR!{g>1@~#Gqz^l`MZuS6bT5m(WjYG3IAX#J^$E#B=T#Pglt*^I{ zX%^=hBEPK4?stgRo9%;jvzu~KEP~$$jc%nXUnKCS&R?`uXIRIr+rS)8U5;cEwPb2d zsS>@sG}dk7iM3%k4v{~1iZ7YEbU@Qr+=55s{*wxO{?O>X(7Iep3;>`?r&LhGr^pY-_^z1{M_#VGsy8sBdDeo%PVfTS5{H{rhWf7guUYQC4W{FeQEMfSe21NfQ! zMzmQR>*L5ap8s_5Be}2j{Z00V@0credvC1fn~Hl4Ge8hC69DvU>r3m^;hdNUVm8j- zH!b-L)~C`@4>Z~8f!;$4n{j0GMcZG{-qaTS`=h(=CL6A*o%q(~MK`-)H~T^t`$9K6 zpo<-#6dW;pe7kGL0Vj@>5`J}BR@GOl6bwIf>rw#45fkoD-O~7bvWNvc9Fj&ZzGv5s zzY{*=3T@1kK2iz4pRI1*q?%8i-)=Ut>Y92c&Y*TNg~L%oAB`mx=(02o15D(0AQOoF zfe%lr+5$OOgM(5nkFrk-F7Y`Pu+61Cz^5wvur39#)T>i1&L2#y?VB%Nfv#QA!kq_b zdmh10i+XD{XSkw^B@ol?Dk-^Iq;tIKehf}+Z!rp{yGah3?g*g;0ltlT2z z-Tj8D&p{77j7}C>v7@B!ofmzRWBGM;K7YPeJoduRtiJTNoC#d7Sr4>8*~lW2msHB1 z+bs7xJ^qOPqOq&%

{1aKT?EOH{yd-(FJyTzh_7Ho0C)n90CHkB0VEgGQ)8)n1(vQq8?{Kb= z+S84kxT4@_DID-UaBWVAe8rIuxo3us~GVn=+UMlr^Hao>>yn0orUj*isD!9{lyP!FwvxGazb#LKB-vC z>yMt#xLv9eXEhhAE8MKJ$&)A(s6fSccZE6s&Vv3j@jY+w4ST8hgHloHz8is)LTu8D z$=VYT#rai+GL@nG+cWf!@!)_@`ysO7hCe91hVfz#%4NfwbN(p@QSv|fIF#_d*iD?d zuI2+}U^4bHCoUx{`W3DX;7A?u42||v*W2*zW_KcvM8DPhpEbXdzr_SDs>cz-`^3T~ zZbGX1_(SEvI0HBBVuyZYr;kHsw|Lt^8+)!*upPAmRiJW-+JqUR8x>-L>E!1Qq> zQz7R0s0Q=H$ko?cerYE3qOQt%8}hjB!n3$1@%*%Xe)(^|(T|KM6MQRF3cXrB$@! zc~EJ$(SG@-Dz1`)q7Dd`YHAWd*)Q?GfvZy~8FR(Am~e{l#qUM_dGTH|f&Fjx^S}4_ zvbiX3;YRJ~cN*)FvJW@zlb12gD#i}3$`-8U24ONxk@Dkx30NO^kn%(9;wqbLI9CcK z#$%-@krK1Nr`n{OkTZ5GrZLSUx8f;jfl zN+~#pyP`}?YZR&;|JWx0^KeRw{qMqu2q&lPcVlbSy|nQ^f>OtwNF(hZMII1G9z6d4 z+x2etpsGGn&ij4jERx~{Y>ru;VZ)2`v}x>dc~mm)?jKT4oa_tp0+4hA(!k#vYgK(? zRei%|adh#-pH+^}XC@zcsxP@y!-H0;kY5Cc@-k?4e0CvA7FwuCL!AL4!FEr+MM||} z9K3MQpLw^YmDqC44!}*47Q`5H>x*E2+*!f8#+oIk(U)`zJ@r)eF5nUZD zEDS|pq*+BllCMr_gmmj5@XE@1J!!aB{{X(yLC1Rd+zp3Y5iBcse_4I{#yZO*Ge|>N z4XZFgZ#}-ORI~HEP4~&Nd|YDfG8vND&@GXGbC2U1FJuStN+}0#Il%hr4DE5*&KZ){ zGrrE=PT}Zd_u4DGYX$L6AFL?C*I3Urw@q71{YEomg~-M43^mrfIX^Ad zYCC=sXtSAF%SSayKOc!Re(VFf^gM4=Q;W}UFrLYtZSgl>m}XA!zZKJGJV#TtpHu61 za{LlgTWX7KtG1d6^hY^0ljCi+>O@<*@IDj*?YxlczhxhnbobITdK=8HH@i-+eWXlr zesHj67}}cMYPTZW_d|sU#4I%pp`jq@n>jL81R-1EzQq5fP7#V-t_dKS9!ol$^Z4Tt zZLl4%w_3q?nXr2YXB; zuA55-K9b7?{T2~i-sQxYRd{)Cy$b3zE&a};+wvm;OPurm5il()1NcLC*y`mUd-)5 zi%PA>{&`(YBb=l4Ti|0g{^8dvARmx-Ma!fm*Cs?eXi!zyt!Go0IJRzRVq#=)n67H* z`;U)eQoi3V3HDy|-HZ)C9ATuY38)FscyPdR7Urb&zqsilT~oKe=9c;vTyhIOJ;i)x zCb|a|;c9oRKQel{x#PUhz+CE!&}_Qs=YUA9%k5jR?$*D67uNyZ?glQD-DI)6(x4dX`B4N7u}J`PRoS@Kz&@B`THV(k;U)w zN7KM^A`?$u!9zcve$%+!&+9glDvS=0@|9^HSZKEwVhRx*c0yPN1AM-rofm0rYCO?X zeNuVkFiv+55!0^XQ_GTk@Wd_974?o%a+g7l<5)fhEa=N!5-%8Pr@Q8~3Df}AGv2CB8 zv5IuxS>JW3&Wtf(0l`>VnWv;MHK%7fedgushd(0N3*!=9tYJ$LJDMO@S-r z*TT(1QnBUbpEH8RzRS+yPD2=d^bn>cP1j?#=*v=~H^=lg>71ie2gaKtMoCiYOP%9< z6`8Mmd4`bF``>P9!|ba)#`ympaGwmeNSc(-i`F{yCQkUZYCP|iJEc3;F=lSB^)P62 zJ1MF2uv-8ueEYX>t>9xd?BKVQ2Aq>CRCPJrO_H!gPwY2K)pEjQI^V_n~Xc2RN_VD{Ik4rPGnEgQWM)nsnRW6x^+^Po1l= zTPBQQ>(%yJcKJ9ldx_Sti>6$A??H9RUe6x;F& zz=vG3(>2?2Gwz>m8)bBOSsYUcs()|MRry;#EKYL?o+U6z8f>~0KNc+qqrJ@Pff0D zZ5;!=u$Gu(Oh0-Jm!TWQbryD|zD17A9%5YvrUBamrXK`InjGymNKUW4oUt9&SQ3*c zxBc5VZkB2RiMC&EzBJ*eNg&hGz*!qzTGq>?BWp_7VSSh@WLirQK*cClEWe!ILYSuN zo2WZ$V9F$bgQU7+hwe}Ne~00s>!KrdU)MuR;at0OW~I9&kcw^5GAKpk-mX)J!{$cN zp~nQ!sc$CT;lppSWsn7zRZqh9g;utex?>Z@JIIl$x!|dvJ`PRd_8g9K98$=n8r2n))Z$*hn6`qPz0#zkWkzgF@!=lLm?H@vb0CZyO)) zqZmF@K^dQ$x!r=zTC1(C_<(btrmp{vRm0zPHy5uJ54b$PpE<(!3eLx7IE)Yfynaha z_N!7G_O+3!dtqd;S%7Lh^aYtR3Vg0*m#v>=l66sley}c8v8ms3#qYkoP5VvmVfRi- z|M!BjkL_(gy}YKbF_ECO>U7$V!C@Yg^__aSLfm3;Q~P z%)Gu`P99%2Kgg~W1b3PxT~(haG`;)F_lp1Z2sxZ@=r#HNG9!+zxmo*D1yS`%CKTMY z{(nvu2EJql|CSBYVm%a~R~{^FZ_sOk%!m36ne`XG(*5A|C~Q+imrefFq`HD(gHZ^z z^Ee-;bG`M6$a$?QiH1j-1>tF^pGcW>#1Guj8EjKD*V) zC$LkrYIY#X0)>FaW6|4Zo1Iq0A~Vk9%XbVU3Pb#modnZZYo=oV z8DmfByCTQk+k!c_Zp_8MyZ>>eMA`?7&)y@;eVw^;1k*Bq|7Ko+xWR^E9E1~KMX*NI z>;or;6;VN9;nq!pV8@#qBD5Ii11lQoqm!Nv26w|KrT7{qNvMxC32%(fG*1^go z<6ZIiCt~0zQqI75@F;$Je0$t^ZhgZaeDHKxWT~R*_7s6gPzRTXpwO4GSw8FW+f7|P zH+wzY-NrY}1$XtK+qPRodR>^}agEsyTc__padCS;y^=}(uW;}7VhIr(;8Qu-G1N)sqoI~IopTDta z!t_JB6L4!?6%B3ti&iUK$Va-Hwnh7ouIA!`vrT!n2=KL#SkMIU3H&1~%^E3?{0Y$w zlz&6?;?F55MjNCpV^-Z!Kyp>^UyhRyu>qoKgV+tak0>ps20YU2-kpvxS?n{^BL2Dk-$?!NFWBi~1Qoht^w9%$d) zbxwP}nz48hT%CdW5^XqW9>Tl=RLUoN{QWpnre`NqfrFlv78aXe_=%8d6$0reA64vGsW? z=V6fe>k<#`-um_Z-?0}@o#kG>o6gy;8TF_kE8620@Tq?G6X=E?37<=r9mr6cxw{J zH4z(`xpEONgO2ebfo7)x{6SfcYdXqx4KP9FvF8mUF>v*4eU_}YyU8~XSBnK~ZHuj+ z2T44Mp|9Xo6>-wU9lj?OP~#$Yar=n9cGg~FJ?XQM zFIkr1^%e)`O>T-Z1HKkDIr)CsHtoj>{U~v^<}m76 z`r+Gd=TfyfKzHuSB(7Phc>L%(NWJpv;jPY9QMldy8iv{0DP!g%*3O6G>ndpgybD1Q)>VRz=YF_Cg)@JJUt zyZEw_L{VvP^CBc4{>d|>f5RJa=$_>7eO)}TIcQ}sp7iBj(fV5(^TS_z-(`B@4$F*j ztU9oKDfr~DgGwVO@MThojvnCiJ`8D7FA^blal!!$uW+bVUxpd4NH(ftG|8{b=IV`P zfH-Z>BVVrInzU00I%*(W29-Z-g}O~rn{nJ1)B1`D&<;E4lmTLzaEogD{<)v8_FZ~1 z=juhf|6J)Ss7J5KsKTw#$KJJ-@Q#r$7};_c(1%Mro%Nw0kpuk6PoB~jFe7f2I|=Jv zjhLa;%FkI$_~e$zvSe~0Dw_7yuywB_av}27!2iZ!KM0#uhdgqh zb-f*9yGlQnW0C&KY9zq&W?M+)H2N48^07!iK!t+uBbh?Rj&=Esu}A;E_mY2#jd5ZY z;Kb?$ko^_>hebs1eI*U*!h3YdXGiz^TllFUx@7*Hs+HtcTiQ-J(7+TsZN+>fX~*#U z@OsM$z<1HACOj20Z5rJM>PPTXB(IpQ<#;H17jl6K4aF`9k$H9r`E{++4($}{Mr$}26ea791*%1 zx^rL_b?b_?0|frE$jO=M9@zLHGCkK*e6j*>cc1sBtp%Jbg37uSysV#Dk+U)+N74qi zwPT=9S5CmOQ&kxb6*8c|GQBeBs?L*|M*%1Qo?9uy%!YEIV(?8AWL8SVgAp-fsY$0D=plvuCI; znaB5xzfD1=TJEpK8W=y>yY8tGIaMX#oO7bp!VCi2u~|ftwQ`!)X1uz_yJR z)2BA1_8$M2_b>ln-rrwu2$BDXnTXd+ZpLqQ`ur^}Ww-De+=r$5Wcs9vr`yhc^IS#a zkaYKsg*RjWd$TH&Y{rM^0b=R&a+-Wm#8|pqFfiWRSlKFCUwK5WCb0e>^S9XP_a*io zw4TLdrIC>y=*{zH;{A@d(c%4@{nLH;`(=4E0|^Y2M9!CnmxgP2tu7y4$cqa6_-hU_ z2eFrY+mgi|VEPZMPSqWzP^hiG4P+yHfN$vJYl0c_6LV#TO&bmg=KrB;&-kWgcS z=>MfM$h}!gu754`FRG>cRR8syTS^TW7wlj?RrOxNTZypv4wdx#y4mKaXSbH&lj3`@ z;qj$M>`*i<+qZquga^8L`SFHrCf_Jl1yBab{p#4j)x6Yq7&@Hre=o=PmSe{MeXM-L z)yOzN?%kG){rw zp-Q1j`z%Wn9+{jiYMh==ltZ?C{`_A}r?O%#ft6gq>>|*HzGvm4d{H1~l-JM}x-xlv zN3BdNIU@!ZyWqb>23T)o`y`f>^(UQ(qwLYq288cQ z2r4K#sR^<79-1V)3z~hAq!;>@_+j9ax(15sm>f!i>XzOYs!tgyf^&M5{#`xxTqbK3 zyJ{j|K3bxVJU|HofDqv`(DK4r+%M$gt;RXb81Dun^%efQ$;6ERl{zuzGT7fbAn6<& zxxLhgo0Mkbh7G^-Ir3w|v{1em}6Q zSqNAQjqx%}DwZ*u@oPrupDq7v;0~3?u;Sn~OKb+$bqdaBwW0`f0 zX#T7o((*EqKq65sEk1N<65HQhb=~ z`Jk|oe8MffEPn8(-}?pKZYyhGG+i?v>84@~F!ZpMY$E?c>>&cl`>N6}Hu;jI9r@$t zkv}9lcG^Leb$1-bhla?*tR%C6VTew3@hr%X8(-oHySk(N8|Y`)_?F$B0u+Q6ynCYy zeB>;JAwWU5Ov|US^f9>khE46}3b;C1C#Df5ad@YCJsjWt0GKmV$q z(hLWw`X{M0nep=2B4-)&0~G3siW5T&Ybeqkv2c*^8a32~ks+G^{6K6fr$TvBv!<}N zsMsmE3J`1x^$Xd`VDOT5^)_B$$wiN$0B$-h>?3l)1NqUOp=Go2tFbcbc_*y%Q2gyk z5nMSi);{Onlwr+_pRM?G-N6SA`tW9JF{#To_vD3yk?&UeFW|mRSeF_DL$v3;Lb?g% zaWVE#((X_*jvL03SGS6qBSRm3+a=)P94^>vfw{T4rNGQb!q`v+fpJ59a$}Q$jubwpF=g#1iSo~=DP?nlpAcMVN}rEvo%5M} z(B%B&4hh&=(N}$XZG~C$!`{GeMm*K-G}o<|E2G;^)7*1*yW9^tXaa3e?`l0b1YS4( z@)f*phCR+D=HAiY=+rHN-vxCm$*I01FiTgsP2xYxc7t5;K1;sKPkVR}iEc8P~ zQsnad9kBtEEbomWm2B;|K#ngn@0I4?3GmR5W^kk;sinzua3iW}Qp4klCx0?kOyU1x zwRD7z9ar(!~AJQqRnJ!eA=ey?u}Q-md< znFY_|{-ya6i@E!Ii=P9}$g*YS4<_icI_Xj$;lKL&T3k(zez<$;nczr9CD#X`n7D_Op(#`D7U$P0R=ge0U8aPnO9%|k zo1g3ro%IjcD#eYzA?ql=pP5fpn-loKra0uPBsqDDP%W81`j&*Uqo;NAp^kDf*@IfN zk%qIo>OlX@S!jW(Cux01lx{!A`%1kptB+PwCstBC3%XvuEE;8V)pft|-3bTMAC1ne;zo&Dla~CO zc6C+lvRzfXr@N>50s#S0i3kbt8~kAc<0?k>4rVsi-?$jQfBWTRW@-41iG}&wcVvb6m6zk=9vFkmeR2pg6E4iGL-|8oSX{?8Ez`A7S63W0EARzQF- z*^d=JOFdMfrU^q*s5z>aBEN6zhjB5)Fma(|@io++Nz$ok5NH@=)iLZ`WwC^_zsNyb zKN`y4QuB-yeS1lYaeY6nI&&|xR+e_z=4oyZI(z!-=%ni8l=&`De5T~&bk_s^E2%Qn z^sV_9s;Eth3Pe9X1;Th0*Bfv@h}%h>9y9??sjWI@KiMmioJh1%qiSDCCljMT)BnK3 zMR|ci&$e5^PP;nw^&MOh-sSN44uo!!=w4pEWJ4unaF4TU8Bu5gD9Da6h%UW2{54u# zZ}nC_!Md0|kWa5ry=ljtIyDR0zqpHgRB+nlUQ zv;ReS0TG?7rbm|j>NWgvy@N#`LJ@Nn^x^;oK}&Ec4G-!=C#j%v%woM(E41=penkhq z#e=)hKx#>W1Am&*hAhDMeLsn;^H-b83bSscNAR6YmT;Ka&M*KNZ=4Nor z%89Y2s+1EshRGbqETR**y+93%C30Ib4o6fRnKeuG@yR zemdbW5~GyGI*fXaBz=)_g$Yw}1cfqm?2IiJ4_Ze#ig1llLjkq%wGqRgmw8)V&wg9# zN@@9&3n9v_hqEde!{ zZji%JMe7DR6;sty(0I`C-MuGVV?On+Wh-tG`r<|jRUFH%Qkg8gU(TR19MNPcI-A2} zcZ2^A*8SbXluhm&v$1LhpMmq>6N|BG#Lv9Z6zwa72{7RP0OlVc?E{Q~fyLeG#B;`~ zXQx%o=Q6g2i7?Fo;`+V&-y;<*Y&guc)`&r1mLHhq{K3Kmvy7pW1&5cy?;@U6%$=g< zPm_wB)ZtVo14!4aaek#?La96OKq5=WY3>y@Z%w7x(^@MPZG>qiQaA4z8;w=AXs3c% zY9Fj5Fslg6O0kMfO1G!o>L6<+@0@gA^-I$%Z^}7ZDx)uHZ8S$Tx2|0K|7J01wm>8$ z0<9OusH_BIjf-~U6`ds@!;PD{8H6Y+x7Im4hcdR7?9~_a8E#YTj6J<(e~~3mn_pbx z3Nz?_6B!b+Y3|DLcJscR9gOrB8CvKFOSf{+8Kg}(>IhSHnsmji4oJVD+2UnAR`$rD z9Hp(DzdwPQE?3UnacwL^=dD(8@;hEElL2*TEPl>XWy<8lcaD65SUAnW%ZoCR7B0g# zhgAu$Kh5T_P^r~$x8r6_I+zX8^C`%kucJk2V`|@f{ykCEQifMgYb`wVCk(#@%({pL zgRL|$_zMR5Z+Pk|%wsv_c1-@w$Eq6)6Nj|cI7k1&G=sRh_loqUs#~m5ZfLCmAFN<7 z>j=!6yq}#nemOC#_q=Ub<#$`NB;qUcoUx2!N^yQ7(=dZ+UmA#}sKj`U9J*s&6@K4! z6v`S9f1Kqrp5oHxhPwO9E#s)|fc#3?9C*fTh?-n%F@Of3Biv!s_#-sh5czk!IXB7w zZ}B)2ane3=8ew?kM)g1Ym_OriA&G|hIu3*kCtO8Qon8@Sv+R$e%oV=6+~Flz6C&JA z6y!MHP_xmc9O-*|(E>w1bLQJPR(dh;QCvN;C+wkOBy^{kkO#!O$exVSW^}BzTsGL^ z=V7p%m%YnnH`jD;u~07wsf&fQVfB3x*Gby>ZA*B#IK&tF%&g-U6YDr}+_w8Y&mlY) z>9Wsjw&L{rL)Y8W&Cvq({p8WXQ^vf{wB#g;f(_kj?z8KXF=89JsqF1M=!AsN1x3T5m+*spb( zE_3?B-;=@*u3Td*fjyZ**XG!9oAYJ*o5Ke`>e^>HPRZi7T}WCTlw)}5zQjf97*NJ` zY=s^ylznrx1>1Rjk|B9wp^Q^3^E==Nn}}#|L>txRjLp!(B+KU&V;}0_4n45R5M#fy zi)q7{_Rs+9j8(QDng-W&xme!4kBN?xw5TI9e&0vC07Yo%hhtCm_di4UCTw|G^PnKq92(6rR~Pc!XK z3#_SmQw`uunlE2n2{bU`W_uq28}&@1|1c_f^W($lY{&Y?6sz~>hrd+o9WfT(xi=(Z zxSj8t5+2TC^(-}XF^%Z{xR7-zU-Jy=Ay0Hz)%~P1il{N`s2Ye!W*+H-?7N^LvnLh| z<|p>eNE4YVG=Hn5=cX+5W^#Go=WRWW7NDy9ZmRi3xo9A;F@dCGWd4xO?4v4E`Sz0* zm}tl3l>pgcuN5Ax)>|Ht?QR7N8oRQIZuC=4omxu7?V&A>U$ppC&CK^!Z3I!Bk@W@u zE8#k~u|fk6kvQnZdL?yCWwwm+ajO#Tlo^_Qh7q*l%I$+NJH}dQj!ht{0jh_VA8wSB z4F4mb{v%|jA#}K^#!M=Al!ug4vp)hz9IyBwxVXTETWEBf{Zw&Pjf}z3*eO>*0a@#q z>-qBq_l4DUx^vNH5yYigKFtk^%KeFoswBsmyoSu58zMrFIC}AsZwrtqzN7MbsAE`$ zEW=SshmThrVi=4QzC(j+LZ3N6lOpsij)@Kcojpl z#cfrE{57#~v;$I}+DZMJwGaNZ61D*;#Cc+BN(=Qy{iM9ujRSrwuK|}LT6WObIz+`p z!~ilgq}r6$z%c=YiZRWeMk)lI3EG|o3Iy$ZzXmmmvkj_~~wS zgtC$)SlH1Fx2wJVDJN2lYhTiW6KrhdNb*D7(Lo!|ClOcOn*yvJQE77kQNuj#L^uue zn@iTY$reH_wK(jHF;qWi zmoIkP2rQj7;tr0ni1NDpzp2%6$lpe8Bmy61`C_5}o3c0fh%glJKUzc|KC(vSKh}c& zB=g^tlndB>)(8g+q?(NEfe<*((@+ock7WuS6+)cps+`X_kUxD3xfgsLlc@_K{#@o2 zXN?oq#=oP~eU0P7Ks(Rz3}e}M+mYyf5Jz}Jq%HGs!kZHKsc-ByYH9*OkBgvSdWE<` z>b*pOHsH`Pw#zgaynupMbz-{W_*-S3fW%YM%oxl*`!;da4>`utEHJ?>vE3-Bmg+T! z`XN_-tLqF;JFoaGrvg8IO7}W|qG$9h@nRBKlks@bZR#$rPaO0eYZv7INk| z#>|M~p)F&Wqq+5Wg%pv|@}KWX^OCLopA3wispISW!Am_P#EwWjE&r23Fl6sW>+R61 zmijivzN$x8JbYb)I5c*vK4cPHo1rrv&wxWaZ?pQHC*tr{>+Qm?mf178_CxOBI_yJE zS7jQ-fQv7ML;Jf*(H9n)4>^62-Svli`tQUE_i}y}%A_z%J~s84_LAo6t{fMY*ZlgIv)3Dw<#wLAJ&Lv1e?(^{p_BG= z7KWRNW@x9MPF(hyk9FYw+8MsabfRpY_uqAG%|F*7>?Cl%+y4o8HbZNcSx@}bAJq`7 zV7!)GKucg&!%a z)?VHf)uG!6(4*U^$l9gbuvHmX?^mZk{$kRYA&{|X??t(IedxOkYpBVO;HwJa;(1#~ zKGqp(ZC?ALFS@?F9Sk`nvci$|rK1=%LcrEf>{&rib(!$76TW2YOrYrKWrK2m+w8k!32)qor4K7qUc;?XSOSP0rgz3 zif5Z6-?rk~hgye+SyQ8EALRnfs7INRV4EWo?`7c0lk0iCbadbUxjN`qV(uzg8T_Ew zf45ayRpY#hF5g|ZwT5{+as6b~hPTJrw?9^uj+&DN=j|A4j!A8irw$(?Jq=&HA92!= zH4Ed4dO>*&9bknk&+W#{*`2&amER@z>=S37^Xq0Z3AvE;YgD#_y#qxvMNrC-!Fa&j zEXm;Wx#FD?b6cF3NByD5ab#!fFkr}?9(MR#?^4o>Dw}<4LYuiqj9== z19VTARRv%`(Fdjun-4frfiaqdH%$l2)}GWGN$O+84aQb6E@=Z%_paBPh^L9dBj;8V zWi<(tg)~w>98?0G^0~_U%-1uN^}@}k%4%vkOq5#)!I&-PYQ|!KN&}yn%7EK(rCEEL zvgBHo@nT$4xq4&4g}Dm-{|`&gN*CLB?{NYKS3axAPb3ZN9+@94nL`(kMX6X1d-P{! zMg|AmGfMlZJB9GIc(;3hSNN}|9ZYB=(m&Ffo)V%9Tu1W?{H`Syrha)E36UEoxjiXW z^4is*zQoS}mbzn^|A#~pB^PdP@BZ9#Mgdd{CoP#@jOmQRZabr|`k&do#z z;XRZ-ESPXG6vu|KovKKF?O|W2QD92blcwPB{)J|cUprV;g|QUtb2r(mcUUujCrJMp zvq>Fo9)n(hoN2Q3MiFhEOzZ>IxC5iC1|KNm0~i(Z5+E1nH@{hpvinWcJlpLdfd&W&6S^DN$9Yi5 z!}W3nl#%hf|6f6u8{j-Yke%0CY9N)LpmrthC^k)k+x&qOj*DM&uNafm%f$VcXD_t_ zb{0Fqg_BFGKNeOF8giEv^#duHoHl5>4HlNDb;cyzv2VU1#Gp*$I&A*b7iW$roKrlr zdz?Ycw=xA!RSckLK({(-o`{Jyd_e9>7d7g6rv^~$?lZ8HvakTs$uKj3Zr&+SO#}Sd z5oY-+XCeVDf6kew5gJikFNmw& zL$Qei>$H%|MnI?zat3C3;?lLrVLno49%Zhy!zw!E&5D6^-vQG-oh-p<`kEG_(4dDM zsTjjD8?BfTr>KaYls~3nOabK9=lGUNRh^$uk4hYPsp1(+8j&e8_;i;kSBpk$%=_j2 z<`_e&E{jxBdjLM$?oz0dy2*hl`OapW=LoLOTj{E2dBYp@!H6z>85dk>sXvY!qd)6r zj-mm#oJ^^r$=|PB)7-+P6LV9)_HDF$*uy+2OHD6;))2T zHZRhl0!xqYYjo>Oj87x@$rk#a_asG8^Wr?{nY{ljw}JUVw>_^YTjgeaWS$uAGVdLa z$OB752lF({v%bVG#A0lvQ2iDxj>9%ps|W&_*2N{D^xa`6*~U`qTo;#DzXIB|c!vBg z+wn!x1GnkeN{}*ND+NNUwN2>-jUdA{n<)*a*{aO8X<%YqMaTO7zmDHuud7)EjOxPuj-$Z`K5+c!pa+YtG3_PFtK^ogc=(V?N<-+^O zl*=#4og%ssJE9m-#;jzEh@pnN8{!T#c24tIep$-05QRFN>MFvmBt&B6@5s(1a3j1r z!~Y>9F86l?%yiXsIvMu@eisY1A``OZOv1rjsf3(W%%Y50o>w-(e)@B2X0*7*3*ZZR zmD^8EF-hjr6!z=8WV$s@O}fkZJa%Wf@A{Rklr%)jBa46bQWfzVM9FC>wd%OjuONRX z2K!7*m>Ix_)_2p;cLh$mG%f^&FDgus)1JT<)Bk{5yw)zAG$XJY~Iq02O1sT37Nb57se;4QbTS$K&)%gdC2GQlYFw6f-{6G`O9M2sq2O|2y)pCJ4 z4oHTr{xdcUM_)VTHJMhu#u>C$t!+AaVv#8Fv&>h9X$aLh?uE{Ix#uI*Av4T)G+eu% z9Z$;M><_rNG)3tJ&jHCQD|8uu+XoQMn%PN-%N`s#EUiiO5cO{RI*lei7V<0L6XdhMIx?40a(KZ*A*lh_d8@fDY{#BuN^mQ!Z3 z1J6pku0*cMEV^kkm6`C;#pbW)kz*(2&T|ijYmz+O4^MNtBB7mG7u8&cepdgWp!LtZ zv&)B!)bd*thtmboc>bRM=~n?o9!|^qGEsv{r4FxmUi-P_EUs1?)5sJ9!NN$4E(kxQ?x7vcscojrU%n%yuw7ZU)FY!6Ylj@wyL-vwtE>DaDRevGlBK%1zcQqH+!1Rrf2JhC zg-4ry^R#h@SDkH7AXg6?xrO3aXgWhPZ;qc1o~1oJ_znguqO31(!Q-&7rpCof_w!;u zpOsvGXhmzc$@B9H0EV7sT_wE&yID*}83{ipe9C*duKe+|M`_L+&3=GAU2S9FHTsV% zRu6wn^--_`i{Ck^ZmtXYFn#Ul-`+4T^EB_FCLA_fWIpDSv4M4<(`t&Qo;1^uN{Xt1 zH99$TgH38+LzKCsbs+r`m6_fv+fk7z<6YU42xpqPfrMx_;i00+-TAG7B7efWoM+bJ zote_?g;cm{eEeKxxGuhc*)zML%l|z3jU=?wfPf(sIvrs>~F2YGj2V=x%peE@cw(dwE^jqCJ^{c56h%f7g5{c@|FW?N}}YTaGD zP4H!(tiC6NRZaBYg&!dyybx>5be6!EHQz;3LYA|pgls!~p=d1z-q+*wO0FWuTYyJWmYP5lHIp{4 zu51NSJ4043-l(y{^*he`vg;Vp-}}RNRP&;)TG33N3Bz~r|DlW@=*gU@Yd}2{d#O$QkQD#k zjXdG5B~Ed%vO3|?$jy}Ko`s{UXtZ#~0M7{?!=DhwL1b)ak2_?#v7>T}->Ac?(>)|n z)lt5}0Nq!paL%e#Db%43>q(HkO!06>$+`h5jzG@hOA5i}(d{AptsfV0Q#{^~~FI%zXJE_KA)vu!B2B#~bLP)%&VU>tRr3RF= zt)OoKcV2_$>zhtbiDbRXKZnT@Lx96ep9RO2QwQpM*BZ=s;?xGBMoQX8t|blfbGf`u zi9B=24H{D_bf1h#^u{Tcxa#OINsIVcwWX%j@KPeXDf(xx|vAu zYT-#TiX0|cGTh0t2nA#7Voc`dj_CjA(crh{*0Ti)b4+3#v!Z*VB5y2^4`?h%m7)>C zXR;E{|6whjUt=tu|J6o3|0Ie$Qoq4K?tY*szK|X>_fD)Z!L7jFg3sO_DXvF6+7V0a zr);-^ZvY8;q=b;!Sdu7vB6w`Zi?S0Bkfwj#i=Nx`{*?J?Wbw}fup{c$AC=1rJo#vVmYHtLLqsw88y-A;?m#?1+%s1 zfLRv?pM!Zvtl(#>Pz-y^Pz*2d2m_BKy3jaEF_`lFoz1Yfb$bP=o+ML*%`o=m3k<=U z!-5q*dU>pY_WEyInV+tPG;_!{-D2i)Xr$?Dh$H=)$%#H$OX7X9l1v+ABJe{6qZZ&{ z4jyLUVJe9yTp!7%M8{S8V-8nw;E_vlK!Hb5s%RsVT3;!HTE7Z>TmT;@GN=<^W8~N# zgAk09SP{&VSi^*pSZgsy&@|_$?7;(|4jyWARPY*ehP}4T%kT)}KmACrB7FLeySZu} z$882Y4?^~ARESWZ;((;4HD|N>0HIObLjl<$p%OUa)G)YJ^A6Mw64Ny!sV1Vwg7Niu z&7t%lLp%dz*aP>xii_Epe!s5L4b{|VIrhZz3a86MD>hubjUuFV10Uac{S4TRGN1KN zd0%_6V7?;$I?OpUs!_y@uBu-*XAbx8Dt(0YRJ0O3pB4uq>Km&S>c{SDhD}w@!n?nXau@zn06uAx(tQbeUsrIUUC3+Ro|%? z%>JflWcnGDbcuE!3^zWsCYJ9J8?(|iA+v8oDXA8_juZucVhnK}Mtr6V`Fa8gKK|ql znyCCfUFFDFw5c#*t1$DA7uCm~<3{6DP?93mvloilobtne0lD?%rTR6rq&oJ_Su*v4 znqBxmD|HzO^L^*}Kt2D`S9A_5qN)4A6noaH)3u{jC zc`hZ6E>P_yT)h_<1_A8S%H?uh6&RaXN@<`d<#~c3&9-IX4$MLEO&fZl%esN;2D zKYzaLm2#i1?ROVk1$j-#0w451UQ;NS-pR<9yaKzCI32pp{V(HnyP3O`9rHLH%WJ!@ zR;!@ANKmcWxgO|k0Oc~%sK32B8)QAaqir7izzC+o!PMYR=QzqGG?>Z3`K zWN%eI!e#cWq;0piW`nAxcV3GLw!FztlHe}ey!zTH{2$nOIvA6XFKNIOjlat+yu~3% zWEIp^+b203p`u58Qlda$5$po9XH-X)@b7XZW{SnD53y2gcXI;evdpOeB_so@)bAdh4SEaj<(Wjed$>b6>EMz*A#Is}rPbLxEWpxeu$Rm|FNHArn@lf4tDvd7c_yCB-uAPR7X3(C)r-PV z#POg9WjddLH35cnQFNDM7T|Jy|4VD#uIHL8P}Ue^trv{#B+{XWO)F==u(()jlJEdF zD+PNg;|%PUXYX)%{%jN3+g?tvRSveK>eWa4I~>mb0lLFLRiZ-IVofA$EvI2@mqtLh z`2a+S0&Fg&>c|EWZS6x|R$&G{aK7)pQtfQk=Ya@!1UiV|IuvOTE`8tnU#NS+DI&bw zid$}rta9J8`jcspEdv)IkX9xYM7p=(W}A9Qp_YS8^qARrx1X?d#}pVQ4UTxJ2=H?{traX zz+o`O^Dp257xog-8OSt8Xj7<+Vga_akF*8J(}ACiY?GV~;vd=Zbe|)R5|eF&9Hj>@ zT3CR{=q<6gJ(pn11zfUyuReEde-{g$j!kgWd4sLAaRy2H1Qav4##%Qp_D`gS^3P%i&~sXZ2sLR%EX>aC}tO|KGFXT!R2f1dE*#8-6ixQ$5s4Ytsqr1I>GP3o*6hqh$1DRC6 zdp5Zv?R54aU2a2n1nv+_?_?qar@`}LtDve2lg)Nwe;3ppZPTEK(z;#kWm(`bGjJNR zgEDp3v&s@U4BldANL$m8TLu%LE)DjMb8vr9!Co#yR;yL25zVQC_k;ylCVd<~tH065 zMYsMwN{8BvQ}VpA61)a`h)CO)&pVwu5vwCQHMXJw*X(@xf57Le!ngi*43LhhJdp7g zT_;^5Nc4DZrkvG5Z|XXx;?1k%kjkOZ+`rjCrQ1wS@&!I<|K%==A;)UI`S+By14_#% zf^_+|El#N7m5d~o?L35DFeJxZN`#N06xMAdWFqvIk7m!Lc07xR7N+Wu;m>Uxxn3DI zn1=own+v#r(rm=7-^EBJ06LfrDC=B4a{tpayu?2z-V5-~PMv*U);3XppA~N;WEQW( z@htw2?U?_!ZD|YsFvz|tggZ?X=!uZ^O-7C*G|r^AeEe|wwePO(jB%6`FCpIEy%_;h zA~v!OWObCG@tvgW(z^QD;*G~RousZU94pd91~#%h_L^DJ0TD)sqe>pBaEvG@#ZN1sM;7ct)2{<4AJY9{Uz7aG>7UunbxIQ`r4J*#fOa~lVSt! zkM1376s-|bU%v(i{kd%-69wvAD;J2hirU*^KeQyVb$u}vqmdDAHdHK#z>BNp8w|S& zm-iXbVF(*N>*lgG;DB#s`VQ5BhXWat;qxyVComVU)cdf?6q&C2_sB12OzKs{lWVm& zX^do)IH?Kl=wspP9>YQZNsdlT^lK`?F=<1~wX3?+y}{NPDd^z(9O0{eAAC{hT?jD< zvIVhF6c|nTrmvQIRF*#HCL9md9v?cMP*C02dcCqJAJRqCSsY-&bZlDXWvUu|D3Hw5mC7)#nr~me7gSn za)OJrqeW%kDTI)5Fcwd*KN`1k+l}l1CqenGS(-V2L7OK!x51a7FuKsl98QA0^{-;- z?w@x;dn1$XVrP_i3(a<|@bRScZig$j+NOX}wnc_z%CV$#_n33ld~~DOwJ2uw3MYk& zeW@y&)kE%P%hoQ0)};wc-FOR=@2zeZ_S$kyS|#+@+gYjA)QyU%S{&<#Z6%hiQvq5J z#z_|Dl>+Hn*va(EqcIkl6K*XI7Z?=F#}^)+U6!p;L0ac*^;jZTiFA&R-e+;c#=k) zGPSG9$C4}>wLGNDa{c0T%pJ?Mt1c7hm(Ode%~J1d?JwXdmS3G)tLQbWioY#0q#mXz z@I;K>$+l|=P-#}Zj}I;{tPx99fi1tPuG+t{W9#xP^Yq!Pa>t3AZZn~p(wAzhid#=12pUld!>Ggl$+x4z z=N3lA6v5|>HOe={pywDa8At1j^4Ygyaih8*^7V0+70g)3<&>coJ&N91dZBt z%z;P*i`f4oVEbjVkF4f9lF*|^y?|6?o~6;(h!Zty)KXPh0$$qH^Tlc%G3n zNcHJQ`o5K2;yyetjy=X4R3ccCKRXNeEGt-J6qvu97h!)D%w_UEdNPAS*G;I<4KO_ z+@SQz)y)xI#(FWE{(MX5ChTNF{T6SmH9-na!8xi|0q}D?q7$CSoBu!a)Id4(i(i|8 zW45B*0UC_P=4mwZ8D7GWE`egfXkUa*vMH9(ZF#&7lGPZ7U6?r-Jy;Sq zsGpyN)gK1h`qVwquq{;6bT5`of98d5#%t?uhPe5|BJ%26kR~jyc}eePgcglc?vA`m zYkg_;@R@Vpo#P9+qJ84;ri{EfijleD*1w_{AnTq=v9t zb(LP^*qEC6jyzH9AXX|pw_*$!9N%#Ba|QY>wrNBdPltuH@8md~#M&<}OYQO^o!>yl zh5%aWPKkhW!DRWEI&vNTvo?R|G7c3^L!Jk6C(bmD#H^$2T$;5}z}0Yx*wEu)Y>W)U zSV{c$gN?0P4Mnz|*r1X~SQ0YOAbO!-{PmX&1~rwsYZqi!f&gC0gUj1RkwyFShOrv@rvYI}|3*)+)Z9v22G z=0@E~-cI`5WW^C^1N9^nrG1BMJ+4Qmq|1Dv&PsWO=xr3gi(`3ivx0e*UY}y8C>x21B)(shQ@ll zI8{bS2-#A@?NKi|##X(!UJkvY#U-}OucO(Gf~?5Pf=T7(P3m_jlYKkNVp!SI&S-J( zPi43?_+D+d%}q3~32TI_1xlKG?fJxo$vu`CF7bWgu zg_i#SaBqC;PGV+y+8o=|P9G__tmiOq;yFzA8drNJ%&C?_j5>A`gD6^b!+~($f0ft5 z*e!0ha6v(V&>jSZfKSzUgH48lqz6-t1gSA7$A4rA5xE-gttkrn1zyf~enr7qA--6q zp|_i^Gh4k$&S{5+SVo$zPX+~@P&c|TAvrf$+-$G;^d_vauYRxDv^4#mqP5PA5Btyv z=|9@&V%*>3T%X(fTfwT_5{GvN7Kvi9X(Gt?!dTG1cVG=`^*gWx8AqzZDhnAJY!lW$ zYwZy%HwTO4W}a^JKe{>XO=j#!gci`Sn~l|nLbx*FX3prakvu;YPM-TE5i)1S!QTMk=*mHhFOm42r=(+ znWYx;6K&pZi?M6Quh`Cy*Bv_J$7Qktt&@!n|j@+%Ep&5-k_sfy{2>E|d<;Zseit z3I#WDWbz)rUWbKS2wI7qYYcCZg(T}{NgUd!>G!=Gfl*X4;{@HOyZ44Y<~|I$8wZ6! zeAAO(>16{A(LUFH@qzilZKj@&=Z0-Ia?`J{FsH&kY$8#z*9J6HU>Rjb&2*v9y zdgE=E5LcNfkL3`qlCVzO4&r93Qj)Ja!QkaZB4Nc*usx?j0rsyNA`S?0b#AG%ZrB1z()hkX%K%V8MRuH7ZZ6MnOU@o_QE0>5{6S7s(8ix4l z4}ZI+`QD*k50c6D=nUDq5Nvrr`CH27Xf;=MY0Pf(tG=j}I)tWxpuWhar+12WGhvNf zs!d?6@Wpg>&J%Wy0Nf@re<_yv#|zeB5s;`!;)M3CAu}bLz?U%FOn>=xezb`<%Cejm ztrco6e5E#r;i1~xfSQx8&>ksRA7&sht{SHY-zB<4wBr@DH-i&uZY+DjOR?$ce9FJ{ zzw$pn6`WUP_7}V)Yjf9$)_y!Yi?VCRFK}n3bU`KG$55@~|MgvH@%Vp!U^j#y-kY(AYu(6DfCLH-2F7TyIos(vK zM8r2wq$ZSzi^7LYqAu#nzj!;G$l|`PWTY#k26g^xP_Zn@k8MRn6p{u@#M`t?P@Y)> z)sv}D8{I1SpE`ok24|1$nW0D&NLs=7^kD=d%%3kB@m7-Px&sxf6Sw+I{}TOXy0jR+)r330JjCy|t8bWR-KLv>!*QBw>lt z6Z}C6y*yj!wNEI2cz>UEgzL?S8f79ev9RRzJ*^v4Tfn)R>Yqb<`rlMll{@%*7qO`= z=2pnjQnWu8gtdj2pAb))!dCN-lIp6lIyV=UA(pwe2&pRI(hzpk!ID-cT;dvxnh0XR zdDXQAjXS)3{)E!jLL@e9KfWy%Ib3)P6QdTnTLPh!JQQ8HI)*8=fhq-Jud))sMB8)K-l=<_D4x3!1HkP$kfIuesund`lcC*t zRGd6&$ZHj=);9T%ZSyMb8xsALw~guGl^ z)?_n8yM&@&zh4+cah;QTQ&ij0rIOSo_M2r=_s!hK`fHFs+2M_mDlQ33wSqN;9En=3s)b7J zFy~pybp)p$v9A2j^}b%Gd|zi!FJ$C*N?Cg2i>biG8UDmeZeAvH0<=Euxw-N&LDDCD z-5Bvbu7{l709Nhy+$8##So5m7?6i{Xq~cE}0)SO?UHr1CLHATaNpHet@Q|H+8chnM zIyT$GFuVpQ*A1eK+#oH14`FX^&<5)VB1!|KfZgd?>udFuV@?PwsG8 zm&m}Qp&L~c-UpNqq?-Up2>6h>L*f1M<%8pn!W;dcj09X3<$qera9N%o0ebcN9_M`o z$Y{Ugs?x*4k#)=o;${5s$wC0s`Ogd#TvqZYuq)R(=xLh|?bc@K>Fqt&jlq-~wl6om zeK+|y8qe5;#4svG4hE)PBO`{WX`JFcbiymui}UBfv(u zvs`#U8F-+G+;K&32B)1?)em3IoS=>WQjHG)5@LNAWCJG_k=Le=Q_(b9-}F>BA?WMO zdVV?p=q0uj`sooW3;Am%@C{sGRH*4m=qzMbxY_ATPEZCh$it3=_^3*2A@uYxG+2FO z#`oCazeIu?G4i9PqTnQv^XrPH!g^ExZ?A3YY78Bbon5@7fIC&2(EHRCE^Gh8xksPx zG1iCn)@6^w%ZK)*-3}M|MAC6uK)j}Xk8A%!#(j@N|3gN7 zkJo3sb%~b>kzObNw=Hg6cAQ231q-M7sD~w>*PH!<^Nw)gf-*LqxV4M4AaK^izT3I} zK27=w9a0$1iMQHzhf8ut++q^$Rf^bY&$ShL+7(LH1BH3T9idoLfYENp)qG|eXOMS4 zCEI?dRc(ih`NTw!2d-2=0G#W8;MiYz`+bj1K4mo={W6VKz>NIpp%?yb-{WMcEG9?S zv*~znR)c#SlxAeB;!p)it6AG*tT3QA_A?xq;?z`l& z3xAX3oaFqRo@>ZO7R!ZC`Aqe906G=}Q##px$;6EP=d=P<9&5mlnn?`gPstf>Sg%-b z>ZZ^NbksRJW;69G#ht7T>41jb?~?OD&vb!(p{x5fll-ZlnX2cid6r+Ai zffJlc2X^)eCs|0rZ+mb}>^^fae3G$YAKTK{Fg-ul8hY zoniS!yhTzQ?Lx8cfwKL4iqbTyXRjX=(8~__t7cNk2*Z<_8>>Jd{>p5J<ZA9S9DeSDJInsvNB;MC1J@LJ5- z><^g?J=iKuu>87Wn|Yvz-S6~D@@fWKp^JK2NT-0(r>||dX%)nq{q=YVXN;tpr{6ZS zBRh0mHvG02_d4Nv^JM2$uQKYe4g9)_b82zu1Dzcu_n29n?9r3S!ULMg+KqkM*xsCM z>M_JiP+?YasHSV?v|Tl#=Tq~~=^%d(VI$k!y3Cqb`801qH%g~nX6UTz&CFKRyIm$M(3?=cnzmh$KTQjGMpuUPW=h4aTHdFU;i^A<}T!gHDaf&XdbK9Woo$Wk% zm(OwoBv)qwN|86q?(r}_Yex%po+=uSbXentPHVMS{ zv)7MX>q;S{*Pm5>x(@9ZKSX2gY-ELa;~B7WFERQAyXaZ&zremR^sq4r?1*a_Eap24uEjz;kn?8*~8rDP-W&iw#HLKAG?nT+qyWiDgPYX^o8%Fe;%kP=(&02O+#>?g$?43>Soz3oGo=R2lmkUY=dIL~e}@@XPvC+uwh@=L@M$*-&N5n@m3pXYk-w!N0fLSf`xZTR3*=Yb^c5Sw)Eoxn&_|Hk@*epFt6d^KTu|-i=tWP9f ztbmuA{hj)?=bA6S-b{YeR_9I4yS7B=5(tf4$C^_hxYtp zY2;hXKlL5A&5MXrV^wb1DuS~On$vX8hVZ(6uoKaa)OI=>Hmc=$NDa-n;S>-{Kw!Qr z)^&bI0R4q|Y9N-Dfm--lU`0MmOEAKM(4`P(5rBXBLQ>PgE7e>;~iDX)sslsFG zElhAWo#;*gE99FNMjP&&k4VockKNyvd0?u*2v*GN|5L@4$3wOLf7?)&G|E0>txG9U zVwgdNdt8+zq3m0YLe0d`Vrdc$at29~R3_3bTiLRX?A$PMjop|@GmM?AgWqxQ>-+ot zzW<%q>p9PPp68tR=ks~L-_JRRyl0D3?Z9+o6MIKg{_^W)C4X*>baJg+MT;Hpk`sCw zgqnLd*eBO=Rk2-N@yYDa#3i$K_10)5jn3{7X}UIOEdtn_+2c(2D!;W9+JaqZcS9sd zZ9R;A#7b0tSu3{jW{h;prc6^pRVP_l$2hCI#>6G70-PjOA@Y7QNcDPph`KmM7xU5g z+qGUd0E@{AU1=ugfE`_e&X%zlq!11_*xh8baOepi_5#gB$`~)8^$Oq-^f?Ule@Uqam{(Qj+tH-HbP^8%*8zW?X2yFa-9P9I5`WBG{5xmQP{3-egwLx?UWn6P5yE zn<~yBX!oWJ?mzMo$4oseN8+YmiR=G9`*ssHq-{{_P-~8$mF=79*9P5B8_q}{|1mF5 zQaALdcLJ57#ObG&-6Rn3j$1+faa#Fqh@?&(1DpJsB@<`%aE5HDf!xfu1Lg5$t5Gm*e)T4rICVqJZ{@T;+Fs>&$8Pr6^glO={m zfZkQJ@}Vg1KqKvF;@B15LNB2qNpdKwra z!%rfAY6QEyS-ch0SkewIpOUYQA9bOD%Yp#=P5xl3E!}R=29q{fVZ0Z+s1YH2WSjua z%cJnkOc@{W@P!GMV~bm|z%98&dDtFA4@mQP!nOFW1k9%Tb+X^bWZ#0+&Qk}i^gr>- z=WP02>7UBy?9<#XQ&rJ=R=spV5hM6=UVHg9((YXth_o_|=zj zMmB6B%1C~iIwF{|3MJ4b3Phllz#4HSZQ$Ngt*}xr-_4X`P#T#H zcE!fK9A;{o;LJ4}Tn;SHYfA#A!kHJb(D$(FOpZby?Mn=b$?+jk6emL(+NDrdG!n#^ z@1U~q4~9JfgMy&|ttE+fE44R37i6il>I{E9p;mm_?wm;Qvky1DzTW={N$2SLPynEO1{vc--gS8~{ZUTJlbmw4=y zUrGyPwj8%lQ&%|aetPS99mv@%f|CY2_8Lgihs6{rQk;;KR8b=J-z3-K1JKPzm4##t zPOT6=POQNb#)q%W$#d3dMU0y{L{kN`bPe7D)voQF7wC61ou3!sOHFT*+7pwP#^i(t zS8RZ*j*mM6C2zaQuF@u_T;rX@&A@G2d#5vA6 zC6F^nA@gyO5?uN2ir3`tWv$|Ps1TkTYDN}HK0sFiU2 zRT`<@2mfZV`BZi0cvDn_oAY5I0pK68s6zG#MWM>~)(oo0=wr|0f@PVr`ncdghGtCF zszQ=P(`%L;D|hYknlyu9pUa&wSfyX2Pe-p$v19Jesp0el@hN3D0Ny=9-G3mBUOzec z#YML%am85YZrNYUTYa%Dv(UR<0-4(o?5~Oj1skF&giX_x-wq1SpPIe+BLS_T|GUq9 z#d>9NXjSmPu}kiT<8On4C7E-ofAoofm^T$@N*yB*rh@ekv8Rr{<#Q4Tjjme z0V02|oL4JC8Jm=%2xhH^W{> zW9D~%s(rM#OrI$L`eD ze3Yj&OEObYYM6pgp5gnM=SJVFxESuP-8@3KQ+`$xq>Q@$k`gM={KEECU_fqXi;}zf zkenH!+9~AZWQC77;lNsy9yud(T6hQjO$FEX3}1<17dF7d+r!=yMgHXOphNVv!g=fa%QxG-Twpm-1Wm^dOk`-}?Y6vH83a$$*VBJlWF+1#lhK&fF? zK~S>C5gChmVrp5{?d*OrXD1~=B(0^M2B)r@&B@nXP1`UFbU5~NtDI?awH$nQ@IM#A z1*1^u5=tZfP~wum0St-OZ*2Mk>T}j#4*l0zyKb)@;`vv&-`uz+&OC32oxtk?Bm*~q z{X+(oY+=FcSZZnZhFNdp;WF8LIbk6~L%sN|3P*_^$MwTx(yXL+{D zsXrgQSfy}(R6U{EaS`xd^yMjHVGZr8pqqgJOHv>7Ly#f(JUmln;jKKo&_jq6O4YM@ zs@=mqFtq#}krw+IYP3y(4Wa-3gE zIR-(yOL!f9O zKwuLUHT-afdud-JQN1i8go!NrMeR&rFfZ2|X%t(>1b*weh!!p?itC3T3t5sMlccpi zcWZm_4Q`Xm)g}u97^6kPok=5SNe=&<*~+*AFT%3)|6M} z->QHf$Du*d**jq|?ViAkdhlGhiURia7JRs|F-j}n8C5=Fh2BQ_3Nw~*Mo8+&Hcw-^tQ4^Cz!`@DQeO?VD5odSGw$1PCilf zO&y(e6A}KMQ3(ggsvX6tyXmo>|7Ig<^w~nn{zI_OSB4gUAD(%FbmMdR(&i1EJnI_w zRb^dj0BW@Gcz}G4pYI2oD3QMFAqPuk~J1QD)L>!VS4p|pQC1FaEE5eV!Ox`eN0g(EPl1lGUBz@T1tp% z=Kcu(fu^yr2A1<&>}`Fk%tTNux8Xc?+&qgEvD7h^)8|A~zst^SgJ={-0&%hj11Ym; z@9vxm?LK${*WgcxQN5oDUV|Q&`~YQziO4h66}varT1E=fiK~>_`l8gluY&0wm@DQc zwW+X1Lx*0J3BC8$RjzeKjd9Fe{b|mnzzvak`P)Slhoom1+64PAmSb|LHLt=@vmG_o zIa5}pitg_dAgfQ zemBL2g_i_NAABr$WPkIWmUfy)5}OfmhoVibX5K9vMg-cbf)NY`sn-}KIzL+H-kgs5 z)F?epTFO2gQjCvAWf4XLLd+pu@w~>s5>YKXopvZ+8dUpn8HOIHI)iYVU~uG87L7^U zCzpRi|6fl+G-|y`WvAOut0zbrW>QNcXqWRCr#!9?p2yZ6*BmU^h>N1^v+W2~+1eeC z>}S3WD5g)7n8I228`BEw5s3@9znLL}8(Yy?N_p_Y@o0AHX}J|KF^FYv6Z79uYS^T89SbRtoL zh_QM3Xu)xw;*_7_&d_nU%-1hSqX#~pK^&ETsQ!tfcoc!$wRH}khYQwO`1k(p8WLs4t1Hbyde-k5OGV#ShN9Q00o-y_ z1v7(e{h{y1zQ6$Y0`)r?CLO2N7fKdTOi7HIyLBIYR4sy$T(FA% zm5`;f?RN-=hi+VOCXw=SJh=6Bke=8|*2%MR>x7FrGMV!y?|bQ+-zlZS-L!XJ_mp%+ z=~-oLAyQFU?DdE7TOWB`RH%Z^y}zh5qcfmAyz^$vpw>!I;{+m{@5*J_6@?7-q3j}y z1Qq<-0IMODAmrh8xK42gx$4nap3kP}T#6qD-{W{Cw%hRQf!;qVt?1N@2$-GgJdc^ zEzziD$-?}NUASvJV{pX7ct*xivIaKdmD2UygegLeHls9*-;rJ2pe{xv8FVJj00`qF zbG@t%pK%&%O92)4UO*d>{uQw)cY9`%vCANK@uz{}DkZ~TkPak2P>+0l4-2zf~<@z)|=y57rNHj<3Ih5K)nMlw}B?Png9+PM9!8Pta(y3!KCllb8K(G+Qv&9a3-PXUVu1HG;O zoqRowOHHr24A8lk+SjKBg|~bW^KU#>e$%q~U-HNCo%@{QlTp|Eh>&oar-R$y%|ARo z>5{hNX>wsX7_*@ULzy26Q7AO7#}Ukw(ht5rwwCm<6BPJq6nPvRiRxnY?m?71rC=>w z%%4wQVm%$SY~teEk@Tcvs++N0N{zv^TF5X;d}ll$L3e)~syuP`rHIoDRvhcf?*DLA zM4%XW$~G+A9SqoNP%PA)&=Q7}f%oHaJ0GSYO{IRi$Z%2kMxI{1E zp9=uVPnm>a2WoPY!}-MrehIlz&P(lr5I-)K!?d^tyQsSK^?Cc~b$C`E z;-b~iwjdwh_V#UlDQ_P>0c(|1es8SoyH(H7=DqY)6(?+DEhF)@Ej#hHQ*GjF%cnN| zi*l#0%L`Et2ny~?OcnN?O2=#t@n(64Hu*0^ch!sfit+27A;0n`k+C?-am8OUGKp3( zN;<7nz6*z84ZE^Cwrcq2`L|Bn${GUM#~3iJm@m2eT37&$$)05zdHrI-!aNB|p2@^9 zoh~~S<6V?ZGuNmvgNfOzV^?pt)KNVJ9OPi)au=gBRigD>WaWc&{dOJK(L5!+5Pw{U zbo#tFS$tWX986w;4IpPH6d8-3yY!wtIxQl1SIu@@B~J0}(6H{vXlwi5hQ4m!vG$4G z@^UI~_~uPDZyg}YjoGG+CC!|k&)nk4nrwSxHDQ19MJu!fKeUpxp{q2jV971-f>v%^ zz3M4!HOZ%Yonw|TsO4NrPVhq88i|lj6XSH6ySE)zM$jL{3y4|#rygGy)3oMo-amA} kf&I6?H7=dc5Oz}Leq6@Y@+013o|ZywYybS+cYFJP0K`W^IRF3v literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb b/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a1ca6968db5d8a77579006eda3b499b74565a5c0 GIT binary patch literal 1478 zcmV;%1v&Z;000233jqLN0AK(y00011WpZV@#D*ylh000011poj50000$0RR910002S1poj50001Z z0qj`IZWA#So$?S8FY#zA9%74x*t98xhlJGbSnv;{HjUbdFhO~ViUk|~g|C1WD_F5( z53CTZKp9w$zHuIB)Kz}B)>-2_&y+gw%p#I zBrl}zr_?puDc{nha#8wZm~jF4FSXyQcn*!?mE|SS;H%)DMjSWpAJT`lLz81tZ2`yS z0e9OPpLP4JiVr@!@#^@$=ivH&XylH!sOA%x@W0iDw^-Xtneeq##0^j3|0Sum==Ey9 zkSFf?kPB{G^Jm>Yl@s4Vg=;@`Td&{Z@cpWcWy>pRKbLCP4<1ThKIr^`Z?3)=!)@z) z{i1L?zl|%e{Za1!EEVHoZmjpHROAZQa73=%`K62E(QT`b+-8by>v;6~HLll-Sl>%U zoT#>(lZ#1lYMpf4vu>YM@xzx%>zOv*1vUP(_fO0JFp3}kVGrQ`H&S&z=>3J~u3KQ{Ii*r7Z z42PxGns?LtjV!GJdp8(7!Z!}iTC3T+C;hEn)~&bGPGc)w0*3NLcoEL>kYOH7s}WA6 z0B5BD=Sl$%{4_;QhFCn+0-S3FIM)ksZn&IzX>6vQdN|`}7c9_zSQI2Dj=8nC4 zXcLx;aWrWBu^?V-x6^vIzR|41VcdWM90{_WVouXF>OFy8H;~<=z)e0(`QXEp3O-C( z;KP&vK1|;GFxl?I{e3}4QE9!tERNm(+3y^1w&#x_a|)UesDX}xx%T5v}Tw>@?kR3 zhsi-7Ci{Gtyz^l)&WFi0A12Fu*xSxSSt8rn1xYb%Ef*xcG)QV`khIbuDWyTu2|s@~ zq?&>p^4kf()`D$RHDf46*?7wjmG-L_*GX zTU%T51{8ePoxq{3y%-*6*|=dRi;)VAM2AIeq!mnsg1BQOIy_<|V@}>kRWn!4baeE_ zrlSKmMxtXl;#?7#Dn(qW6mg|eXu2Y4Yg33cDWjrT&XE?qPvR;u5g|}k1AVru#H7{m?v5d@@jF;J?WrzYwm_QYR3`AAv2~udYb&)X(*h30Xg=#<= g|s?bSX8cbP3XpbVv`~HAtr*j7WD%J9G|1cMSs4NcS1O zKJPj259c3vu6@n5?|bjHSMAS^wPuFs(W6IYcxaD|QH2-vtZm`q`pMCOUWAK>UfS)G zojE-(KOa30A2*)>Hy;m=2))KTdU-cHJNo}2tXsoCje7KGT=2i@(OdL?HJk9i+7oTp z7dwktuI}!u35EMxV$IhnG3LxvxazD|vO#U(7Z}WqQ7)VyLYB3EAMZH z9qiw!eVJL&&Kn+x)JE#`l7(L(pPuq#ecZM03e-DZ$)beI#q@E6`TKA|{EO)0qVTFA zds(fn=u#D1RSOmKW?pU*fG{0B8|rtFRb^Lzx4g7F-Jq3Gozq*pCQeGx&EE69(7}nues)S2Mjk4(A{DoH zCPdro8ar~&Ft6Cv&b_h;8%jT#Qjd2>(wFb%UX6b&JDZp=kk6FIaUcGD zYWQ1}52mh$*+^!;KB=#nT;E)&w2thkN&4l3es+FzIzH1~8s}dBQ9WfJbQ)CV zX8VkIT;0<#EQ_@Z+Zc4i(74M`b&xHu@jU7vJS{?+@iN>p-ou_`4 zBz;UGGMd4-=I=xj7>hWIIP>k_T1AwyAgJ=R-~Suogfo6I9@yj-%cXw)zy`wr!++SB z$oCod>9|Cp!W7$d(|j=p+k5iBJ3_9WZ)<;Ex8l}+M>QmSifcWTmfcN5Yq~pra=&NI zTLy_Pq#t&Eg?fvhdTt+0AXlpd|MX2p3VpIs|LWPG48~vj%7-PF(8|VFrearL$Ks&W zMiL7fwk`H-(k`*B|BVbjgEham~xxFCcpE{&@qe}w;IxPvSNzQo*gxj$a(sy3b2qU8ZF3%jmjUU}Mk+R0}36o%WF$LzLkf4EvFT9&=JHuYW@O}`&5>1q)utIcM4F?P=z-_>Gq zfXQl-o4285>bEkT8Fd-;@+5Cl>*E$9m?tGy%>Iu@yWIBWYyBfGTSMjzjlqUom~=_$ zFMw;I-pQuphefq zg7e($F37DM=8$8wAHbPaJ3`X@VM`k3llfkAV+(?x|E^P>P`cK?Q>3t$@#UU`3!V@O z4G2z5wg~W)jc$9jPeJovy_-?_B)05;~&}&BsNR>5>m`Rr-S%ZT^V(;evoB27OCsyd9N9L)QdWE zLCxx$Ut+FN+g`~`(PV;-#g0D@Lv)PB=EfcmNjY0_r+p~mgwxF6ZJ@yMq~IjTtG!p& zrR_H?*85k>JNr)#?Z0{4V*yRaoLVCdKsqpcLE(qeG3jYtvG1Ed%ls9IMTPemb`GJ7 zQmG!1;4PVaTUxrOw+9W5@dEzF$&|3#>`hZHndYJA zbPJmHm;3##I@ZZ)QkP&2-h202Bl`w6aC9Q0VnWCM&z`S7%2^?oN_DC}C32}A9H^xrqmgNoJ5GH) zl2sJjEk2d};>-n`x`cDD$7b-g*@wod2x>;h#dcies4uE@_>{Bvl{VZ*ufGhn6h?LY zQB&xD_VdRXS-USdQJ(y7dAkgeJ^RM+m}{h$tF7OO+8YVFtguv0!h5Xo$s-@e{e7RgsIC4Ag4JE2+uP)Lgs8T`HiyM*B0P$GDsF#$HC3MLWWp zSI64h(fpB)RB75Dg(ZJApKU3l2yIgQWB=5)B7{J5 z#Bi3omC!zW(1Mcrb!Jm$cwZlSHS~9ixfex3e|&3UwvuV|o+!p&e!b~<#Fs^_<*|k7 zbnh~~jFLQe@1BT^AyR?#R|Lf=pTwhze^Yi0FLl0)jKvO8grL2}i*kw(#eNHJZC1w= zp_yI$p$B%-P7GsDX7@xC6+5!c7=qdwzJ+Z$e8McM3j-sjCOru0bkm5}G;L4&UY(o0 z+nmTZGg@n`7i+F@7Rn@(JI?z9eGUR9&)Fl*vGhul+^gN-~hY9ZL3^s-ats{($`1yx_yG~Rt` z2cFrY#_Ux&G9mct=1kEVR%pLVa8zc20y^un425Su3{)3L8+0hlrMf<0o7q$R6_9l% zX$yVAlo0lbLRPYdREX$l{3}BK9>$!v-NP8N&k4|6-mLS#cRqduc#GsQp zcI+wr{}%E=38PRuq`OJ!4jwH%twXUREj?qp`tx{|R`mx<)SaPWq>sO^pgPbCi4WNw zqV)XmSP%PM^)Wp(d`Vsxef7rid^_0?k1bnhMB8FhfTH4Hb%@HWFL;%fa`U)8l5e-Y zN#dMg=f^R_Mtkm}viP8`06LFi3nkMBd-BsJZ-DH7YYaAazLNIhxsjLjReRS&#RM z@~i*&rI1bFsuaZ&QS7h&8Vjb$h_l*n{hrNi4k({|$`UgDUpT%0H#8?UWB&iKS*P&; z>&JbV@o{n5sT2_5a{56QKX}a_B#hj0q1>MuT6F{WtV~J$We{ zZreb`G|%Jy%ZI2(YVOE^RnRKNF`b6Iw!r1XfgSbF&eodY=<;~dD5zpWWNfSjqubvJ zhczeKFLlp7{IBx-DH!!7$}J?x$xV)xY1Aobv;ft$(QyZ~#jo-oC;dFpjEu=2^xYkAkHiH6jCy`Y*T7v?C57l{gI% zi(G@`t6!8`uUTM|c@E~kLgc=@aMh~EF{+Rb84STOH%UZMMK1cKa>7=%A`V}w2~{6v zSK@d?ESAH`SNqsyFMbwM>*@$`zzj?qGOOhxGLm%g$Kx%NhA+V=+DcuWkmha&|9xB4pJ2aR_JK2#j-snuka@aj3U-he+x1VJM8inFWJ#}NkbHtB6{5G>! zZU;~^f8hSR5+^Ql zk+z@QM4pTh{2s+U1~2+BWM(fC#i~R)r09X@XC;nGp`#mwye zv;+9*hvFEKpd^yOII@z|gdF>rFvtB8nHU>yvN%pS*yyI$XA#6G+;s1*Y&faJpftGa4J z3x9dsmMd{w2=52%0PMQ9iTtsotHCCKk*5hu*__hMw)SyRO==;ros2gMyJvRwIK452 zj0AHv1W*N+%P>X`I`UOT1{iVLNoW71t=9&a<9N+@0Smcrm-`LpJOVY+YZbS@rpv+B zB3iZoW2Qby0S*xge0Wejt7s=vZ13?|pauEeiiD=|468`aO?wa?uH~7qy8WdkW7Ii*>cz+b>iT*j&c*EI?bT2$gGmy?ZupN3QJQ zcGmQGF;cbCtxXyQ3otte4Bq81jl$tZM3m}ik!cFYpBvSXJ(Nw-yJvBBfIELOM*Zj8 z@_;F#Xs4=~y>2_eNRjm8qIFV}^h%t+BPIFdadwlHP1zzjDAlhW_P2a1QyO1Me>@$2Ncj2oOG8l^EV;LPFpg|EcZ@lnTgL5ms zjTa;fIo@8O*b$>;h8g6PAv5?6u(*d5!@rAuX{VPnD72E=p{5?T<7qY@Zu0plpuHv`ZD7_;IS z;N>RdQ-xJH=eU589#4~opTCY^-Y9cT7+_)yFrQA2QY|yUK7g?WUG5o9bmf~^xkkN+ zN)p*d9u8O-ijJHC#;*GPy0gC00@bA(aY$9k!@Dr-146yMjc|H87y26(RqHm%xDe7* z7JF?y`~GkvUQ{bO`X^p+-}%Ee^=b;V#-A-VyQCx|r^8&{#^6pswklT|2|ZIHU#*mj zr7$7kG0u7ZshII=jP4#XqfEg+cKPk7x!z3eB`xlOo^2E8>h-a`N%+P*`iqYlG zcDjK9ezGApX^)uoYn#PTkvy<7;+dEj5zJ15VjWLw0%&{R*>(2fH5Lr6h%CLRIkZD< zo5E?wpv+;I4{9e(96qq)w)3;Pp0-X;wRhjSIo^P87~s3M<<8RLo{uZrH{zHXv)kbh z8J8~I{IJL8h%ZHe$tTEprb@tA$j`MSdzM1>dmGWlsZTP+4}lmJzgk)^WwMVm9PzT! z953u>#pZhjeJ0L`N;&O9aJ_5Ql)irHiWbgj)b~8KJt8^UdPd3hwfRGpSBdyNuYygU zu^mt@5A>^6A)|2NZfBl268t8eMOynINO_siGbI@%uN5+giI-|->EnFek--8}7O-5E zW`M0T>sj_>_0fV|m!Lh2yBx9?eEVM>AKY9r>T8<;BspX&hd(NWl-O{<2)ku340r)f z+yq!aH69~Kj!x90qal$UyG4oVGd~8{>=9EiLQcZ9tv(HBo`Qq{)e&hN73t*53#fnj zLV9YpiDcEcTeh(Z)lL4dyHbf4;K2R@Hq|ZLC#vVcXs4wZB1J~B+WJ55jm5dPPt%>( zl@8(jo2A*oeVg%(xXeciF5ctE3N;H_t9V*%JfjDZ>~%Xu*4+Od-UDZhxf zCNX|cys9$r>1yk2)MrOtz!djCbY>We_y+x~4>-<<%x7;rKMr%R z;nv#WumdWiqSq3nU)wWhM=1sdg{K z3JI|?v{s>6W?R!9Yv1s@=r<;xLrPoVjafL5r}a{MC3x+jdCh6gN_!=FEEJcea46AC zQ|-!qc$X}(=wM~&_(tqH>sqeh-Ch0&n@WU)y2ZSEn7_ENR*J`hxthwZRZ8!Hi1C$C z<0W$!qMI@?JHUhCa`QPTeC<{tm(S(-0N;@&M8SYGCKkFih|cTsd{FRhk$Tf^dD4>~ zgNNQER56P9>shWmAKV9ky|f9Tz^SWr!->QXKXG#Km0hB zl*@t_Db51_ZvRLvBB;ulv?!a|`nwZbiIpf}#KtEQo~n2l|92~oVtX6vQAAo&oAo^h z+KbTlQX4_S70&5r@CiW@GR13`y5YxZ@9f5X%cZYtO=zWk3HEZ54{|sw>|YlN8l%w? zN>I`*dGlxYXv}k1W)7m4SRMZ2+b>Ha>x{Xr0U2jzt_d(i>GBRq;trj4-P$ea@xBbx z{VbK^E0SWW4XmM?*}NpJSxY;jef-~`UG@wA^EJ`#QcBD4*S&^glJ-9(IS<$715_{uAL|XGVE&pJae=3zmtnj#2`XFY;;nJ62 zM5G$bav^YPg{uyD!S&m$NAS4rVi-JE;6_hIDaMK0yuM#-g=-C<<@&ud>rRPr^QITA zvo`aOV1U`l*D|i+Y?0x=*H$vT<8CLbSE#zP z1KKuxB1c3Is5GxB@v_2db6(_?y$}h3KtH+Qjq{`VN5*7(&@^U+2kUV7>xTck&X3Y(o=!5HRmBCcx2yw9lXhR4!;-<_7evYc~BaTIdnDipUYw))K@hS#>+ zl#0A2`V9Q?Sx~V$l%T!hmye7GLhzd=b;orOp-z)Ud3J>@OuE74G-hY3dG)$+oQxk$ z*IRj^LCMtp1y5#aT5^x#siO1`?~PH)A~zPR%e|FdfJ=Do7@D1B$-6u87 z0kh~2QktO^M{mTNYs%&WDf^s(-hq) z!jht?++*4XuPnPzb7&Wp9%}l13PTfa`uaF$RYi*FN19vQ?Db?$_e%GDtm4Jq4~}s` z`ZqGXHL5Yj)L#Nhyi;E1dJF#bw!Dj}3aCemggAHhX?`P!=t1MJT}EI-6RSiuNIa=wHD=pnO<9FZJ521p`N2J6Z;G1t1~`{Q+$s)6i-Z! z>phsx2hR^g%e+V*Li)NiGl{G6&|yo#ze$#uiFF~M96N#Gq+^B>z7RsJ5tRU@seBGl zoGz7o6f|cl0vfmBbTWwUE}MOn_;Ksc`#J6JT!BnfL#Z7IB>7IUNQN#GM3N65R11~s z7-_)1YU*CmvrCt4W`Xn|v)n47MiUWGq7A3dS~+`L`~ua`_cmPspueS$EW{eLX*q*1 z9QD!@WOSE>oE1gV7#K1^>PJ?$dL-u&v?$_blzb0@PQV^cnO~*E+WGqn-=Fc@{9m9@ zTT{^VWCV2BRk$f1?p|vfySw${nR9JF4-f${jJlpK^5eU9bL==+1?>7HLfxE3L{E0^ zqP&FzOK3aGb2<@=zV%QV8*@-vZxI2=mIx(cs~%r$u2w^k@mAEOR%ApMTdb)@9$1#r z3D`WeK5*yyl`d(9+}N?3jc}^fLWx^KiTnE?4ub8T>lN0Cz^<`i>OlYm{!B|~GC{P5 zR=12$LWWU7vY>7d=(c2Mb*Gc8*hi*L2yNiV0bOcr1Wi1YZmJ7X2~nelyx4Gh)qpa^ z5XJlFGv`+hlqe7JBpCa=nqi{`h%thY{~7T@W~1q%Nu!c5v#w|QnGm?$p$>53mgrP2 z^IkpL9@IPoW@BsjV3xZg^R*|TamUjG{fNhhD)(y@$#D(tCz45*Zs`%?Q>S7bq!#Ia zFME$DDZr2yK~Sxumn%Db6+-x+YSEs_;G-b-dVDR{larFYqWhpS@Z*^apuK}g9=^Kp z^I?5pCm5@JDAqCjC5pdMw^s$$rno~|-QTJa07C?Nr2r~%4u+Vg!(}4*yb5)#MOKbh zSV?w5tGh}zi$9g|RC9IC#4iZA0e2O`G<7lElO~uu)SkcxjbHk#oWHn`(G4Jxb$wG~ zY(RsgARS|htsPO0U9Q3=fFr*wa`{f#qV98_8Z&E%_fSieH?$`rUPm*ZZ zFEk*;>`fciZ)??>k-hwvXB}30f|x|EquG}hbQIzj7IB3%fDr~y`Sdd*DzPhyO4_(< zI+Y%9aGb!N^^>0V0_=elQt_2W$m)}Q9h!DH{Z7%IS`5}9KQYA#)pN29_9Q8M+QvAJ z*L*f{7cJxA7(klT4FyA(INQL)H1lYhIUcpe?iRr5NY3fAegO6yB9Ju`z^X^wOA}lT zMYnL&fG~=JzaFG8%^^lQytQh@?nF#nExDsT3Wt0P`ni!3Ps=53K!lOCQZLOwNQ6-u zCS(J9H_&WG1%)XbLS2j;CfZGuk=~mT%f_FVuTQEVS4%4gYmfXnuAdH+6DHA^dRgox zL6=0Xo*gb=`R-1lBY}UNn7}I=R2KfASv?F3sj9PlAoGnAYSW}L@(}dAAnd8cKr8K6 z!?Vae0u0_^LSA4&uA>U$=G0({)4*FC&!x~KL^)bcxBG7pRU6l~%C}vOK&0ijSRb;R zD)#}vzne{R6||xgZV-N+|F=WcrYiBn2T8PWLU*TG=Ov-LA6VznEC&7)N`u?}d1cK+&x_j5LTqKIeH0H1i7b@v5J{ z&VI~MBP=@LQcJoS{C9_~h-bV5(Yn&s5B#de1c3~$Zcz>*j+ytia8NtfD)9EZJdh@_ z6XBc!on;nTyRPXGSeX8*fubB(-FiNVu>G$w+oqAmK$QtXh#F2hh*(B&vyamr-Re3@ zOBMI;A>;(sw!Q!%%5^{kqXZ~C80z+H1d)$w>;OXitVNp2pM$_c<4pvcTT#!PKffjf zS?NN!IQtPxE7o{UT~*TAaa|XzlUAu_(bZ-;5F;<%*21C(G>tllZaWafUjps1 zH&)DfHa|iHBbO)B*?kDbd60x%#Mb8N$h}5?-oy1&)cz)KgFomcm(BkQUP-uJgYkLy z*#vVAR8uLogb7)^N%i9Q5Wl{)2>ZO1aeH}=FP2M^0Q@ziDc!2EP2T;aA86!J^?U1M z*JyRi<1!v# zJ_ULl;6)`i2e@{$vKSI`_`X3kW7U^owQ_;u7IE|DjxvRo33nqMb=+YJ4`f(fWVYVN z3xsF!rJ;${)5Y-iQH$k65+mbL!Z|p?m!mFnB~eESWf)YEs#2RPI3AadLRV1zAWt{s z+S?NCU{rsUMg(|dK)J8S<|h$Jwe#+_n_J_IR(L>slLy#eEbnG6K}Eja0*4Z!sO%Xa z`~9mC%fJC-#4$JchptGJ+oO9W)LpoU!;fme;(b(=i8bgY1EKSYF(HUMUu0lnXWi5p zQwi98?^DU-m9ceKDkVc#9|-K6QQHs|03q3BR3LD{qto5f9)t!;p=KM{ zw3Y*?;sYdmcy&wdH>*psR~z{GwVQ6+em)RFs|m6Bg=hgn_SGI9gcoFnq86U%yvzwb z^O6GxC;YBFADCy7wC!w)nUoCn%(3~Pvw3Nr)Nfjo@Y|QyII2y^#O>ibkm-<>#@D2Mm&a#;BqEt9+!*`) z#)a8T@Gk^0xypUpH~2@0Wv^>-KW>%D1($)sdF0jMU73mSI~o=eH-|XGUtoi&iwNLPpK);MC6@z> z*(A^xZ9&}PR8hpGv=$$~KoICV;?i~Q9is)=uMyokr~12VowdjRMPRu{GWMAFo;%{$ zt`yrS^^acos)F`pC4_5Yak>oq7OzZc-MPzAM)>lt=a+BB_v@6j^B5rU1zpb~Q9jdj#KmL>l?%_jv{$8mWE?;wt953l- z8nAp|QJoKE;{W#H2ROiT9{t`kgc zo6mnIE6GA`H(ek9Nc=16dZIXT>y}mFL+2;-Sa=O=piQ;8Q$3(fen;Z#h-(r=!S80z z7>0$D?jH;-t;2Qc60`zbVY9t*28w*dzhl;vhg1(tX+szfeZ|e>*Y59H&W~5Db&YAB zb(Y)tEbX=hGb00f!!_Ny<= zblk!PJO^*h_4kK>a0ztV-@tLlCzlR*haSWOedBu(zL4?;i_9S8zOO+B(-OZA#R$c+ zQEHQ^Cec*rFUPpP_~!f4BH)pjBR|8npv26(C%l6yF*@9?Z$3e0tSE`H4dYNy+kXV& zeuP11JBRp7Wl@l~>uy}{+R@W^cf~j0ITBwBtwXKJZN_Dh|2B2JR6kE^8m3MI7T_w<(LP>5p=K zkunGQeALU$DV&>brE_a})L!iyR%Hwd|7dicnT9=^L|K13gmc-nrF9wExLqw&=Y-f-8Ki!2jxhuHt=nWD3twqjQXNTR5Fjo**Eceq7?o` zGgI)(^GY^dwtXnM(=?%3{h0T+R)w zVimb!oD0lHdf+l|c7@GiOC2f7702|7=&3`9tx-9HSU&d?jFo<~bE26}zLi*u_1T8x z&OPVcYLxThv>*}E4=J@md{8Qy%2ny8P;nnZaR$)N30T`wNID8)-4Xt9NTmh4!vH;m z2S!rw40zoZzKKVANcbyj?MF!l1g7@8S-g9V>N$S4(~X6_eAM?1w~^K z4ReNZ0;gS46}09TLei6HG3j-t>#G4}IBWCZUnjz;A7IE*F!BWw+-pYno7LopEu;-Y z{3n*S`%>l(C~MwOz}UYQ>U@?ppmqRs=K2{c(B}TgR+33Dg3oVfvLQLxX+g@^tQ2kF zdx6TI=z37A>t~UZ+c!=}wp(p*j9%re!DfL`tCcH+pfosfWn6|V9cq8k>GUX1fWP@JNbh1-hSx1@6gcv9XYf3B-io~dw_7MhJv_!!> z&sb%$e{w<+ql`P9lqXLqlA({ECEA%l72EeaJB|-7IH|4U8hg&GPjw|h`beZVR>e-L zb8MXJ8i&UJ=WP}pFtD8vVOe}Y8Z=0D z#&UKO+7v6el=t2yTh`||<@Kyv5ca)rFWpWa5D@x5m*X=mP$ts1ga~st0%#vr-Htin z7vCB06Sw)KQtF>ur!YW{pk>ZDs2)1-YkDW3z3evRH21-+dyhC&FhHq~ua;_|t!jcy zs_rg?pzR$~!y7?*6I5UVUIdpMXrSMUh6|Jnd@u7xoUu6IoUqiB+@NN6D9ya&IY=)M zx;NThe_)(iz*`bPy%g<@!E^3r8n%LFAxc5YWc55i(z3cVhGq|qP+4|)Z}oNNF_u%; z0X$^CKc@&N_vQSsd?bYLs_1zj!M$HtP6E@(;y%$y#W8phHwB7$90vJHTj}>@TFWT) zo8vz;9hlr&`JUiP!vo!}V`fA=<#(MA@2I-|#R0yAz+qXRE)j=i{{$vU4FEed)*|^U zR^~cz<+)P@@N@)FmoU+w;i$rz-sH^cABo}J`CA2wwCNKH|0KpQMh@(?6U z5BJP$soQejiOgEPHvDYR9&=-nY!Gk<+;Nrg{2<0i{4ah19%V1TnZY1B@mc}Xko-vAQgg=c zU=+~e+!pf(P)V4Mt6uBtcDgN@aY)-^!Z^I7D!9}0oAsW+OI+G>%7K(O=~tq?@6Lh4 zm>>^ycr3b(tMaLwQ z?;JW0<^z$|W=68JQxTI8V$1(FCLltaHn7Z&xRMMKcZWuuNm|J}5_v4vor~;S{(bT1 zb}1ow-NN9Yx4$$Am_i8WNr*^?qvSS`DX`|1nStYDdEc`!6mAdSRXAet_x2_5%6pLEI*Uj3>9ev<>_N+;2+V zlX%7jKqtB-ND5enJ=rzB|Imsx@kKM?b;sRjd}@vOwO{A&arI_c%|Oi9?h`5C$f*5(XPV3;`v_YVzJv$ zLIcQu*d7oHa{v`jznHp~5a*prFiV)f#2mnbcAq956~o3TZq3QYVA;Q3L$_mI*dXb+ ze|J)0g$btVZOH7LHXvnX0yXFE?lvm&#W!WUBz?<)<;PT*Wx6s{-hIL%qLZ8TLfI&y zq3Z7TE#{^b+hY2g;`~Dzf%0&H*t0w(@YIY|Pf}GLQVv@7S?;)^6Uhig3Ti@#gDMeq zG=QD%RGV}y4DqKsC`${_#Sa^gJ30YWy^BHBr^!&j>XzjpkFWHgKGjN~8Nv~5hmDsg z-5z4M0`^6mvE~-pin%NoWYrFh-U;kQe9*z*^;Cu6gmph(va7o~T6nxH z&-_oscddWDyfmY)W9rpu8t(7RS8Ruz^NR-xZVo*H^#-)}v(Afg{T~=_h11+hIi2u$ zdTInP{XNGY*dIMp7#s6|T(l+3uB`s-kXXz*SQXRQByc4%$X1#zh0~YVD8ri4Fz5~f zcL!8fzRo{{Knh9}pUb;_2QEb0h_>F=itL;jzf^b9U#cYJVntFK zo6jKhPgqi-a&F<{{BINA+Jwet4D)~Ao_#LnjV5WYZU-V>+VXu9*V&Uoz0{*#J(xI= z4%K+JwquRDhJ=T&K%b9xh^$#F9SZ$tMKWB0X7_`SteCf17>xwp-HSq+w;!)YP)SY} z>o~|T>Lc4ek`gN-W)oF$%`Tir!}-+&kMWT!Vp+9r*;bSRWi6PtU~WRkm&(8%`4`^- zmlmjI>nEV-U?xc1N=z^k+H4G>jzf5b{XnHoO?^&2+kwW!8I2nF>~;fJ?G-cB2YOH$ zl>8SW!(Cw)qH_o%-hwpG@v%_dpJE!fgo1kov*a+KxEbqn%cCy@)iik{Qe6Ul z9*K7j=^WY4vdrbkJi7@QU-z@QaU0EKyhs z3G4WiXKc9e7e3-73uMPuTcH2F4>`J1HY^`u*h!Gg{2OQye@kHcK4{_>&69^gtV`oL z_pnVPAb$R9vTyW{(jlt2abX3n=MI)=y~Tv8Xf~?SvGXrK*2jG{kx&)Ij(8Io%F{c3 VuYJBGW@oI29>DkTN51>}{|Ec9H5&i` literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb b/bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d946082bfefcbdebc493af35740ae8fc93eed862 GIT binary patch literal 33657 zcmafa1ymf()-41J5Zr>h``|FRdvLel?oN>4?(Xhx!QI{6-915*H~Hkh@80|U@2y(9 zYS!t~yY{K68FqIMNMK-KmGIzT`k=-PdaY{g;An1ZL&U|vL?q;FZe>Ko%mN@{0x$yD z7y(R7TtrGzL}JcXRz!a##>2sY&H@9w^Z8={`w9K$=>UjQRUY4uqo6f3j;yI4 z1oGKEFd=Z?-c+*c!rq6BGK3Sq{qioB%Pe!0TTt67ZRMSt)1f@!`l0$|OHF0#O6`Tq zSxr?{^|Gid+_PhIC4TNl{@T)sGS!+765ab0s+^oiZL<|gqcTusIKobwN_ZQZ!D z^^&ot190D7S_bn@Y%{$PIo|xrt18%jJ>G_RvHudAQLQ4!ow&80zhZ~6B4q8GI&v+D zY-hX6xm)|dpS`8yzF;r`IJV>I!eyg-DVn%}4}|ACcldT9uHy`Rp?nd)d#63@#mV6{ z&9~$_`9o7|>&wRzw=c=VY{p`5`0lVPP9xuXgjl7!u*kI?s=6V^vE<8zp*d>G= zJX0AAEJch@&=_mI)bM#*!pFMgrrXQDS<<%KV1)FRxTT7tC+65zk89oC`^*IXn;o2DQ829_LsUxBrro7637x-5*MbdWuq2l_3 zp~rq`C|ZZj)#LDRMQIKT%aq8_d0X#TQY!_BOe>Z(zdx7dy(7ykB`fiWwZjKFJVR_i? z=8_X?zmqviEQa1P-?QFo%DD&gRe|BZmkAC=c`WhQ1i>(Y#7%c%x(Dxbms`hmwmDwR zzv=p0-|ta18-n`oTSYo=%&A|p?yI7QZ_PTVdI}#~Tj?V?$t%tb=##lD{J1z!n7q|d z=kW4HdD_mz-oV}5URQiZs29wZGVcER&kr6Cw2PQ=`FhLom#`!{{kz2bVB?4@nytV` z?k`P@!|hLVw9UdnA~wa3g0<&@Kh$Pi-&Ph&`AF*?`}niT9)wySi{q&IV;sv|nUR&P z+O1B%2+=BhI(e6{eTE*vFR_Z%FdQrazUlpO_f!lAOd!X7Bl#VeNGM9g%vKJ%a8v`@ zSxn-)#&kJ3zf&gBMOCL2d!LH~tjL~M{u$E{T9`x6@BW{Kzk}Kg9Xf)g4VJ@~;RoWS z;B~}|au@xBELR^lM~wrDu$O`=UzKp}qx=yKL^T3+Ykn#LR=OwMA3s*V4{c0cJm`vQ z^tT@;imEPjQTb20cK~(zA~AR)+r$k%`J7oyQD)C6a6Y~6!SgS9vz!?CfiD#fbUmjt zX6y9Ha;KS_w_C2!F3NbUdU*V->-hTqfPZl75H0VXgzxX4i=Ud3#EAat6lw42@Xde-T4=6&Sm>)7*9S}uoiu{ypOAFLFPUhYGv-Mw)gmJvB4G`MnjZ z(Td-9c6>XtRh3o?u>AGd>+E%>%eN-MuH2QcTB)xnjd@sUdufMOCJtwQrnjQ|J^OQ3 zgb!b5lY2swZrKIfRSSW6LxZW&H|&#j8&=++t3m$5czhcn@jZSXQNGLu4|J)a1nKIO z>PxT9aaXlwZ7zKOiiv7u!n&=xt?uN90SJrwtNDwjjmzovpY9viy0i~N``pcIVb!O4 zdsug{%+Kka)r{Wx0Sw-2PU^!+}m#&jL zBlN~8`%i42v(_N?VAuEul}7V5rMBv~A}Dv?no3<~bVj@Qd|VNhT4r7EfI${M_f#e2 zI@#@V;#;yFC)>&SUh-ZOp5j0RzB=+w7c)N|MzQLDRxQ@vUq)AF9^+KK6<-ZTm$yZE zRgD_Yj=VUyyxrXNUkk9%PuN;}K0SS2sAALN*rUd!L@T>d*ZSG^$=#{y_Dj{Q#u;$r zsjcR;a`0?@v+KyGs=}+%>NraV*Dc*cuT85tiJP*{Wlrsi-R4p4^r}=aS`zlF|pjx}Fp<*hE&+p1W3F%}%d z#46s{-9y;bV7EekC*P&hTP zsTzapI$L*X`FziJ|Q+9B2t~Xb< z_Ce-mtmNG2Ck|2tG{K;U4Pl+ng=YFw45;aQ65aKD7qcM*fSMJO5#RFW@I{}+ZBNA7 zL)ctLjJCW_eXM$Qp_~`k)u&J zj+aAf^>0i(`%h1>k6d>gOU>NyGSUgCHWfy{iXLaw`0ITlVd{LWllGsJ{x`+Khr);c z7k(f;xGi6Xy@tJNcz@SM+KiM6YE3Yz@kk~Zto$6{{AXj2171QIPbPely^;5U3!SN6 zdg`ykFAZTM(EN9jy=v(vP3^S~1~;5{#CJW1_8?+V+kkkmEh?0UZ&XXg&dLRJHeOe1*2{*+|GEEM8Gtkj2JdY9LsXYh$X@Il`I-58B0DZBfGM$!_CB`iQqLBkXiK6P{iBvYyS=%?;|<^b z>D)GYehssW}%MEtgpa3q;;DmnP&6h$7Z8fOZ#8b=<4DGbmE!W24a z1YrsdG=eaN+OVTyDP2kTrLoH6>RKR-ix1cV?2tiVX*>YG3C7$tmEUS&QliPlII7=U z+>yRq+{rv&+_`Z&yPmf{yQXtKyT%YYS;yNsD~jr0lEn|LPUl9|<8UVHv^kaV+L+(@ z5{cCsg%lllT-`4>+Mgu!d4SW#&4sg#=}W|*s9#xefi0#~c@e}Or;5gdI&(~jg3?d4 zvM>G%bm}z1om#i%Hyv^E;a3S>nm~FGz`tk$7rtnE6@m^dEENxMp!3mpKB;NabBBJL zS&XyGuO#0Q(~|CuY)T5iwWf#VSk*+eX=o%{H?x%dBLSjv{&ii*+C%~&%z0sm&SDX= zGIijIr5N)ZyRcA%@XP}#KW48oAqZFqlmh01kPAXK2$>+HgOCbBG6;#vQwtO#Ihi+Q zHx<`qH|-#dgRl<5IS8*Hd;lH81A(r^b_TM9SV5nvKtv@R6B)`tekvvudge9Uixs>rob-Qb-o6cLRo2=gRd|e8oXu|0h=f|}D#hr2mEtsE&XE9pA)dDv7 zo!`GXKbFlc`c*%9fZmnR=|#USH-hzSx13XW%m4@s@ZPe)_W`wDUKM)E$-XN>4%#&C z4nlO)a&HBk59Icz(!2A?%kv|VyjDW`)JNwdCp$NVE%gnwb|wZkPkRTtkRtc05Z|FL z^SZ{U3;1*`(ZK6G2y^MD;w$N=&|2xI)Y6)OddzFLUI(^gcL*|CS+@PFw_d_4Nk_Pb z!%Ig$t-X5SaJO2$KK{P}jArzB7_|Fwzdj;>bfK{8c&M-&exLc@1E+$>W1nj z0W`M%pt?yM%kN*(uRt!t)TunW>kMkxO^-udYbty?=dixxa({nN!2Sy++FgoU#Z8D? zg#<7dKa&b4FIo&IF9L#aFlBfV$hX}$)J(*=qwJ(B2L>1~d!EBpAx+Y=u@)#c4 z7|XZ>m?r88!_|bt9V@9j38B@h!yT`+*}t)II}u;4A(iDC4Su*Nx6*rFnIe$sJy6Qh zEQe&O-YKxeFhDjDa=jG;{k8|bF%*B98u)X1k#Y9&tf3H7v*pUDXE6(JGP4nmDf0H3 zzzrwy^VTMWQlAb?Gyx}o4o;vDr@A^((K$;`cU`V-QKfo1CFmSmPW|S-mf=<%uGUfO zhbn)JlCCF-q$eOJk}!LN<=hq_adHYu^5^R+@s_qE_L{TZ*327>CTG)7Xuv*V6;X8l z@DX9>O?TDKW#0>}m`s8Q``dH^oGM99q|T`f z@;2G=xeF!Yu9e0|(yvZz$XcCz-c2>?f{+jJT3YLTcph)&xfb_N3w-`&1AMu+BDH-d zt$C1>qsQv8*B4KWZ`a1Y86nw#XApvhPYckrc`!6mHjhF&3JOn$IABH4tH@Vjs|jsx z>A`6-7ynrs9?~&xrK27KMR`b=*Xg`sz7yCm&3Lq9{|Hs#=lQHgALB z@4@!iyRy;Q*ZgG?(M1vurXFFn*zy>1 z@uu*_BWOW$`&@nIw+%b2?uT}1KzB~rEdC4=AlmwvfJo+N_=e@XJo@R>fxv^p0rw*U zs!70%XO7tnjxBkvu|s>}y|X3deDQ$iEC@3oOcx(~&)NjPH-p*~1$|YrO%)F;ac5)d z?RjZ>S>J&I6U7(4P~7g04KCAqImQXdv#>$<2m%%em>^()fKEW(*#Y`y zN&uk}Y7;=SCfAU9j`~7Q=1E6ahmHwLW~2gZBt^dugB6e^wbB-JD9)I|txWICzNJd; z*kH11s)oz6j9pAtSzJ+tcg_J~+6Vzx8ffStZDNVT?TC z!CKYfzBY>_sUHv1Y*f=`D)2){UH9bAFPLWT^ZN!oY9W-Z@PbnYtC&G^83@s&F3S|^ zi27yp2eUEG?^RWdl0N5DCYo6WNL#GyF98Sy7b}zU$`W!fV&tlfurAUtXc`v$F?@2* zo(9N}GM`;0K2)g((HtfbucaOPxf$kYF?h7ah%bM9GoVhjOef)1p;LbWTL7951s8RolR;=Ekn(MyXznR>L<{5p*Q1;>lxc z7PrKqX?g5ENHRqQZI95oefNIzTh?7xcTD>)nmm~mH9KbR^r`g>l2Y6D z{c(gPK0s2fL-P9gp45qg)QMgESVrXdJY;%>Ah(`g$dtDDPG6{^(QJa`bYB0)$)ZNr z#iHh?gGEh*y@m2CW6An|BZn`i6d`$6Z#PD$O;D>tg zV9~jJv^`5q1$l0|bY5;N+C7b}<9d;6>RK5PltIu2!3YE^5S&2p0wLgHDG zla=1%?Ll6P8LY5C0{nxwr|7KkS79zAk!%klw$hdFTijSOavC*DvweFd;+wKL>49*E z#|si?3vGy(U5DE#V8! zMM6B2vV|52=~5e19I_%U<|HGsfi4;8QVUcZiLDAYr{XQ8+5esuDc)iZ{J*o9ZSr{U zLMSpCP}A%R@ee2}arP)G$67~l3UY(Xo4^h^8QoXEaPK9|-8w}VB<`+(rfddHX)CR> z*v!Xa9qus49-9u_;JUDkiQfx)r^!)Pp_M<{%2rwt=whTHy-`wU6H0TIR8WVx8f#4N zoIi@ghI%rN(OBb19Q@gQQ)*+`kGrJ_5XOyz4BzQ833tBadsbzzcGD(6AjF$`=#|wu zFDS`*Hylu#&gx48^luZC0J^sc@q`TSqtv+c?f_bBx|=d)w_9Oyc`jp8a(NSS2y$Jv zqKsFj5hb}5s*!k&5u#AFNNP%c5zx8pLbpb$0D)ZXy8FYWE3zp@k5l`LW;w! zFzIg!(%%%Me^As6gD6UdK@>S5e^8M9rXaZmk|-gDd?R<3XC@b>)=hl)bQf!HgFUo* zG!QluPEINUtT%OWhQs$BfsRIRKwl2TDTdZMzFl#xs^GLpB8W~#M+wHIR_HWZ_MdgI z^0VsE=Q;*|`@|(7qKQb3!w{SVpCk+qJtpV{mnba3wVf-{cQEbH<6tJ(gtu6yXD#U* zM32+NLO*yGs6L6SinbteybxUUeYhDneN>&QU|n79%i5G?yNDRNY7x|J4<;uW!6x|DjOL_S=Kppn49s7A}Sp=xPDzRAB*gJSYyo z!P(g66?wXCWo)H9O>If%l#EuHb_KLoffScr+UGK8AO3_^gjEJPIV2@0O}}r{FeXR7 zn5s*^Xx`~sbiO2wxguW0WRxc+RpqN@h{ssKO7}h^d?_6=Na#|`lAg8i-E-=)>8o0dYT2bHN zA*hG2Ci3M&&*L3HAIWTw96H%UGHc6Vdw@vQwts;QF?d{1?kD`4mRSP|IMiT#Ow<$4 zdOWIA=8?tnri@XRbp*MwAh3_vy?rP8);+YvRhtEuv$M$Xa+K0^z$}8o@9!9SP+HoL z74~llycZGPf4aG+Lg2|=-8F~7S5*h@o2WB!cf^mopr@#^%n9FhVvo$IWaG+z3UNYZ zPq=5l)`9USp5E?@I#j}k2bSR8>4Dk{Vj4sT z-Z+eAckl(*>wn{()iyK2Z9EhrjesXIV_?O!$Zeh022>=dyLvRL7aM#fhj3ntF=k{p zc_pRLRhxty4d_0@AJl)hIaZG1fMr$Qnr;YwACYM>CSX+_Z84`#Wpco~;mj)tE|FEM z)F)R`<<@E$jL~%a`z_x-Hy@%Au3Rb>&itkdJ4MW@8VZ4v1r=(N_f7&h-9#5Ca8t3$ zc~Mj2#N7Wb|IqQ$zv%JEt!DO|$5HG%6Osj$A#wYHHN*~JP0n=iQLol`Qbp)Kha`sOCS^e7aeIcR@!e8G zSwosVelz0?zVB&1SXkw(3FA2}x_i7bZHX72n1OEwfQ^QztDoqDRG9bX?df`1v4^xX zQ}Hvif|K_Qv$g&vp~~Wy($#(g#tALDS6IA(LOty6Xzwa+&fe-b&8%lUfFBL zzLM@Ry;`s=aZ|vBb!5?q-o*aAp-Rm!O^AEu$T5=ZJ(bG+vHXHqz{CUR+|;7hVaf=-3N!@0%Axso>2qcfQ5@}a$PyI~7lfzv5mkSGKiuska#90k`kZPKrB`+(99})8|S`|sBa^lEm!kBeM zOlKoSOz_r9DGzQ+DTM+`oX6a2xPnWiT+P$YO?FIEO?Jgrkb<@JFdYW)FenXy8jv>@ z+J@RnRzVJD0|fX@kOcTi`=Id}WNM6}5#h7NDF>IV;148&t@DB0=Z;^HDl`edFo=rV zvx{4wS>>+nR_Lwm(m7e%C*gzO3&NhYeG^XOzzQbpZW%Rlz9tq@-v1k>3IlgDr&wy1 zmfG6!I&wZk>C(^hi!jk^0}NlE105KkBuWY8j|y7xHsCc*?0enL+|4ohg*?aBdt-R1 z)Od9^Pmp!@u5qNa1+QeWQ8U-VJFe4IxTj-SB8KYIZto!lt}Aqb~9f}oVm5X)B$>LiJXF4>WQS~;NI*7s5miP?%!-Z3I zik|`BTvCmK`x+f7xv(b77iwi*PrNSxBZ%KE`B^>@j0Y1eYd?q2ukCGaq;R!k>L|Fv5a9nNiOX>D zbT6kz0!`%}k7SMK@#-gXoR%rIhi;&A0UoOq4uxgNI8+6Dh0tDlpd9C9>z1}&JpKYT z#r49badcf)5q#YsM!Ik-o+sb6JD;jmY;H7nwB!!tJG6`)8mcSXzp>X~DG^vyF^wHk zC^U(PCSRD@BksJocavE3^#Ce21t)nP=MM{m4h54%)(i=IMW5WnRIJ^*m7GJ70+lTW z-6UooJb?Yt@j!w&gd`vV%@7|70S%iWkPO?-9Tbn^1;t}|324OI|Bjn@0{f>zLHV)~ zp!_4Qzv8Ldp!}%-P=4jTCs27ZloUvoD(l(WqnL=#=mzYs_HhG}fu1wFm1x6;UdI?A z4D979vJDEq+T;!i*EPTAB|s&B-Te4gN{VS#f}4`+22`dP^*9EHOk3Smj`q9RXxEsZ zpN+HUZ2Ed;jxM@;{B_74kH$)CZw3}qPu5u3&&?#|BrYilvnwm8 zcr>Wu`cs3Iqf-Mnr&aRN#*oFs&j(>9Vfdyya$UD9GR1nvchbdrQ1#Nqo@9>Fa8Qv)Ke5N;KDltmumssBHC->o244_V*;)E{Ch+u@;4*ZScHr9An$~V^`ts3y<;a~ zFM>m!2+ycZp!!wlE5sjcX zk>*wxc(C&R=Bp{EDIlFCUZ3KD*oIzXl18s@(8g4mME#g+?d~N4{ay%B#LZ6e@!0UbQU(Vs4y!jgAVcyU$NIx3_YA%R*>XoA81A=H@&ro zMUFpe0ojKRiNE>K)FC@{>ZDSfbRfKP07HHHCV!j;Wn8Hw@MNmq7_3=D@WIet#=jj z;%5T+LL0$;)I)hwR(IIPl~w6Mz0Y^7_?kwk1Zn;Cp=ny|9r9x%#loLn?6OP!ZfE*)9u-&cf5kv_7*A{?tG@9!o^E2Fsr){pt}> z){gN0BPB?BIVxC1P57VC=H~-A8J>Mq`%7l zCF+uY8b_*`u(P;_)iaNjMuIuzvZ}Svk`GdL!pJn8c%EHc!$>fKW#n@hM*qL=#g@0s zh`dp;RW-^=s0I2Tmp*y1{p>1G(JUM2L zP0?4PjZdrw2r=_Ab->UhMA==uD#6n{h!_!Ac)_@pIA5}6bVx~?FsRZo5THDJ!n=->!%h~_uGxqLzCyy>k0Me$L%X-T1GW~)iNIreLzzJt~w zfzWTl!B41dO-P!->lpmA?XMJT^v{;;zZYT#s3Ygo)tRL|5b2bjj@`{Z z5EZj?uriM!%Pmx$3F?yO!kH{OsH4%*3E|QqA4lMXLqnnNJ%>W!J@n`MH7g5Ka7s)+ z2i_Zh%Q1{ohx7CQDPj>US`to-NpVkezytsGncvIH4G=Kqug#5zJOC*7z{p7o$=T`= zm`&``Hw-LVE+2kQS}@8CHBMU%U)RBoUJU2xZo#HIXf(DOij!TI3prD_jMXrhiAgue z1)m!GA#H)a{|cMc?8F)PS(-WPsImb}ZFoYzTrKv2u6%^sF=Do&qeP{}DFcy3cOB1c z=?0Ioc(Uc=`o5F#2S#qrmxBfu@UKyf++KbNm=L8Xn22`*U#rKCfSNH)>wQs4KUA{G5voA^NyF%G}|0pP_K%G-Cu6hbf?R9Y5k%P)&7O-VF^OI{zLX z#zM-IUz~rt0{7kbmFT)6r&&Q+6f#<02sY8rl8Q;)AWli;K^6@Js)YeEB$T$_HM6U%Bg(XWAz z%^FYYZvv=WY=Bc)#s+7l5vF4`naegCutq}CP}_6nUV>(>4t%3rpo2lf@+I4}BM9>A zbrhP-$mOfkcV-)WcSwN8N&vr2$j<1QBgcK&Iu9WB9pU9P(+aaZTz64-Th~clLxx8< zja${QoNi%%>y;<0ZNqP6aGn#=L8V{-lYG_gW_mTEJ!YIpEn#qGW+&-hwsvRKuSmjU z8d2@UtGO2I#A7lJuhh|rto3bKLQccIwUSq1^KLvH_@QL>ihlCV6s7%nwGr`2+^nOykE*c<$o1pdUfG2n}A_c=SW7-q{MgiUjz_Wuw4X|SW7Be7Pye$ zu(}2PwNuA9p0^OGzUN10uF&0}uO-+?YVf=&nC}Q|0EMb&s)}y(#Z#ucCX>!~)W||avx#n7M3&hRh z8!jX{_PB6DbC<@HIG#1%DFnw=#zp*`#pENKvieg*wgbZW{0FeS)y)_Y#RGb_*8X zkwsT7&Iiz)HN@X{)`0ycFL#rDMv@#G?kRYewLD1XP?@d;vY7a?o{gW1%=l4&uu^lK zx#YQDgbQ&m@JDlhYA7w`)8uuCa~v=4_083fMGi3k^s{9}w4oQ=ix}+5r@KMT$GJz% zr@fE6t@XYXJuNI!rDSYOIM8({gX`FzH}1HV}Nf=E5o67~z5rMmpsb)dKE z_E7z{+=%$#+wN_b+#x-~o3^@;Jvl%7A-zp0o|^X01~*&#lVm*9Fxn^l_qO)ZzY~l5 zJj11nn<>%N9KN?Te=Bs*wtt%2?ieVXTSW__%H%y;@zs< z&4u{ju~xzx_CLJF0JO9lnVFfLI^;*9KVZmvrF|8m&1r`g+7lLKQO!IE72scWd=-ej z%P^}Lc9&z)-j`y)W`?`Z=N*d1<(di&iqc*r&lmUecA9x&CR1~oVTv1TH6o*)j%MKI z&)N@Tz)^J2NRFU^dY%zI8cNch5$%&^IEzCm65ww=e&vj(Z8a+yG1dXBq92Q6WfD3% zh+-k|v{XooWxabl&b!;AsyfcgMNf7fF_X$6)VY6VM0oH>H!HAYc(^0G1dlvd3+6nE z4ZP+|pl#p(5d!(FdlVT<$xz(27g<}vtG41~i@H4TiOYr9x%UhCWd!uJhvT8sK9Em! z3F2h#<~gjDH1bE-98r%T+SrShIXW&$Bl@LeZMp~HLy>PrTLoWEjRj-K;`nCyC(q!e z3YV0r_S>JgyCd=U@Er#<9`xX^tT#oQre9%?13q7MexTX6u-s~VrFMC(r1I%xMR%Y< zAauySFWRmT^Y4zH+B&>H6%ABl%*TEEu70yJQ9M^~YKj$9Y$}fk`uRvnBCh-9yk|Iv z8XjUN`px=0=*N-?d%qtPKJBCiwj3B_;yz*=Y4V}-U+N?TNVBj_CLitoXrY=KNa6e zGAzrZn{{?~@6R#B^PcLUchT*_enT6%-T9ZFwwYb2u0ueq?f7uORYWKP%U3)>LlQ&c z9~dwW3~wWZt_!NkL6CPI zIH==i|0{rp7P>ZXHIuSM=z6a6w@vLgXrax|pixkW!nP)91ebkeA3@Lf)&%k}S?xXg z9r;*xGNy3GbBOHIP*U>a+<)Qdt#lCYp%J`XLD7G(RXUS~LQP6N=QA9GDsv}B`Nzk8 znjqrO7)qrBG+t}_PJk^=E|tec*sCWz+lmhx^BfWO7=x94dsmA)u-l6}*dS1Yzy*RN z2pS-mZ!d;!_lQ6uQINPXq4fBNz9*2m22x=jPXMlDi^#I`qDr`eZKQDZT0H3SaLCkS zIz~oGvWzV#XxI(g;}dWpWa6;*M#xz5?d`Yb0}|HnWyxg#hNnJp*mL(}smztSao9>T zapMs|18*}m-}rGbwKB`cI0htJb~4k`-B6_~ukn&Jcc|$ads);i0x4_e;imLTF|s-p zX@Q=Fs&2PZmDlvwhGTM*n^KPQB4JgP%PR`m*R~RC3EgZ|2Eiiy`n>U^wsbYw)#~l~bK#-wuDWU~)5rPk^0oZT^+-=A z`zYJHZiK*)mC&kXn-THoEGT9d$aB4xMrY>&^XUxHu!-9F6|ZaDFSrGM3b-_GD-h_le?E) zMfPCT@(00(u8MipwT_q7OmUZ4aB!pP*3)~TGi7<}AD8}0Nt|CQEVr3&ilFPLB+i-X z7tW9fdF?LdJ2N@tba02M(#q>B5xX6c;?`L*jO2d}&IJypfh7hnU>K#aCW?e?KjF|? zE(Kk8&tN*c6XS56cdI5C`f+GE#h2;rVl!lE5XfC$U45?XEvDp>HjJ10;3i@{kUuYM_|d}D>d{h&JUG^;AQk0}-^q{x1XDwdg;M=MXZ^u= zehFeM@_2_PQa$>TDsWmV;~OeML4{T;L&Xv^3Ymfph4#1-mH;XIlddRa8DKRkR%m5rep#?4V9siDPYcJMHzw2^b?^#|3gm- z6G)G6>#k>T=Wz_P?G@PH(nHlL60jwV-;GCng?+6x^`<~KO(JI!bTUiJhAqefiI6b* z3P2eP06>7$r7AG_@k32c1?;|WhNK9YjJad+n^#H&LkpGpMDaQGlL=8GEE5YH#dYxR zQ|hM-v*d2bnOF~RiWm=&RFYWOQ4u<{(GLZH-)j^w%r@w4->nC#p7O){f6gaT#r)mem^Ku)Ap0FD<|69fiq`%| zHnBrwtvs!kqzus;I)%OgeJm_f>@cJ;NfN9vv|=h4OTNC)K8|6wYExW_z%#W>cdsVN ze<;+Sl*9XI#nEeO_Z~oyECJFW@itOP(rz;c& zP1iy5*K|pLPbUJ%k>QI4uK~P+zLpNsg`VbCfrF6X^#fNYlQsmSD_|DT2r&{!^&)}L zH-`MMoebCUA?KkK9aP#oh#JnMK`?a*L*F{&5nsTQ2z2-8`;Xm>C?41XXNuGxh#nxJ z^%dwM!E0g$!HkLHz>RN1Klmo1F!}1?+yi_U^A$e|oWg$S{;ZAzp-&tMJ}N{8F}jb2 z4Myt#z1>)4;U2d6X=ihRMi+NZ+%>KO@@zMfU5oOAopmbe$nYoY^3WV*+w7#`8O+ka zMaOIJ#n?kNl?{nG z2VK_L0^D#`RTQ+CAdh#mQoPV7fF1Nd=YScAWToHui(-iAzbRa$K@{vde^R)>{0BuI z?d+*nrA>WBQxu+ga22|Fumx6u&MAJ3OSyE6izUg~S7C?wdFAmEkOu`&}|9 z1I_$DGGbIunI)28i-{1>N+qOCG-M0R#|a}@PX)PMl$` zjzpumMu_A!U6d(4%?(q4#gdxj*r#&y5Z~4=YRa60T7~ptoJlf^QfE>Htq%Cm)m0tI{hH}m5jl7-e?y6VLK3AvQzN!U4v*{!XYnI-2Ln687}VWwh~-yrhWF^*W=_MA+&@YP z>0)o=A`;#j@0t(yNpRo3a)I&fpJ3cW?o09zxVOJ$1pq&g5m$fcC)T6L3IawHkWN)M zKF1U{cKw+_$3sDk1R*(03t>N;99m3_M0zrO_d?CLZ~lcJ+$PM z?_aZIl_I&X_DKt5HSEO>eK$b8Wr_)C2OtM~rMltVGQ~v!e`yDRGK%H@%piUD4;=OK zAf*}^f9e22C;Yck{;gwS-R}YUwyNTwqQkQt?k7%1hY_V;BRT&$+4NWR=G)>JSM!lrR}1M_R~lErP3s(p zTRsTX{V%OlzT1*6jX_83aZ&9?2-TVFl_a5O?Mk49q|1*yk5ta z5ZA2>T9QjwjYW;2yl~sE^-cU;!QYcMaQ|LP>BZ~S;W-+`cee%j(Ll_axR_u+vi(F5 z$5{VdOmM8zKDniv^}aa<^`DCkum0Z)701@je%lb1;QL2yP!(kR21Ky`Dvb=^eFR4r z*IiRo>d(b~r}|%S$M0$)ft4iWM89{BAvi7UpIawHxKjp*;Qmqak6OgC{-c(E+c%6F zeU_y1I40_eCHHR#g%V&w-A02A+wg4qQ+GUqCO341fES$A?Jkl}sO z(qlNVgUES2noZ1|Iu6fKD^}y4L)xi|ioGd;6I7dWx8lQMp^RbtR#W+@I=}z zz>DNeVif(-0b_q$OjLFM^nKIcEw!N{%@a8|(y0GwKnrQN!Q*2zC$%_fl<^pPe{S~I zZ?n-t?K0f3_RA7t8F~#5L~`XpHzDy3th?$}GJ<%|)FHHQRQ+QG@qbZhf+#fqrWpMP zih|!10e?|s{S$=-h=TiXijjYyNcc_h^)HI3f1*$aQQ-VdG5jACF{D+K#%7GrPy~g_ z(&ln(u%{L%W0eGhI+%|)qNIe!5OG@ zq=HcgF*WaQHS9hsmT`JlGZj6%7LqJwA7Xj%vA@xVZa2}JY&VH-3$6jBSveo2+G-d@ zT&yZ{wfSyvC%3duNjwO?zL^2(_YbZKvb!ohyuH2LXqQSn)%&8)c`I_63v;S%o6$sP zB)QVqK8}ZpIbFC271QHRHLk%*^M|nwx4Jn^+fbJ6A5`2BO-AqYhRl5g5ZEh5iQ)M# z{odNMa#VkO1daCuk#=i{#qs#b6t8pzaDQr(xzZQz4M4fIS;C$K?GW4wKhOt8%2MX1O?t z^?VzNHEX_>t@Ur`T)z>?dT}eZV7{N~WEeYm=wOt|pcGX(C&mkHzMoEosa5Q}TPksv zeri)-&cT86hZ9d9{%r+w9l+5REn!qEWNPIUAaIS z%YLd8%bqqPVTtBYaHDl#@eAA2c%C8vbHcJ0)v6XwO%2XJOv(O~8^DV1LGrP7U`>Lt z;TKeEvvNSUfl5p68Uk};E{#Y91)XR`6`62F6{&bdE~QvS;m{H%(W2ssuez{W)bbaq z9mBWJEZm=Zu7M%33HpJitaOn~pO&F((T%9s5=D@8HYKQ)^RNAPTqdbdR;Vz?mYP0` z8x0N&E605{iU>l+&YuRymX?f?kqxcpf&#ETF$}ARZNzYK5sMB=NX6E&8V#$%IT%@y zGXtCpnE=jDh{MZa_^~L>CX}?2%m6XrkO+li--tpo@uDI!fg*}6C~WCkQhUG2`xuDR|%Mn^{n zMgebH+MF?aB+qSxZyTaBPPi4{GiQ%?cCJg$o`Wfy&No}FKQE~UoWQU2n;Jc!;!FJS zDY%v#W$Lv@Xew`ezD;ASs@N`uvqxZ`;n#G&CM^(;4V)k;ikbO*bQ;dEz%?OwK*K4b zcf<Q1aX8h}VFi)AqDKQUhyKiEjT7$qjPt%rpIK1P ze<_Y!hS46uJLTsSr8Q2Xo}Rz+HPlt<@&D=REuh+XzW3o$TA*lgiWZ0B?odjBLZNt} z#S0XQy9Pqh;%+Uj#oZyHxJxN60fIXO3kk_TpYQLy?@7*NW@mSH_TIU7b~4Y*^ZxJ^ zShfC3dF`K?+S)2R5+YRc=W#82PUq6hdR^ypooM)v`1am-cnE`sK=hvmvYJ1E<;kdD z^YF)FAlmLfP_ErPuW?*%6td1Ze`%+9||eXOgPM>b8-ON8n1jCLZ&gmoz;8zq;1^OM+$pQ4(z>Qxo&)inPK)T_P{ z6|_Zrf{F)%Qr}eYQ(V*XEVkj-zuG;Z&?O@B3NZQ+cN62Rfj`?U;G;8Q?}zB(?`0i% zW5lmM1i~Vq@NxaPz81Vla0F23PCJ~ zx@O`(wamh$WO7(3JX~@nSGY?L-?$WK3NgR}DD>h6ZkB|Ajzh64LQJhz{G~LGyj!%g zKbA`?yxKFWJtLbGYLJ$j~6CNyA4`nKf2I_;?lq&!jhg#fZm?FCy=8Voy~m4=Gi#TG@(RR@ zULB;^z1D#{kss`%+|Hjzmw&}fvcVs$^T`6@4K+Myt?BpG%>Xl_MR48sLueaH4{Z@s z56X_mvM+Q~Df-@2#M%o+em45GytHJtGabTo*{DG|U-FJFcDnp@`s;YwqeWCDJs`n^e zzq0fXd_~PP!&Yh3hH^zeW(t)xn2QE}OJ^qe@J0Oe)6!tanbkjut`s!&^OOiT=sTx1 zy%J?q5B1Tdol9OZ!!J)?Mac{V6SUz76ap(^4|S=8;O||YLqnncP$A#Mnm@H7g=v4*BSQ)JGAwrWdBT^QdV5E>l;^L1#lVKgtKKNDI?u(E#s7aCD19tt=v1PvOn; zuAlcM0T^^E@>W5Q`p0D2D|fH54NAb!uuVJ_*ZT9s_AKi<-SBn&l?JE$YiUJN=?@U6 zEFYa9LAa91{#o32qy?yYcG^d#I*t*odwI>bO>;sQ*cy1%{SO=je7$SK))<;P_%xF_ z4$Pr@&~&x-!J`OD_DVseaoOeG8Q~%`rxdG5y4!)0;Ql5T7@1vjl$pKn8VF9@LWlT1 z^fwR=gU?)yofQ^uE;2?E&{5fCQu$}%)MlDqXuEuQH0=@`9-Q-QcoGzx17Vg?psEwm z@O2}=w8pUvprl;?v4>JU#s$OYsf#zY@@FMBt0ZPSlEZ#lU{?RWLy8+0OLf-8P{DV zapk+$EU|2tPuZ@>ZizjwCUc%B)G0MB-N?=?$<17%O;1tuv_j)f0$TaMGV)~;F(oCg zjI1*5dcSPNZfE#mhkJ<(dG#R-@~Yb8%)NUIsnfiHgm1>HI(N+OGK+s&@(;XS1Li|S z^!`+f%d=Rx6`=jC+^Tamd;Nq38} z1y;R1C{kEc90Itb`Wxx5--pMtWJh1)nx5Rb-YyjkEz@S;k8JKOkjQtLY=-%{$s04g zV?RjdG?Sa6{BZB%d*`8=E`X_Y(em6lZkJ8h{2_tdOS^?xLmlO_G-i;0_vogLfMcu1 zFMpq)htn0euWApv%(+O(lh;7L5fbTmo=eHqmu=~;qV2t$f#vkOfUgQ%DpepRoK$UU zkWRqgZ;WB?p7mPr7rxtPS7C@_pBCt^1W%1tX>KMP!v#3Q9%6KdpcUbh2Bh3dnL_EH zF5nY;OnCs}y@vys){RFt1c2(&?)w(uPTg$mhiaqROK@SrD6y0B2pqXqCb|`7 zQdgjm+hE9bQ?3zc2RUxv7fuVvrb?<+Iba-h#BgG~5Oz@#`OGGx@t^9<4hDM&P=l!uCvCbYjir+%v>r zrnHCogTtHaPe>_Qglc`rbsqy`?%iFMpOJqLv{eUQ#>4Mm7NmF9@a184;e$W&0BX5# z8IPagSaubRTYH>$oaZiBNYgMX+ummdY+i}0IoS2D7di@0;oQe|6CWermmOZ1vA&iV zJ;NJfVwh>aT(~Nm@|d%Pe3aiS1cRrTVdykrph{$yM)FJRX4xPS;ym>CtwCD1graly zdxugucAO|=EAh`K5ly-KiQbQyJYfEICRWqz67Kq?ai(2Vt@r*Ga-y_bE~J6MBNf_8 zZ`mn#&@pB8lElx0dFBZbx z;Drw9{RuXQG2-{7Oth>r>Cm)&i`<08aMM?^Oq}oD#*9GNmM{0_`o|d9R#SnT;aZZt z5arE4)w?{syA*DEaPy8jZcyXw9YHcMxo;A|jjWG9PtcY;>~O-)gB+bT9 zpmPV2>~$$Tuavt3W{(C}9+Xk*`FVAR<4tb0fYC!gz`%(HT$34!w%!`9G26WzEVkH= z(j$7$q`h4x3_9pgY&*~$ym3J(`J?in(lBGS4raZ_U|)1&IGdZpxCai7^bTY!rWVX*#Ekh&XAVqa@ae~OzS4hjU$ok3axH|KqIyzcQ@LNj>vEHEg zUbAI91ULRKr?3aE@a`(o8xDM9$1rAdV=j6HLYKXnYdD=8637L=M@wGiDS1OS@$!n# zIkg{8h@yzI^LuiNm00*zQNg{AISI+gKCT|(zCDa&mFPFo8k@kw;i)PAzkQ%E9wyMa zLWH2{gtrCB&QAMwCud^!*Hv6KvpuGhcn8_&3w~e7zlR^J)e26FD<{(d*{sK4X-ByM z=34>c_9;D@Lu(PBUCeO<5xp?@sD7bIOw-*~&3t9{)(^kvcls2N?z^YhNmp#|`g`EC zD!oqO9e{f6!kE~zvZn0s?ZR~4hxd?+U${*93J*E?eD`m;dO1$(Zhh~OuOj6Kp%5sZ zg61Y<22b^~k7%!%re&>TSa*8wPn6=XyXc5jIxa%_S-&BMlim>(n&kwmIKd_nfp1|2 za{fB3geO#kdfv2lkZJK1OFP_K@!n}OvlYAmy6_plM`CLV`E|Q(w+s~X^h`w`%;u(* z83kQ%z$eHJn7N+hp{fY|!+H_JCR53Iq*Skh;^rOJX=x6y#MRIk0pX&D5q7Yfo-;^> z-8_!?iS(I+<*`XExJ+RwHGS&QyX{85&dbxZktHc|LhLw)R#3NLNM0&z7KUyrZs+-$bz4P zm1&n~;yV*!&L*2x~MDj%+|6O^U) zeLU=SsX(F-`_*n4nANciZ2GcM^JSyK%SPpwtmhu)8+)Lhsle}fh{34=ynh2k(66;W zE*cE`C6{=<0FEhz_-~?VNCK2&L%Uf9!DggTGfX!B;Wq==@4*AwsFusS^Q+4%VS{%S zwIBZ}#r$r(N|_NUd1PN9bPzAm?mqM@q#YXPvU)Mted-&UD6<}1wdF#bTKfXRYc2x% z1o{eS1Weq0h5x*mxDy%fR8TQ!@)6uV`WZJrCKXwnt3hkKjod?iv}*I+ub+u&0KvmEX8ua)~?#)yUJ=o ze@ZHdy1}FIsZ1|Ac^A`%k(VY$US)>;zW*@dJfsvdyN;#-`zy!VV#L{s$zDTHiRw?Z z%$ZbL7!Xuh1bg}493S_dUmcHp@dbB@N;D0|I$`}~{EV%V5IoYT+Otg~=Oah*uGf?7 z)VJ@6PgjAW45N)Gz3UL&<2ESK{i!c@pbX=VNLwc~4twQd7<>VF7y;tDXe{%(k`_F7 zd21oz|Z?YzE-PU1# z=;j6f2R#fbADVm6O{heaFxnzRILcW&_Njrc`?WgvMu}*nrD17ZyzeKVYA_?LMNC# zg9XLGD{J}w=Y`X2%mKXYnL*ac{Rp0GBq6__5WM{JTIE;gW|}H6M3#5=Pnu}&q|2#{*rod5_j*4lz$IfVCHLYXgdAPt4ZFg$QDP-##*P2Vq>Xu^_Aq5zV^nC9HmK| zDbO;V4>u=rf?}&)=lkDT`!HCm#*6zW;Xg#cU2Qqm1iF^reWzUC00Vgg>-4HJid{+u z-+%bQ&dH~COmh0-rggdf0@0imVg7gWHq9Ea@F!69mdOrYz6MMz^WGn~@PNW2U1aMV z+7Rcc(N1#q^Bt~m>Re9(h4KayC1+Jr|Dg6!d`hW;z> z@0@g%GQa#T_~b8alg)7H>9eP?`=nJh17D-)5~&KI9bay~h4%lj8aEX$d?-wgBf-oW z_JHTj!(-E`YiAdYl8oBrBU`$XX_+R8s3nZy!jzi28{!tf=%uj0Qb&V2=^Q8F}HT=Hm)lau9*_zZYy7{R>&i$hT zTKA>iq{PaLFx9eay)-O)nWFZSi(d7oC7z4QVI|X7ofjvwYI=+1PU4@PAN?vhNO6j& z)$D3r+B)gg5BmZ|V z3!}2)RjP~_hxJ1KSs#90m}Qt& zZ`~hG(yQ`j`;$h@&-IVKzSaGOi~{;A!RraDKa&4gEszJKf~Qw_G%o&6VnyU0N8~YL z>1D}2j>z%NF7Tu{)Dk-Zh4m)Pf%)gapL?l_1q(U$n-yhp-*!a|lKi)olIGISgx`s; zCSdn-#}%Q(W}@6G&yD=$N_F$U_1(F~%rSfk-&j_XF_)ADJj~=omR0~9E4}x*;ZDnB zr7wuT(SA;gdDBui>?syLo$-T%pVpwy;#OLMP*uv7a20;A zPNN3T_T=Z97My5pSfE&G;FE8k2y2FI1>SqU`YQJ4Tk?n*%O4H1{l_A0x36bgUl{$0 z3&(4-F%mNO`4#uEHk-b$@%P`cGUxUK7C^bVkCC~8R$l$1Cd5xUnd*&o2RrLxFz~y4 z&+5FBvbR@VAetpmQT^#}?=Te7@ZZCq!9Q!AUfQtuDlK~BMva-_gT=NFj1ou!zQvCS^-ayw+GZ&sf zKcgok4zTuac1LR|yX_)N);uCwxXI$M&D)hifBLo7gyNh9?B0Jtc1GLAy(~0uaGv{f zN1dMnl@^BuB9zZ_U*%2KCOyI1cM@KI^O%I=J2o!K38dY{apBLy!pT}IY}gEVjfT2{ z&WS14Sq)TjTy-`A)Ri81VsPfzZ`SLE5$~R`UoGFo{Kc8w4dms$OknHnyoa(~yc`{# z>C>~4AxU8Px$NNynN+e0=yuvxNP4W|R?_PgNqj2R3#)fyRp>*Hmm0GHPWOCCSGkzLIjHFXEIk;Kf@X=2yHeKerSHQ!c?0%$Y5HKDo1)7=H#0^gq64`Zu6G1dfm1e_3IbDsj9V@GN&P zfdS+^I@KPT^hq-501E#8_D)zno2?^GhjHl>RL4doOQ*M}kHuFiM_|mVPo)MgMmFwk zv~y;u1>##%OU$FrnKz9$7YC+cd5XPPz}iLe@+@v}SMC?=xvkDV76>^N9HZ;oaOL&q zRB%+?a6%$p!qHSj9MZ&Sd*R)aP#KNtA@4J}t;dfnU6`f*-Cxv)&&p?+b7q~tL`tZ? zU$sU_JQW5j3uApodK+z5TP8uC#jL8a_?q5K|CelS}H#+qwQ|Xq}wDsa~TB&@k@+>)F$FFDikW(d5o9b zk5GR&0sL9?YI=7uUbFz0ynQ*!owwwIr!FhYb-|U4r$025G#tp4(Mh6ds<0{xLc<5- z!+2I=EUj9fcw9x-C9#t!9<|=~B$X@SB2%Vk*&@@c732rE{j-Yk1c6%K5l{AkU)9cd zm2tc7sufvVli$|+Hm`v9{pm*Xx7l<}u4eomI15&J!rg0Gr0&736P@%(r#J6wIog9O zok?O=NvYu8WCdu3-v@5j4qVcrY(dqpcfB5Goly(}GG6aqV`5apfP~k(0ct95TF%Hv zyA`=^AKtVxy=;G!w*HRg3LN^RV;O0&IQ*-ZMS+3}zWG00d}cW#+W~T5-Glee#DcO5 z>#-Nj(2IT+$NN}6Lr<1F1J3?6TSeZUT7I6)dntmofU?e_Mn68E3XcPTL>mT-w*}J4 zQp}2_!D^9^+YLPtCNx_-YhU6g66Yu#y?~ z%fTzV|5-Oo_ikIa0g~6|K1QB%puKVXJ}f9W%-3lB9hqw{mWJ@HCR`VxNLGYyU)y3L zDZM7gxWgAz@E2r?@d>NG3{)}zDO9_KcMvEwlZ&y+bqOAx-~UT31ht2}tW;zLz9;jY z`YNGG9T7lsEG^m$JnTuzLF`Y&Zcwcv`d-`xkSTHk*Z%aWMvSZXmi3mNs>UpxKWy%l z9PG3kAEdPJKjM7Y`LiQ^k6CLZ{kyGbkEiz6{-g*Da|ieScB;szr*9Lnnz$QV*BjcJ zWMxmQcYok5O6x3l_~D$10Xmk;G7;Q=7G@%AW8!=|xeb{|6VqYUh05vym?a zn-P2Foi0Pge_hZBu4H_h;~6$6cb@nUB@MVgB2F=CC?e$rE9tiPSX-XCVd_^7B=mOZ zDeUsj)yy^5Vc0`*;g=7=2mC$uT(Zegy-<~0D)Gy!x?z!CmMOv*lNVI&(Qn^?7&u?7 zdr0QU^%x`DlwVtW2%|3Mk(&Tsv29ApM(2t|TX$Refea_x}nWI-NL@72H;QKhiyNFs(L?{`qdep=K`H^(w&4UHEkd$TQa$YTgx(SoU zjy8Awgp9rveX8UevP@QA5&tI9g7vu8n0y6@_RqyC?4P&P=^V(I&jR@Bt2C)SQ}pM< z>lCS(1nOP9To;%5{jzFd(vfGNA_m!^PoSOkX6xdpTG) zj8WJ+#*zzki_e&b9|)t)V*wb!nB&JF-Ho;lds)z8yq8C8A*66nIbG?EpK&aNgPQ^| zTKSXcI||jgS&YJs3OgJ|znTzy-o?jziP#*+cvjg&7ZN(S64|q~eN#&jAe$h}Ie8O? z;AF#MyVWx7y#4JHcD>{34I-nGdi(G`zd)Lv>QAl4g^jzAS^{U7W&DXz?+e96l7QD^ zijz3eK~tgcpP^Sbm=&YS(#cR>kg_x~)WfN~n)dbiR46L#iC~|CpmHsxCLH3|hI>sY zOPGPfe)=M{EtZW-Y4yWIcQ4B=aj8dMet%#n*)4(kssh(VaDG2_C|L$BJ0nG^udDVJ zrtC-@c19I4EKe}A-S(zpl(jay4pYu*UdvQ=tRX*(;lao?+u%+jN&scNB%VQqF=QH-*~SH_`WY)1yZYo{_^u zo!$reyAMYtc#XAQ-+Y@C-m^SWu5Vn|Py{L{3hzI_CG@qTi=8>RLEVA0%8^5~ztr%F zzo>)Rc^kxd8{~K!SpR>o9-)k5ndI>9Ng|V^uZ6nOYS$V5uXb@>VPzI&PQdwaV#`IX zQ)wX>(=nMceYn&%+Qzt9SM5MCW8y4S}+gF!=v$A$X-Z#>g?mq0+Rkzm-kM*($ zaFWUf0vQ;h8;>@=uLazSHWq@XBI9x}c7dD4^BHn<A6>h|!%)m|6MhcIdX08J)7 zq1_0?_37?0w^ewjoB4^CkN>YguciRs6z0R1g+YZ(vDQ^92Bno9vKLaIV3P5=!prQ;ICDS!wmi_%Z?NzG6gS33#FEJ4c7s z;9Ria6@{nl@@ixQBJX!dpY|PjGfFpP$mnhHE)C?{ssaOmW7}*}_D5+CQG6>LEzV2w zOJ!&dhtOP-nS@*x9^a>z%jI-8$r9dYt6(2U+%0oHAfY0Omyum@kk~9z;*+_?XIv7Z z7^1gaA>n-SiaQ_*#j{Dq4!5==xXdkMFz;{^aPNE3i7IJ9FyLg_&v#+c;TcDkdVQMT z_F$l1U3`t>?POoIoP7?u99yP#NwxI0+v1(Amj=V40Y!G#DXq-wXI1inyZ>BK^_#(wI`_r!(LJ+ES++61&;*}r&J?SMx-m4M}o#yN4%I9o?c~V;bItV zaP51tj^5M|wDWIwI+M5uB(8+svly8v3?JdPyNm}~ozg8RLAVyn>NDn7 zeo-x|=&z*v512ztS453lG_;O<5%LXk1lF$p-<;vQdW~Zqb01T3QUfY+Ry0LC25xx5 zR6p+?eB1MeN%4y0=l>;|%FdOG_mAOI@R$4pw|H}-bwg4S9B6|&cT)c^ei#!FDznOI zgR(|dS)m+1tqC!GEkdb!{5|@3G*Y4yPu%Lf2I?T;4>!(}-5O(+Z<4=BGjq6%RY!;{ z)S7@rsjuV~YGt>usV`%hcP)?4Grz`R(kvf|m@Vw(M}e{Yl*VWu>!T7R;Ln<|Pd2tE zAixrFcQa?oGO1kS@l8~~(Q^f1MA9|ME-w4I*2!>C(#izb?hI`06o(rZ?5|XrB#s|55b<( zc>$)fvD7($dnzqHNl&LjE_#}h@o+Y2@;Hh zbajj_<~yo(3#(h}gH~dST^$K(dDyWs#3JP^GSvAD48kAi2K}#}0!w$IF32Y#L#9(U zjCr|9A5s=bCOJ8ZLnplSc8N*+s(%Ul7%>=0*pzZ;bU@miM*#q2m}f_q^QgvXW z;|fl{AM558eXr*)X?Wl(QZ~2^e_8EY~#UbrPkhw z;#E9?hK|(s^W#-tOr(zJsE)7QdATlb%R_FQ6~3RbopX$7_m?crR{AAqKK!E&;0`-lM4cCG66F-^ILg zLbRyh(l4YINgX?{NjJY)^&9g;U29u$U42RY#szr=#HKZoWJPf{c zu>pyJ6_rIkEgCUe{AOt_&Q1ZgN3nM_kauTQ?V+_YFwTJCChi)MZsEvSpub#3WQ&F^ z@e8JQ*;FZOWMi(BCpRVR?&{K0%wiLmSz%?YiBPWWLerg2Ob%Hh8G@1==KS4!+OaGc zZ!4HIzoA_(?EN_t0=!~=B7S{BhPV&4h$egz1-eerkJ7(_3;rd2&v+YQzjSXG`O#;! z(|ZV5X?)6OEWYat#73Pf^SjS!OV3{m$$m-!M^3vLcL&^#hQEh;jSgS%8P#EZownm*}F4%lXf%hReVUf35yCi3M<4o%cMbZ2e#10wU6a#9bkI~Xv&VKPsu`E~36 zW{!qG!g@Q?79l-PFVhYey=COP;8#urBFs*9TMbQTs^-l%2RjElpUg+iIVPnAf0 zO5Of94_}*X#thzJah|++Y#2iYd2PN@{Kz0f>g!9FVZ*-VBJTb1-nS`V|HG9xawsTq zZG@PR;#pD9adER^H}t`n#-!&JHosrYwz& zEL}Lsv`jfdq(%H3{Q*Z;QK;REYH|^g#$O3a`-A3y9-ykO>&F==BTwukedqP4vDO5+ zfOcum)3t<`o!zR}LH8J*G6y$uv)4jK1e;9!mvEoqL{U*;83{J4%~7};-;tQ)sA}_kjGTDX-v7boSHP&?FFkaWTRP zp6?9|LIjuPwrxw*xVnM-g+^TsgH*o(t2f(!!m-lp6e>h1#Os#nHkeH4#pQVoOhIIa z*vL=Ih<)%Dhd$G!Fu}tT~V2n#DV##ij2kJ?k5yJ{={G z1TOp!`huUQS~V_P9N&l^$!W7$VdCUx-Zll&TBoiVo%^srecH(S3>;9BNlvKIjsB$x z)RN^1)DM$D%D$%GM%N^+{7V^?xYJwGfrkw|&Um$&Q6sQwJ4C%+r^g#Y|JlTZQARYt z-PsKb{wMX|nrk4rGIA=P16w@ z$=j7iI?SIOtxI>Us`n)x3Vg`SyW>aOJ_2%J^`Mn50tU!ej5vd(yn=lLWXuMiwko)Vi z(t9~^bs;+XX5qC0&!zsoI|$Zk_BRruqqTWE>rpm2KFiu=A2oj?`IWn)zh$rvyVWmC#8;*YoEBHbjuJyHEIFkC5 zd$*wIGGPi`$#6ObT<{F^o_A=QQmE)!`FyQB0)@+dlDk|wqdkS8Bjv7_sn^9YTxe4$ zIR5R9hyLbXr3tlqN6{th_wgNaw^9{!uCt@M#Qo70$4=H2^N(cpgEty9e~ds@op-bu zk??2h-48_;;iFSk8F_TCZ$6DwN;Nr|!}qf8m%>3}{c1zg&oU4-@j*Kd->W3;46Gg)vu4XX>|! z8I;EHr{srPhE_&FVI=Y*T|skog75Ta+da)O!1dMyYC8&&mm(cIx;~|@0Z2`*nVEA1 zMeP6_R~i|wz65#~?7OXYYmdGhT>nZqII)pyaW1_ay(MCB%pv zc=0f0P+K*|&9u)$FWn3J-Q;B!+^D0cCcWgP=qJ6*+OFCF?rzzdrOCEWLw)t}hS+&Ug8EynShbT}S2_L$YgWH4SGez}qhzVytw1 zqq|8$O1;xzZT@}rC5rkLnhPfLas~KK+%0lVCj4ed4JE+0q{fqQ#kRI#`f-&DhDa68 zxi!9N)mzLnf}C8{aPOQI%bI?m4}3AQrioaY0M~ljMV=SuuWPlQfm|z!!T}&#gD}J z3)*&m~Oq@ADPs=+jRX*XWMjQ`-x z3lI$3Ack-7@b7P@@u1;$= zT_r{rF8OV5;no!I?%@+_XHKX8ONldG%IaS*x2Ck`h+couJKO3ML>FT!J1nsS!j`98 zc!WMYPfP3c>ibLp`PbQR#aWBZ1NzoFf?Pe?xlxDnwHl6+v@`DbTQ6H)KjZUglp8rw zah7n*-S%c}r4wfwraPqFh;SKIXkXI~8io?>U|1u#6t>+uFR>pg|9hy?UXRWKWa7&I zm*cGj|Bfluo|523kJfBT%3go9KOdw}WX7CX+XFBLC; z6yR-PD{jYXzKx~Se@j~Qo={Y1x(63;>uFhyw^L(hqt~q7%5f`f@d3v>GWWE+=Kj92 zA~!e76qxPS2YtRZ64t|R%wlf38gXL&k25c?Kk}~lK}WW+nf-F@dR7}yNediwTGtgr zmXko$q@DlC2{wq^c_=Y!Q&&+Em?I*2~+y4d)Qg>3j0X{ zVk|xPQqy2P&7NoP_@hl=(9+JWIxs^=x+NNQWYF#y=!G^;4XRC(%S|x-HNE3h0jxHk z+0hzBX{v(-DuVw0Mwc#282Eiii)mRnbct&?lQDucJXp0ixKzKY)wLn0Ej;ph^^jY6 zp@^tVzg4_8Y+R+JkOhX%pjwk%mKrri5ap8#$ky$Hdv-eaxuL1T-}#AsvM9e^x{*F^u$~2|f6J*E_^9QU zaM7#@I39Y^O_+ZBn0Jc3;RCfxP%JM-m9!^Tp@shdr;qF*;d$ z?LA0Vz;y3N_8z@>U$y}d!X6};B zjrPR?=&RXL=sd4(>!%T`eE+2|{{0qBky=mipZuGvt8q~c0Z(+&+c4sF(cKMqx9=t< z&Rfd*&owBR^PiAD34QWJQQ+`TDCY$u8yR7=BE`|ese)Y8p9sjqFMn{*T%}<%DEn@y zr!vcsKL=mbG&7!|jYZJO+^)s>N$JjRqX0jsxDR>z&hv2UVzB}- z60g-yoH~`P$3gOj)SD04(5RkyUeGF^qXR_EB|>;c|1X0evrr%JE@23Acjc;K;vS<53ZYTM$H=Le^K#@RIF)%pMfcaA51B7! z&(rh#771Pq*eE+jdqePchUdC;eF0YGPhLfC5R8XoQ8iN0modkt&!{KT>`g3?v%MG^ ze)6nu%&tQy2+-0=v{Ber@dDrAG2gu@p4ZLNzhrf_q`#uXJ=KqMO2|F(zrXwTJMFe2 zJ$)DFLxe!wJw92_KL6MZ~2M@JSsB1$H%2z|n04To9Z)*4xC5Nu@5 zLNa{V`(C{&n`U-5^n^d`FC!iKjKlp&OV@`#l5F3wh80Y?AlOiT~+2V z*eke*Zg@VHQ+kD05`~&#D*c`PGT*98TqUR>SI-sNru5Ua)wa;=jr5CB_qPQ6Z^c2U zR9;Or!W3C#3%#G%u43ePO6n%-71*6DFRuv724Mf-dRSlXkf6!=IF)_+#`{{Uzb5b*#2 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1ea7ec9957c34f1ae2f2a6fc1b54abbde376f660 GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=HzP)afblbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilN9HF1 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..711751054aa1f2ac2260bd6665e0034601d5eeba GIT binary patch literal 154 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#u;@P!9;?LnsC#C_M{GS1|ti|NnnIn+k~k|9=IeP;xQ@lbgabwnqu+=PSgo bC-|4|4;FN@c38y2qm?kJv60h@8LSilJ$@#@ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.syn_hier_info b/bsp4/Designflow/ppr/sim/db/vga.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/bsp4/Designflow/ppr/sim/db/vga.tan.qmsg b/bsp4/Designflow/ppr/sim/db/vga.tan.qmsg new file mode 100644 index 0000000..a1c1469 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.tan.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:31:35 2009 " "Info: Processing started: Tue Nov 3 17:31:35 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1} +{ "Warning" "WTAN_NO_CLOCKS" "" "Warning: Found pins functioning as undefined clocks and/or memory enables" { { "Info" "ITAN_NODE_MAP_TO_CLK" "clk_pin " "Info: Assuming node \"clk_pin\" is an undefined clock" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "clk_pin" } } } } } 0 0 "Assuming node \"%1!s!\" is an undefined clock" 0 0 "" 0 -1} } { } 0 0 "Found pins functioning as undefined clocks and/or memory enables" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_CLOCK_REG_RESULT" "clk_pin register vga_driver:vga_driver_unit\|hsync_state_0 register vga_driver:vga_driver_unit\|line_counter_sig_2 182.42 MHz 5.482 ns Internal " "Info: Clock \"clk_pin\" has Internal fmax of 182.42 MHz between source register \"vga_driver:vga_driver_unit\|hsync_state_0\" and destination register \"vga_driver:vga_driver_unit\|line_counter_sig_2\" (period= 5.482 ns)" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.270 ns + Longest register register " "Info: + Longest register to register delay is 5.270 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|hsync_state_0 1 REG LC_X18_Y22_N2 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.275 ns) + CELL(0.087 ns) 1.362 ns vga_driver:vga_driver_unit\|d_set_hsync_counter 2 COMB LC_X18_Y26_N6 10 " "Info: 2: + IC(1.275 ns) + CELL(0.087 ns) = 1.362 ns; Loc. = LC_X18_Y26_N6; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit\|d_set_hsync_counter'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.362 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 156 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.959 ns) + CELL(0.451 ns) 3.772 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9 3 COMB LC_X35_Y18_N5 2 " "Info: 3: + IC(1.959 ns) + CELL(0.451 ns) = 3.772 ns; Loc. = LC_X35_Y18_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.410 ns" { vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 227 38 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.449 ns) 4.221 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\] 4 COMB LC_X35_Y18_N6 1 " "Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.221 ns; Loc. = LC_X35_Y18_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.449 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 226 41 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.814 ns) + CELL(0.235 ns) 5.270 ns vga_driver:vga_driver_unit\|line_counter_sig_2 5 REG LC_X33_Y18_N5 9 " "Info: 5: + IC(0.814 ns) + CELL(0.235 ns) = 5.270 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.049 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.222 ns ( 23.19 % ) " "Info: Total cell delay = 1.222 ns ( 23.19 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.048 ns ( 76.81 % ) " "Info: Total interconnect delay = 4.048 ns ( 76.81 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 {} vga_driver:vga_driver_unit|d_set_hsync_counter {} vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 {} vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 1.275ns 1.959ns 0.000ns 0.814ns } { 0.000ns 0.087ns 0.451ns 0.449ns 0.235ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.026 ns - Smallest " "Info: - Smallest clock skew is -0.026 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.314 ns + Shortest register " "Info: + Shortest clock path from clock \"clk_pin\" to destination register is 3.314 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.886 ns) + CELL(0.560 ns) 3.314 ns vga_driver:vga_driver_unit\|line_counter_sig_2 2 REG LC_X33_Y18_N5 9 " "Info: 2: + IC(1.886 ns) + CELL(0.560 ns) = 3.314 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.446 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.09 % ) " "Info: Total cell delay = 1.428 ns ( 43.09 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.886 ns ( 56.91 % ) " "Info: Total interconnect delay = 1.886 ns ( 56.91 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.340 ns - Longest register " "Info: - Longest clock path from clock \"clk_pin\" to source register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|hsync_state_0 2 REG LC_X18_Y22_N2 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 {} vga_driver:vga_driver_unit|d_set_hsync_counter {} vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 {} vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 1.275ns 1.959ns 0.000ns 0.814ns } { 0.000ns 0.087ns 0.451ns 0.449ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "Clock \"%1!s!\" has %8!s! fmax of %6!s! between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\" (period= %7!s!)" 0 0 "" 0 -1} +{ "Info" "ITDB_TSU_RESULT" "vga_driver:vga_driver_unit\|hsync_state_2 reset_pin clk_pin 7.334 ns register " "Info: tsu for register \"vga_driver:vga_driver_unit\|hsync_state_2\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is 7.334 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "10.664 ns + Longest pin register " "Info: + Longest pin to register delay is 10.664 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.087 ns) 6.483 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X17_Y22_N4 51 " "Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.615 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.806 ns) + CELL(0.459 ns) 8.748 ns vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 3 COMB LC_X17_Y14_N7 6 " "Info: 3: + IC(1.806 ns) + CELL(0.459 ns) = 8.748 ns; Loc. = LC_X17_Y14_N7; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.265 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 249 33 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.190 ns) + CELL(0.726 ns) 10.664 ns vga_driver:vga_driver_unit\|hsync_state_2 4 REG LC_X18_Y22_N1 4 " "Info: 4: + IC(1.190 ns) + CELL(0.726 ns) = 10.664 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_2'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.916 ns" { vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.140 ns ( 20.07 % ) " "Info: Total cell delay = 2.140 ns ( 20.07 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "8.524 ns ( 79.93 % ) " "Info: Total interconnect delay = 8.524 ns ( 79.93 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.664 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.664 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 5.528ns 1.806ns 1.190ns } { 0.000ns 0.868ns 0.087ns 0.459ns 0.726ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } } } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.340 ns - Shortest register " "Info: - Shortest clock path from clock \"clk_pin\" to destination register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|hsync_state_2 2 REG LC_X18_Y22_N1 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_2'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.664 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.664 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 5.528ns 1.806ns 1.190ns } { 0.000ns 0.868ns 0.087ns 0.459ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TCO_RESULT" "clk_pin d_set_vsync_counter vga_driver:vga_driver_unit\|vsync_state_0 10.905 ns register " "Info: tco from clock \"clk_pin\" to destination pin \"d_set_vsync_counter\" through register \"vga_driver:vga_driver_unit\|vsync_state_0\" is 10.905 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.340 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to source register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|vsync_state_0 2 REG LC_X17_Y22_N5 5 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } } } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.389 ns + Longest register pin " "Info: + Longest register to pin delay is 7.389 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|vsync_state_0 1 REG LC_X17_Y22_N5 5 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.442 ns) + CELL(0.213 ns) 1.655 ns vga_driver:vga_driver_unit\|d_set_vsync_counter 2 COMB LC_X19_Y24_N5 2 " "Info: 2: + IC(1.442 ns) + CELL(0.213 ns) = 1.655 ns; Loc. = LC_X19_Y24_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|d_set_vsync_counter'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.655 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 148 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.239 ns) + CELL(2.495 ns) 7.389 ns d_set_vsync_counter 3 PIN PIN_L23 0 " "Info: 3: + IC(3.239 ns) + CELL(2.495 ns) = 7.389 ns; Loc. = PIN_L23; Fanout = 0; PIN Node = 'd_set_vsync_counter'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.734 ns" { vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4498 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.708 ns ( 36.65 % ) " "Info: Total cell delay = 2.708 ns ( 36.65 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.681 ns ( 63.35 % ) " "Info: Total interconnect delay = 4.681 ns ( 63.35 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 1.442ns 3.239ns } { 0.000ns 0.213ns 2.495ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 1.442ns 3.239ns } { 0.000ns 0.213ns 2.495ns } "" } } } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_FULL_TPD_RESULT" "reset_pin seven_seg_pin\[8\] 12.465 ns Longest " "Info: Longest tpd from source pin \"reset_pin\" to destination pin \"seven_seg_pin\[8\]\" is 12.465 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.087 ns) 6.483 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X17_Y22_N4 51 " "Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.615 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.478 ns) + CELL(2.504 ns) 12.465 ns seven_seg_pin\[8\] 3 PIN PIN_B10 0 " "Info: 3: + IC(3.478 ns) + CELL(2.504 ns) = 12.465 ns; Loc. = PIN_B10; Fanout = 0; PIN Node = 'seven_seg_pin\[8\]'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.982 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[8] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "3.459 ns ( 27.75 % ) " "Info: Total cell delay = 3.459 ns ( 27.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.006 ns ( 72.25 % ) " "Info: Total interconnect delay = 9.006 ns ( 72.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "12.465 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[8] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "12.465 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[8] {} } { 0.000ns 0.000ns 5.528ns 3.478ns } { 0.000ns 0.868ns 0.087ns 2.504ns } "" } } } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1} +{ "Info" "ITDB_TH_RESULT" "vga_driver:vga_driver_unit\|vsync_state_6 reset_pin clk_pin -3.191 ns register " "Info: th for register \"vga_driver:vga_driver_unit\|vsync_state_6\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is -3.191 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.340 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to destination register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|vsync_state_6 2 REG LC_X17_Y22_N4 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_6'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.631 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.631 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.235 ns) 6.631 ns vga_driver:vga_driver_unit\|vsync_state_6 2 REG LC_X17_Y22_N4 4 " "Info: 2: + IC(5.528 ns) + CELL(0.235 ns) = 6.631 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_6'" { } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.763 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } } } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.103 ns ( 16.63 % ) " "Info: Total cell delay = 1.103 ns ( 16.63 % )" { } { } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "5.528 ns ( 83.37 % ) " "Info: Total interconnect delay = 5.528 ns ( 83.37 % )" { } { } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.631 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.631 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 5.528ns } { 0.000ns 0.868ns 0.235ns } "" } } } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.631 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.631 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 5.528ns } { 0.000ns 0.868ns 0.235ns } "" } } } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1 Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:31:36 2009 " "Info: Processing ended: Tue Nov 3 17:31:36 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb b/bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7a45114581bb8ff3e53543d803e264030a96c865 GIT binary patch literal 178 zcmd;QU|?9w&B%}dgoZ$NSZYymW`3T6rJjL;Q)y;Sih`lBk%EDdzLBZEk%57wLa>*D zTWL;?0#utZ?_Pd=!4HP(3lh#h5D4Etp~BzZyuNmu?tKR>Nr{RBD$LBnQ`o@T0n5=c AP5=M^ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/db/vga.tmw_info b/bsp4/Designflow/ppr/sim/db/vga.tmw_info new file mode 100644 index 0000000..4526cc2 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:01:19 +start_analysis_synthesis:s:00:00:13-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:36-start_full_compilation +start_assembler:s:00:00:23-start_full_compilation +start_timing_analyzer:s:00:00:03-start_full_compilation +start_eda_netlist_writer:s:00:00:04-start_full_compilation diff --git a/bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo b/bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo new file mode 100644 index 0000000..c571b4c --- /dev/null +++ b/bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo @@ -0,0 +1,14969 @@ +Version: + 9.0 Build 132 02/25/2009 SJ Full Version + +Chip Device Options: + Device Name: EP1S25F672C6 + Device JTAG code: ffffffff + Programming_mode: Passive Serial + NWS_NRS_NCS: UNRESERVED + RDYNBUSY: UNRESERVED + DATA 7 to 1: UNRESERVED + nCEO: UNRESERVED + UNUSED PINS: RESERVED_GND + Default IO Standard:: 3.3-V LVTTL + User Start-up Clock: 0 + Auto Restart on Error: 1 + Release Clears Before Tristates: 0 + Device Clear: 0 + Test And Scan: 0 + Device OE: 0 + Enable Lock Output: 0 + Enable Init Done: 0 + Enable JTAG BST: 0 + Enable Vref A: 0 + Enable Vref B: 0 + + + +**************************** +******Individual Atoms****** +**************************** + +- ATOM ------------------------ + ATOM_NAME: r0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 0 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 1 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: r2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 2 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] r2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 3 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 4 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: g2_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 5 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] g2_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b0_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 6 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b0_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: b1_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 7 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] b1_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: hsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 8 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] hsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vsync_pin_out -- UNIQUE + Atom Hier Name: + Atom Id: 9 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] vsync_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 10 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 11 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 12 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 13 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 14 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 15 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 16 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 17 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 18 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 19 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 20 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 21 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 22 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: seven_seg_pin_tri_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 23 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] !(~STRATIX_FITTER_CREATED_GND~I) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] seven_seg_pin[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 24 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_out -- UNIQUE + Atom Hier Name: + Atom Id: 25 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 26 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 27 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 28 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 29 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 30 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 31 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 32 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 33 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 34 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_column_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 35 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_column_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 36 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 37 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 38 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 39 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 40 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 41 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 42 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 43 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_line_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 44 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_line_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_column_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 45 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_column_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_line_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 46 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_line_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 47 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 48 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 49 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 50 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 51 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 52 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 53 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 54 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 55 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 56 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 57 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 58 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 59 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 60 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 61 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 62 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 63 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 64 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 65 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 66 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_hsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 67 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_hsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_set_vsync_counter_out -- UNIQUE + Atom Hier Name: + Atom Id: 68 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_set_vsync_counter LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_h_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 69 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_h_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_v_enable_out -- UNIQUE + Atom Hier Name: + Atom Id: 70 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_v_enable LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_r_out -- UNIQUE + Atom Hier Name: + Atom Id: 71 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_r LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_g_out -- UNIQUE + Atom Hier Name: + Atom Id: 72 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_g LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_b_out -- UNIQUE + Atom Hier Name: + Atom Id: 73 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|b LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_b LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 74 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 75 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 76 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 77 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 78 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 79 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_hsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 80 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_hsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 81 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 82 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 83 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 84 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 85 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 86 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_vsync_state_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 87 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_vsync_state[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_state_clk_out -- UNIQUE + Atom Hier Name: + Atom Id: 88 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_state_clk LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_out -- UNIQUE + Atom Hier Name: + Atom Id: 89 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 90 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[0] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 91 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[1] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 92 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[2] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 93 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[3] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 94 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[4] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 95 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[5] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 96 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[6] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 97 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[7] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 98 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[8] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 99 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[9] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 100 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[10] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 101 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[11] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 102 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[12] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 103 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[13] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 104 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[14] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 105 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[15] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 106 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[16] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 107 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[17] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 108 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[18] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 109 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[19] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_20_ -- UNIQUE + Atom Hier Name: + Atom Id: 110 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[20] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_21_ -- UNIQUE + Atom Hier Name: + Atom Id: 111 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[21] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_22_ -- UNIQUE + Atom Hier Name: + Atom Id: 112 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[22] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_23_ -- UNIQUE + Atom Hier Name: + Atom Id: 113 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[23] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: d_toggle_counter_out_24_ -- UNIQUE + Atom Hier Name: + Atom Id: 114 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: [DATAIN] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] d_toggle_counter[24] LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = output + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_Z -- UNIQUE + Atom Hier Name: + Atom Id: 115 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|un13_v_enablelto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|un5_v_enablelto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un17_v_enablelto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|b_next_0_g0_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 116 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE + Atom Hier Name: + Atom Id: 117 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 118 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 51 + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = reg_and_comb + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 119 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 120 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 121 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 122 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 123 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 124 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 125 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 126 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 127 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 128 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 129 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = bbbb + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 130 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 131 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 132 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 133 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 134 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 135 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 136 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 137 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = dddd + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 138 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 139 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 140 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 55aa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 141 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 142 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 143 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 144 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 145 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 146 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 147 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 FANOUTS 7 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 148 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 FANOUTS 6 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 149 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_2_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_hsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|hsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 FANOUTS 6 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 150 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 FANOUTS 9 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 151 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 152 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 153 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 154 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 155 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 156 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 157 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 158 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 FANOUTS 5 REGED POS + 2: [COUT] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a50a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 159 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: [SYNCH_DATA] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: [SLOAD] !(vga_driver:vga_driver_unit|un9_vsync_counterlt9) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 9: [ENA] DISCONNECTED + 10: [CIN] vga_driver:vga_driver_unit|vsync_counter_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 160 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 161 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 162 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 163 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 164 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 165 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 166 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 167 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 168 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 169 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 170 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 171 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 172 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaaa + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 173 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 174 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 FANOUTS 5 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0cae + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: clk_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 175 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] clk_pin LIT INDEX 0 FANOUTS 82 + 1: NONE + 2: NONE + 3: [PADIO] clk_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE + Atom Hier Name: + Atom Id: 176 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 9999 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 177 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 5555 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 178 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 179 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 180 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 181 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 182 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 183 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 184 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 185 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 186 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE + Atom Hier Name: + Atom Id: 187 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE + Atom Hier Name: + Atom Id: 188 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE + Atom Hier Name: + Atom Id: 189 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[10] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE + Atom Hier Name: + Atom Id: 190 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[11] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE + Atom Hier Name: + Atom Id: 191 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[12] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE + Atom Hier Name: + Atom Id: 192 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[13] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE + Atom Hier Name: + Atom Id: 193 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[14] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE + Atom Hier Name: + Atom Id: 194 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[15] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 FANOUTS 4 REGED POS + 2: [COUT] vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE + Atom Hier Name: + Atom Id: 195 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[16] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 FANOUTS 4 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a5a + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE + Atom Hier Name: + Atom Id: 196 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: [ACLR] ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: [SCLR] !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1) LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: [CIN] vga_control:vga_control_unit|toggle_counter_sig_cout[17] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 FANOUTS 3 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = on + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c6c + cin_used = true + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 -- UNIQUE + Atom Hier Name: + Atom Id: 197 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un13_v_enablelto8_a LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un13_v_enablelto8 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 -- UNIQUE + Atom Hier Name: + Atom Id: 198 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un5_v_enablelto5_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un5_v_enablelto3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un5_v_enablelto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8880 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 -- UNIQUE + Atom Hier Name: + Atom Id: 199 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un17_v_enablelto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un17_v_enablelto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_g0_5_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 200 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|b_next_0_g0_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un9_v_enablelto9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_g0_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: reset_pin_in -- UNIQUE + Atom Hier Name: + Atom Id: 201 + Atom Type: stratix_io (WYSIWYG) + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [PADIO] DISCONNECTED + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] reset_pin LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: [PADIO] reset_pin LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + +- ATOM ------------------------ + ATOM_NAME: dly_counter_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 202 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a2a2 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: dly_counter_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 203 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: [CLK] clk_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: [ACLR] ~ VCC LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: [ENA] DISCONNECTED + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: [REGOUT] dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = a8a8 + output_mode = reg_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 204 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|h_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 205 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_sync LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ccd8 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE + Atom Hier Name: + Atom Id: 206 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1f0f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 207 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 208 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 209 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 210 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 211 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 212 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 213 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 214 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 215 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 216 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un2_column_counter_next_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 217 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 6688 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE + Atom Hier Name: + Atom Id: 218 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 219 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 LIT INDEX 0 FANOUTS 9 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE + Atom Hier Name: + Atom Id: 220 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE + Atom Hier Name: + Atom Id: 221 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE + Atom Hier Name: + Atom Id: 222 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a508 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE + Atom Hier Name: + Atom Id: 223 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c608 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE + Atom Hier Name: + Atom Id: 224 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 5a7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE + Atom Hier Name: + Atom Id: 225 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = 6c7f + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE + Atom Hier Name: + Atom Id: 226 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = a5a5 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE + Atom Hier Name: + Atom Id: 227 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: [CIN] vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9] LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = cin + lut_mask = c6c6 + cin_used = true + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 228 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0808 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE + Atom Hier Name: + Atom Id: 229 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 230 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0008 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 231 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0 FANOUTS 6 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f0f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 232 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 233 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 234 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_2 -- UNIQUE + Atom Hier Name: + Atom Id: 235 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_2_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 236 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f7ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 237 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] reset_pin LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] dly_counter[0] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] dly_counter[1] LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|G_16 -- UNIQUE + Atom Hier Name: + Atom Id: 238 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|G_16_i LIT INDEX 0 FANOUTS 10 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE + Atom Hier Name: + Atom Id: 239 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 240 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 241 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f1 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 242 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0101 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 243 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 244 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE + Atom Hier Name: + Atom Id: 245 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 246 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0 FANOUTS 5 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = aaab + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE + Atom Hier Name: + Atom Id: 247 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8888 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 248 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 249 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|toggle_sig_0_0_0_g1 LIT INDEX 0 FANOUTS 21 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 250 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a -- UNIQUE + Atom Hier Name: + Atom Id: 251 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un13_v_enablelto8_a LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 01ff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 -- UNIQUE + Atom Hier Name: + Atom Id: 252 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un5_v_enablelto5_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 -- UNIQUE + Atom Hier Name: + Atom Id: 253 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un5_v_enablelto3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fe00 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 -- UNIQUE + Atom Hier Name: + Atom Id: 254 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un17_v_enablelt2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un17_v_enablelto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = feee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|b_next_0_g0_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 255 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|b_next_0_g0_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0004 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 -- UNIQUE + Atom Hier Name: + Atom Id: 256 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un9_v_enablelto9 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 257 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 258 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_state_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = eeee + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE + Atom Hier Name: + Atom Id: 259 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fff7 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE + Atom Hier Name: + Atom Id: 260 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un2_column_counter_next_cout[0] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE + Atom Hier Name: + Atom Id: 261 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0f07 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE + Atom Hier Name: + Atom Id: 262 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT LIT INDEX 0 FANOUTS 0 + 1: NONE + 2: [COUT] vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1] LIT INDEX 0 FANOUTS 1 + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = arithmetic + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff88 + output_mode = none + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 263 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 264 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2aaa + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 265 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0ace + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 266 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE + Atom Hier Name: + Atom Id: 267 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_hsync_counterlt9_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE + Atom Hier Name: + Atom Id: 268 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 8000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE + Atom Hier Name: + Atom Id: 269 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE + Atom Hier Name: + Atom Id: 270 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un9_vsync_counterlt9_6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 271 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 272 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE + Atom Hier Name: + Atom Id: 273 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|hsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|hsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|hsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|hsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0080 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 274 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = d0f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 275 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_state_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 70f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 276 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE + Atom Hier Name: + Atom Id: 277 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE + Atom Hier Name: + Atom Id: 278 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 1010 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 -- UNIQUE + Atom Hier Name: + Atom Id: 279 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_11 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_12 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|un1_toggle_counter_siglto19_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto19 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = f1f0 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 -- UNIQUE + Atom Hier Name: + Atom Id: 280 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un17_v_enablelt2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = fefe + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE + Atom Hier Name: + Atom Id: 281 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff01 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE + Atom Hier Name: + Atom Id: 282 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 FANOUTS 2 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7777 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 -- UNIQUE + Atom Hier Name: + Atom Id: 283 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_column_counter_siglt6_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE + Atom Hier Name: + Atom Id: 284 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7f7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE + Atom Hier Name: + Atom Id: 285 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_state_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 2a2a + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE + Atom Hier Name: + Atom Id: 286 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_driver:vga_driver_unit|vsync_counter_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_driver:vga_driver_unit|vsync_counter_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_driver:vga_driver_unit|vsync_counter_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_driver:vga_driver_unit|vsync_counter_0 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0020 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 -- UNIQUE + Atom Hier Name: + Atom Id: 287 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_13 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_14 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_15 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto19_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto19_5 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = ff7f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 -- UNIQUE + Atom Hier Name: + Atom Id: 288 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_8 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_9 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_10 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto10 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 3f1f + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 -- UNIQUE + Atom Hier Name: + Atom Id: 289 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_16 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_17 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_18 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|toggle_counter_sig_19 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto19_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 7fff + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 -- UNIQUE + Atom Hier Name: + Atom Id: 290 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_2 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_3 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|un1_toggle_counter_siglto7_4 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto7 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0100 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 -- UNIQUE + Atom Hier Name: + Atom Id: 291 + Atom Type: stratix_lcell (WYSIWYG) + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: [DATAA] vga_control:vga_control_unit|toggle_counter_sig_1 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 2: [DATAB] vga_control:vga_control_unit|toggle_counter_sig_5 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 3: [DATAC] vga_control:vga_control_unit|toggle_counter_sig_6 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 4: [DATAD] vga_control:vga_control_unit|toggle_counter_sig_7 LIT INDEX 0 GLOBAL:DONT_CARE DELAY_CHAIN:UNCONNECTED + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] vga_control:vga_control_unit|un1_toggle_counter_siglto7_4 LIT INDEX 0 FANOUTS 1 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0001 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE + Atom Hier Name: + Atom Id: 292 + Atom Type: stratix_lcell + + Assembler Lutmask : I very much like HEX numbers. + power up = low + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + 11: NO ITERM + 12: NO ITERM + 13: NO ITERM + 14: NO ITERM + OUTPUTS (Int. Connections): + 0: [COMBOUT] ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0 FANOUTS 19 + 1: NONE + 2: NONE + 3: NONE + 4: NONE + + PARAMETER LIST: + operation_mode = normal + synch_mode = off + register_cascade_mode = off + sum_lutc_input = datac + lut_mask = 0000 + output_mode = comb_only + + +- ATOM ------------------------ + ATOM_NAME: ~DATA0~ -- NON-UNIQUE + Atom Hier Name: + Atom Id: 293 + Atom Type: stratix_io + + INPUTS (Driven By): + 0: NO ITERM + 1: NO ITERM + 2: NO ITERM + 3: NO ITERM + 4: NO ITERM + 5: NO ITERM + 6: NO ITERM + 7: NO ITERM + 8: NO ITERM + 9: NO ITERM + 10: NO ITERM + OUTPUTS (Int. Connections): + 0: NONE + 1: NONE + 2: NONE + 3: [PADIO] ~DATA0~ LIT INDEX 0 FANOUTS 0 + 4: NONE + 5: NONE + 6: NONE + 7: NONE + + PARAMETER LIST: + operation_mode = input + ddio_mode = none + input_register_mode = none + output_register_mode = none + oe_register_mode = none + input_async_reset = none + output_async_reset = none + oe_async_reset = none + input_sync_reset = none + output_sync_reset = none + oe_sync_reset = none + input_power_up = low + output_power_up = low + oe_power_up = low + + DELAY CHAINS: + PAD TO CORE 0: OFF + PAD TO CORE 1: OFF + TCO CHAIN: OFF + TCOE CHAIN: OFF + PAD TO INPUT REG: OFF + CORE TO OUTPUT REG: OFF + ZBT: OFF + CE TO INPUT_REG: OFF + CE TO OUTPUT_REG: OFF + CE TO OE_REG: OFF + + IO STANDARD: 3.3-V LVTTL + CURRENT STRENGTH: DEFAULT + PCI DIODE: OFF + TERMINATION: OFF + DQS INFORMATION: + Not a DQS atom. + DQS system clock: False + PLL COMPENSATED: False + diff --git a/bsp4/Designflow/ppr/sim/incremental_db/README b/bsp4/Designflow/ppr/sim/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/bsp4/Designflow/ppr/sim/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm new file mode 100644 index 0000000000000000000000000000000000000000..1fa6c22c5735fa9b02ee97e7c7e354c408be0645 GIT binary patch literal 47842 zcmZ^J1yogG)2{TPJ0%X?CEeZ9DIr}764Kq>Eh(MS($Xo?f^;6~?&faP``z!Z_5Uu` z*~p$}o|$=P&pY#;U4ahu;`yIj=KeR^k1zSsi|(3twe8P;JIS2C-gq!Ky@FvLYA7?4 zV;-t>aed8ZlluKP2TOAdwP)*S_}q$5oNPN)GPiwO9QMT+E4d4n|qzAHJ}#V4sgu2(xtp*&YSBFa9G=ZC{~JdIZu zU6nhbdaji#yS`dEJDY|bzU|Gech>`u!{LReyUnFSzOq|Fta}qN0!I$GhLDJV%EeUM`K7 z2V{}x8cX1-$a{rH%Ac&-t_$8$%U%w@&Te|1^d7i`7ngh=k1vNGipN}^*gxrewYhI7 z-Q8^BUlDFKE6SDi%we$2D^7+KLeAD-Cy?wy&kcO5PVa509V)M-4 zg6+X~#TTC!(rVc5bFpHS9s^bK5fA8et;^pn(=q%*v;^U)X(0TtmpH|sIn-v{BwyH9_UC_4e z?eC6_b|99kE;?DHR{roRs{fEQh z@{Qr+R{W{qz1`m%$R2$V^}@N_ckMi_!tM@^mlgcIOF_4ViFMkCYB6Y=zqXfMdA6Ny zZ{KkX2?%s#zhxEfp1vr2zxQDwS73YY_xb!1kOb$ab2S2HKI&DAxxTk&r#h}J8|Ck{ zEy_96Xt>lTOLk+u&!=vFPwa>8?bOY!-Ap(*hxk6cdh(4#ZWna5bXPSv-k0+J(bYG- zzL7~nnxkFSCfF+Ias`<_nK;eLskE?6J$yo|vIx~aW>1x=8q02L^FZzJp&B(^bt;)*9+GFJ} zp*p;M9u~c|i}00UI_{3o2Rd;Lo6bmCkDBjbvPl$KQ|$~5GJhz4YcQBt-nar8{Ql$A9M3^clSa3#x2mn z>tcT6=<%up$+xE{^cQVajO8JG)2Nv{I$HKy(kEM*!N{#AouB6$bvubu8@G`Hw)c;l zd&)#rcPu5h>iUX?#3IgI*KS`Xrp^?8c|*s#Z_MA5ofRwmg2~j(S#>;LG;f{Sf*<=&!mh8D*sy3(Lc)F{QHg zb4I=P-;Z1Cx3#+>v16OQy%(-!v98zmkn=&3@oT5Gz+F!m{l!c|nvS~uLgw}x?YgO* zi?xqe2A&@6F7UpcH_DcErFSOT&9A(teHJv25{qfM0$!uXE+7z-wXEN74E60#_nF@< z?aDc?v=!5aUho(#6IR5OJ>nhS@VTox(~K#X+x>{7JseQ*9e&JWK|fd7 zVen&r{eBnXA!d2lu;_DpV{zL1)mf--?~|{~hfn292suOhSLiX)OCvXs$Bq2J^A;lC zyu`9Qr-y^x&qwzHE1Dxq2OkZ~cguH_*hQ*6#x88eHhK11j~2B*pTK>N*x8-l+ts|X z-qS8eKV5RA)vmKFuB_rW;B;oaW~hJM{e7d19xL$CwdPh{!`uFXmu0ymTjbZW2rTK~ zrrmubYbM!@@LuR`U3Mn3u<->wUPp=W%Kq(z)J3a-lq1}4uxU)>TNyIb@!PZX_SP0&;fjdy^WDCE$nEuM->JH2TQ;IRW{k%7gcX_ z41d}c)yTs-l66&JG#ab`DH zPLS9;9NJmtgYNduz8(z?eZ|MQ`2EDEk5KQ~Yh8j?Elu(nW*SP}io{3krbvOLn))Y{0G}J#PdF1zgk|&sWM2Au zG|<2M4H6`)RK6suK}|A(CCFy^Xehva05ALJekn{FQSeeb+Bnwk3+Grj75oxu5&~I# zdo3#xm4EzJsr;P)zm4NDcM0-&8Cn~OJpQrxo?kz|pR-X3e`m{2sJG%ItB08AyUG&Cm8R2X!^5Vr_*NY2Lmr{hXAdk;92(CPab{{NVd5+3u9&#We zUA5zEL=CaZ6=>oq{6V4;3}LUNq_=s zeG-il{=sJpkiqo)#5MdVS|t3u#1EA59sJdy%B=zAfoPftT$0Xo&TpusRw%VXyUHXG zR`PwRPPGvpkF9^%JG15Penu!ki%8NX8AlEO>m%yUA0Gw1=27)YY)bgko*5MA=vyfN zGBi>CVHgA$;L2erb9aqGOVA2gD$KT%|2dHiIPthUek!jv%QO^VA;%x2rcQxg)hhuxSeXYo%-)~ss{Bnwe>KooN*5oG7-%VMvROvpVxrpnyJ3kq=YdrLAUtC`CLhI#aTdF`7O*A`DVPgHvi1ohL zh$}CWQ4O~Xnb>U=rVg7%jS~J-4qXc6_{(rElWL-gp_gx9Wk9R`=s#3uWupnqV)A}Y z<31Zg315zxMVvrgQE7zbwz~EPNr{5XB+MO25$OvivG?Em23`r%z=BHCt@?* z0u&^W0SZ`oZ|MOAnv}ddYLxOio?Z0Eojq&l`cm(DF>cUXeqP`cd#C*I(ZG?`8F7!b z>FSNoy}f5$m-)~y{gf~8Ll?OkvD7lL)H9)7yAt@Zg)CjBL+*PHp(H7buzNiikMag( z9SQjz|5m+2Ep@dDl6TS6b145I@WL2mSI9E0H#DIaeqmgFJKm>Ps&K63IOnlwY*`5I zx@8Nhnv4_Q3luf{r{B>0LKaemUIr)tYQ?hxDe9jivC4amH1pJT%@OM|1DLa~X$btd z#6>DF_n6ffgTRGapmSA|V1f#9r(@My84BOv)!`R+sM7CiEDCHIWwnS3D|<1v3wn{* zb%M9o3plAOdNJ}I+IqC!8~5OvfU3UIJ2QufvQle>bumcdvlh}DGl#?-Y>{y5DPUD% zNHl#>EG=iJYN4+YN6{kZZ>Cq!i6+;$a}Pb3NeE%=1^eu&$uLj@`S9oV4Sgg*>Cb1h zz5*VA=B>0XrA)>mN!B5UFUK$-PHqT`m!I-kHXi;8SwmtE+L}*kp^?Zd#$xO>!p(~; zo=mBxk(j+aL(8Zv1Zjtn>;G!_sY!@s@DHz_+z_Nmm{6bNI_tu`@X`x?x3!_LayV|g z9B@w~IIch;n9kTBq~O_rw+gt=22|OWGICIPF#NHvg-U%MOUpub#%Fo$Shp1LKWtxD zZ+9LgJX^j3BTzGpWiF{9=qur*Cqu`FwbG>b+236vAxNra#cKa;<7#Qra|E;Ht)C;P zT*wUM(u8_Au1Pi0M4!5~!m)<@9N?pJA;q(gfK$7r8r!A+R-m+=+Kr*`oybvjvD$=v zFhMr}xX@rY*Z8}JCmQoug2`%)fQ#y-I!)pz09jCyE;*2^fAn{$KNo4-?&lb&m%9BG zD9}(Y6j%Pcgb2!o&t)F8@W%oyzyiNwwFEVxWF(=#Q6v#e2DYlVMaw-Ih`VCjl zGYG9+?g@DMLCZXdyYSyEl8D7=llYfQCJ4j1jH-#oy9ob~bP7-*5vlAl z*hVkQR6qqz`=Kq%Jj0=u@2>GGpx#>yG{XG!Q~PE7-igNqm7oIN$({#r!xMEpx_7Yk z4mhrXx~hXerO+OrZN-Y9hIQgLaV99^x0>urR)HqBx;?fI)mF9!GdenZIXcs&kc?0N zZ4ZW~bzlX6ty`e&Ru_gZzI-FGT%z=bnTRC%PkWI?98C>rv;wy7&?!C6>Z8luYsjw3 zJC{gl)_)*}&_q{0F20<;1tD! z*mI=U|K!4k|CaE_N`nNjHR5|36!+`;9*%VQL4V>8(upa%$((;$3=L7~8{t{f+34_ksU&{bkpcC6CZ{T1&!S(k6?Bg1e z*Ksf=1a|k~<}We8_OInsr}oQ0=UnNZ4@$LIGX^?ng2zOam_YY)j==?VIQV!ZNq={L z;-re8X?(30=xzS*gQ9mK+HOnbWn@Q?`;zzB}=7; z`(y?f{54Jd7$&Ga0g?LpxT%D0Ed_a#w+j40a|wwid$29cHA*O2v@K1{<(3q_Sud7S zs+STHN>&^}J=Cnz_RZJ(I<(bFC@Qoqye#gBF$&0hEc7~?_nH-EKn)TyI%~1!fQ{uwj0Nvy3Xd^*d9;7<892 z-UCFA01+=h#PFZUkaM??v%#(;)S-eH_=-CCAT_D?KGg{XL&G}l_usa#xkI7776T*D z1T&{4^$Mmrfv^FQp#KtS0z_B<5qz3pTR_AM5TOJ_!v0Hym)#vo2@s*B3H}0zv;iU< zfJoGTi8KKs9{>>^8q`^K>mM<4p~t4DCJ$*i4{18I@a5PB_i1e}M2f(1WQlP1X`Gt_ zW)j!zaP$pIpUJTIdX1d(BFXAJXik424#o~a`@AMPGp!}RPs`I0p^*}ynF;UOmBbe* zqU(&M2c`KD9-B^D!Ea*tyjHCsOU(O^0(G3#0UX#<(^@~W#Qys<8xVk)iItm(4Red6hY+s4wUrKA>cB`&lHoFNZsF*=rF14H z7q3R9Q6rWX`O@zKYOm+TMQ$Lv`h{}0Q{%hg(^Zi-1^m@RU0WHg8F%*HRywRNWf~ZR zN(;rGkW?#R67yiN|1=(fFzOd$UHb;)Z$(-w4oAKu;^)X+RE#acplQQ%G^dd^n{FY9 zOZf+^sIAz16r-DYC&!`7%t!4wm@XPwh4?G9bEM37>*vbQ@P?&7jQ-R{r{0sBBZ=F9 zFJfND<$68;ZeQQY+_cj}qDV&zzXRFR?wW$-z{srtE5W#J*&fPy%pKMEun38=FK{~P zq+Ne`nwY9xx3yI~@?PNa?xKeA^E3%xv#$5egQtw|N8g=a+I2lsWIU;TyWh&X`c8fi z-AwGdJ*D5FwH^(fB^LjB8EI7?k*kC?{}uyursy22luB>*47!f43C43 zE$s)_Sh2OY%0jO^w7xCSfOb3m`VqTX5CcL$0fiWnH8eKq6G0=^LMef;{;)NTuwo0a zCqeTh6rlM;FdY^wmLxf>DymW~ofum!6$p<4OP2h#Gn~0yzR|!8+zd;lXFUMnH;qb0)Xz(%uExXZHkIM_y4>7{SO&=l&BVPEw zs9AZ@rT5|_ah}9yyp~L)VxC+CEMm5auvQHg4(^&wAPZ0@coj?*VK6rIs&dP$+a7`d z3H`Q-0HtWWKMo3-d;pnDqai{gQgDdLBEY{aV!KWN0}zH_aD>6m$g9e8i|<%eAgZu$ zG@v<(u+-`FBm*eW+>{jkgjDzoRV2}pp{@l~bpjJ847)&e0)9AfM6{m~Atg8s7lZ-A zxI@K2A_~9|ki-x&NGf-c#gN7LgeWe+Hp>=Ho(hYZ*~>-`t7^lGGE9KhBS$h5{x^QP zK#W@?Brx)dBh`Qz1u756k1a9K@aVD08{ZQ2)hO(D4TkhAP81!tM1=W|xb@5pQCO2+ zAJZUfy}Rx=K&P${d57{Bnv47sy5@q!vvfITzwOu z-mr&$&_Z`uodHs?kq}8T3Q6)HW}&?#u9vUt*~O-di|g5PPw&GPI>)O(cau-5%`=dk zXy%)Aop=mwdb4J3M2?%rs5)YlX6R;@|CK!r>dxoDOPu2q4m#bA3w2gD$N zBbdqMOvM%3z8883dWeGTO?^Ix;enEU(fC7DMzqTy?jUY+v$#aRBFQQe;>X~SW(sm8 zbviXBZAjD<4(zW$u+~1GR{a@gtg97;|yAxQWDte6? zz39`-lk_H1*cy|gn!K#4o1lcHHKe(zCi;n{aD7!2ZJjVi8$U+QAGmlBB={>QJx8gU zmvN_2?isHM0`&d$YgY6p&=$RFBo2`#rW)zT8^d)}k+iC{mW!18kYGQYimuWGWAnU` zUoT?dc9>d%%R~^X7OftH!%H@De}NZj;o2E+Rd4H9Z%d(r1A}M3XaTlM3_ayu>r_m^ zYATIDU4-z#@ZcU|1Q^vE839c94x!RaZptg0Wh4P`0a72TU%>EKgB{div3Tf-n}^gW zcrJs1=@dHqd^+`%Jj$+CVzL(}w|;&9fl&gmkLs|m09ebAnm7Q<&7gR{ zUDRDzB#U>agm*ALk}6Ptl~&T-ar+s7<-z4ieW=Gs49v0YxK$jJ$jFa0pWVEd#h}D` z#f{6#Z93(B>4no3EFK{mF>4oLC1cY*n|p#d`4JA(g$o8@_K5~njIX{Yrnp9;Xon}K zAXia8F@fP)vK(td=cff>f-vt;F%JYskfmKhD4I0C(dA8`(L>Wy@JN+Jpe}pgUjJ^? zyAm<{aAYU!i6CV(6pF!^r(<_9)|nTZq@t*Kh!H#}i=VWC0XNx~JtZZeeg>D{DuLgB zo*$_xP=H(zx#*M&&$7QcC?8-AOj{)HsM4)j~-Zs4nXftK+Cot8nOx>D*%z%(DgG>bFDrQC4LX*)RC zd=lV~QWh<%RHFD4qWCnj_|Qd>Nfn9)`sS23%N%+ zKU_LLCTm0&GF~S#+(s~!Sepvl+k%1*yCzqN@o&_5-isiu!>#u{u1g6xf8<}HZvzXR z1c2Xy-zEj%3;N;n`{8qj;S=>oChY^ZeIP#+hUjrne35PwZJV`gvy!oEU#4zZ6|&7D zw#|~o?;8&WjRzx+!y!)w`|nVYCw%;1$dthHO4`v;o^AH!j>*^#bQt7kD-3=`ad~2H zIrdr}K~o%5Q#Dl6s#sGsn?9AKGm^o61Nkdc4O$MIo_Y+HOJbIJ)hx?aoFXaDd4BqN z{&<#%Tx7E8o0Fb{5pJ=pTFjqwHrQc15PJ5kmf19=PwYjBy5HlO;+QTonC98DQd{d1 zL9{}sS+J;CAiO@mVE8VIxCwgm7fh=`O4$kHrICu;Nrc$^gyy_tz@xThG@-PeDO_k1 zIv#K?i5y_%Z>5T)OMt-)jhLkdHx50z1);ymY?<{^`oxi=zGef;>ub-K6378UM_zB# zkds(9fi?j>LBXS|17J=v)ey#^(YA2xEgMO63DlT$At+l^@V~;qy8C>(^*wyWGOa;) zMLDtmP#n4-=sYQKhI;x7-Oiy*3hGZ&I2k|aIcPpQ=CKJPPndK}+M&sc~MvA^Fu7|4k`^YCH&r(d!ovLo}<2R&tJqWNc8?Z3-j8@(ylu1Jb z-!h*h0WLx6L#+|`4XijHE@B)U@esWl1)pL~d?te>6|H5HAncII*iatHkoy}UJOK&3 z0@^ni&(&IOFd)zjb8tfg7^Cfpie=?CIQxnm$}+7pf39(u^xHmOoL zsS;JB$)LC&fLPaH0uWdLVx6;(#-S`qE;96QD*gQiQcftH8Dy?B9QSq4?FU^quVyU)< zm*ymc*e9`>LC?jJ-pbgh9u(xwh%1iFd!Sb!>6mWPh^b0Q$ybV#mJw`Kvt>0A1MzAW zXw7*wKlMXy;zziZDvGWS0W-AmihSrew9;J)kRa5$IIZzv-wB84Ia`=9m@kQ${bWZs z4CEWZLI;_!2bm!J!p>kSHhtLj_GH8^xXdf&L~*|1uZ|Wdzs)Kda>4pw{e5PAjlAD$ zO?`*GdMXQJLvvQ4Kmy0VWTuP4^KY^_M!k2{M-NoP?XpFwEk+2f; z$b%CDvXBmrnHOMbvr`0E5PXJ{bd>b=wJ7*anP|CiWd1rdUz5o?a`-v;@V{uF0h-lD z3xEc@!yHImW*1h>EUwh4^|usZc%CtMqAXh{Xf?sRTN{974WbQ$BY0RAk)n{sP4Wgm zjt+Bis^aRtLz=vg(vG_xzfo)9YWp5*r34brejif#sLxFe&`%8fi8mbqv&{=;Nf0wr z6dq!DW;b4IR@i9T;1Gx-3=eQ98t*`I^nJ5{6}iVPFWfCJCUb-sGTGpb^Ma_-5(skN zA_XpfjR>jlgLmAG^3Qy&O8ix{e)eO%6@p`SDTz4p|DO%8j_;ncAx^yr)^XkhhR?ZQ zRH?|p(^)doTPD&4yp>3z>;2vHmY44z5pup1I8J>JL$}jE6X|fjK{E0gG%BhT3@l*H zkrfoIBk3WAUUjyxQi!mUS)|&mIA$6%w0q`!&@A-mh6cTRX2YzYQWi(7`mgsM@yv0| zmnqB)Bd31ME>@P#@&FV4KPDuADOP;}U~~$|Ia)=Y(CU3019UVg~l!79?LnB?7 zw&*tmB=HMq4KSV?7q#u~EyqXx)@JXs%MC>W1|s*&$UyRxar>hNYK5e|Wi#u&2llE@|ZHw_1!YDpC;E z!q)b_t&=QNC9UrLjmF2|@d01lz7<0<+)<@_>w`26ACXwbYC(vS>Cv4m8@CFT*zEY z)4~Ws2nu}&33cJ!bdq-+RC&W3coc|U?h=L`hTeSAHDEf!$bWRWvbfUg*l0^hTsJ`# z*YYJXk9`;z(+H!7xMJkNfBN5ic2`=H0NwICBdIzP6F3v84;673TCfdBb;SKhZux9k zIFWA--K6?;UbC-=qSipynE2M@kwo$>W$Cm1^e@$ZqCV?&eiI`rmB3BTj2Q!>)gG7aB#b7ipQ8yB4ClaD5Txbmi zIkGyI9+Q^Yk8U%RIyOwkOA^NUH)&Hn21Hfk-%?yS7sz$MIuLkd7=Jiqzu zxIlV(dOW6An?|pmXNOtOYO5`y$9j$l&C0fOM_cZNf0GTeF&wHu&AK# z!-8>Lzv+x#FC{Pl?lWs^c>FdN+#EI2P+|0F;f8DB#$=4>LTZ^$^*W85-XIbA`p$NB!X{M5Unl-0BS8@EF@?G`DC+r=7*|4X zU7F#p!9#9Jm(n+Z)Egl*5aE)y*>`AzBF%hcJrA*(m#m};_@!0x;N zSiW_l_4C3!Y(}`uZvIANeq}DCn1Q005r*4m6zo?G{nqR|D6j^&yi?2Lwk}o8m{uHY z8vF?cX2IwAFrS;%1;l8jJVqlmMq?tyu0u{<;g_2Bs@wSalActesQd1xg){c67%i1d zII%xtbsl%l{x}n8$9kUXpLIBZbwujYus?A9xr*pjGwOeqjO@}tBIhB{`HXRlmzj+7 zsu`>V3*?pCJM;QqpC{+R5nQ5mB)4dKO03O@PNFHGw>j2v< z*~4lcUIX)P7;YGDb4@p`?TqNj;f8PUrG#YxW^J<|QBZi0mm>9dl7hkXDr@^YwV-gJ z&~TUb&ACH2hNvCOX<)BR6HOaL#TGU^dz`wvBD3qJni!m}Ko4f?{(IVSm?qgTs944v zBL|k==aYV(nNvDGAn@kRbALgX0nUqLz%pi=AO#}glf$Xh1>kMxi5;{v40^mT&>W=P z)S8L$COZ_CWi;4L*7SeIn?haE@ji4%*H!UPKK4KbviG--f5~F?f<>HE@nsP5Y>~Bk zE!Ue;J%5cW@(q-*?p)-cNFku=HEf=s9q7Nq*^$GC-GSc8!)Y;sY5`R+6;jFKAFr!r zVATxCFJTn4pxU#2EFY0qp09Rt;rQAmrtDs zwdbeeU$1sI(OWs4x_|+UF#Ye$g8LcSt(pFC9VA!a!yld+F~q4VBGRl!asl=-AOcv zX#Ff?kcDHA6^7rp8jSeo2WdUn|Ac}(QN7lLgU@>OwbCfz^PuOmAtjJ_HplXXkQ{)( z8vLEwP{)H&$E6r^KFZP@GGy-e_O*%@>}zMN$hsWN-BUjJE}1moBh};kX|1xmL<4TBzW5*sGs`X zHI(hO2=LAr2^Kt-v`aUL#s!{2z?!NSdvw2min=}#|K+^g#}F4sCnK=&zL2qKX_f$I zn6a#KFF1@KjGzxJP1leJ?fW|ak!OSOFy7_U4 zX1wh~ItH9Cp-@Hb2Vq7W7WnTg;f)>^*t^kE)9+-p&7LczuzyR$4ByED>{B8*xE>{Q zu|ko!ICBTii;Tg>X0%Z%UbLtX*IIiY+F%$#dO5jcNFR+`Z6;zi5w>7F+hsPJA82d| zac;7H9=)01P`@@gzce7#d9-4Y@t%j=YZYC z5FE&kk@X#7z#my(LIA36x==RpaDZxOs5>5@ItRl36A1h6kYk7kmSP-R9NT3k+k9zu zXluPm@`aP|Pm3h|Y!4_oz~Ljo zAi*3VCCsKVh}nLkNd~fqNF$Db+yo$H|B9ITe*{Z+pDb zHoi;6dipfAoO)RQR88?@X*C4CrTIPrSt$xFPF=a2!>NDo_H<9Qg>OqcV97^15@UFD zOCeJxQ&fCdm&GUVXtRH~_Iq!WwG`v~MHcO23JI5RtjKAX=SyCJ*Q2kbM^B;nkh_y0 zmAfLM+ZXrqQ$2GlpR&JMIJz{v*y#}RKFxoUjV#Bq)xu3dP@Q&dBiH%;qXguX&B6|R zE0sc^V-QotZk)jPCgI2Z*ole9#m0W$dH2WQa=92feUVLH`6u;<=DBw_#k%ih7UPGX z^aLL7Pa$)17ln(L8kj%JItNK=t`)UOw;!FrXpfGa1^iZRU$1u`cKn)nhPotV&v;5b z3YLzna_3#Qlzp0-T+nCF=O=cC=B93umuYyp_^zE@t_BWTG5W))`=ERi5*KEoY?POZ-yjMTd#7Ty69U{e)?Qo0M|` znxeDB1jCV7Ipb!cP45p{zE@=Ar=VC?jtX3kx_ z&FO#o`B;1^@^H^`HNfVJ{J_45@l@xbef!DCx?C$lL!*@LLgaz*N5}dC!&63b+@s}% zXVSR{?wQDg@7_CJEy9)WT2=4W9=H|5pWZx>j+9Y;eX`Zn_*H7|+@|$N@H=+mkzgaX z#>XsCV~eYvf3kX)NAJLw=xGj-{Nm}_Q}kZub8n6u(NpYAGxhW(oqJVn|(u5~Dor)P|m6muo(D2uqc+ zq>Nl)3TH5{?*mJbA%%H6bKy-_tK8^?G;-$}`~BAP(J|Rh{pMIC zt;q=IW~USCIfeA79<`K3w2J-m%IVM9Z7ZW31-nxkc`znvqU^Ie46MJ zE3bSo)w?qUzb!{V(eVJn$p?erYG1>JPhcaDC+3h`oK^P!O#Iy4gPlxTSdt)zqFEuN zQdh&Cv^lr$UxqI6W#6BF1sYaFEa`>2EIc@304xs= z{_YW0W_h*=qgq>3T#$sWwcilz;w0^uF(&)r?nm&K)uBy}gfrpt3$0_b0UN4DzG#R6 z_QlNVP+mOonzf!A7Mq}RR-bweCLLaIu^{rP*C^B(A5tu$a;NqBG0yjv5m;p`$Y)W4 z5Da!VBs1b`FN_Ur|L8Biqs%IDatIZ(4+IC>HW2Qd=*80lf7*;OX(dEuB;&7?m+>DH zrew0Al`}ub{vmp2M^NoYI|r9-W&;VAS(DrJr`;%(7U35Bs*D|4tYTwBW*$Mt^x+7!CZYp%w$hNXbsaqGbnVp2eiYwr3YnmJyxe)jd*qo($~XfhDp>E6Z;uaL^-YMVD6l)}aC_*0&rK+KcPFezOQC4mJwdwUB&$yOC7Z;= z8p0vIA$)hcH}cyw#Wxg3>efMM+3qXGILt$^V>@A{2YgbDd;vcjz|%2b4gHc1q17n3 z@o<;gCN1W^hu!_OKfHUdMCQPWB&xS&ZB3yG!P;nIensMJ@fye{nzMb#>PF~7hOPKeA45{x64eVO zpP%6o{GxSA>~YQ%N%G{h<_Z^aA5;1yK41-o|2~WRn8g{;bLu|m$=9R>>6P9m-(o5b zT`Y*hmO$rAm}-FhM5Ektyi8A@BiySkjzl>s*>0@?@tGr1x;}^nbMD9Y4CYSt4$O!1}1Gon=5cV^NMD}D)Hys$zADqH9Csa zc)>f)ImaDA@kY;yjGo;L5Mq)vavQ?X#ymQ}7BhF1&ReaL^PUi8^4o^c%E4xuJUS@J zlG;yZTaogGKD(VM&IeJmzB_3A>{3{uDCUpjDA&D;GYGy~rrEoSXt2rFRaxlYGcX{2 zLw%xNbLf!WCzRc-EST>p7@gzRj^ZdGaJjK}-z!5bHtOCEI@RzIKjS@}YPkOy12#NX zPrY!}>V?lX*>q5!t+hX_oCb{)u6N3%G$oGQbIr};{a*#-}R0?xsd=_b9kx{Ak>k~;ItZhOW zpZS!W`I8M~pIxj+Gbx*U`c1+uDuw%|J#>a@%vfR(v@DuY<=pF&*Y~|p1V}-#3V55v zqUTNM1dZ7&%sH_l(+h~h9`|xPR6YzduUKkz`qi)HAazk6mugJk@Cb){r8ry_Dy-9@14Z9_r$bB{^zOmNxfle6M>ZhFy&T#`cEuJ|~d9M~K98P9a99B6Ue2}PPE!SzG zI#s}~`loCnaB2e&N?kKYGbVkRIc_7$XVz^=#}4MsrT0`amc*%?dhej}>qDPBaP`1% zxX%-aJHW@OHJ=jc` zlH<7L@k$0`4W0$z*&|}8f_?QP*d*a52a=V^t}baeXiu0fM1*Q;`vF>Tc3pM4WNjnEi zr*AV#(wh%ORLYpB!K7<&r>1PzX&-+tC_J+rXR?ho zu1%Ixuw4AiH@-uX)|*l zO0nHgk;0Q6uZp+J+l>RcNs$E(?It7U7ET!)8D3}kQL|JQTsJP3MY@+SN^tAby&~la zsfAE(8Q*c;XeFyJp4F@)jXeFv(AHc(0$W;jvL{5WR9d z=f=%(8b8hom~tCDxkG<3DhY8U&#GyWN6#>-#s0WCjhb*y;8qdo$hI)#w?m zYS+AY=#l8~I@CH=Fwr1E-ES26LWA}0Ryo{aA}`8nb+`W*^q`*hXpQtRWg^@hHZEc? zDN=tG(h3(iF2X2*1a)p#SHY^B=bIJ#Xg$f+!bP}~%t3Z7w6b4N9y~glowH_Iaj{u3=uPFmSEEpRI-6=p z628(Qti>@OjJSdD=b_B05sH^yAL60pqYO6@+M3f1&L-u0n$&&jk^GfqG}C4rV5S*D zqU~QvFCo@nfwH5MOiohus(?}>#7X0OT#Oo_nnp+oN~3nj0cs82_i6>w8m$__o^40W zc~^-FSaY37EY@G!4d2<8X-ZIXEgZ}Vz6Uv&_)A)#e)@SJ*Fzpe?i+UDk1Can2$FE) zQ5Ab{y!|VD+v5p7M{+;N_?v!E(SCm=9IH5{22a0q5P4`YxiV%*pO>Q|MRy!)FnN;3 z!G|uk?&_!$C{cpR2}Fr>Rf1DeLIKp{9}Fn13`tzZvI9&gb2`D~FRR$hC~-n;G`i!W z)d*hUgwUZh;)fh$J>qp&D~z_xa8jVSYY<#6?XXG_Ocv^z>LK@7WmL1lruPLKTM@o# zpqDs_+k?@geov$lax6R)F#Ub}=(2d%`|(|ktlYPxsEJ$ONmvs?b?NB`I4i4k+;S?}`%jOo0T(HdnxvzoEsj?3FP>4yK#luV@84L6^bCt>wAV60(( zPc5wVrFWn_`5N>Ui!51dJ^!aX@mH*Og$G~NJk`g7wRW-4E1cbw8I;R0zx;GnAH(_5 zn*tqT_a!;cwp$m`3U69I9gjPlhOFD$NZV-yMh$Tp%fP-W^8Wmj=EVo3nVCAv3w!iqj{s$BU4MV=J1By!;YVe6ZN zD|>=)H`r`!b7R{!Zfx7u#>P!Hwr$%R+}O60jg5_+m)}FxdsXj`uAVwm_f}WWO!u6g z^L@zX3TIv#AQxyrhAcUO?C<0=|pSk)Ao7!V#}0U8;1bGYy3H5f&uB(8PpS{h@7Sb z1I{WfY^aDFWy5QopoYAX_p>e=QkV=Y_^j+_9S&sRZzbj5^>)weH#=!{Hu|R`FmV%zh|s=>61O(bW{WdV z#8QK|Pw2!Vod`f%BUynCRh5oqkbQhnnu0uOdkBLoTpPUfoRNzR&JD&4eM+=kt7Z<; zN4Z>}KP^jN_p!&x*|oz#*v@uEeP1^r<6CBYufOZ0n^>YwLL7>`JwU|C8E(^FXIum~ zDWoZpP8CIqs<0u>JZ{_1-VhzP59ES4$tJV`VhW^tE7h*DvKs+13ExccBTsh1u&A5fUNtCe3dDoE^5)x z4nQ@!LYD8X%E}Ocx>@6GM>j$9FyjR{<_x9~TLR5CJ-s;t6vm82Z%t^-4rF)PrED0s;{yM?Nf z_=_kNg%^wQ&=sV!0D|-|9%Cg}GL|yZmLxh`8&~u%^CZ8_<6#MzOdb90$SCYI00R?7 ziW3K>kB_rkC@e)EbNbAJf()(`QK;+#%$gbTNe3Q{TQ93kKQ}0M7Iw10KplfY zjC(f0@jAcj9gdI9j0BME7pegH_6m5GP-vGh7XdjcC^tz}GNZ#)(9fC}#6-0u`=qKs zAHE1=HEDrll3*HfHzn#QaaGvp3iNd0;_G6UzdDskU(r(nXEF!sM04t84zw zh;T>AQ;Rl%@rcLOpP&n~o+c6gyQa-1(Ui}gbDFc$D}@#?&L$a9T%;C>Rw#w$ z$IVvOtH#-zNUr1h6_Vj-BUcubq3AjXtiMJ=T?EnuQ`|WN=@3+Ptopv}r1*;R?8J<= zhth%rUIcN#`~YtR84)cR5p|iqwHe7%34x}FSbG-sjQzx+ONw8!%%>M&kaG{UhO4F=pu0dM-~!W7N%MZ3+mRN*B-qTB0aU)FN%19pkrMhSG)) z3m&X?S$La-DA4fKGWYCzCAHx{a{5=qctj-7VyZ@c(m$1Im!+MD5~lfx&>B%gy^RKF2`d5c?1N;a+AQ>n*8 z6NtNumMe#`&cs*Cj?D=?l%Y6ga1op|981-7V1wi3D?)e?{Vy{xMN2Jm<4J_?+MH?l^fb2TUguOL#Qe6*$7EZRE~ z2Qb6aXK64Q?&4@K3Y%*2{dU#<*TL@fo4728tSbghxbp3!dqfTE#95rQB;VIm1;&fe zOtNo=$MHx=eHYn1id!Qm+Y+`m1tkt0@xERlN%@;lhMt_zQ!l~}43>vYcgZHMZ zAFlUTXbmes_0y34tP;`syEvl%y(~frsq+3Qha8Y3EnTddVTRgt2GmVdwi*H`&bsy& zyUG^h_-?dM7nERJ%%Ug+(PTKFCb=|E*RrbA02HO|hVf!%RKKx(Cuv+ck8%s5zzwEA z4JiTXoD~V9Rhv`jEnkn2diPir)D4JaRy!M!zdqENF8})tX&4?<2YFHaT>8vq(IpW5 z;zMUMMro18!6)y=)YF~8pBW)6v+}XffR%<9qSC`A-#Iq|%S@v9Wig|E#31b}LmK~RCEdt>qE*ukRG*+nb>CBA@lY`Q_?whmu zo|{?wBIL$SH1;lKMKI^p76clExTqB5Bn3qaoMHU&NvBpn-&cwjzD|vjM2I6j&y6!` z8WnmN4uRxi(qJ8F+cA;P`Q(MxHt#;U=Go|rLei5@N?9?{sa)fnD8rhuy~T@S_(!XX zu?YfbPP9vVBt&QT^?KEsu}3=K(jfrnsDI4UemAy_x#4LUb~k9uu2E^Q1ED9EiMdN+ zPTS~7LxNKV(n2?Z5G#UWF;zpb znag0g#{GEpa<=pF%gKQ+S)f4Sg`Y63TmI0$(fFRLrM1kN=*{-5 zc;^0v(0}`lK(XmV|9Sb$M&+Zs*}>+G_5+c&`$61Wqx8XD^R>}Zkg%%j=j=yD^WE^% zbY-*P&^E$F?b4ICSy`*VQzM>I>EXhw(DMxb*jc@Kp`rB;H@*U~j#x1{ekah>m1@25 zL$;}xGc&)lg4h|})=Sby8^T;sS}c?$8g&X$zGH-@?x7U-?hA68(*0G|OUE(K?;fz4 z6Q{q`{(OHBJNG_6W(~ZZv-2Afj`WW+Z_%T;oYc4-# ze^~v0aL1m(({DDHuV*02X@wkphay(oLe|@WDMF!DFIfHieSL=WsQ*9mc=Zc;eBY13 z3tal$lu}HEu{E6m1k|tA{Ob*9xt(r&kdI?hn8E^jI)etrpC1<-f-bgovak0mj3);J zEk1;`30*r+!Vb3SUu-ZbE8%qCYhJIK=OMRrvVJZ!eJpC!PUBw<%Gd3Ly|85>I?s6W z^Ydot6MCljyZZXBdDQYe+^8+8Ef9p;__qxFFQ_r&{{uCa99Af0RM1HE^60Yl|G2Z# zL>%Bm)e$xS+|jPuAcRy)41QI(+Lq*OHmqDZT&%x-DwSkBB%XB4WZEBfEq_(z)tqG7 zFE{)2rB9l`;u~>|-DzEnD_wXNx%P;CejYl`On+Nj8beCpJ8Z!C%l2=!MRAO|HEY)4i}WtF zsct2{og`|8uF^LQ+a}s@U>jw_9H*Vy`n4&|Ea9AE*n4I6(AjMk|1ts|``;hm1yB6J z-4B8*=xKT&(_hUp1q5hGb&Fq~CJdOPecwL!V$+lmwtt{?A~ksu8P5ZCmpa%?yGfA) zV(e#R4)E@j^_1*=zgp{dqIHC{yy^mi>_ftgW{vrc=k0$+ae2;kxmm-ed}q?l#gE)v zm=oD~5*d!G`U$yXSy5b4JZDx*yUQ4eS^sgM1lV&%lCU8aW3djF1)zA**I%J9tp!1& zYYvWk!WZrgc2>9*&aSN<*r_j&O`=Dzuvk>Q5_2fA1yiq8Kt?c_Q2)CBcR&$g4i|BV z4?;3xpA9UK!)XW4v&<$1QiT_|f{;lTU1yJZ#Ls+i#5nAlqcBN}Ea``(XaA^UJr*CI zX58;z@7vz8=L-5}oLHZYXBt$Oe*nh12X8C044SJyOJAPM6G6M?Uy#04V5kj8AsyRbwdMN-*Hc!Q=Vu=cj>l;H+$SSI{ z1$h|HVq`62q)evD+iE5BHJIp%7~Rt6OQ~qO*~(B=*rb>!YpO>p8EHks!eJ~e!B%#4 z4;w>Oj>mL~oP_m7lpf!2hBAm7tqw+DX(Y5PYP1OCK>crD*NTkWLZXq?O$?1QDp%I_ z9$-MMa@G0P8Zvu+zuK)lE#vY}OpA^9bwd-^-;&))W2z?ZD)>a6x+ZGGp9jIZkls{WJ%q#DROAqq$8TX2(|=zo zQ5O#U&KU(uhq88|gK9#8rWs37$0apP^Rv!W){t7!&?+}=z{jtxqy$rw1Yr1eC|k$@ zL-!qyZYrqHxUi?-Kz92?4qS%*sI~_mVzOVPar{jUCuO#!XO3*xPn;TjoWJ@R5>sK6 zd4_D;PrPLslt&vVJ_`LEvzQb^GbviZP)UFyP<#;k3t>%Fr)X$LU$?gI$8cEdffYzj z3WJp}1gTEm4eIJ)xqYU+IFfEj)VNpY;h3Qzsm%*@^2At!pjn5d1M90tJg!u~d)Fq_ zG*Ig5l!+SCHuy*8t2PvrdFrTyh<3Rr(*Q6yIe^4WbIcdlv~WxB zp;;sL}d89NEbapus4$~XhcP+8Zh$+_zte5-C^vRENIB)fkb?pn?crB z4IODcrzN!{FjyGJ5_cGrd9`nlqxdK9Embrhb zDwQ~@r?kmMHNr~v{%*0*|F$IXU@DMO`?h0>+liJU|j_*7I7p1}o2$x13 z&n+`71sGu2y+bLI7obL*XlE92i#i|Ag%k>$gB9r8GIl&G!sbJh@xq##^caAJBZowG zFZU_@0*=lZJWkf|NmK1#>l&yT9KH%xO{nZ%OuY9$2~E{w*LJ#(_+RS`$FRR_EUu}} zRSK5O6b~6^HqV)gc5;)9A(b=SQ}|F!VvS86XI%cjP!|UO)$hkEeG!b^Y%pQ|mXv1{ z=o{C9iZdv0uIhQYhl-;cqh{)utYBJ37Tz z$2imy(hoOkAUXRBTypk;eadf4n^g9zCB2$KT|V}Y{+dZzza(lbS4(^A4RyL&_Qv$mPqJ=O=RqeOpakFusyTUkSZi-Z`1K$Q_A z$4qM6w@=jGq!_YRN#+2cRz}6&oraD?qek|lj+YcUCg)RDOxJQq(}A*d15IKselqJu zpgYNY3on+y>My<-bX@h?YN{Pjel~7{l+pfEFofM-JdE34EcvA+F}?(+znD1`j(lke zwUWj82Sr0WplapD11(vTCwSndk?dF5vd*yMSH8j2`pP#WsAko=^-P2+D9BnoG!2k+ z4NcQKH{51x3*5Tel;KszEy_-+tpHOPMN=HqvK*bSCvI~}ZiOSLC7S<^S2 z{mYkfNz$N6N7y4Fo-+Eg;f^JE!ZusDdtZze?Sx^s~-u$(>+AOO#6*5`PYg(zQAA5eYEgVW152s@y=$WZoIcJGi z8`>butDiU~S&#J9;n( zO>2`)oh&?GWHW520f#Lmmm*!XYm+C)PPDRa3>;5FE#-@4YNP>wNron`p+IX|wU=i6 z1)hV#r>MS+bp<^A>-*a(V{_-Gm9hr(s)o}GgO_qTd=)%q_%`I3L8b-o%n>=I=S@cCs8ie`4z z4IYjZ<1R{CYzf}^ma=AQxaXObbR82NjXFo0&m1-0h)u9Ibah6$CLzW(zp6)2>on)U z!ix(~;k2D^DK*-jZaA1JY{HXGG3iW~3fhUi3J2!u28?OS=s0AmjMa;*8kLMs%qG~e zy^J(9ZQRmFXcp-#q4ClOoTtyE$Ww?(_QcesCz^?!eNe}d+2zB{!U(emSO%h`jaQka z>7*5n^9RZ(}gGk`)v9Yu&>M*oW zQ)DQ9HrT3~;%J&G9Udg#WI88ko0gNst4TBv3N0kXM3-3+^!p?Qms!P%(@9p+5*fia z=95Mnak%&}PlU!$hW=^S=9gw*A1O|6OS;=tPDqw9b3ER5+f_#0Q6@Z4P6?FZ2Yap_ zJ!uJCHr*-+u7~B3%aX8r9HbrSk|X>sjsc&HDWQaB&<0*D>*zjGTEnb9tdm)E-t7F_ zwVVJC$dcgTs7iql!;*oN>xpzU0h^11M=Zc9pzLFA?DI6SpLU&I&b-SyA2uB}t+V&b zBl1c9NmdLpw@_!G5?*IuIXINP1LtbagDwC{0bSg3-o&hLkGLrZA74*?lk{I}YK`)Q zy~BsA;H$ID^ZD8N;lt#5EGN;GAP#nErP=hyG(Yz@?WReTsNWMPAFZ}|7S!XK5#MH5 z$6ITmOaPH)2;;5wP-?CX>IN}~&EQ-U&dXY{9bYJmJ0H{lr8NTxL&2O{q91NP9F5C0 zj8{#!nMpfFOsJQGzW**3!(SQwKJ7a*NL&@#uk@-v9bPmExP2<5GzeL`oku(Fc0Ulw zANQcnhs_#QmK-^!GB%(3p%fVj*Jj--^|Mmz^AL{*xyP3xWs*(N_xW@v89XJGp7M~e zR$m+|c@U@gj{D477_v|o{W0-7u6^{l>O9Xn;jr}D9qqkbcRC&9g|xAzy7t0lKIdO^ zxV(!P_#7)P^|-Lq!yLAM>u5%v(epTgN(PBq0=%5k7b3B2N_U^;S6Re9<;tJF$3Tb$p+_U8VEd))yiR^(0Q zMDKu6aihKK?VqHLkERqBS07-H-o}ep)$9SUoij9p)h~SNLx=l{xfYGo8jskN0FC(R zpV16ll!GW71yg;YS!(BRyT!^v+9%mzqgZ{lkXGvGL1;BjtcY|{P#D8 zGftyLNHAE*0Ty%H*h*c-r=LrUzRiAXn=e8_HW2^dgt`fJ+!QcqNmr}db*%rZhPY$3 zX;e?2#BK;N4*GC?Gk`Sk1NITfMULZJIk@;jZRS>BgbiB*|yj`S(_za6KJha&KSaI5q zdtP=_<2)smdUqK_{Ev+-Jh(#5%^qvtvc<-SDevO#wyF!wG&%A1du3J@qp;bB_`Nyj z=hi8ZQUjxz4w3IxVeY1EPfn8V(|*>VkxNT&=hS`Ezc!oVdTSRlzvR9aJn#?c6PEldv`OUvh#mYuYn3K0lKe^qL>?YL z9$(M)_J#g4v)9{Fg?>YvqZW4XTcVl}{Re@O?g8YQPmxdB*?en27>^UiI%9C&v4f)&r`uNIc1#}K8s z3MH?ao6H*>h{k`{1GDLJaCv_?F5{*7s@aeVXVP3FiQR2#ek1tldvm|pal`Cm=NpT? z&OvCRirB#tv$$0ic)Dsc)PBJu?@IuqXtp^io+28?7p&z6J?Q>a11`8bfaX!~6cjcS z>JdcqF=>Y#b?v%P0JgyL(|Y!sH2~B}Ec=q{HxUbP;8MeI(>nFXy;PzlzZ~-YVOCy7 zKDwePGnZew9D4eoHMfyz`aF@^^4r4XyK%yij!3l^wwZbv`CyomObq?Z4<%Y6>t?j| z@^Yoh$koMsb&qegmxm-}&S=Xd92aAh8x9jwqfsD3>ABS+X+bEB>KtmLM)jzuWr_CuaM zBS%LL5pi0<0K`?M07HKSLilt zzRxi@D;4XkOie#k=xcHZ^QMGVv9-x%g#I3KegH2l46+L_TSi{`p%~|cXE>Ue_cIqE z1a)q24o{V-4XL=l_>3?$Ml+58E&zMv8J2Jj22QH>I=ubqum`-hHhFn@%o{bygN`mh zVUYl?Dfw<)B`^p7D}o=5TU~wRZiC*=qS4NcJh#K**6w)0g@pKEJ?Qd=K3lIo-gBBJ zo-VgNf-4@jV7j5ho?kP+L00Jg97(0JQd7<=8pEP0x10;o=l96jSL8sNCB3u~hvP9= zAv0?k9{4^d;-+o+TaF?06F{l0$ZsWlkpEpS<&4l;Cdb=@FdP%Sl*Vt+0RgTA_5D2m z@G;xBhrwzYwc>BdmB9PM(p3Y3Jk&2Ue6(U`L&2rK_68 z9?4~(u5B}Dhj5}*rxX%|!{@=;ZriGV-cFXyn79x@$ofc&xbVyZNWK)*Y1*EN{{>+z zvGy-5%LNItFz7xAaa(xb5K%BT4`&1^@Zxu&9m&M3{Zck(nlW1)l?h=s^(v{-Z-hro zIw1mU?^8o2*JE_Fe42qU#ZA~Q4A&m_-ZW%yJ7Iz$^j}C5>I=P?3%%eAC@iHe`H13U z0nA}MH*%6nLvwYc+dd1%LG_PM^JOiBONAR=ntMzAf5MVt;-uD5nscPoh5@X-UVjYL z9BAdnrmVCl{>>cQrNS)R>0Tf-MIciz)cr^d5gue0!ADN^`N2uMA_-J4z~zWw|8m zE;=NeGc>YAhW&(MxLf0oPT_1KsNiJEk9zAlQr~5ZD9lX0n~kl=mbGK}(2|5)1=UlV z6cwa-|7;;quE!@uKt5qIDXI!v2S+X+<&uzo9l+*@V>59vT|@O@tsqur9V1o_7A*=Z zS|_DOK#XsYmy-6T=e#?=zKB<42$h;#5-kEn|6?9gtb~peLGV78o`n25?lvnLTI}^q z3gH%k@=&#(E<`lp4#e=c__Zw3A|5N?g1Jk4gTU%f z1hW6j3bDD1_@-}JFdy#F5>rZhu9(+tny8-u!UBqX3iNJ@FK;2ls{79X=H4& zMM?GwMYyKSg!stoF;X&G%lp7JburlO?=n{YB#UM%geMew!r$F?-SV~G|Kcs6ZU zP-L5^CEn|tJu7m5RSzlVJSw5%MGz$SNs^5cn7~Ld*3rrX!`ViR*HNr+Y`oT1jKW8- zzFrGs1M3dlTw898qskuD3(vdQAGQjmM~St(uiQYN#%$&DAg_%zzpmDohptxg$XT*8 zQez_O6(XL=JPBT|c!#hL?GRo)yyIHlwsYIOZh7r{rSnU#lzq5u3_!k3%KrNCWJHp$ zlO20058+InOH`gPP7qYk&{E#)7PBF<>ct>t; zxyf@`H&XbySf-a60$JEM*Xg#ayYEu)OhyCiKxzm}j*=dOWh4BooGgOY2Wp=ccc0Er zQ^N;M86CJ5U<=tzCW~YDIO$^t+b^x}l)sd4qPQNfoIe?EuNs;*VZv!l7KsuP)uJd6K!FV&CmwYU8ZM*e+v+ozj&Ihv^Ieq-HUjtW}~Oz4fX2mL4QE!3k9 zg=2R!sfJ&r$POnp`iHANTA+V6xjjHr>fxIh*z-hU!E{a|Ev#X1Cgtz7mDpm)AsvZZ z=AeuCy(VMGp&M>vpR3?FCLSqEi+aWuvh#iIq7ovqnJwCm`JaKFg5ZUq>4!upeM+lb7(%?kn2G|Akh3n(=j3p|uS?C$%ItcXB z52pL|k*CGpm08Lnmt&pu);?9UpX8NncpRqG%3p77d1iN; zA%>f*L2xZpj+Hb0v$cX7<&NQJ;e-%Tl73*}ebr*p&vktk#D_K{Y0QjaG$2&m}cPZiqS(=-Q5JV*;L=1P$tTziL*w1iKjsm5l?znbB ztUE^bBkQ_A%)m$?QRRflMEJl|$Ea|c;2iE*T)g@Q^^Yq;i=P=|X z^Q#1y#bDO_1NM{C>duPG-~>j#%xXbt4WF>FF(P`X_Po0iyv?u;SvO(kpILY ztp}4MG7;t?Y?g1QZE#+#CzA70SrjM{@dTy1ibG;$*^O}g)*!JmCA59&OI^iZ>fwsN zQoaNL)YqNaVW7&d0LcMglo-k~OM;WLL+|xw64L1!f1gO>o&yQ}7QrZ!ymnUUUX)69 z#Z_D0Ecs_(ic{Aud1Vwgjmpd|@F$!on;N!KA$SW^Lx z;3v>2RhhZ+4_inV;HQF9mdWPIzMK*C<&44@MI9#+8gJh_#pJO*#%8jonKB`Jy!8$gTrH z9~|y%@&3^RI{BN^Wp@55WUW5oq3N~#T3cTGY$rqtA*p0pcGv6cEC zq_q5N%E9oankC1EemOH|aYMjQ$r;1c`*7uiF5+kIyoJm>|p$SdwN;&S8N)uXUvl944a$1|Kmm}o<^Ba zi!PCfyyL_*c<9tdt$gcF_ln=RFvy@11H{~LPp#KDjsp-j~0uMz_bNJFp#4q5<Cgu7+j|0j<`8h^M5hZ>?S9UO-wG1 z3mt)~n4QQa&t#}q21B5`Zq)avec!98we|Hl`Q8rBDr+4$yhz9VIUH`6$K=`P6RK-K zZK&6WNdbu!KJ>i~;a++uA1NeLk-j0J#h%ltgek%k35*Xu&pT8WqgdYkL$~Ww5u4Rc!}jjbjDa&pGfhluI@2Nu_Z%$~L6 zT0d(0(rxR$%lUgxJ(bQHUUWN4{q1GEpZa1q?KaT9`mCvJ*bRk{`9FFkk5%TX+<}Bo zZb9DM#EzYXgFUTiy6Z9{-Z4!psR0|ayHps?Rug2}bXeFB^eIVJ?S*3+&4$GpK8631 z%GAQn+<#d$j>})IR4Ep2_&nEc`+W{qT05`1C$8_0TNy{;FcCCj8A&^&QUmN&IAGIB zO4F%0{hJSLs6X)pYuo6BlhCLM4^&{-{Fb(kdrDEUOYh`1zYq21tU<&L%jbZ$KOQd3 zIv(q**wNMyv_Aq(Zjp+@v3~5}697Scx$vXHillqyCnc`lshR2h!c^g>3BGa~j?bql z&M3#N8OWTpp{K1~?H~0Vhv=7Y0e7sKj~GMCA!p({FE?t*wPp@^%#+J~uV%UvNep0n z{hd6VBU4-v|DK_0lN->?owbvuIX$a^Imz%y@${#u0`fxdF-wJi3tfHFcX%Y#ugMPu%#DFBKE!^Ic+xDJTpo3af9_(L_G~;-K!@FBj|6*`%Vzz7iY}fh01X z9-F4iuu%Mjf)ip&JRll8APy>N3|ybBGivMqYttI|W?_BOYC8Os=5XkF(PE)f`iC*J zNoVlW8r1iM{-f#u_B#5Pj1lAJ#nCa`o%n(qsHY7zAmb1&sUx))i^|BNy=ZJ1)kpGA z9HXLVC5)cK8f0iZyT9wZoexe=3vdy!Gs^`^)+tLCY&<2#opyYA?!bOrS;K}UZz-kL zOn1wRdm16E?%iqjh91s(2~5@v0)H=R9hlg<@hw&xDh<`OH4E5tL^eWAfQUsIxCuBO z&$}4==9ZL*T-rRH{522&%iYI>{Mh1B`q1Jm$d~G=B6wuy2m_uql(-9?0GDKu02uNl z#@x+_8?}_$3ZKOT8!dCwNV01BU9P2Re2>UFV@Bo%Cs0m<`BlnoF>;UtZzS9=imkcT z=admoU(kV=^Y%DBV>0-1~E6Qu!YXW2Z2V3-*tg;egA$!-JgtA8n8*7 zhjBhM{rx!H&Of90f>H1Qf%WVVY5A>whU+cAHB%A4E*w?k5iY8&-LKX2_TOSH(N>Zx zwKJ{Jt-9@&gFw2qYhJOL`|aE+{Q7b8>;{9V_ISwTowpoHRCq=p!NKBvEA{!x z+;84HuMC1C+qzWg0*Zm+I3Ll2x#`nT84C+pT3U7sd%Emw=IZm3toOc{^K+@la%%1b zg5<0eb%tf^AG|3jC2u2a{v+h8^*8z!{V~=fEy&t%n`^=AB9`KToj@MwAIQG=)WY$6!phU&7XvKX2J%7_T>l$Nu%GB(r2 zBEEy5%%+MfSHet@D8jy&CaQGTAFJ`0M2cIFbr0Eo427nlh7= z$XDX-rL>X+MM)Q?zNc9L&VfbRS$QNiZv#EczrKUyY{@gDs%_iE7#0|Ok1t9_Dm`2M z=9`$&dn?4xOzR(|35~E6-wT#k$Ay652P`I#GIvn(=9;V}Mq3;& z@1JG0SqV8206V=i<1!=MAI{!ex8Ndca2qo$(#O;FXi9fdY?s;Sb?OW@9bUMON)u?w3@Y zsp$KbeImOUXWu+g1?YWCh8W+nB%TgF%!uC>{ac0DEbIexU|Dibl5$1LKp_BeRDk^Av zD_zQ%j6DzF86x|Dv39oXfbn$ZvI=|-scl`69ffLn4pHzBp~yASmmT`!G^WqNo=eJ& z>ZJ;b&%iI0G%Jla`7@|FQa}3pw8Y}i2m!-z?45V^xUn=^ZB4hI*Q*;ZdIv#xvYNJS zxdIAfC7B*8m9Uv4Nzzui;##3EO2Lg;*KbDJ+OFvt?^IECgCy!MYC#p{W)QFggl_4Z zK64`uF@d4vsIlb7o$HTOQ`O)oKMiwJC6rtzZ$6|E-vKFoH2U2arvRPoz2Lo#Secfh z95L0x%9p~@;P^RTD-fz2`ljR<%~J=+erAmCbp_f=_MoGqZU4iQ#GE^eVjV#b@sDndHOQiRm zUPU|eRuPv8K?0KYCueNsT>1ygHjQHOqF)?0ZH}kLsFm%^?kW z#7K8T7m#S`4Yk@a`U{Jc$qhF@p^-sBY_nl3rtjN9?P-ud@#12CoK?FG_h=~)>y5L0 zNlPX2_zQZ|5M6^>q%{iny>BAXs38hwJ3Tlvg>{G5p(CIRenuDD7r*e)EX@sOn>jdh zN!A*Lp_TDR;X1{=b*5OA9ZQ{CJ<#F(g2FZ8&%LBo)z_ZJb$Rn%-PWaAbfwjt?NMLI zxiuXviuW(I>^Nlu*_+?!VSx+=3P(8P= z27J^2fqnkc4f~xoZ{h?Fo|i?!pFI`D2qzJ>yupJQC1HTBcI{Nc_$#V3FN3=Yi4$iz zpT4~TB7?ge(|Jq2Q{qcYKEOf)3v%_`4+Q`DimOIz@+YxCJe+EKql&r$Pa{i}&xPu?8P^MfH_Sp^MA80&gGd!#d#s zj91%!Pp6iC%-Q^v$*Ib0CWkXokU38-KJ;CQY};#e{i}1ldQy4#*|o(QubwL0F<;sM zH()AZ4aTUJQ+{@Tz_4lfqy4y=eJGGE&@mqB3LE*6lH z|IO_wld*`7i;MsR#~d5Kjq=@cmd9+bfxDl1k#a3?|ZOjjnK2b7*8?#BM&i>kV!jCyOKMfSgT`B@%@RB$4 z!0m;B@A&!73o=$pEC1Ymju2-#mBHM>Z-n+`ug|Vzrisk!A4mcA%q1`pN6x6?cj8@` zA~W(;(glIq{g(BR7~MO*cH%K2m1ZwJ{c6sM_UQ7tpd+~iq7T~9J8TP8dj)vNcv ztVdh8Qx|9(L=B{iE>u-a)cj`ZC`k8>fpQgrX&q*|PX6AMOUZ)yGzKm)xlV)fr5n?; zYw7Yz(M=d8rl!t)RxT=TizT7xLm@(mFd2^RPy7qf;4E(;87tg%)b)o9S zScvJq{?M0$^2dBZE<5v2c!{i>z&edd#wG~7KVhpw#whhwQYq2eohqM+z=CIu*q`;A z3Im9cCrfRWud$!bS%rSKey41K7TB~)bDhsIn*6zx=9F!$b_#S%hnf@%gljpPrn-Ho z5{JKYjtaN3`C*61B6ApE6{i?#FE{<)&QJY6YbzaU4Oo4G;>~h>R{lp*UmX=k^F+J2 z!{QFR1PSgE+}#Q879c@`ySsaW1}C@%cZcA?9fHf^E^qVw-g)Q!wS8{i>Yg(_r}}P9 zP1pS4+}zb3=`o{Re;Xugmif<8$MQllJTAjNw&V9T5`??o?ZPE1W4?&mLf`d3K7`21Dag7jLO!ixWFH^K- z@Z|Ih<%Jx$PS^ z+9I8=$++rKyN{NpH(^HR$(@noADs;17Rw!Y!9~q}{L{vUB1~DGymEL=D1FQ#{`Vwb z+RyIr6Mm*+|E91iB24Z1wn_6{h$F5{d5PFv=2RWIlUBoq7G2bLgTKu}QN2Z1A+k`_ zM~;D>#T#)laSdg6l&mq{06(j0#_Nw?!rIl&X!qIShe`O%sU@=gi&fUq4jxi(y+hY$)b)EcB*V;@C=c5 zo*RxYyMYjLnXIx(_-RAU&F}e&z8{L8pTMi2Ma7*V&zR}SsJ8g&BCm6Q{E{i6cr~OR zjfB;{#ubEhjO(*nv?~C9Zn9@mW(*8zrtH;VJq|w~p~)zM%V(;fk8SOHuTOKF&? z!o1+i(sS4DHdG5|D?FbKsCt!H_7(7nLkcZC^6xz9y`raK6sOx#e6EG1Jldon>KCUB zS;QR*<1&!Xv>l{_2Zja*FV6>S(F`5&QR}JMJU{iTj4{2^l{yWM_Tp)?(mvCBRd=l| zO|=!yT$Lr;lte?E9A4ONsG=50i$+HJt4!OD3N22`oa@to3L}e}yq0xEN+(%{uJzXf zMSqXWoR2t4z2o}V7a-94Ff?%LWu~3IcUY!C__422Nszhe;#xhp6=~fbwYGZl=reLT zX~x`~m=-U-RxV9f9-cjq(WUDjyCHYF`qu3)rO;YZbov!l_m79$DJgEzbNI#rhFLS& zPNuGIS`Y=xGabViGgoeQ(&}$@7iDr0WBD$ransM>bh8`iwtbLl>>pdQAfb1NH-7YJ z!btw}XR1yI?TBEF3Pn?>GW)M7rSD@7_59{&Yrk1M2NuP72f#M<{Kjb6SLq~AAL{tQ z>4$W~mJFPgp;ZQ5Ocm>Ydo*z+%8MJ7nCHc{{o7C~s^%SjTQXg!(P-i80-;-_@^NClBdMjlX4QFQK&jKDBKl z>pSUHVXRJnN)KIXN_0-f(pGXaV5JH1-8>!SY@4HHb8K6q+mAB%)F9E^uB94Z-z;c? z_lFbpOpEbq#i{alLaan;qO4U$kF3?6wIwP#=zWQ_0%GNFzBKI>z3=L|m7iPw#EdBp z2=Xdk{Y)F178ty3dU zt24<@MF`2#ix(5P%torKdewh3x3rMJ>gEnLcm>6xzh$&b;oXCJ^k?~Uo3Rq^sL2w1 zPUmQ8tMyj;q^w5J$Kk`|wqi@B{R!|vh?AzuH9KH>t z@?6vXsD-?Xlrv%Vwazxy~!TKpy`x@T(r9y>J}L~nMj3# zJz5zv&>VX8E9XL3GI1BvJa4#oZh-5B_1C0($zPerj=|)D#2xjulC2>R*O!-_>lm5@ z_mk#_$HUVVEC>6@v$3Zp%1*zeL@GJPe!tGPM?H=OAuHj*Z5At~ut~xD0_5X8Xjp-PzI^`yA=if4>^@aR$TL*{e@DDUpl*(|!iHm8r=oOryJFVyC}G1XgIb zY%|U3xfN=?p)#Ye#q!UwN(Z8o>&xa+$F=SA@tDh()w=c#pOyB9`=bRc2Vox?E2GNA zg_5K8fNf_1nSoxpRVVE+I;Gv+_L)Y@l;SAPhb(sb6IN^pagy0O+cH=xTUIU1X>MaX zB93n0YjACX8E2bKCRyc;!eCqKU55INrr%3s5=f3ehWYE0tN z7+x4#xSh0qPqc)hSX&?K;*dRNVV5A*&TJ0cnJ9;Bi-ND_toh3Ovb(-NrBjm^+zc+4 z!{l0yzdqHeKJdh^TBI7{`nB#ZwnGIZvQUNb7RJ%h<0~{l$KR{2%Tz3C{8)w3@9lKQ zk7e0X4k>Ew4j~7#{oCsIgI@Bl$@CkU0ELG*2a0I~_UH>}xZTNx@cX9o-<-}Ln{nPJ zrR!8ekv^kB-D1L3a?bopGs7r0;a&wOquunqGsn1b6mop2CuPKve5s1%nKXJoV9!z# zc9Qow-C(C1b~uGSg?TN#jRl0EP;##^YQny3lCN(kxm=jqqmOC+k-bSqgOk$HEO%_} z1hae!G<2ml?ap5{nM(UYOCi*9$i52|o&rkZ#e>Pe`jVkyn`w!ys!E^kYY8f@X7}y;J-_#Zs*vnW#O0P@tW@`$b-uvAd64el z8_kK!kz$v$uaWxgZ*GHJj*4dQ2lnW{eNvj+;+H$JoviH*q=Gl*B+hGvH5~?>On4hE z9*?vmCz&bEIxLRWoogwB2JfC9nJ5wK+kboz#@zDIlh)-?dea~_+L~C0SGI{nsdbFr zf-7hU$)>9$x-X>3$`DPq3$sc|gO+hkM(eBR^fBiBJaldzHLn#ZhhF_n+Ch9ag^VOI ze9B^?&uj+grYW;d$xtt>_Xhdmy`K5>sOhCz;i8#S#9ob0f9wui?xtiG@eq=9PbB@v zrB9yzolKNO2q~;i&mZc13`IZ3XobbjZr=~%4TaO{Q)G1WbJ1S|3CtgkR+QxCyqo;U zC@#gnyD!38Xin057a;ZbKt#L{_`YF*D-Z!U%S8a92V-m%TPVd_m#67q} zHojo{WYwQd$*l_dTG%#mUQyRknYXR|e!)zvT~k)Jrn2S~G;UifoR9r?Qv|_@jh6T9 zr*6v1qqFH_>A_p(xavc+X>m%d1_l*NRj+RQH30enj0Jx||3r!inYSF{oQux4lRcwO zHb0-s&3GBElBPd3aqStafq%GI@fKw9(`8dH!x)T`81S(){iM?&$CodkoEumGyvH=8 z`(=EZWzX&E4+8S(kd%e8Izz8Iq&#kAPUC$N{PZs_MPC}KZwqhs(wjZ=rC0M~X=1yi z5r$~=x{y`eoZO5#UhD9_7^NMe$fg%5FBrb2_fuXsF3#AtzOf?){dXgbN)VW`Io#1O~G#`lkrz7 zFV|P&$@_P=A`gB0laxjAZ|zT&bi8&IP3fEn8qPm8{F8-IZchE%TJF-vCs}7BZ#!RJ z#`ZJARVbS#-L4?4_Bn#XZxg{XlaQ05=e1yerLIHc9EUTJ*D)u|QU7$~^Q-ZC85R93 z&Cc|o3JW?c%9r!)_vRxKG5Gv3bKo-Hfg;-rkzvEiGrxx$ZU^aC9DR{S--QAZH|I^6 zU!Oeg+POu*ac>c}xMp{)-z0yG8#fB$-!$>Qbp6DE6qE>T`(9tCxi)D%9*mqp&eqST zuM~UQD1Fo^3RZGkKiuLrbu|RP{XQGdKx=+jx$e4KFTQkd<1OuIcqqBbI_(Q~aQsV` z(e&X~A~N52)%$XDy&h>`;Ndu$Fkat3-T!vU*qNtb#MPig;C{&J&TkZJ_iX5_%jcHq zC4Z(X`St!{pik-5{&fk7S7g=u;r^`u&Up2eQ^o&2?~IB3R_XRR=91VOQ~Hv6y~F?N zVQzl`^6GiR5^Auq-r4$kHRpOkCEWRVI-WilI{bEZG%{-?LL=@?m#zFdSZ$Wv9;08p zCiL)@RJ|+{@4UV@ef6Y*d$=Zee^&OOX>2P^rkd)A9K#@g_66{?fZ+Zrw8(d$NRxzSd{7HUz6;2pkxb35ACa|m1_u0IWe6mg z4x14IuOu2EjqM4e1P+?dcTt`q>-mDf6^on^Jgogi!QcvkD;PP$75L*j3AT>p6gndI zHxg_<*(tUN(S?ZacR|JM5u$SuAW%>-6q%0zQ3cUrM~L!khCC%K7~xt{Rm043lXgx32@m!^CK8>^5u;*0xx_eyFa((X`LA%C%#HVXf0zje!dfr=oh9k zNnKNAbYl&c#e-5%+iJCrjgYaIWZ)~RNWT=Fg#y)}@MvqT)*%#LgTiH`UqYcUI~0zB z!uwEo1qv6Fez82$p!!N`%rLi4vY1q*4wSQ@d2(m9mEt8QfaRR-iZ(%Ol?Pc2eN1~# z#V%2?U)(2dBHgcDvuhAJolhkZ3I{B2!6}fCdPGG65jfLG2S>T!V~SSn%E!Fo*)Wm? z=!~Wkozl;ITv0lw zOwjwz<)XVRs}Qa#IvlbcsL3mbWI%|u040A;!fb}6XgX8mT6==ye#(rG+5||i_~xrR zcRiE>BR(jA5x+f*%Y=&l{({Qh-R>aF0B@FeOjq#9_ezZ&_|CzvJL^9zvHbytv-6=8 zIpX`Ly~Dnzc!wzgWx`DNj9g}vn>Y_Vd5 z{7Mo<)nDmFZLxqg(zB{I<%0Ae_u2mZ{qP@qbCW}z-I6zm1G^Ow5VAdT9gkEP$a zi>M^Al8%hmN`E%`Xdw@i&@@n31ho9uCi8uPqg}YvWd{{h`*)w{2{Xc5q;$?wW z_<;qB=sw;$PMNuFL; zz2~x;KN5Nys$6sczh|nIPuZTryuHOdAibzK42nN zbft%F0xDVW(Gp3(7&m#Ju}Ft+bnznc7W213QoHj&h?m|dWA?^PpnT~Y(I_qy#12obgQ2Q5 z*ZP4Vs2?Z6q)}8?^LSqe8AnDmXbwL0pJ5Kv5QE{LAuQDJU5aMo>rN;r5^9*_eHc?N zo=*?a3~H(NGQ87FK4bj$R<>|s%)Q$s&xWK`ecb(dG%(eKqQYn)crFErvByBCqYAB@ zo=_|3p~lOQQcFX)0^Zr|rd7G3I7LYJm^#5y;aA2YB4Q05#=tw-&qW+HOK7OnXyEMoDM)_s_+#JF%8@Flp zN3PCidBwpw?TTDXv9#Xep#k_qcRNiwn|7=u6cF25r@B9KX#*B5*jD`$S?8mNJXJaa zd!(o<%#3KwO$Eqe_(xNkj{rDUG364#a;d$8?H+;h;HP{luwj0v`U^9|Tjxo`oy{H|5P_4I!}ToPe2R?H6m#Q z2`TivWPrE_3wgmHA}R+@z*J5$i`FqhL~USjLT)n4pGD}ow1}AEfy(^G=5V1zqIuaabx3TBj_r|+ED((h12pUn8TfL#*?zrvsDlm>rqUt* zei(5~h4U0)a${cnt|~IZZhIT*eOuY}YtI=XhM_K;Q!0Ocr2|Oi%OOJ=P1raA_0Q8# z#$KdkWwke0Qjrq7#)eAm_~?JW+BQx0&nH~MNY7RAEBftbnL6`~lQ)_lx7!!w03_HMF&nAq@07G9w_cPk~GfC9_ z*{CL!3$eg?kf!Pk4C6(M5w$s3f)K0XQI3DJ)#ILpLT4`7rQ7ww(NM7FGo98vLmb+c zX`4rYrm_WdR}+w;I2uW3O>&;28*OX4$f2PuvSJQdIdaIbTJK~#Fym!hM#>Rj-bUaV zPGoY%ONkFxTcr}7@t>2f*x&uJ2ldQgpoZbP%=qJ8fjFpmB$JYDKQkUR@EaKFu4AC? z8rH1%+TH(tf7or-idSUDGfB*md@|j~{pR^1cs$x$FGNOLC@vwxeyD@38 zO0nMOOy@hSVv+&ps7zPY-1Z6kuIuEFJq#D$_g*AbW+OowQFozhH%id3EQlOu%gx!6 z@e>+jKT&reQ{V44-u0;QH{UmG`eio~7;?*wwY*?mA2}rWZu*zjB&1udZC&i3rlN`~ z0TC5Le_ZtK8X?SR)lYE5}Rg5-`X!T!s_2v}23onmeb9|@G2FVJw+N-ZinRl?pdxh1U&sC} z^ntLy$xHg+{1FY>)>f;B$&E3IK4T4Y$iKaK&i^}RGYdstG?Lbv<-g~nANqX!BR@W+ z_q_A=d-5=`(fXFQ(S%A-7mbu=CiRa~3gvj=9|jz6PhY9fd<#CBcU3jq5JR|9U2CN% zJN7Ka`7Pyo+*(?-pD)iwIaj$=u)ce4k#4RkaDSx+Q^!(f{pAK5?qpNjE|yuk|4|U3 z++~WENx9$aJAzI&9Ne^jY0Tl^at#C0Ayfk#+Fzrzb+hK;!*OIVVK2>?TyGK2#V(m> z-|f~@iE3F;-h!VVAB4=VT0WzRI+Ey~Oj}B$J4&)q;=|TX6^z9YZAO^XG$i!Jk5aM!F-)!t1zI6YtNjf~fO7oC1a8w!li-5}iFvHIC9lG7!Nl_*mA z`nII>N|s5PD+oF*4jt%;A1*s-;_Q2C_EZ(N61@NL=HYUZ9QRU5>CibAegxMLzGllb zU0& zE2cIM3z4+&+!Q{hF2ELaiG8kD_WKjWc+J)OtXGcP^t)OLIN+27Syz>7-jH^}`2dd( zCRQh4dzSCbnyNtGcLC<%q`*2CM7BO9pLACfNb0Yn)LOUhH053wX^8k5A@f z|4db3iO%+gl_D5|`{Pc~$kPc73EhkLeFm-(qQg$>&Yo!7x=INd&D&yEC~BF$xn5B^ z4q6O;sbcLz@N_z^9)I_q$6+9h*H*}0SJ^}-ZA>8GE?}j7kdu>6^z7My&%R(lA%1Aw zRvh0GylH^MC&^hK{zq7=XwYS?>$H#a#}VJR_cD&4L44y+itFlRe3e83gy|^3)M&&C ztvx8aF0|%7&812#jXs0y1aRv7)4CKT#`MHlg?pEML(#iT=32UI(Lq?%#M3bg4|>Df zWGLSyoA}VRe>CW5oWtm?-V+>$GEF2WU??Hf>5_$&+Y2gT2h7SLQVSI*fxx60Atv>F z=p}{dQmW@*z14%fh)|}u;?O)L30s12G3>!QC{Q`J+jgalYnFT+^@(C%J5LJi_w@~^=`|dje(3Z#y zJrfR!kkHh$B(%oF}&1$^xGRFf`z>*juqM2~S>$6oei}HWK9${8w$Y9B3CykFi zfmS)Rb z&Zp@`lku^0Ea1)VJZJMJXvAfG1@cR)$NoYjk^3xe=0v(CbtIix(!bF73Qc!x%1;Cs zsjo9sIRctPUlGklP84v?a}Gs_?$J+K;3E9Gbeq4YegXOgv>TV2#UN40MBC+W2;^^R znc9sB8rUSC{gQ#!QsK9>vI~-ITM36bQvCDss~xS zw@uk$M{lr%;Qm28`n&fv;2U#(OT>*sI-G@t`<}QZ<3h9Hkp*=cTe`f6cuy}(hC(@= zY2!+$GOitXd5uM|${xfaY4=0fo&~AqJYo-LzRM6ZA+f8&(8Iv+b5cIWpONv>j5tK@tl^na@F@l0#K~v;7huh@8e01x?i}XifjpCl|USI={CH z|JIOm$zwya#0`zsphlI@bm!OBCtNJpuESnAU4 z5=aygDbV7#UB!`9*JthCcMh-cXH|~$XEN#Uz~9-Difbb`8t$nCrR36Wq+xUh`5C$Y z8&gOqVgzb;S;c|=KRdpTKm9VKKQ%~W_!2sjBMt>>{T^8;kn4BtUMJ4^I%+c#u%rJ% z`ty(h?16OiDw~>vY;HuSy`L#c(lZ*Z-??7$RJN7S1pAdBA_)+Q}K} z>wnL3TX>>LcV*fcs@vUzn%<$r5E$a#viC`hsunR|9*?TOcKN4dzT!`IW_<1DM9n{g ze6`h=ajMzlCyY}zJAlz~MIP$;9ALXw3e8gqr#R|?Yhb)Y9L{PRH;+XMF!xK4I}jZt zs}z7Gf2L4OPy?2TBdWUwuCfebT94iR?65)kn4q}K-^QdwKMp5!6r!UM3~f91hf*T$}? zl8bhh5Kx=BwuX~CeB-A!0eF70OXixkI}byxuMI@1JMPz0325>}z@kK68ATM{2y!S* zdx-*<^^1;?tVlpp5RBk0YFp=8u_!tndIzu%yRiH_@3u|7E^eBds?~{ZE{^wAC|_3} zH!?RwIwwuvOb?_ZA_|+%vY$%4?{bA+wsw=BSk)VRo=@IhN<=!m-}bJ`C#yGJu8y*Q zPxuI5Y>0Hd-R@o8DoT$E`a+9N?4*kb*{C{*k6K7k1)gu+ zZ3v(3(|fLQj|N=I5ZQ=b7CJvR>3n7$G;P_cJWsYR#tIB99>qUWv)-Qm{0bzpmS@LQ z+FK0ro07RxW`Zvs4oVfFTFxY@{MbSy*(uuKiFM}xH#tZ&ZRpC6tdrPOsXjmK%7ZNl zL)ywj+sXF1^UqxMjNJ_z`PS>}I3+Kn^Z9D$c&+1Yi*Y-(TJ((5vR@R)h(Kh-+aDm< zrMKF9-*=U(Cp=6MmEczk2vqgtg%_7(BwMrZAPNbt09L2cL%){mWs+YAS9JryyCkNP zv{_owBhx&9+`*DQ2{gmOO!W~Gl;Vw8V2S|sIL%z6QN$Y{0BomPAo{HhJ6<9}mbTyt zhcH@IR(SqAzE<4STtYok7>!ovpJqNTD+5QT|G9B|2}gnuY6 zxd#6sN5)Jd1aAi`R!*4(vSBT`lPv!#7&m@BZrXUe`26mB`52s@%-;jCr-3Q-p=rq!-BG&m zfT{h9c)#G?O(z9fOD+*F3JcC>F4-U)>E)gn zs<32qpW{n=A>~XSu^)6x_b>^r?uKsv1-xXe7EUcDilRJR&6{<630_X;*W@ylR#r`X z2?8v~XV?iCu>*@tm<1?8KvUg7tf_6$Al6&~CY0eYtuQG5?mG^d?~tx!v_#7e7s}EU zDll^(HXCF-yv^i0^g%Sb{=GZdO9CeIxBp#>EyBMm3HWW*BL-WSmrBkPP0Gk#moPy5 z7>5=>V5NG-CnL_&pcVy{BCYbldD%%3)=orm5lx42PfyVQQq`gu*KkY|W~)x?_vAhs z6DY#LYITYHSnq)MV)H26!_R?}IH8bVb%ytu$Z!d*#vM`HkEmtVmY6gr17K%Ixxy=(jA7MPc`zS0HR!&A)Kwi2AKSexs! zp97nm@IK$}h-Et%A6KmKE@6TXl!!XNB)_KoGC%;{$#T~X`zk@ES+;d@W9Xx@R3JzW>G+xI9^7ad36kh|} z_Sk16)=OFR4lwi)-{o%kPVN9s<}N|l#k?IQI2}r30fKog4=urD`PqSglIl@U+vIj0 zY~2uXeun1^!7QeyDn|yUoe8BLFzXg*uQFR&b?^adNXO!hq*_w4L!raQ>VQVIgl`2g zyD6Bk@&h5Rke`wcT{9pR>yrVPfNbr<6rlBowJVM(!tgeUr-cmR{lmra4Kj+)nSF*E z_|j{HXL`bpUySbw9&o>Jp1oi3-KvwRc+&5sF)VrX+v48(li*HTfE(y!MJ<%Z#3n2G zF0q_6GM^Ic`Gwl$(%2RY&FAG*$6=!(t>1C zl#vtv*$CPj1HOJ_Q3E2a#xov52Jf#0jt%=a{6Tj`o{>4l;0;)OIMl>(pQ05rn zPD4-A?~~YrNJn<{NMDES+Kz}TsU2= ziPp<`cTLj0|N4sl8~?zH21FvKvmt98F1$*D5-*_=dELa$@2TO@#aSb(KU Wo)xnx_3JBLu8x9W<9fKZjMBsJDH|i(p zluoq0PmWyieORtDi$QQOGr~!j+nC+R#3Y69Buw^;R>LuUUBt||yyd|B=rDXO30NqB zY3W{+OxHaKC`q@#e2J0(XS9a|pta{x9?W$uLSzpN*bUabJ1-Pa&#miHRrkV0-DwmB zXjv{)MG6dYS((XWpTeD{6pwd@JR~fF^ZPNU$>DKk&}X4|GB^~=TYqBG0m}#w`Q8FJ zR1?BA=wgoyFq|h??Sr7ZZGOPB>0#PmLBg8Ejsd}TvU~};1;DX-egKkBS+{AEAKbPf zQ%xLvjhKf+Z@=CZHTz{<+J;f{j4yWm+n^B24E&IA@%ujvoj~j(r$Jn|S3uwbm`oO) zfcvHtcAjbmT5!VogVPMuo?=V1jz&$xozz5$N_}mUX`TSNyZadDa0<97CADT3Fc676 z6L@Gw4S*xmln)OBureIk!~M~WdY}C*2o~K?52a9xoCbdiu8dJCg~cX&Y4a}r>#WVS zcr~jv@T)8mJ9R*U6aq%w%g3mSktr#=E@@vk zD;qJBt4>%_6CRda0t6nzKn%9Z6li~DH2xys>fJ-b`Pv z=M*I5ny;P7z;&cKf~AdMe7c`AsgXaPFS9o zwRj1%e?zbj-_%TmF+!})$o&QFK6Zt6H`x)l$F1G{t}Br^*SqR$YUF}ovVKCCg7H{t zrZpP6XW!mwgcVtrLU;A+MEC-mpeo5S$G%1-**{~)DWx>{-aT53K_b&C6Zk! z%~|*O<@nLT*A*dH#IkH>!tC>*<6<^TXWhNkhiIpKzY9pETi%lfp$fbv_wEbTlYiNq z=^_Se)iw>2=Lp$$=X^e)*KfBA{o)J(+aLTbBY&2)P3}6HM|pw}9Ef$Xj8SC;l1;(1 zGAK&F^8R(tjz52gUAAB-cT8)y#Kf6hlO{_;mEt#?vq+14JAQC_wLr1sNOieSYEl&X z+0aB89{P=pCVeC9=r67F)Qgls@{X3fza5{fV$;f3Y9X)IYi0?X+lD-TPsZOYl^1p@ zfn=SoM!^Ty#lbo_qQ4I@5=vRVlu%tx$mUvBWu;8;0cb&(QDp8Ufwm{Md_9PgiwWB9s(5m%aF3}fdY_W&?Z zreY?LJztJFcH-aJBCcoP}wndlWyD;8F zQH$i>aW-wCEi3PcO(;n-07w!qdTkc;#iMIk*7U_kWSXY=Zx9Hv zQm9R2_{=On*@^WzA+^*C4S)}eBZ@GFG)XH+8DZP_`bRWbmiR?b~Ic+b(xe5Hv z(?K6n%b&1oP`2kA%AxM}j8k>uPj7dM6EbmsM}EyxKH!kWpc$(1D{P&3A`o5Vg?4m$A!QP{ZT7i!c2)bttQCz{%6LjVr9{$0#2xkgE*ZsvubKa}9QlySMn+wts zdCk|9n$@b%+FiM?nO)F;Ju`{f71lYtIlr5b-LE4ON6j)=r#45k=*{rzYXBo;&jEo- zw>qYfowU6n#jg83IXRrfQp57lX$4Zm8iuHeu;1y;6wz=3Ef90?Aqjb08y_ zpr!)6@Py|Ol{r{=S?0e4!$R@cuiqz-hD238Rj48$js+)6>N&r}_&eZ3K~WgeZBjLi zbr)OZrp5RqEy(%NAlC}2I}~9(xsss(H9p;Nrvq9G9wLNMEtVn@42Go^lnZhR1e{Cy zLpgZQrEi0ZLFW#rTxg#@Q*ydwDhv&FtJvUlah?Oz{zRrkQ3thCnCuqAYa)e^ab4aq_31sv8xf6xlR8m)pfuulBk$E znKX`iiniJc8_{NTRWwwnPMezS)(6}+Dbb>y>tjlB>VHxzLHs9FJF7(z6PgwGmPRRj z67IgE6T$vuXY{cJ_XX2?#pv|xW8gheKvWO?s~9mX?j(n=Hpu^;|9KC`4L@OK^(%UQ zY9)7kf-PqX+>8i3ZLXC%kG)!pc01>VK#kdf7kn#((7FoOJdE znMml2f^k2*oB*3uNiOop4L4$tw6Dqo>W$I;9W3Wse4Z%UA|pAAGc^_GYF zPeRaoaUFmvXA0h3W{Dx<<}mNw&UnF~;)NJ#fd<;dQVuU71bcv`{x}v28$7Dk-gk)lzlPPzVV)p#Mz8qAoloc)NuY_DU5*zgyy2Ude zL!C~kdYgY~&j;ae+M`4>f1-A8o?1uF?`)_*eP%> z=~wE2ngR*R3-X6`X5vE~&3CoYL@1|qR7cV)qxLa0v4vrn$7aDU6=jJ`b=ZBkb-vXw zZuisD>bfq?g^5#`zMFITO(}I2Q2opsI%EX<^PqfeJpJwQ^v=IM2vQ}Z%g$>4f7NOU z!Kl+m+Wt!X|8ho1?_ZsOjpM+!W*99R(HvFQUSX|+jvd&YrI=n9$G-Yn*-A&#TK0~3 ze6Nk>?t}f26kYm8Y{m}-d#-ad%gbm{LSEHB59ck_=2{X05 zYN7|}dOx$Hvy%6dA>eWd0zsLX?dMN`{CL&?S*L+1&nTF1!Wm+4&7~nPp|1-}|88ua zM0r3k8oS9QcI_GnElCG2ha$Hnz<%IIf&;ApmraQv>k5=rOpjfb z8x1xR458RWO>{BCJLnZV5XHcHXGkx#aJVLeWfZCvz}K(78hiCC;G>l~5gw?Z;}EnB zi1{|jv0`HI2>IV@8Y7>V)6??t{~MFZg}m&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..9feefddedeb0b1dc756b3bdd3a573a5e3d9c46ab GIT binary patch literal 10696 zcmXYXWmFtp*DUTX!QEYhOmKH*u;3bk2Mg}*5}e@flHl&{?t=vl?t}sEJnwh=PwiEw zPMu!eYgO;l-PPEzF#jE%+2=V9nq-PeBPQ5+QhixjaXZ8Jn_Hipx$38$S{s58MLat2 zi72ERC0nG-?|ZQXd2ZM0su+S&QcJ%5;pN;UX&`G3!!Z(n)6PuIOuawVKAJjy@Zaod z&vow(xGlq);eD$M{#D%|p7CM-+w;Nox%FnwgZx0w=Q=JbNIM^qL)&$~s3muFa)pNiJ*+y%~kPqCvFj}|?1&yDZBj6(DJ?|Ey63escF*x6n{47l-M=t;SEHQwe_ z@xNoRwdY#ifS$+=+}|LT zASdrb%5t~Y!Rx{PbO8L;#)f3iN*6IfPTyY5)<}!!?0S{hPTuQ@8)}E|k!pb0Mb}MG z$HMfh2El6-qH&x#FRS>iyN;3t=Z6>As`{gz`DaJ7`L+`*tGxEE6MMtLu2vJQQ8-rd za_T%O9vYs}hr{#?lHNj66Gw`Yryp)!|P^gm0Nm(H!& z1J$`t3-*TBt?Z>+Z>Bc`@qUj+Je}-44~{uo&of(1T*hfVubqONthf+^vWAIgHbxU| z&2XlGG{{W$`NbyTepC)m&$(|4@%It`X_K!d`Bur(ySo<&pSJyeVj?~78|r*G_cnzf%G ze{=xP{ZgWM+1`3`h>hLkyg#cB?&2^BYzP_<< z_hZS$7VCI?1IVt~AQVq7|?jT7Em<%t;A_IQCR7()gU95lc)bUTEI7+2U?ad zxt6YW)9j$YEpZ~iN#<{aNzkIGbl@-}NX~O2kV+;t zqX$XieBqFy{u13P=T4T~knPTUp~k2vIao&@*-A(#sfNH4jEcdpJW2l<0TFi)g%k^w zbTC^RfdN`q65uUHvXrB+(njK@aA$L+&LmkwOM-ry;|BV06mtYUBvhq52E|Bfso5_` zcm!g~NqCa6rf9CPNiXb*a!}`}EVoJ&W6-jV=wF0$#Qi11uEOeMroSm)<9}CE>oHMf!;|Mj>HvpFc%(6C9ng*Tpbpfk=hXM zmsKD>a6a8f8MAAk=l9SaNOhn$EWL>fwO|6qAD+6g9& z!k8cbBI*LJV5ny{9VkltUt#bwTK|mZM)LOf2O4b)CY@ zWE&4s-m+(hVzpJ{5s{AzSn5W9myC?Vg#QgHe&7Wb3P}n;7z6PSzXe+E`8Xvj4ani$d6g(Y$UzU zwsO)Mg9xCmiGLtSVq2N)W@QKcr;@6u`;7Y%k>G=ig7Sv z%2UbR`kL=aqOXPpkINvw=@0D1I7si+&?<0#kqk>=7Kdj8h4r5!FM$dn{1~gG+78rG zayBMnOb3)%W`*mv2^CuN3(4^A6T4rHS%q0jO)i#$(y`SlFqXs7ZJeBnMQ18YH{*4D zqpp0d+oL$2{l|8K@M0lNp^ZL;pIekOS5vbgm=2ca{HEvL+$((}i>lZ)OdYt4;X zX;Bs1DFdn{5@ztvj$T(?tr$yjjEW(=)3G7lpMBmoqK>D(;{pF%*x#Q28OjEhbNmag z?nOZerhZ6*B0Urfp-2G5-%#X-Vm}lypg0djJOs(JA-y2nVwB+3i3T{jQ5=LHEfDlr z33D)wW4aGB=&|1DtzZx$4dz4!nPbs9rbjUIVE<^DkWI;m8QnObQ<{|jbMAu2a7cgS z?iGc1i|5s`DHGKe)oJ8k*bkAJ;}pk5*MFy7KVV|zk6+~!2buX7TBqlgbBlu-$JJFZ z&B;!D)5WbS&De?;sdd8CJfBfnbw_^Mei1F@GxiUL0}G{_vytT7+~EIFn?h;+T+lMe(8$j+}+@*@abyim}_HwU!G9s4q^~ydDO!LoQL7@*S7;K#gwIvx`4r?%d-rb zGfBXG-<15&C1b_Y2KsCP24*Q(K?cRst(4hX1liHuT6#s_=Fo*^1nG?4jb$%$-{H`O zZUotkem})Rzva+{VQAeOr&!o|80yZ*ECuhuh?*vxNmpEh8V&5LQ4A(5o5Y<5BgiuE zg6aEM1CCH^T!U!|rVm4Xrclq-fT7cRDWT7kQTbb_;j>?+FaCcW&F$tL@CBUxKMB%> zn#w63Q?NS|vlONW6XSIzzGMeJL4nIWqaDggF>kH|?<`uD*YGVTSNIk>pb7(fwtvEK z&G+%wpe*3_&Uj~5o9A|YuF@4T%D!s>ITo+n%V;b8H2%gRJ7T7}H4EXV(MNTjnxObM z{>~>~=UO}kXc!XxLSjs8M=<9y!&IS$hNW>YX-Z)3Wzh<>>?X~C@jxB)A7$Z&T{)Hu zz!KwzJ;9574b(J+8)v|Ixi0;`;2cn;K}D%GG>~wEfOB$H%tBN+x584!pP&i3+M9*$ zL0HJ@FD`-k$0C3Q3(Mw3a~TtqGu?F%q)xb4d6BS1BZF-0GZ@B4wf z6GJqpskU?xRUxOoE8feNmf-M~e+K687@vvPIzP1Rzf@F(OArr&=BEubKarqunF5VV zJE6B#e8!-Wx}7Hr^>hSEasGxmCgtFG@u{6B7KJmePa!SL*Y+Q`pCS{a1~ZZ;vf21} zk-E6hpceqOReqHGD6D_L-xn`!{VUwMKN{A{HH)rWn&RSjpf&MO*{*YlNVJRUD6)mt0>?3*MA_pUm_-@6Fy@HZKP{1%6w>;6-5u~h@jo0+@_3m=MumR-0Z9Fy zx!?EpJW?Oo>(&f{g>wdXPXaBoxPgVS+gdBNz!7l8O(5rX8d$JK$TC}*Jq1%$J-Wj@ zLp{2x7k#4zBt3qx@Zwm^?Nrr>kX^(@yF^3xro;xlg)s{72~^V!$N%AfPd;NAQcnmf zdBB-$anML!|NkdQ{zsUNIBqrEUypzt>8_rHq12WDT!IO764(w(P`oF!Ou+Kj!mn~- z&k9jeJEo&slM^Mjj3E>JlqQp4g6%xW&NLA0-N930f`vSpUb^h+Q`;u(jEtDpF?qnN zbKpU&miTnFN(naKH9`=?#hA#d={LpZ55mcwNLCLO6*upa&Sjr%tbI}gHw4?4o#4e! zzHGGd1(fZJrFQKP6M^68v<`_)ViMGN3ZHgz*rZD0ubZBZ3FxA+!7a2}!D_~Up~$`D zdOR71`1Ai8NEiU?Mi2Drg(cJu45`*RsejFwzM#++*mpw%I;`s)gF%+Ass|rB_dw&J zZtm}%9Rb}lZ{-Zl%yU2aynBBS@4}vTgcOA=yjJ4a^&RTzR>w{X2txMHI(;Jt-fJoA zeJcgakRLK{Jmej-jx8)RX5Q}BhRfdfonD{Qf4*$=ZD59Yo?ccqN7hCQ8Z1f|<{PwvTS`s}BG16=(* zeN*ztH*oVPsq!4N!P7h9XFbBAZzub65Y1e}IcU8yc1X7S+4Ij}h|kdWEDPdekNa zojiwjivvDp#N+9!8S&BQPdEtKVeo$rU6f@tOVH*Zr8|g?Y?sMI0!3AF8A}(E;L1k! zPt&X$1D8{nr+mJH2VN5d)XgfRSj=+~P$K>|ZfbOkZoSt*&bX)^Ctx{SPa`%olz%1x zX_+Z48)P1mq@2H zD8k~brjzK_X8eXNO};5EUeg(Gm?^ev(+kraO!sWoOytg9H@{u=r;xYd$2hh?>TGk& z7^zGW)i-l|OjUau)>>Q8M}>I-fmV>RvUUAb`815t$NG|ugI^}JMHt{C76*a$?lr#{ znZW|-uN{LwB6VaDxYQGyxe~J&)&+338Q-bAEymrY27nr{OrratPaLW>Y#WAW4S3!?5Y(0;SL+k`g75uK|*>eu)fb>Zo%{ zv5ZPov^2vWqvgb2wGInzsA@{z6gtI%bSes)K=D)@-BWYyPLom;supdxMFD;SarYo< zuk~iJy&mTxD+645hiz750X>7cQmAS`@dxH^4t#KphB;Ddtgl%l!E z3Y}i0DCV6w`q3Fn9=_-?PifT2W_mmXvEsT=wNZ_P4C3J%*(Lw?8Skg$Xm)VK?Pl z#R{!T3(5>Q?1rQK!^h1I;sm3D(EqgkVLni;A&{e=KZxIRI81ZA#5jigpkLI7XuK_7 z|BKV*p5nf%Qv0GUsIM6P@##~FTi;U!!G#eg7&5z|B1Xa<$`XLAkF^z|djKnhpH8Vbq z3U+EOj8y#d>(R@m4UA(>0X0N7j47u#!QnpDrygb_ol{~}*RMlyb(&8Xwjw{b4%1(= z%!M)+UUQjzDBff)rdb?=xZ=`YxHiD}ZzYdSY#y@d?a#hjwK_<9yQPTn;^QV)!XCln z6IL~ConIDasf5H1z?s6Z1yXode+F4$HjK00g70^($v+5v@J{4q6&=m@?!9Ft40Le( z5zyy-MSiBYqg#uur{#?!c^`P>%LY^F=dJMiO*zVUcpfsJ1R^OaglA`hUMMawNg_ApL$Brxq#=w>}Nh%`)IW$9+9TLpEnUt zDUr54eIdhTPvK7lj%IGsy$5aKkvDkG1e($bskG~NG6z0xH2wd|#{qg%LvsSc}AHxUY{ z(c=wYB^#=vbD~OZfA|B@w_A4H8fCMul z8H>kK|H6$2M@K@}C__X#^+u5o8TIhTwW7Xb zVj9hm9~Wp2`KrK22N~M}G!!>yFKZPUIPWy#_#}L@&n3e;m46FT%DH#4Ma&g z=BT`p36aq`e$c(%kK8kBQJ~lE+p5~6PHSd@$lD+K(01;^Y^}9l|(#NC?y>MF0p{ zsl$`4d}YC_6$u-Io3@u9_b8T%O;g;x``TP)uE=&dGRUU%d8~~xiO zS!Hi$&()B=8OdC8);Q9|=;iUI09>6F!`{Q$)78TNA%tZObyBTY`K%3CO{1RCOk1dv zUt)pc=ky?PSJr^db}>l`bm;`W&#uiy4)PZ$t`A!G)2k^q{1B+nz~Ga;Shwj|YU3Bq zR}>R!{?;$UK}4Q&lE^sqJ(kfGLccN5U&69%gQq+wKkpQx>0N-So5TyU1iVn0*-8Gj zNy6;6?tj}p@JDGnPCE2D7f}ywAd=!pr1t^$ehRMX_FA&&%KfS}Hl}NBt1B@Rc3ye? zc6Hnvm4y9I+DS?Bhs@kLPUlvJYo1SandKE7u$AF_r0R-D|{>tDQ-3(HAO#Ji% zxmzoC1rK@28Sl7uGn|dpTHKjdH6K|iEd`I5;w)DFGJagSMa3PRxI=%rW<@)RD8^H< zJ|91?CF1s6M&Tl2)%{8Ljp~sS7FboZNK?M8HFuY#NJc~%?u`oq0@Uz%tw2Vv+(>~o z=f7YeTd_^4;Ho3Qhwj_uOJ$iNA4S&5#l@DpEzHN@n1QS0fXzw&^FxtZcAsJf0nU}i zTsAj2X*1qqFUALzM1#@xb3O3G-Q#|JkLu6%1wU|BeCYA)1fG1vMRD_8vmy0H@c_mt zaF<>&A`(j*$t`kbkAv?6$2^Vw&ooBLq+)5Ah^y*v=C_9^T^t^~4)Zh-fWegkq?WA~ zU<7**;16>b$73Lrjw~t}b+4yY1bja!sR#lPK>1q}hxvj~s9ahVAoJatf3ZO3#3A#@ zHW^DY?bFhex3vOv$<$!Lpw)F6Nx#4eP@2M9AqfkYLdq^_RVNF&;O%Fq^OciESX~6m z3CX@!kEFk>#O*T|^Tx3q!GvPMvwC^-s z@+k6`>Xk6H&G`U&FNVT`)z|=esWx_2>%3x=zN7bRBDeO$(uE?m%*HZ>L@^Bf{Bves zKh8$9h(cAZKm|5{^C1l#=Eq{h14YECCJwpw@KPoAVPagv0|-Q3Du&s|VzsUzYFP8n z^iXIQOGbmLup1!_i4C?7MED=sE#$mO#2Pqo@i~m+4gWyJpwh2On`#noV&aAq7 zgDYV^_VtW@sO(h~<5XbRHZ9bU9PJ|$yw;C1YEnfUt_diYIBpJNE(_6&Xr%s?jb)>? zAc?FEE9Sl+MY!|rw_c^h-~nS+KC6>C-O_6ELxsfNH0)7qx^$-`bq-8pBg;&#p;*R+D&kvB{YhE*kT_ zum9Di)h`I*lX4fsh`CRWD*8D!O~+LZ*)<()lH3!A8gkR07szj#F5w_=mg{ut_*`XB z@0)HFX*f5oGla;CPzzQP)Gbkp=#A|_N~D}2_E{F(lraKnJBLRa=VK6#oF0L58Pm@f zc;#y_DGxNQqV8(kPTguhrnwetqw0U4TJ+Y=UL!&#$wb@-4*-IIE?||YCl|9tFXh56 zl*SeLG!S&3-+7vk9f#G=o&=i|pB@sJvfs;fg6PxaCDkXhW{v z^>cNn=BC!sYr@ci8Cg&vqzz`?x;upNv7X3bW zWp|6?-Xa;393;tcYRy%ELYo+*RMAt3XJ7}^U#Av=WQ${f%yJa5{dV>gf)pf=nzkO3 zAB4mpkjgR=eDs%O(KHx3T{#Cyvs}`iqSz4KxGYl=vlK-{sde!yRv;2$GnU3YVqKE{ziATlRFW)<5*&BBK$g3vw#Koq zDb$Fjpx}BQ@pQ#K8iify6zWdhB6?;~1eT{TywDY1XB%E@NwlqeEH$(V>Eb!cU;~vHIEhI(~P>y$r>mO!-%Rk}2xvO)4bn_W~Ze zr1|}Pvfm?M5JDP1NCY;3i>{)&yM^bq!OU;fX9z%)=sxgAWv=DyH-Sx@5&Uns&oEk6L%-ADll<8})Ui4&>Tp*^!B zi+Z((6 zu()!my+-X+vu~tGXnB?)j1e^Rn0X_1`u;2INRJ6}oz~RhxA&Vu^78pcXTCF=&A-1E z|3q%%+GzoM1-fE!!q@>Xl2gkvPEO@8S&DN1zgWvR{QTktjND3}GLous!$91;uaRNJ zntWqg`shhoQMr%2a39`T^t`YN`O%1O9Ql17C1f;v-H;)Jik3n^iiY&KgZUc-=cE%C4J3)Je~nq17EfM) zlfxEHuwkluyoh@!VU@XGSC1JUhDBL`6X$wNHvyHO;iBOR6m!sg1JY86OVRX;X8(xF zqaiE{nA`jAL^@YYwj4@im`BN9kiIUU9Z9lUv!ns9vk;M&UdfAuv0UVYi~K{ zlG(gG(7fqXJX;Bbkoco+!@klle?&Plb9$~;Yf<(hB=>W~W9t*|1@pZb z4kIh|@7p=XgJe^d6{;Un1w?IC;449=)pGF+$(9U?q?!zh9~$+AHxgw%g^x)4SqJR- zJ*(u&gkqFPVD~<zcq_wJK=y>=rE)DirsGMYAGPM)g*2W2iMsl zkxC>qc6_SsG^ejF@c;y4K7lXtE9##jTT^iFiVgMUX=<&f8mdVYb3S^B+~yZ*$j!b< z+fanHnrqE8d|j+5N?@Ye(~tvV^H(%fILjX9T1}N3$WzUTXJn-O2pvp3w)M||xH!EB z1|IYbr|2ZyJi&XArn!P-dmDy~5YRJ1Y(C&mC>*1fN$4}jFKj%_Pkpcf(I+zIrDs{i zz~eaE@~EY$y;$>H^8NX}`9L}-FsEWF?O7l?zWE^4qcayeRCsBD#xZniAm+#U$VzN}civ*&+*69p#&#Q@wuS zX~Jnh9S;VJwW#}WD>B#GjpwbEc_Yac1U(9k#g$Pdz)OwACCjj z;o-$|W{iPG7Q2bHd(zjDhV$p!Wbmz9sPK&5{Wjsm%X6fbUXNJ&wL)_y%l*Bq!TCzrKo zmH#iBMaAKP=f2O^hL#Ya#gZ{!^%P!H=wNzX{t@5wG^C~#-zSAlfxTY!R1@eoO;8#` zf#ZbazMy_~ATDWM;h>Qhda6tjSu7^wS6@o=gFJZScW*1y0*RgwDz1{@z85CJIca4# zSoUmE^dqmFS#z7EV9)rs)1(!}F^{1^xWaf33!l`knZa2n*~>tF0SMjyZLc>qM||oMlQh+?B6yoaWcCHsRlR z33JTDSM~nd{u?*9Z);frh6m^6g$E53>LD!A`K$$s`4&32XOJsW?7& zIZ-1{)Yt;M!-?Tc@+b7i0)1eYrvpOXz?mfyt_Fm%Z#er8gYrrn=$9vlbRzs%1{{i_ zH?e@V7#<^(EcuVb9OuQvNxG{S_(+(DRz6x4vz0Ws z`HKKLgSCLhjW+CX#y-9D8!#!Q?MF#h$gznNU)fayt7DhMwXBM%9=5Y7-|w!Er=EAO zLCJF;25bVn^FH%3kLbs9^pHPZM*pJF4bY7?TV+e+I%+Tru-#the3sGSi0!#NJNwR* z{I+ye3R%m^d%r1wH1&udL)=#=CZjR)>R&95Gy{NtUMFr;@w=Z^%HEjsRL76>AV}`% zRIR=~_e0Lk+WrpDqFr-S4$nsiw{YT9Su+&=V=m>(3SZO?VIP1Qx4@o*xywzE3=T`$BIr-SxM2p}%yg#RD0y@-8ovdGT;&-o + + + + + + + + + diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf new file mode 100644 index 0000000000000000000000000000000000000000..6a092587592863c0e7af57c60069124af8a128fc GIT binary patch literal 16717 zcmb4~Q;=>=6Q$d>dD`yNwr$(Ct+#F4wr%6IZQHgz-#<5VJv%BQV^uzP6;&0LD|a;_ zFwp-#kL(_w%|!gRguSLWbo|4#9H@{og;x|~g5p+M=jj4BiSf(Wh#1u3p#m&nck40o z(OAvdHhbN8O2Pza6O2e({#VBFu1&<(Tm9aio?nOO z&$e4VKiB8;>B-&RzOJsmuaAfC@6FFP_qM+8bNarYmv8ysmoxT9{xAO9-JRXO-k#2` z?~AB+etvInpXaNa#bs4z)6hDc$CQfNi^|Nv-QJJQk4^p_-(SNYXZ1z>-}1W8(YPPJ-}g3qyHkF^=L7xZW!}#B=kaP=oBHqbuzjw#pU=CqYe&WO zvF7tx)Mc4pxA)`Wt>5qUW8II=bQFCh#qw9!Zjbl#$MzRu9^EhC{pS32*Zcda@#_Wg za(3h0d^%12$?GET_q>Wf>%8=)(C@Y{{kMOyu1_bEZ~uFd}TcURv1mhb2I6L9j|@9!5b^f@JI6ZShYKJ|L6SX<>!05tFHUEPte!z z^<=th75K;%%5z)igx|~4jALweyQjpxj==0Scc;fQeOuh?^~KPQ9lgirRbZ3ZW0imI z1?~VIv6(l>^AOZ_Hvi9Lt=!I^>mA!Jb;Krs@a>NPTwRg7A7Lo_To*smVAk0-LF7K8 z#j65b+(1{Dkq6uXFWR6n!)*c9pCGTig#GEwLf%bCQFq#%O}JLrCzB%OB)|8&w#_^` zf}8)AbR;tsoBcao^oQi*{sXm`z~1`c_6^3T*$|=$)ydq8=4MkzZ@1T5v~axrn(AP@ zl9%7}HNdlATSX+cQWjdfg2bjoP9mxV@cOhvjIGf5DscN31*ilN_n*Ac?|c=x{U&S= z?bv(j2#;FBU0i$ee~qcBItR9dqv3M1SL@-w*ss8Xlut=TGut${0|Te6z@ozIAQsW4 zX)ud)V|}Xiq$#yn&D>$7Cx~*hJ=1*@(P_4pzRgtMjdZ*IPl8lhs7vu$lT}w*tOoo? zB}cm9pX)vzlv}Ju?6A(pBVKn>d8XTi!kHU!Rv<>t0}Wbr(9n_#%6iq>8P(dc=-;G0 zr7;Up^VFLQC1MqjQmv)LlZsJF!nl!s)kG@}1tFe#&uq*6T% zkz}+&BHERd1PVzqDR>u3;t&+sxS?;j=g^a;=TIxf$nJTQQ@E|XOocK8phd~5wRS3e zC|Miz-{;M>EmQUa3-esj+^j~cv+OPHLuvB$)*N@#)n;Q(!|TKitrK$Ub@WnDDWE>b z(Jg3O6RnYKHh%c70||+uR)^1bWhKq_>k!coq_m(De$a0ucv0U6wx5=P%1l z+)c!K^S1KQ7e^cLF}@bh(J zFChM_^{xLwAH{={x%ibRkZ{jja7-UTZ}E!ed87hRvfxbhR{8CO>#b!B@{X`Lew<9Y zZPTrx0jxitzpg&c=J9;)Z=SC1@&jJ3pAj!FEq!fA-oL-(eP5pu_5FTHs@bh04-l%q zxA=QLU+L>o_4z#Ap5yfOdip*&=zqLkeczW`zt*JvvN8DH+>5iYCyuXi6pTcx?o2!03ed&{7zi*SHeZ5<~8>>g+ zpE%oG@;~N45yTiY$tfXD5UUo1rr1scwzM6F=dV=MTKV1NhJC3Esou`OeHv~*p~0uP zjF_C@xp-C+{zt>#*a8rhghADWjY_J>-o4czNuZ#x?(ezS z-CQ0|3XX}wi{!@v>&F2_3j%*MqBC9aN~Rr>Uub{mND76&bm5Al`z8r%7EDY8t&y<@ zoMPpFV}tkIx%hI{n&KD7LYS&T zNZ3uLlic>45UB9OC(-ydwhkwm>rYn!-WMOeR**l< zv>EE>GJSxlzFL;4R#%(;5%7rf_r0*p4QpTUUlfOpOCUP?mz!!$F{aENz12orY4!s4 zlo2Ep80z9k?8K5yd^nZLDQAc`KatFl{g4q`O!n?lami_ZI{`Vm+a^~RCnA=Al`WVS zI+13oyOR^XiAbd3Ow=M$fIX)qiNKXghb9PXh#gz5Mo#;Kpc~EmJ~yO!ZS-0~STO0u zx9w)1jgoY-eD%)l$@B3)bu=6B7G`L%`rhw{Y0*cYAs-9wCQdT4(zaf%Uq&|~952>i ztH!UnpI2OumH?(034fnXTfUD=rH~ZyDmQqn+A>K5;Jh zH?JjqNI^hc>m#Gvl%i15P;L3m$w_eiiFZtgJuAOyWmx^O885GO=W3j_jmZUsBQ98M)cQFH zB)9aOF%_E@7q<|aWl{f&mP;e1?pQ*ZS2=OY(n;X+$vOh2B@&x(H~@kmFc6z`e{~;B zge5k=bYxMJh3=}ha??D{>A4T-=cxL5F>ZeGgd-3ncKE3Fi9>eQjWt@rqF3edBP

6nZ7YxxTD1 z^W2=Ry~VY9*vb&NX%5hHtqs<&z+a4Xt)&jjZc#gzsw#2F4#lv8o^~9ZraUXQ4JE_0 z>!!#7_AY5853BZ{HdR@%2+sN-mE0${e@rN8giGRxo4}#nLP~Lc!wJyrgfcoN%0E@w zGYRz3HCEs5%vGhtEkQL@q@>c+-IA1~s{$lc*IH`o9?tTeya^=B1A-C9Op?RBzLH2y zlSeX5Dj>ZJnLZRhM8?^CHKgF7_A|GAxqScDgz_R1b1u$1l{P_{lSet^C?m*L}GVT6=~saBShE5*tc zaKgvXOh4*mmXLF+loN77khl1=8<^zKn@TRwKa;rnXZ)(w3@)b+DVU2J^Wq7vJ+gJz zOhmKDOvfkCM}M^;Q0LeuF-MHQHW@=c>ey)J9kon=z_6dGgOXV3msGNYQaub@g!)k_ zSD=_THHzdf<|L11j~HESoCT;;zR%B{S0mr=$f9zh&NG_vCo$@~tr%()p*|+W?B9A? zmXyAF%4gXoz}#wx-5Mr_8EZ6vGX4W+&;(1&WE+ULh{iLZcHP2mg+&UMapsY4wyIs1&murqTdkUw|SfiHK&F$V! z<<{`4q=No~)+MI^)|8~>U~mlbsvEe*Oc9f1$uXF!7K*P-bQ0RvX1^_WM}B}SjH1N z%9D9gxbisZQFV?p9u*}j3&7PAhzlYmA{MqGl^o#X26^#hnpQ9^DR5`=}k!YSdNRRj~y=zVnkw%K?-JPgo(&&*=NtjsI z#SD{Tta9Ci8OznkLcA!$BlI!)wqq08KxI46FZ8LcsJ6!m*bX3BMM@E7hp-#-SbbAS)(xagDSU(&%8%1 z7{{+kH*?vd}tGyjR? zNMl!dYsA#&K6^N^0j_1UWkroOGgWyHEP2z+zVctId?{Mp+djXS!N=P?y`T3{eoO^4 zEB(iVk8@|IeD(l=4kN8X3Qw@s(@yhUbTOZQB&MJ|dEn8hz$CoF`z{P#5YbPhDT@PG z*bEEK0&AKp?})CLF$PNZB#d33xY0y5g3#l*_L%SO!es zNh|`XW?j9>8qMNZ2cl!$J)t3Ow9*UD3Fv)V+mJkt+DIrQZ@+Ai1wE$mtOmmwgn(xy!!f zR;)fW-aVZ3y(P9T_lGnViyeLQLJC0n2pKi()@a~Wujm9FjHCWZl z)u6cbMXp$(7DrNKDT%K{6xf=%ZX4O z5weiu%JA4wzW-8vtXpi=?{-N&nbSR#pXGfuJ-^{-Kj5r4#cVVce48fNe{QFUZh6pn z$MEuc3ex?FsLz5Uuyh=o(tW=%`k2&a4|eP*b6cG3ez#46XL8cCnry~=4T_dW_T5C` z6MaSSBb_(Fy%NwL9caceH(LK$Qv~~*>qIV}qy^h0Isqfpe*J$NO!0P{T z3N!o zWEDx=~U13HYd8XRfvH>xTKm`RMTQkG(?%;toq80}k~N zC&4e9(tUKJD>B}b6G<`O3q<+}I3T<+j2uhk&0j#I-~qajTF06v*iOaHOvb*--pF7? zwexi}j_84|)+N3BcouBCJRla6oAZn*7{0HBMdS76ji>0?XVF)B6dhSmfVwJ2!XE{)mWA;C z#B;#?!^4`|yUqH)UBP3>wWn6L#5{H81s(as5@}I*wPb5z)F^I80&>1*YZ8dJSgT+% z43_J3m`c#4#@Gd(uq09#oh(F`D8`u=CGnmgF*^O(=&-U9IGT-3wcIP(sNk@XOgrSi zsRmjlVx{x`;;^Vf|4*so*z>l0*#=f`@#Z<5j#h1rmT})AS&44^&=|IkC%8HCh=XfSUg~v`WHLKE*p1?Hd+{5T z9MX6id`ro>@+Zk>Z13E0vT-gmg5z;K9_5;YR~MhYMASQdGlEvXaK~;WnW&!!R^YN1MYK2 zn(~XVN@VZ_q8AEM>UPK$nOYK>yXO)d-xlHpFd4?DQ$-01i_T%+X07^{+`Q5NY6TXy z3zS;cT1*R+)SsDQ3M`>=ey5E>MO3I!k+p>52ZbuPLKqav=L;l@-g^b-C}6^S9S?yt zcj@ITRsDvTo^fpV#Sw;Xa&ksQk~JEP1qO- zp-FTtxjdmR2=n8l!9$ra`G|Nt)qOA%bS$RnD(}(NE@}%&bR0u1m7GalrKi=Cu6eumQySDnDX(O ztIku(TU6bQsh+8hdBDzje624o1sZKEB|1(+kvbIGI$VhJ;8j+d3iY`nH> zrudx=mp}SjDDDm*LUE`_BQ8@GlC=J%3mp3fQ!p~BZEqPSqL$k8?hY|{M1V^#Qq@BH{P08QGF(oKv^5vrFL`eG{!jiL61q6Y9Vln&64a30Z8yDckd_$9^kKY5s?@D2wntRM+6 z#pOZbdLKudUJmAXywwn0)@yl(IIeK1dAk-=Ck2;!xMH{H|EO2eTY- zm>-7vKzbZiWIrO5dDwK$Rv74=hu(yDph2ijt(c1AvQLIAsCLoSN91n`{YW$dZ=}E6 zBZvr({OD@mMygQ;DpO~X^W}7MqtPdF#*grnvJ*E~R$GLV5KE(uvlXtIU5l{EEteSG zwA)MZC7Dyz_f^9@Xu-GTGPA1hX6U!~FGiY`I^Ywh&N|xr!Ppl13PNOQC?oO%L zkfaX}$X9as2b=bKlD331V1K5{r7R1IXnztP6e{N3pWaaWMcy}&PN!qgK35!}C1b(? z27BGv#2F4*qIbPo#tiAD0`WV;Qk~7>r2HzW{7a)`_P^vl@3K zDgl?y@Hg_xo8amOy6cD&=bXzs39uQpwlKzbrPtf3FcApW92_Uapu`<(S zR$`>>^T1qB77DtEInkqbsh0Jv3 z!q??MFg1gdScY^xgaT-sI|vRE6dR#_uKlM)Tclfe5GK7M^4A~wK#$gOZP2+26}TBvxzZ7%Qrm6GT%5FA|tPmP-MfH4}%Qr z3ZqX4vz+t%a0j!C-DJsQtfwF#RuR%<&at$S<1bJgW&&)C-caco_@^P+OC zv}ve7p|vRl+D692BRzdb!hVs@&emes_amS-E} zlr<|?MywHH|A<9&qkv1m2#0|j_guExsYGpuw)1TiXANz>SM(xvzA@f7(^gw-W7=Lb?9P z=E7Rh!rCUyoYJ0vXv0qpvYLu(pQ8?~RAx=E1C+=2*wir#K~lg_wp%gh->d?JEy-nu zO9`=Xb7rM2$!wK~(dzMzMaly?m#Lv;DlW`rJxsRtT2Q3;I^5Gd4Ht1{Y0DtQ&TM~$O?syR<&=BF(@mHB)%twXi;YR8vtcvk1(R_6 zoamim@VaJ9YZY_wR%a@Mq_729OLOOqc$4|K%X8;f&rD}ttS($J^>CeX@c6rS&)#QR z|LKxKxK1tjZQzWT{h#A6b{g8@3mNAm(NfVrt+uYSg?f4FSp*O$OTE1c>tYBQ8K5dy z{#aq>_~Pm}WOyOi+4jy~Hnx)029VaoazszvBFk!6Hr)~HsnzG z4*@PD<;q%>OS$hiO8Pri%}SR1*zM$Jxj?*x9VgIp%Tk|~qNtiLZi0}O&h%DUV}-4j z>Xd>5S4wSQI2CvODilo<7rjj=w9?1*I%K-yFlfP_p&XqsJjQsdK(tG$%Qksh1%vRM zmZAg$ZAk|TVBI-vH#j^ur**O?UzdnOWZ-#$bg)dHoovvGV*$Dp0}#zB9>#P7+v5;? zK-7^akl#_860qbtn{48TQ9anUy4T-EsHzGH9NMcS`o9ZSe=_8KmkkXfd)7%28IysAF7P4llc?yI zO2E5s=&WXx?~7R-SV>7+K^ld=D03<~=aC3n?d^zAwb*(7($?ZGj>LlgSijUI9`q^l z-MmkGO$lOVw?Cg24Iuw56!a=J zTjfe5B~F{q*lSyo9qclOqu{VlZOQKN6t(wV<6Set6p`;F49|A6R>R^(=kh4#HEnUG zgNW-wKG?WlKdlKWd*un4t>w0;bg3UvTYm|px>{QTaXlv7H6_SliC8*t0oJ0&eEOC> zKYoJ%c1Z&9x%0-*vIS$$U$USOVMfv)6P(R+h-}`4k&yNfG|^aPCq|v84wFq0aM!rM zWvG%6L+PeN8oIbib@(Iusk6H8tXyEeD&*rl)yy|rewoE~JX(u8iRw_Z`a*0;Jw_qU z24HPil3%=jIzhvpJX&}_I+NFGOe^Ehh*A*qW0m!TY=QHvCqae$8%BNv!Sur|n0-(9 z4SsBXzzD9WnW(#iUh8#Na^bM(vforxpPXD0VzXdORC@|cL}QcMO2BY7aa;OM%SGPY zRXFW9*&Zlv5@8Z$G3=QtA|jval7796)Ncz2sXrviKBpjqq#vQ6A?KL84I*Wu4b@UI zem0Y_IhEp2c|l=lnZMND!67&>)FwD5dNY=3{)p`pOS;@AslA-?hSz*ke`XCFhgO_J zfdna3Q)7MFXg#{hlqQJ+Kf$Q}7_(^vn_X#IWzj1f?DBJ6mFk+ zBr#H11wU27_RTL?4%WE>spj>T&Vn|izKO^5j%WK<#8V2zA44yu!H7Ex65D^P%qL#FOA z$|A_>m#Lu)*}2+aJ}O)(unBzH0_#)b1sU$OjR;{#@2~%)#n_e~~W&bKr#2ZDZ7x!62tW0c~wy&9($zEP@zU;p; zh7ONQ#FiCRQSd?I2<5SDDsgE4w4z{8fIcBbEk1`HiI%_(RfI3IrZ3B)sEJ#vn0ZBe zvsV@2u~1TW)k~>A2g)mhhrpOC*Ig?1lkV9a*oamsNP>_L07J*Xc;sBi_wt*&P&?ug zMaO{9&s z!lO)e{SC-|JaSaF_n^+-cF5D6O$D>E#w_ z;+{;pDNr8sRA6+J97yxaO_62~w`PyE-W1=PoWrr_BU;3nWt%>XcKXB#!(KUNK~y*W zk@ReTB|75C#tmuhqc`VeQhy~{aYj&kp(ZM_8g>SoOHQEyZJ3(4ZqQntv)Zn}6Es^U z#We%b0j*dO43tCj%X(R?o*!PhnwaaH18g9>js|_hveq_i=@n}RaF{!$J8>cSnEeB3 zLkMeV!e|G~9L1uM+*2As$%cMT8oc0*l`%qPU}1>nbmMlm{@k30q=oTqExGJMDxt(| z)^&^{etsTq>HPD%AQP=set0nM2iHopIHLk8yC5c@C^R^+usA7)0($UZuUINEIg}f1 zmONSWFPkqcSkKdiUY2qj*+HiT%3WbvM83(S+H|dxo@cRDL@TI(VS|x2B`qHB370IY+XP2!F zMevI)zHoye6Q;MxpqnzAngDv0=|nAd6+2@!_dpS!QXNF|<`0@)-QUU{D@6n)_u(>V z4Dl)AnhCv%K;Fx5FY{z>_lG9?BNs6vOTDd0`bSKOCt8AM>A#`D7@j(%9X-l5-o4)IAAeK&7PV>-<%QpTjRZ=#Y`<4NneMcoOCy(|hAW zbRsQ;VG*AdH1Pw~Qb5@=6SYn0P#as=5U5PqsFjJ!n+*bSakuJ_QiP139omr%0sJo=79ee~+5K69K5QQ^0#J11C;< zCih!b=6PVe0k#busa~`4`kP$dt#GZ4t{+N^k^cHDecmB`>JXaco&xO)IOrJi0PPkY zen;1Ja^3_bopb@0pafRrIo2T>U}-kn9qT>8=ZknFK&x{cTr~Q*-`Bp=cUWB>4>u~z za=MG^I5F!tYLVT-)*bh&!sKY3-b$Xta@R2w%aQDu0ZmUt`R0ZI6S8{kF~(Xg{@h?` zeo1V#ExdR_XGMJkZoFdg55yQA!)d>ZrygGpLHWLnDezeLm>00l^Xb-wrgI#(8an&q(B{6QwN5K_& zNTJjKLrkA)aO7EwlZiYBkL8u z<~1qA>N)j#*IPe(0#VAUUe<-N-w=8MPI^_Qq_FJiFy`DMBqtxMjx&xe6lz}SaG79p zMfSiye6bY~MZa|UeSg_s2G^@a+!N6;ZOb-<<8e-fN&a%yp9Xos$Dy{omz#*Rw1s`- z!JQS{0@a#()xQ-qc^aXr5di0)D#Cu~zodUVDHX|?Z0cN*4XUvi)MQB2uAK7#xVIpw zoL9B?teowec}dtUO6DtN8u{amXc6AtAjagy3;j;_&&Ql9IeCL#aFl^54JVHbQgfBz}8Iy%AgDQ+0C0SWXqT?ttiC>2l4Y%s0e(Ko~$q@=A-mGIM z>1%dj^UV6oA~;eUv#Hmw)NjtRg^+f(qfp9$_z>*TxR!fd`6VQfNmlIc64Q*9Z4-Q<^OUD;~e)`puz=6U@m^Y;Q6DNN%WyZ)IDoawz zNaiBIjknEuLXYS0BJ{P_n$5IEAGR&o7eV~uS9<%o!^5KR8t4nB?;Hz(A><;5Ood=o z>$)dxOocw3|B)|?Oo+PW_mj$sK9KZZ4@Giu9xo<*WQ?=`1zGo;_?HPB6o7e<7qO=Z z6!R@U_L+17<~5Pnkctebo^Qf=g{Bh6jB~tFMM^!{YMq5nxr+>Y2tz#>`V%ft!xzDX z%IfuFa$s_$j-fEg(o|Ee-)$?C$b07;HVo%@$?%r?8`Lqy`S6YsUDMqf?wWcfU(d{+iW&V;ldgx3EZD2ZS^Tdpng&Tze=>7I$`moHfl9Y>BK zlw$A)gkWDtWZkkjiCKV@=OIk{;v>jl&1!(G;m#)s5?HD*Z9 z_@OUhgCD7zZfPq!282q~?OHd>@p$9^>5XF%(e4G#k+8CEKH&>h(cX*tHX$>V@*(egJ&Cz8(sqJgtqiM&U6x+@=`3nDw-yn zT}#8ABcoi&2TJ`qzs*Xj`x;)WNt3^@Eb{maXpAdp_FEt@4zI;&o8hjhWlqx5^nt+O z6I0(#RKM;FQ313{UsS?sw9DK&xfWCSMpJcOr1o9}It@uWbCqD$_I>@=18diI@t|I< zT52X55Q*&;XF+ld|JMHrJzee;a{&wGwQQHUo z779GCx}W-9|8iZZz}F^z+n>i2em~FQZVi8}6L`MwLoUHw1fd6AgWm3s4FXyXL_%^b zdv-+dPDaF1*NJZfa}x+_BaYkKoo-Lej%}eibJQ|yr$H+D&0fP+dHE0?+t1i_K3@E% z=4(i^&nJzU#n!y^>%~LgSz%s;*M%nE+08s*zTT~;t#8h&!%ed6F8(lI@5KP;*VDT= zdJb;aUmW{g9?DzGjBA4M*Qco$#36u~*=N`RFM89j@ckcz_dk)Z4r{Lp-C@Fg|IOn4 z_=xQ=-$t?95}=)vWZS!E%a<`OyWlAMFgQx+aVeO8J`T+xQ8)98ZSf<1-t-r6vFPIM zrOj&4uj3SbO5HW=+2$aadQ-CfLC@{r99=IyUxJX?fs?KGRK`TcB@3{Ae+6x98BP|jLXrD>{QMkdw7DKR0JcZoOTmH_vhKfIxQd@MAM z+MP{oUBurqCb#@hh{&MLJu;PTS_kl@KZp&;eDd_M>j&4`khrt4+gUqwO6~z^@0)b$ zMHS6JUfuSITUJeOPUcWDg83)AMDOW(r1o#k<=<&$BiZSB92-noUo7mEv=_$oHK#De zG@1q>X1o28q(KNYreXN_X;`RYCfebg?l7x6rC+lT<=C9(c5=_N#(mnI?Afzsfdkr&Q-(lvB? z#ur!4f*mNMI#m%+=g_yynV1TE#pinK%q2x8;dOJ(_*DxO5(%?l3e(s~>0mjdR)H#+y^n%@cVtwTb$dxD z?eW~`s>T*VWy5;49>wa3V$Fq0alVuip&5kC^y-kw)|~bH_;wPKS7aXd+HwYFzyqQV z=?3!81Qpm%pasd_2U2)Dhyt?U1X@VQeFQq=09v@8{xANgfoC3pu>RA?|BL@=qW@w# z2T=0)V1tGL{1sQBYL@kjhJa*28sQ$$??%G5JddPWX7G!!yQ2Ji47}KBOpK$;~Lgv#=t7 z(qUwvcGq0zk%SepEIF2uWI3zIt{VV-6pBQ4n?pHL=dnt;Bk}vd52&QNIDZL>hL1H@ zF*iM+v*;^#sak+$7c*)>gV|oSjY0(rI^;~780^Nir)#_arLF1_;e0_SBWQb@rTHjZ z%pU-Jm5*Hq_v@@3O2yVBBT2~2WCF~k5g5@#<1}oYMlg)MG$^v6$LFTGKI**fasx)> zKXd`~m5JA(%DQEtQHp_p0--J+`NTWb^v*Ds=GjX*kGTMs8YKJCrp0p{2Xg{qeJj2m&gNfR>?GnH$U{z zYMUW}c9jpcP2!u*e*&a-bW}6FueQT;YSV1AfNxo2 zD>aH%DDO6u$Lh_dGxi$9l9Upzp{Ot6Dn<&57$PZrpuEac1&7%IsXk0OWJA%lu74Pm z9L|&?dHJ(+9Iztx$|VPYw^i|)QH%HA3c)b6P$yE|ruUz{NZP10ne=ZmfeanC+yL_> zB@rA>U0b$Hpv2GEJiCGZ@c!E~Gq@`=9tK)x6H{KgOt;W{kOqTHcPus>>b?2tq)70@ z^D1=Z-I`;HG4H~%)V(&Of6#UVA*Kq=;yH)aS7*1K7h!uFPdjHH>QayN|E_@I$|F`2 zN}3$%GXomG)|{%SOG@D`FLAV|FTKR>(N8~VE!57@b}<~OQ`e~v&8a?8HRW(V%z|u! zW;PDawM(AOr#6( z9H((E=l8>;bk_Qs0tbJ|9eGyA4$Z<2+MRH$$XHksS%wbcoD5+7keRflgLn=Pdz`Q{ z|7@6B6!eNkO?{k=*tAwnnfXM#xF-8;?}+$1$lTXx6t`7LoYv@l)bkC%@~SV+a46SB z#UNr^bC`H1hdG$`qo=>)p8$K{43;MyDpH4RP07dFxI=UkWeDsM=pOf?GLO)~>5W;v zp4AXlLH~V{Jqy9_b~<3CWVKyL&pC(YFK^KI1PDTU<1xA^8R--$k5`;erAb*Wkv*st zDXhkwDMZYOTaGj*EhHnVC9N-Xr#Pdy%oA$GWaeKm%))oOT1t>c&ADESmBlxN=L%xC zzM(sG2BS`L-QKM8pwNi{Xks20Thc96dw-n(a-qZ5pPiAQ+M1^Mt6;6k6aERKHLZ_k z<=Xq+CTHTM+m@3<%X6a6B>$N)ID<|>QM$1Nl12{!{+F{|Q$18)AX7c#?GFtAWr5MH zEVxdKvEoxz&g53Awsr{QybB9H@W}Y4d1pJq<$8@+;K^h|MQx&A!d_6b2L!f2ZwQEP zD;G2Ke&v53!9yTd`Yo4|KQy(AEfL`0=QJdxzC1ZAP5THX_Y=q{t2EI{ONjt2kEO&A z50l0mx96Hf93`Qk@!O)m1g*E$+7Z?-r>u12=hrjKVb?#`qU2ZQbRnz3_%RGK93J{~ z@4XrpV_PSq!J!~rVisfhG)OO~eo2QyL~!N?gdsu{!U{}lo9 zl}1e3B|>iwJwxC?Hchv5(>-1Cx9dk-%~`re#~!#3OMh%;jo;27a4H;$o)wKvHS7d677Ci#88y1YlLgfYi9tPs#2MTZA}_S60?_BXk;>HH z#nc|YiDvZAKY}Z6o8h>MLghwlC-SZTGo4gMn9d+rUA(tX-sfbk&OBoM_*{x`xO$JC zyw8$*z7vH`ywA8;T{`vhOy^px&RiI`na-?OT_6KHTX)H7%PvT#KXZ_TCLz(FHC5*l zPQJzS6F3oj)V{_OcV%~ynCIcpp8vblzJ~u{_k_kp4K#70;C@2+4hNGUD+$FDF#gwc zc{1x|r|Y>u8GQh!afs~}LoqiPBDh6W1R^U{__$d-wl&Q4QX6u5IvKY5T0mX}EXUfx zWU+z3V_-jZV_jQY-QA`Eg?h~;u;AqfLE`I?aLYvNHUe?jVmrX~=0VrEvFJ#lnWx^r zUL2~o_Q`9%^A5kSskYB|hg2Wwv%WCP^xWpxkj72VkF`Ba+d-A8Tm6&pSG#0W(ogp+ z)Q1QR%1M1iV0fi+jhCEBT_=QnMkm84Y%*}?N7sr;y)<}(Hn@c-XloO8gtOUE+_7UC z#FFF*9(?sONfp*)`D*s8GPSLTtnt0_YcyN?Ib2%IaNneh0oJg_)v@0p)*D_cL?)I% zE!V1=XZVkk5PgQlu_T|Qe|1k9l>+wW4WS5MrOuA;2JWi99vc_9Jz9mzQ@SRz*8}9j z`}N};u^TakSE~BUQvzbfc*yQraO;w2G86%x9nG?4O1aIK2(n@49V6QNb<4#00`Ll0 za5JlzMBzZD1GZtnF{s8*J9xnG-6lyiPu|U`bU{2o`da$eea&pSys!Jc0#gDC9 z;2#tDvOyRtEyf-6rJ`b7W^Y<_VdsC2ENtqaL%#{_!BTewt?63cB09GS=Cn!YjmpJI zO?$?P#ET{IY;OJ$u#20aM}l${T`fJee+VN$Vyjl@qgyM#76l^(M45 z>@btt%1jd;CVZyl#N)@h5lN+kv}Hsc7ygu9>VEmy3JT*Wv`?~Y^R{diF^}}c8x;vJ zeqv+`%QY(AvyCLO+DAT$|Jf?{C5P=baqg;PbSNp$kWL<`<8n-*Im`5nQ+!cX$WfO_ zQHS+lZ$V4hQ;%U!MD9Nh@k?GZRvjz32Fs{bxvU3cj3rNa4IQSI73=QGj1Lq4KKRry-VWMhhRdatl~Np!7<*C)AJ)LrMvqh4 ztVu8%rHX_TV5vB4_Jkld4KuD<9fL=GO4h>iCdV0x z2(sn8QNXnf#9gH1q{jZQXNX=z0>f5!K20Gs?B)Y#-_PmH)u78qyWUl5 zk^KEW2s_pPy*rvs+vR^Z6w8}@ezfoV+27pN?FGDa{rZ9gFSDd&wU|=Zm9S1vA2>kK zEEymdmTemIT*DGq_|jN;6#H$#55(;!uoscvt&z|~p3wmQ_m?y`rqK7Q7J9afYwB>m z7V6wECH5R(1nA267%qrzhD%f67Ii2<5U~zyOZ9~tV2o!-_F@2^0t=FGx}ztKk6YP3 zv*%M{Nu*a|4g28#3V5QX=kc<*5}S<8J^yU2E!y<4M;pCr14^fsW`~w>GALhLHW-kK zgMFql!Jp^mQXxd8ZcR^=E_aA|Zzl!+x1^cY6fJ;fqSb`P^ur8X%nEP0B+l0jhd#a9 zVfH~g9rRo2wQt^J6PF91Sw^)wZ`);>vh_uNuekRK_gS`9|54H62+a*zahSu2=Cwd6 zhjEP_Ka|5*3I$~qdSY*J0t=GdXT#W`fp*7jT*YAAQ&clE_OnedSi526y6pTTDPbw4 z!hV5mfr65}b|*GC{eJ?+06G6HFp_izPvu%-*7KtQ2eW;qc`3*}`vXse!wMCITGcsX zdMoKk%X;LJv$v{ZO%_N__fzM7KprH>C4UUdE zs%mz$VCu4W2nyZ2Bdtl;4PagLB8Z9h+;>^|bHjOnD~5kp1pEH^@9goO|z2 zJY_Dfe$Kv!4abAX6%XLt93H|&thIxgaz9-&~{&#;4 zCwHDtE2Ceoo+t5p_(-*$j;@EEz%O4`I?^rHgMB;EMHVP^(1RYU{J!3H^r@Zpia*|8 zTV2jwf0=*UspRnP`+oM!i#(e1=yAJyc(rUi~Mfr1&geWGt(Qbgf3ZhBsy3v%BYm<{4R(`FfPi zllF_74@uw!pvfr5V&9Nsr6!5gK5~abY0Z?%<@ws$T#@my7HEivNs4h`)0`HibL0|_+09o zARzMoMPlA!`~G=z@;bT^)7#?oC6L?K|LwIn+0Ep<#-_+LyU^p) zyWZ37#R#6~gCoLDilPRJHH-D1FArxmpZd&JgHTqUe}NmtPtC9QD$f^-f*#%BCBj~W za*y4f?LaSR9cUddGA%FEyK95l^-(V;j(6n2pNhyR&z?@b*Bg3&8VJn2JanJYbO+qd zE#~JRIQ2Pf-+l4V33_Uf7i!!CE}hKaRV+0ev7JQkat0aOr!#+(hY> zAtGCQ(L}fKxxLt?=+Luh`f>UD0+rG`@ZsRl!iq&;f$O9ViGlPqJu*GB@1b%1>9q2; z&or7c=q@8Znwk5NCEzSs!s5j^@W6YtGJ7uj!6iu}s30iVBgieli^L230Xj=x3i#ESVW{w-SXyUGoElR-Ww57fsl}6y^z(($2Z_(PbeGY>KAZv zzmN6jv1#|x=Vj-PQXzVdP(ru-Bg_usPU`SLC*w!@wob+s%Vw{od>4vBmFU zpREt;zPx#>pY=_?IYpn4c%a@14!iWz!o|VurY^?qt6xOz(Wo9RFWVy?FTZ~uyT77HUglv}#K4fgpdKz6#n#T~JH7-RaHCBf@l zMDTI*_|f$~d-BO(F8sq#*&)(Po<(TKFT2g{bzM8*KpmH#{{CDq6qSeycJm@<>R*!w zNA8~Y&)p_53Fqdn&ilgS4+h|Szy8@wuH;xVdyH`r``P!iBil~2H*+(e9fS7Q?^E8# z5sXTgWDQyd-N4sdKATQ(z1O?r&m%{N4jcJj*)5_iCZ1QaQ@@G}{&Cz%SdZGK5Hr6! z|0N>!QzH1j!X?=*`rVmU@W`K=@DFp@qm64{f+*H0$;gV-G?IEHgmYi^dnH7WgRjdX z*UbW@6*wxb7XNJ4eA4);p1hY|Yq5H<9RD=er%osi{cpMl>SJI7RH`*#o9@gJ_5PBgAWDBegcb-9|H^>vHpzcY~V=zQ37DWWVS)4RQt zx>LB*>lGF6GyG{9m>8aZ73s}Bq!5vR>gwm#6x`MFYx&zCsf&hs1=KACfD@I(_g<%H{Vv+xg<+t39d_so5iR+AZCxQQ>qQYBb6LokQ{#! zmAGHgZ5C*J;Yy}bsC?j1Pdbzsd>;J8(feY(8HnUFzrJPt^5xs4>oz4Dx}UEXMHt`Z z2V+5jJI7~Nimfk7o>AG^o+-7@@6Va$y_WQW4E96=x8~=1eJd`88d`BLZ}&?9RU&$M zp|3|otO|DZTYiVa=K0w)VSDfLi+@0JO4+FcqljPt*J__A5T}7;UV;)nPun{N=DXD& z2!n-$f%q2>a0?I=LthW@Gkv-YCP>a7lvp!=XOMco?{R}@x~3i27QQ&j5N#D5=|Zqx z-OD~U{-tGZ$DrBO;mYD^Mhk@RMjQ&&C#pokW59?NIV1Z$w0VId0Y4iRI0U z$!+1~6H2oBGgl9+)5kuFzQUlFn}9$^&-^Hh)yeJf`$h>=Q4N2+;5gSavc77V#iR7Y z#mhZ8A78}mV+{=k)B_2)Mpu>N=oEv(soy8dBNw{`u!FWAKRtNx{kfR;MG{Ci;a`3j ztmrdeSBK3w)mz+Ue;ie#8dwh`eBSOB5l40d9e-?H@oqZlE?QfUdg;NW3^*xlSgSrA zbmgl(Ck!gLf<A&XAf_+;9m}V3tXz+40BSPB5Z^#N4?yeUB4`z)R5s1 z4hKKlU;eq7dyF@*c=haiZB>~q(OZv!O`{vrv^i?(jB@+i{;oEnPezc6jO9_0GF-hA z?Sas5Z;@04cSAGG$9?yFhlXd22_a2me7>_+ccNbfC(UcZ!uHiEvv2I%`y>Zf}mG`*W#l*?YZRMGHqce73jf z9z)sHlY(-h?wX07r8#|@JGXbC-OCTZ{*0dV3Hr_4B17bdxBNSqFgum)oJTRpDKmcX zgn;|=$n&K@aG;x;>8e?+OCiN4W1pkr)!h~E?0s8d@kD1K5$W~k&DSrDa~)@c#B86) zeO&JEkHHr|lb(Zo@o=0y<&rqw1wDIqJ(=98AU@V3+Y=l(y1rWtu8dX)E?Nyc7sW=1 z7z+;Yx@(o_x$T;8B5X{ym>$x6GIf8vJx_1!`zb1av77iIo_qapkF+QZ?(z2GIE0$; z?5p%r_sZ?<{La{X<+3>9`E6}-)H6QeO&+<|_8Wy*vsZmXm3LZa1N>)$M`rrzR^-oz z-a}SHLhMcfKl|eDCi4kK*#fx0$Hxz>&d_x^7K`>&9|b(0SMy=*E$4-xz6KADRwkc6 z`She{%9!Sy`J#BwZksFQ-}pR!pqF?R)FeDSZ!*-!K@Mth4hmVCxi8}g5=F0;@C-rs z{~o>Es#EZsd}LNTn&AsF`Vn+~+Ot*0DgL-Uzw2oEcptjG``n#g$%Br@Nf~@|Y3uWF zVss}LrSc&Aitnec5nPk~O?tS%o<>KA3P%#hX5W$H?`S@cjZkDC6!KwO;d5T$9QOTw z9yvs*eMx{gnT5|+6%f~O$OTJaONI>hsbFwp@Px+{Jh1FNn#gAc##O&b;Rs^^ln{qu z{p(u^O0e_Hrac*iduE@C!M`XSmB1khqey_&uoGk7(z9Z}7>OK0@^XkDul6+~`}p_I zd6Q;BXRKa(Xoe!Ep%UCA0-T~ZB05|f#+-?6i_sn11d)VZ&s00)mwqItXele%> zMqogwtTcSh*JFmwHZ2dF27D|D&pi%QWH($A5)M%0F#N7O+Pvsk5MA}zWuZZ%9okBu)lq3DYQNNWV3CVM$c59M7hS4Cj%^AMx`%057GBqwD zbr`LTD?I=BDd1)0WE7A8PKdwmI5su>SQ3NV!1q0aI~71g(PTfT)5D#r<1^mJ)43BR zl0AaYRzU6>PDvQ+{AJ;XAs93UzTi%nVGIbBHHEMHdSL<7-dz9knY5 zPxE%gVbL6mI{b3PTi;Z0C__tR2@RCq)taVp{7?>hxJ!)*1IYTd3uF70;(!SXNC+8( z%=B3Xr56PW8nJ>*0VR}nBmgc7&+I42w}D%k{k2z^VVI#cUDi@ynbMlJ;ve25$Y<9q zEFxm00(x)(y=(-1*OEqy>29VejtUk)3Bx$uXQJcAD6GWDtg-Z;ZIENxOce|0bpxtp z;MvL6zx}k@e<4#85b~_n&#TusD2yxqn+zio(m3C;*{d#B2Yc$0g%v0jz zqEHn?Lnp()701A3N5CbY;>?`Z&sTbr1BVNTYcnuBy<#Q3;|PnJCz}h0yFCywI@4dq zNo`053P1x1FWE3>)-vm)h=B&+28Ineo3Ea8u>Sn$kDw6(4Gh2Lq+WRB8puNt7{kL3 zpBklRRQG5a)8#ghs@RCYh0yj!gr!I_Zc90iP8v?StF>rB|0gcDx2mb!qrNJCF>Z&LylKX@&_Xn>|3Xe>oo{UfpTT> zW$|fsMo#m=`hd~(L=$OisA`A`n7@P1=6>6~7NIy$XQ%kYmF*>qTpG^+nrzFEd7YO+ za&Z{b z*|Q%_QwMRhu>eYl0Aj-zIkgd88-V$q>OBz|lT!o+%`Pc>EM7AV#@fK0ws01UcFF}k zH9Yl*psLdN$U}k?WUL|8)rz3cxD;Kq}C8gmZr*y%!I`BSvsyzU?5^_5rGL!&lNxxg-6Y{ zC6~Mfa#w6E%sp>vX2Qe)wlh+zOB!=E&(S4oH*effrN9z0cgi*+ zWR{_Br!vGtak)l;S#vIV0&tP;MN( zE6rXOlW?akN_H)oPO1rL6K-?ZP!KRlE?|<42wEY~=J0F55~2x&PJ@aq+c*sM z+`&EO5#61Pzog@+>&l~wB;mFf;=Q#Zg9z9V9MIzL59H2N+>o83*YR*2#{(HKRnTPr zH*w9l0bdRv%V?_(#$LEe7dwUcgPC*tV{>FG_BT?P&?fO2#;DUFP+1F>e4|xk0_t7E zupu^z!o{(L{Yj})4W~Z*6UcquswHnTt(o0N|C{9Ge@*fkx)HhpB1{H60J%WLECjg? zFm-aIZCOU>?EV8%2+1G=$Z~%JfhpiRYox#`Q^{Z?sN=FJYsc}TW=Qfc7`P$&< zV(BKpm}UbP%cO5im8f;P#L|} zC;>fKiY?*r;PA?zBZoGjZddfh;qkbJoR>$a)9qL$>7rF(P+;PkL#%JfxqVfPKnzBI z4Y27Z*iYWUrvfcER0=hl!W#_>Q=NRs|^gFUhGP(jUwaQDOqvLaeRGAlht54gkW1$Gpr#W2LVT;(P`sOXZt;6NSe->eZc(%BS4!A>v$%u!6*m>K& zz*HQQ>oSS)Cif?pWrD8bKOn1r1CjX$$hK=pw~J#s0OYBCaL$yccxE|{d;I@I;v6EK z&X^uzEn3VeNajPv=!C);fW{~+XzQL?&zN4ojm(I|_!%ay(0c-sPl!*H!srPYzdemkLSR=`dCe|Qq${cH>aPYVfNbVfSB zH98eqfNO+oYk+hy^}kRMXdd_IK$4Lpkc=R4;99IHNVcJ#D_X3$*HAph+~|ehC?T1vtL)KgSpT?Rb^H9X|*}polF=KHzwric`Qhs_mt!|Bv}N z|B0!7rmN>et5s!E`Z)O7sWB=%CSf02?vuB2C_otgy8`?bhVOwYLQzVQOHjL0h`jEL zP_g1>b}9^U1dSYG$JBD3Qj((7n_S2`XG1+Q-E9k$O_6vBkVTdEJyge3QKdo0nZ!@i z5ye6FPB8mfJd7-&_mq)9GaB?DByqq&4;w=Ln>dE&1YwY1VoIO~7fy5Xp{&2*zvYqi za2*0K-yAjVL@7Z@L2=$H+5H?P2U_BQ>H*j)QNvOXZHz%-WO?fCJq-cJ&Jon@X6KE=^2MCr);^{AyS$A=c5kH1 zp~@jb1$nK0YDo(C8XY_01}Qwlhax`DK)Ed1s*!=LzjUF!+sYe=n4HHSOnXcJPpNq{ zo#_cFOp{EhkwBlrk;6-%*HnN05L6vDj?KSm$lP2QYcEJQWkCi3kHcckyzA>|Em(4j z-POZlFDwVGUsJi|xVq(}aOJ!{GZ_E$rCmMzk@;Wd+ggB`G^a_o7^s;4s*vISs*q_A za8C-EKME|PaE@1}S%z6!v*s*$R!#_CwuK_gz^MKu+U9k?R*owx2CgW;ZN~C(@goW+ z`A2;mRV>v6c--z&VaJjeKR9LPKY=-jBTBTW{OZI^-K*R2h%}HIYE_fT99z ze2J9K@#zp7!{O2n3^zQOE&!=VRT0M$M>PQv z7e@xRhxdE6psK8j%!-JmJBK^IrLcSde_n$AkQCr-DV+k9ZfPx=qe(=vQC^}xYDHsA z@?!)VSfTpq5LF?_n=OGAiqlsG8pQB7juNiFaKsMD*71JdVrn2(ZE}Lo*#Wfrdr#5} z3vy)Y2h#yV5n+PRq7YJNHA$mm4ehH=wnD~R@Ga9||J`IfVC2-5LtWXEK_X#;(~65X zCL^i~aJ;gNGa{nuuMhv5`3eJ47WNMh3PNBFSiz8SIx^n*T=m(YkFJLO*`1hXl=e9P zaHJEWu{QkwxZeJ``l6RW`53moyY-Gy)Z_f4 z#{w7y^IZTtG6fRF=dkF)+mDbnf&!RUqFAD6=$P-=s0K#68#^!!EO3s9-!-}oA40NA zr&(856tBX2sty%Motv66_@PiCju|L)<0}8zN5Uu1KsR*!risPV8n;FKs$yr@peXe& z``_H+Z~nh?3l_F;|B=)uRT{Zgy4LWk@;MrV_f)_Bk`1Y$3ppS)^naow6K0@K4R-Z# zn5?t(+QVPUb(jJ6m;czG{x9}8fW7j>6T<$ZJshlE=*r{ z{wdLc4Gvin9(5NbDYB2HG12nIy$K5p4re`biME7bAggYIK(`%hRbdkXkK!`SU zWctBD`q&YcASxms_#7rTWthG_omWs^&*wX3GUs`|&?;qNF>b# zD0CzS&|rC|a}v-XAJAa=H+cYmz4dY!JhAhC@>>D<_=cPieJ=WGUbT(8H3Ilw<%{mM zABc218|OCqq#yJDXP*_oJ_xLIu>#1f@^JAvo1js00a)ty12lUd4@7+47^ed4s=&j) z&FQ`R7dza)>?fe)yEm7uXP{(amwWcl`JyHlAck!<$l%}lt#1njd{uxO5a>RZ12)t7 zG+RC6bHHV<=b&5ArNwC^Kj5@S=ufhQn)rGUO)nKdM0p&7E55y!Y z+5`+FnD|wHVx0a09-xu2faWW&0xTGl^N~TpE!3^}%|A4+Mww{53PhbpnXJ7Edc(;p z-Ike!KmG$Im&0o<6Ia@jwAYpHn68&7mBYHBfM#oAd=9wmO#l{kC&_PY(!m7=2Zo;_ z6mgkGrfx#FFr4+qu}BysWI)8X*dJeuK9F$D;7q>{A7V%1eSH2=Bca}4c31QSn>TUz zjdWkixR6aWM=7T153NjK+KXP%Tj#^Jq8FpD8gISU+U&;Sxu+jS=6o0F#}RD{ZU?q~ zhG2HVU8fZY!2ql#62Bq;O`{~CVyTn8;1%Cw=3E48@>~RS`dkD%(3mpjoJtDzph9Zw7hNbN_JVIFTlZoY zVismw+Xp^%AlLg&mbM}s@Jl&*!5QPCG${?$h8lF!8^@C|t2y#ZJIb@XLfqgJS$@dc z;kzAkT*v7_u;}PA{CvgUUlGvI4>I!Y2l?jqgXDl_3p72Tc@Ff0(4k48O-PpbJ81-9 z`N;d_*Q^h{vd$4X}V_v8`y2_?~dPM$ZPhUR%^`C}f&k2!>w zAN(9EirxhIf7fk3=yQ#!We=@th^k^wsA9JjJ3_)uaSh!tp3g@=ep5r95n)ii+4jT4 z{?2HwWI$O9a!kjq6pU?A+vSZXP$&sPE#UY>TfEGAb69I~4RkV`R|T8rFPPG(zzB z4V%(ZbR5{z{pWu2g!WIA#SrfnG}9d|hE=#G^HvRve6i9bv$T7SwHl)Cp(=y)7QcbC zHhhC=t+YXdUJ1+MM20Gf*QE=g+}JgHmih`d6*g5lw0*dpFRr9JJG7$Sg7Or@JvI`u zJ8yJ#weuymdk!!81ra&d$}6nQb#Mj zO^tC)byvmYbfgoE6U6?dMy+E?=gNNBFW0nS*vJaQ=a(aLY3xy2=Cu3Pm{3unLQ}XU za#m~n-v1>2J?&6TQcTjUN9zDnFE;t|_c|@@$h|*A9&lk^Sj5hmNeh_i6|_R!b`Ltk1`n{0uLoE**8>a&n(lxH*dy0NmL#yW z3>^^utCyIZelMw}F0+`H3x=FHB{aO#Ki?yQ45X&u02WST+?O@gh*bZUI(Sf(r|_Xz zwxh?~C|y#aU(wjJl=dAPIeyhRf22c;T1lSMBuWMCB!FBc&FOF9<$c)Xxx+uTGTU%G z=thfaQQB?Z$xblmQYwQ2U#DHgjDn5 zt)r@C+*0MS8LW?~oZ{lo4+E=K1S%?MVH+trV?4KLa@h#+tA@P*xn_V|13+$O^|04J za>a-yL<;jb(v;X|X@9+Xp|E&$93^XH!V^RL$R)G}#W*YYHGhp!$TGJ%_9v`*Ivp?n{534a^~T1jhrIZY}%9dp`);(VRF-cze6!O=hj;a%dQ?I=&{6a-;k?m zf@i?z=hDiHr8^3bH4KZ@W_B81LQ;jR5Ds%OicBO2eUasoaB{ArEM9NgLE4c0*;Jmu zgMAu_{za~tS5h76)6&&6?EGNwqCgX=b!#jGyhiOD~OW#sDJ z>S?g-H;r^(eWyO?xcwI2998Sxxp544Dv z-R2U|P~%8Fp<{-sRdHw>BdxdC0-kSU)$wFg^881G{u^#Sy9{kJKm){fsDnl<6MZwA zXr*+mDOi6-Zf&GJS+b_`*mUgEVDvYO`U81+1pTs26$od7E9D>Rst-x7=xB|KZ;T0Q zK4nEZG?p{97+NTraDPj^%bR%(OLA=)eq!q|I|lmp8jjQnx*;4U!4vL#k_&3m3~c!Y zK&17g{Qqou}}UQ8KJ*rEQmL zG>O}pjiTx&GOSqAtZ>Pli_0jcnm#G2k$t2iPqd6;lia2G-fp`zW;F}O;~AoG*cttICzJyN$WgYRs+y1JW7*i35=6j z6_iOfYyW~RN)sP)Q*10hrxxbt#di#T;hb6EQtX6A_$pJ#LV^n{Bs^MEzx^0N?&+V3 z&Vsw21V07)ytv|DacXP4Syb^aqk}uyhQh#SeP8W|oESPdcFrz1{GtB)B79AQXGx8t zS=Hf>Chj)53iCQq*6kk5qUr9P5oKBi_}R*mHs#W<=)af4+XU@mnS>r9Dj0*3HrUuL z*%kY{a-qypp|F0Sgl$DDTUW*TCa72?l0wNmfzM1}(E?itU)qUfYGajh3Uw{Zt3~S! z!g{~tvXih+w#>Wc!Wb~Cm9S)1%w#I-yqYw(3dkjLP+@OxEeJ^pVMq4}yes|i-NV{A zfIo^uSk)d`Q!>&Ar7=iFlu$@F@0a!SzA2jI+qf^4$iF5lQoXp8>r`39Pm^&9n>Y)R zRO(#y$U4h}F;MG!bqK{Wql;ubwRU!(KGJO#VZMN0Voh@#H_PxnFd!)J18ybrT$*N9a!89F|8ZQ4 z{)*s4&3kA>JKEy9OfAL{YJNVNq-MwPStwGT4}?FN5@}g~zodS?jcN|a9I&2CV-9P+ z8-8C!I9BoorfKbhOziPrWvc|oT z1GhJDeDbPSl>^Ly66wM*8Sc`E)Qj9ZcfKm4g5jurwWg%R?y{Ixh8xf=gzZ01)T?8I zbN)%36@8t{MUEa%WhxNWd9kwBq`AWseiSI5c4>|iy_s>LmPzXHq{g?3qw`^{8h#Zr z59v~@p47%~Z6C9_-Rz}?EJb{}x?4l?e}E|;>(yjx>4AY!31-XHpV#(mCr8kqc4+pP{HluN&6Y`h zn$3I4cjnh%pP!VGF5@-PnCF#E2pJ$#=m3@w7xczey6?WKum;&tqu8c$SU=ko&P6Mp z7O_oRE=krRitJO7*mK!&2IzTqX*$8}s&L-rG@Trn146WL3~(B>KA2x3>k?Of!IIEp z^_aaa!9X$}qH^VOVSZ3gj-?UgXN}zu-jm~6`rTm;m||UyoW)`|pIzB}K)`3nNGD!B zq$?Nk=CtBrTIR*nEJOBB>E~q=4@QeAnX{xO0gkVf0*{iDU>A0vnKwx^?8x13E5AXp zb$Npdc2PK1J1%^6MqzAVbcB2SCH;7{!Bda$1_7-ubcyeOS%Iya%;|om4zNOPWfH#G z7Moak6??co)cVW?Sw&A_NboHTR*z0?lxhKQjY>M>Ctks@NsRJrU%^UCBy;lv+q>MX z*p1SuSrsM}_D>n5V~`FT(CGm>Rln1)0${^#XK254=^oIl(D-yy*qdRQP90GXoqWR^ zNVnNSN6>RSYV?ch$;Y_ejO6{s;{sf>PP~NazuuwK~eX>P9seGK>Xf7UpJ2oHEuh-uZtr!n?>2nHM}$~wWU`v$nc_1gNv=WHSx zg90YGQ8~+5JE3$YfR0`@d`?Y2jH(=b4%|BFMt5{n!~z2fr)^6xy31m66KcTZXpQ20 zD-@3y2xEP$i8o*rg%dIV`KESb-|dFK`CcXYLr2)X%D&WVOPrsuo;--h&LYj;?YR9l z{V1|}ui;CXTVb>^O+%|D>Lhi`^{HxLSg`o?sItEML?sRsi~ZJY71s)7bWW36xz;=$ zEIw>z)fA|gbowTSEuxwxp;mX#$@M4xR^17f{{u+`vv~-4%)w4L+m$AqLAKIVMOYu-NjalhwDqv)Zw92OBj9|@vt%U3 zm|&T}^pM+MQrXNEQ?WY!7@EnmM2xDuf+B^!VQIY)CR@Q$H1nZ92jbQGRLs`#UoC#vF>2ys#7r@ql{|?)wM~UJ_v` z;}BN_{iT+C99m3`ghTf#!Jutm6laSV!+1gYmo=gwLc^HXC~UI4kpwh(X{gw0xsfpy z-=$@BEU8ONq-C|Oog~jF{3L~6;p`Uz7!l5(jbN!%HS#}4#*A~hoy$j+511seg)cy| zr5Kfr;BYhP88lPLA2d@;N9ax?v7^=T7nwaPSTi#W+|g6TTZP5md(B@~VlkE-OilMQ zc*cRRQR>B8=?y4o z#Z0%sSpqU;;;J7Qx;3kIgXrLy=p3c;P0vGF1o0c!=O$MsQP>B^xi43=$(;&)gw~hxJ#Oa&1^g~t6@r6#lWGw zoTX`YR;Qfa>K5Vnv}Cbtn>}=O+&tO(&OG_F8E#g9z#CYonjs6-8;Kk6UrDf0fs~~) z;kREz68FsRH{<*Tt7K39TVM8M^%y|kZqc~SZqfVEh4@~#>``DD@T46|`|eyupBb*^ zm9OWX;Njca9H!heS!O+N3+3qe%fTg`q}!9>_?6Lyou?2Ee5Q|`!iWf-?w*!noRzmP zeCB4Q5uF!{{wt|+Yj{FkW_>Wwi6j6z=0HbRQ)%fFbhrQh@xE+LaTt-~coM(9M(nMd?hN&)ZhI(7mB|pe<4RM{Xi-1zzO7wgv+%X32{ZogJZY4KS*|Yg zM4f4u*SeH^4S19T>81$5I6(N!E6hCUb1QV5F(=T-^ejZY_8LrhNv%{;;858KV@ZjJ2gRxIr4eaT8nBq_<@M@b&3;9OWC zRhqZ5Em504bAEvnz3NBNiWov%5-$-fzL2i-@1es!jRw5`WjMrI(o$HJ(lWzZ!lLi==@syyDJUaWhqg0 zPma^XSMaEr8J07%emBA^3!KUXRn;kx4$SY_I8*h0nYC0%-z$ZtFUH~XBdYfB2%i0( zsT(FGFVdJ-Vu)3?5){24lEm(I-ZGLTcb_xkq?>mX@X@w@kq^-hb#A4#vP9Kyth zzp?3H0_SPh!xMMWr4)S2wS?hklxq^EBLyD{{PK>Bev%UM4W=QrMr)E7%XN;qOY*>N zEiW|H!Z_|*-3SwuxOlJW#;nmeWbrMQ-BzZy4F$5H+dCMFKh5>|G5 zdfFmpn)(=XR!W=eR~)Z4)iFPz5qYwmLU~;7Q%cOI+6=D^GR)_Tb`$C8HkZ^{_-Unm z>0fjnC2w)Mc7%}4b_yaYgpdb|(C_BLD+TOWxtIph$ysf?F|t-c^cx3;2hJMGRKSi) zb7~h{rG)%Jl`nNIbGjHU!n*-4L}DSV>Jy0C$FmL>*|t{c8@#&OzVyj;D@S?+8cR8j z2SkNETXn14G_~PG)>P3%noGJ%)pR?|_JvSUTpFr(1ki1cTT7{;k&ID4ZafT#)FKfkNThk%R_X(;_l4(yM)IYFQ@xs@Xs+H92 zpZl}ru$rcVwep7GQb#tx-@-jP>_qu0%hSPJ^2_yHTJrnJObZxk6|q%;IlMtJd}19_ zh&0l;80E;JjR(*gU-mxqw=H@eB78AwAhR;^C9^{1OK+mjQ_}s#hPp>ttGq*LVw}#i zRW$IY5)pI0U!pn}KWnGRi+rf-0#o!yG;lBm100OKq9V-K(lU>SCK}_=L67H5Q6P@l zxaUjtrgI$&;9>brIq(^;E&XO8xYQJ_nEE&$DiJ4Sb5Q_&} z9$){Nb3W7_*><&^7;-#_5SQzPF)W$&#cVVE$D6PEqB}Z2-XJ2u1zlhWkNSP9)?(KA z$en__%ay|Noqam`{t__T%2O}QA6g8(&&&WR`@HSAD%EJPGYYMS+F7ka;bKV zfaaS!`-Wp8!|Nf9kSZ`0HRT-v6|$&6h#jWa!W#YFnqiAslG7r4C9O{wCsH2r!kjd9 z#T!)d3z>503+9kf_~*v~lWw}LDg*ba3#39C6h0jD`V38%>pT-kxEd6Q7> zUMr0fV+ZuEpPTP3a>kWX2I;`=r_XUI&>Q%M@sn&NraPMU8Sz5BDkbf;F{lEPR6=6Q z55WHtR6?s)EOrQv98`)Q$9G%LMbD?P{+g#N4cXF!md75{!>t`OaLm9_G#Hdi>-j;F z)8?1igzvYgh;A~_szS1a@?=W4k512eql`J(hZe^v=;4n&uaA-5Np`c@#5}P{HfW=I zjZ*X(-D$o_Z$MwLL7U)?bb7g8f1iqRBOsz?4I8IjTEQ0DjvdY^@-5c2p?)w4tMbt8 z*7e7661M>?!hL;TScM2N&nJ|1q@;#ox8|yKXwtwhn`rQlog4ck)3Pjg2lKxgH<@61 z%lPNDcumF7N9WH4W?fBTf7x%?Ic}sULXp$9&TzGmIBuAb3JTa*IZm~D-LM$Rx=+`& z#)*_HEEnY0NQ=MFbI{j+R^%INuDWE2*nPp4KZ zo9lxXfOLBw^;K29`F4l?m9net5AZ1Aw}WQ_kLu=>eLX+NEJa5w*}qtl*6m?#a2NuK zS%m$VPvrdbY7$QOR8Xu&N!ePs^IGI$cinuv^iDh$P5jm7R%awfR6=23Q8^pw$IH88 zY-YQ@@7r^K+AD|1Ep#J<)-Ukhl#hN&;y<~#Gf)YddbIS6sqKggwh&eYuRKCEms~4Y zJo=CnbNu%PjO3Ter@5i0t0BLugKvWs4vSZ3wWeBjY2_z~XVYJYiWm|wUwl0O_!)mP zIq~rM?f$YlK9@^jDxM_a|A+e-Ek*KJPd)1F1`(`2r+PyHya}v+V{xOARjctJU%|uT z7nbQ^)7|-~lJ5vR2vr8JZk2U#+31_g{$kuT-giRS%-+SD3mP*C2hH)TR$OoVY%nFu zq&jB+&B?ze)@9&BpW`>d+p>yQ(kLBa)i2Ku!)&5(p!D6?UR_*?uI1>*YPQwtK*3fC zcP$73Uay{?g)v4PXTJ}scAvj>)Oz<#{%$)18DBaxx8Qnb9p$?*@}Z2PYI7))-?@{4 z`sZBf^71EI-tcOkm8|loBSfVu*{KFy*UH~eqMMq$8`$s8nchKu7oQ@gRp|%yY!~{_ zBrC<8ox<6qhcC4uy*!_5JQt1Oe_Xg0kR)j15B5#1b<8DzpPq@4wtFpG!o%A#MNBdt zA0f$v1cMqTDUJYlV`m`?$~pE@V7Y%B-k?9G6G~4o=o!d3K}mwvI+aECUvqD&nYJnd}U@xHum&~T5Y%F2dq7W1Icu1PdxIBq-K@V-HYbGFgySW1oj zYnb7vCc==~3|u->%*dx1Ufz!l9PxW38+S25t>$HK!Tz}IlY$pS}29qDLRC6Tb9kYuZRL&UI{)z5>cC|Ida=+C*zA(wv2ZhCSsG`kEq8u9$K)Yxj`D zL}X=8zA1zRJ?wjeoptg* zPtjO3;f72GQQGydyLgf844o+&QF0P}7R!0+zO$kx)HXz|^f!^%8P@*baiXxGurjQl zuraKk1RFY|*czIEhPt?k7qUm2qOgZ>hAN2LqbnFD=$Olx`xQBzx?ee%5$P{p1&3%= zG&6b)RSAxi!p`B5)^;sSeH_+x3dUp6LgK-WQ_E5!F0ei_cd)FrgR(6=mTmS`m5-_& z5XgkwCwEb67EQK)9bv5&`o&VUqoh7 zoHfg5@*HdEVoMM~T{bBfYXWjC4L9?2(|$kikbHbMg3btY#sDiuv#8MzTeq0D|3ao||jGXjkj)4HA}hCW73 z#tG95oS~lKrT14HqC<;FvDTd|62Xgc=2B87FhbkrPe%opo&}}9u=Oi3h0=s3$ zTe6NJZTyg=C2|q2w)UiuyPuz5(*3#XnsD7E_Ic0hYlvmt{H|3UU!-*%8=j3>F40l^ zu;J*$kl`q@4YJJ~QFjTp22X+-p7#OR!f~~M*qSf_J_M%5qlamD!TDERVnUn@t1u^e zbMq`@Tm(5zN~#qg=NMlXq~+F=9w z<}Gzg180puqz~b~jm07j1E*p+qDB}hK!QAKNjwj$TGl>W9}?pcRe!~JLRoRNJ8i-$ zCv#FWVC2uA;@h{Z^_&L#E59wLHc6=e^ws zsEyX&fv@gvh{o?P6&F5mD=l0BEuKbcVG?Myz&%GABbsI2@tbe=%H=3MY4wdB-3tb0 ziVI&KwCtPw9EO{F*NPP6M}&Y0wzp*HyXZQ*q)5uzgPFB)Aa0l{Z&(~t&w*yAc|zYk zj&hlkfwm~)bQ}@cG05E$$in(=G=LYTWepFhrCl?{Gcv4>0~?HPLmyUWReo*QIBkSA zhBH9k(H(sp(T*U~hGNo5OFl2-!pvTbvyeMX|bFFGiBgw*Fk5s@R6 z^=iupm177`(uvQ;S@8F{_UbUaZ;Wj1QFHZ7{I*(8!t3?n_+KTM<_bnb6OLQ>LHTl} zbyl|g7uASu%RC=qd9@xSTY+LHO0^zv%`D6EunOkdaIHKe0(iMK)<6tI z7dKYLIJO0wPQ_yFX)dD#7F!#NSIF~;1s4*IcKZ;sQ#7JRJMJW&4mbOLKQ>sj(J3b! zeefYBj!je(ysMTgT~R4oV~eweZgID?}I&BA++#8 zT##SyF!Ol39Pw;hPvhl_WSqdscALF!0r*>}eOe;EKYDrEwGSx=#lbdx+PpcL%Z_a) zY!v(;;mr7Cjyhd_bfg2|?@WKUp3Lmj6BFMH(VuU*(%2d^4ep!?lv`}uFS$#{^v&h* zmM11-E{%POrRsZPzyc{wl=VHbHES%(6M*6qfZ{o+_-ZD;^ET3@F$v19to)o`nwuRO z2XtE~&UQy=zx2p<{X{+6vyJfW;VcNn%x*T5Txep|Z0MpOo&0njWG>%!_G<))Hl(q* z%X{BQ#9D4C{fy?Io2%C;EeF;vT(S~naSGwVi4{Vo(0I8xdFKhEAcI*%`E7;V#}vEA6#6Wfh# z+qTojY;4=M8{4*RqezSF&v(K5m&)IWk&vUPqCpOhPLpp7& z;}a9^hkKC6xMc7d5j%$Wj!b7{RN&CZt*iqkl5aT`wAH0R)04vL>c)$7KVz)Sj|~&2 zdtz(oDlw3hmSX0fe@wW@FU6EY^G-EZs)lif{H(!gcZzNsT|@}`U*p3A{@mkrwT^!p z?=HuHZ*fXCx1j5;#*n|f=duI0>bW9o(WRIftJQrw4P}I@rK?wc zt*t^nR0aYrs~GDsjP{j;81?T^@xClQ^Hw@ok^Z)06Mm;-y7C zoowGEV*sm5)PYp5SW_f1?9K*Ad^(NWHev0~O%mP*2bJgd_>Z}kJ%LtKFUxP!w1U3N z=F+Mif*PrvQZ>Iq=60&U!T^^2S z4ogSr5e=+YAUpR8H_sO0_aEOoVQck0y&kGVPL{Oji?@pYzJRd7v(AaTe{cM_lzC1> zvY1TB8BHhHTWtM~z4C4v#c*5Iys?J9L34SYCicHQe86tLU*aLaZa$*Iqu5y|#0}ks zsHyTAcgJADHDdO@s}3L6;88_*E8LHVyl`8pzJrK~!_!Qy3G=s{BiL2bcXRc^bM?C8 zjTlptrcB(`2;Fh@XK@)OO^R+x$8bSZ&cVGULhRKU=Tk>8^|CcW|T*xncUkskIV9 zr7UD%o%^zao{~*91)p`~S<}3v*f8dFK_1F|$XW7{UH*slI!1Bm%!kHdhu#-68N}vM z2Y=u_uMM)>uSu8#y6N?`^GDRQZ--)S5&QO5cfhneaR1dZCDY3S}enT z-gQ9b9^hq@PoT;B9~e_Tzo;M?t|HA(TfjfqzW;`7;`6>6bExOLFrox3Sp&vqEuSGo z0IAKt1y(&f`wJE>HJeBK7c6>4-`2%0>hlgi*}4~Bs4VrDXLOJp_uU*V%Y`;RA!gaH zai5lOq81TXDB)=0^j|l-pgM?Ozeb{cyc91U4lia-{-;I(Zr87YQ>|jLYK+aa1G;n2 z!VALxkG0iF&xjs-@sXt#>-NRW%gu@qSIGahxJnuKpiXUf25oK2dB6M8^TYjk%fX;} z#gF~cHDTH0QOX+z?*ZTXj@}y?PnBfrPQl1wy%$q>aI$M^HtAcWqxT~eWxXCX<%s^; z+)fk&wPxy-J52Nclolxe|1K?n7R%Kg%zN5ry-HOxcJS+D2dMAV`EqY=pwxKw@}yE# z!aOqj7~pnvv@?Y|81ki~&6~G!v(lA|$FueK<^G8@B0a%ScBeP?(FjWwogb!mGe4mc zE|BLuIcy%{0uRQdFQK4_3+ntm!r1=pYcd85du~Nyz!qWkgeGo>C!%xV*DCO770dvX zs>G2bPM8Lnrl3{wH6fe$N;>CHLxP!o`~1IHULJ3Zewlq&PB4(7k)pd1%(;Fk#?J_C zopIQT;Bp|dgqVklmw#Y^BE3dRZE8Ua#HZAt%gK|8YK>h>=7Azl)(8_*m&mE;C#l%q z-$UQlf8Q%ABggpKk5v=*QPtng8xuD2I)1LTE{>+=teU3b?=Rk@E=~!eK8~jHg`swK zyJ9|IfsQ?_?HeFaT_U<$^1)Dnw{$0sjmWA`^4M>-f;6uYXB~kkQvuk}0TdS6@P$k1 z-~P>am*V|-q`0uXQLrVjNQ#y0h)oZQS{eBiMkTNf1wD7c!)tb0UUxGi*{kLfZUvpe%*Q)u!gKczNfo;zRPQKm_50wh!xIjG8u3p&`KWJW=Y z&vhD#t}8HFyfn;IUb?1=k9p$-=VW9ilB4PIj);wm+k>2B^YUB4 zkhqJO@ZaIh=T)zt?D^@5I2PMwaf_0=rOCE3M<1NoQ6`?A@us8jEq9TMEphL$JMHN@b5(B{)M zwcy$V(lYOI1Nu$wCF|A)w58S=*o9(pCx@66|v`O}7*}FY%)zX2PBi-1;?194FCF7>KT+(DNVY= zFZ|Q=I`E2EAy=cfd8K5_ndAY-7r7$l0+kQwa((FU(t5yr`F@~wU7@!)FkAN7$Gxl6 z)x-v=JVf^S@aCnZy-ML+y1K=<)by}mYd@FiZz;7QLG{2 zcPQ0 zn~tHQ@QRim^g1xwREijH?5{{tg;=K0_~NLzEIR94pqlfhHOUps>Ed%V$w%#RK-vT! z^=6yBL7#Nj;B4mTSRNblELfGwaYOJ*mPDuU>oXvZCQc?EE*A1GOvlgrXh%|G9W06w zYh15lw(4d;=@fL#p2)jw1Z6&@brY(?v@mo!C}c_WKc8e1^QDO&cVftRt&*wN!u;D; zyrIKM{nf8^GxB^23^J zH@0bmamB&5c!OnCK~(g@Ol!g}PF$v?^6dAkk5V~T%3!oD0{i&MQxs#MO%14g6N-2E zGCEQ$5_iuSxxJ%88o4!|EQ8ZT+0W}KUt~K z?PRoRBS|Hw&AC)arwy&uSs`sJ=J3`2Bu>RcHiAVD;?!@BhxQ>D=aVkZtFsLjy4?M7 zcVxL|VCa5XC{^(RJSwm4XR16?^a&In{0E)|7bF~1pZ+MN=BFc&2O6VMSl2W;68C+{2BjX&<@Qc2vUiM6Rm#T7#1lpz?i%;dKyf9+x*1KS zs(4R1XvB*#wHirN!WB9?ArqPpp|HhKr4KmXKdq%^lyi%;%jA{lMT@i(4%m%f_@rA4 zEYz%ZAWn1Zd7h1_Qe@S>*|f4nO6~*3=%UXM5>-^`_n$ir_P}q>LIX9iZO~2~8L;c< zK-M!&iH)=8^NIkBc_eRZc=XKuzS1`c2*hJ!9=yPNbRja+Yw&6|jNBPM^IRRt-L=)C zV@5&?5`w5>4{H55t0&LIi^4hVR*Q&v)*Tc}WQlqD>V};bT$X8jBiwCImjgcsRA*O0 z$zh%f7MbK)=qjWYvt-NOb-EX)x)OI#%OhqS=2CL6PhXd%k^g|t-wngZOSwDk2m_3~ zQ)ENk*SEnIbV}Mcw{kk&K+iH4i;0bHW;2Y{=^lIHs)PA(t%IG@)$FUdR)mV}m?FKw zCORHMYuI?A-iPb=Y7Mg?(NlOAV`;>hHyuZ+V=fU_6h}I0gX5^haA?rBF&BfcTV7$1 zsUVyfW2>1kPu*?+IXZ8q)H1Sp7C|6~Sj5oR$@K)cH}~IW)aR5I@T{|>h0$Tcd8&#w z;>jD?M?&U8r>N9PG|faKn95P6JOMAHQP%*oc0TV0fycF8(+|B1+iW-=vufa!$5M(0 zIBihyJ##QnztFN>EtL-i4}tKtBy@47+@-#u)d-3YD%pF0cW|8%zJ|%J$kkb^bxP+J zQWs4tqATTbVwimIsn;VQSBk4uT|w+!xL!eA%!NaXO(|lfsjMS#&B0iaL)<2y(ug18 z4Wr;s^2&0GkNhp1YFB-I?QTek3sl)GvRlFV1%{2J$8Mn+qLeFm*ezj`kWV7NdM`vfo zUkNZYwJ?mIQ!S%ZH5gs0woW+o#*CYw*D<*CtY9;%8_6b@RFCMW{FK19GP+;wzZ%x* zfQ2w}yMr||{vdN^0}-fDaB=6zgC%DK8eN9JU+(QNRG zrF%at;BZ!L$ZX!^={y0P%2Y-J>{-1>1F-dAUBRm?p0-t?5xIfIX(rkvB4KEpC7)Wy zJW9-z7T91>+Qq3dGZ(I$=U4Dv!7Bksoew?_>(m+$&?sC`l0CqiOw_r30m>U`AMd<* z{cstWCAbvK;}RgqM@~D?d8ZT|w=&jWubFp?GMBM?&$mbL93?`CrHj6z9ksZdy@!E z?`;lYY@Tn%i*tU~t^PRd*@N~O$%DcP+<*E}2L)S=kTu@<^=)!{K`@Cu<^X-)Z5d`F zrND6`Yeck5qf0(pSFc2_`pCr8gMo5PDQPR?;I$@hH%})C=y&g=5NvzIG&*-%xTn#k zIvzk`Z%xchFlB#Te5c)1w(}G0qhi>Od0O)J2xTszlz*?5&}g!N_^cY@b-05͇> zCh8f7*$p!q;=7Q^DFM4zz6(FKqHjX7@(Ep`;d0l*mZ56M8@MFui^P3&5d*TBS{ z>*TTw+Qs&obQ05Sqcu#rU8-a_PF|N@@RnXsk#NUBr&m-Su8u9FVuHvjB5LlEPsyx3!s*ev6+l4MeUVv6fAhi`VnGFhQz-&)Ldq|n}N7iL+0bjH0Rfkob zL+T)>3TdhgBNNFTTpA^qBP@v>$}#rgTOW>WhZRK_3bB}8&Xhj*8z^0}4qFKrOm5`} z3%AOFcXOBFGLODlx*LB=<3e0uJ&$7@FsJLvT`4yspXiY{(fpL+~e&2F9K=T|=dbeA^mEf%TzO5aWey&LGbjm@3wul|BeF1o-F@_| zuUc|BiGIcvoUZmmSVRCBBjhinHA#Z&k;H!o8IfdE3hd(ZJ}uVJYqu-&Q8(73=*F@s6hV12_SM#Z9Gm4{gUQ6Z zGX26DXSyV2hOCN!qbV; zHq0s7%~_=QX#G%ZV#_R2WEo429F8o1J<)c7@olTpK2zjwbo*#M`M%dzCB z*m5Aardrk1Hb|kFD^Qz`?Zy0Ag#g}|F|C}n0-Ca-WHux`Y^q7%-Z2x zB$X~Ild^uG7Il6%rOqwjd?N%IBmSa}J#b~Go?TiN)_j6uTSLyebimr#vW% zACj2BZ=vnDO}+4eIeU`b0gcOu1~#)ck!*5Vu@{GD=V4c)V2z9iO0AEACnYL@5szwt zO$pyM$GZKIihsqc<@L=&HHDLAY=1_id0RdZE<)Nxb*%BTnw;ckPWQ^H*w||X9-U9e zvt|NeCOL$Q^K-e|OC`A%{vX$b;5|ug^hcGs-75zzRlY4u@5p_yKekQkOFKB_I47;N zQ%JBwI`F!#n5jsNrCsL{9(o=y$GeQZ=zn?$XmBV+jALSjmU!w7}kG0$=;}}@OZt7@#dyIPdW!V0)HJbmdPjjV3;v2)qp!m!X8rE;zd zTKFlY5S#(S7d>Ga$g`iJMl%T5I zigiMoCw=XFo%Ui5_CY1w5+orOf3*}Cm9@IcFx;{UYZ_F`ujdulsjBVw%E84)2ZqlJ z$m+hXI=A(st}3$VTw`T0nI;QClXaG9CWUY7g#17}&R3zqqXb{sxnq|0p-%ZR&-hT< z%sTdoQ<}1#-CeqmSfcM0AJw zlle&U=&~B5eyWDE$7i;cD(6$7#PT+g15209pidL#ptRiabwcJReU^Ng@&JF+XPrh|wJMRKD^XJZ&e*=DL0cPPJ0Xx<%3)i0L!O=p}N7LtC;cn6}V zU<%S4#;S|SC7mIZxHljo5p56m{Br}MemrEEXreQY>D#9faC9lqyZ?$D22PZO+CR$3 zBIv$CB`6+m<4@_#w~)Y*EeUOS4L%cc5T4Mc<6mO?x`PTX-JWVgqa53}pH2uA;QW{K zGOM4qzS-NDSkkj4h?wk)-aG8swlFFc=jTHk!*7y$+a=pPG(l?Fa9jVZ}%(;rUTLw#k9Oh<2a2m znP87Vh!k9;Uduw5lh$FdW(yt>pls{P0*bZXx(6(|rXonaOeA_O?5zbL9Y~=COB0&Z zMq+1B9I|fOMkufFxxgShwG9jOT~&Jhl_v=EJCcOjULgbwLsHMGk~R=s0m6k zRi~byq?3rgWn2sBl!r>bD9R!lNBGSMdsc`+O-?(GxMqWHCW7$rW;~IK`2h38vujC~&91 zxH6)j>r*e=SS@lomUyE!>N13CPd3fOVaJw~YQI477`Rbq8&I!NSg9R5SI{_cHE~@D zK}<)1Pbbvike=h8hYGb=KN-UtxIPEM-_0T@k4)QhtkbMXEjg-Y_VkVODn}1c)=!DQC}?&jU%I(VZLiA-iN{D z{$&pT4i`6ZL>@@{%^mt6@ZIHae1=edD~7bq_1 zrjT;;_~d!KDVLt^n-6QZPv3CMf=;=3wJ%^75?_Q|OuFQCQYmz>3(r2Dv|-lMFWR<#>OH?c`Baq48`{ak z^Zdi+WRy6>GP!Ew-O1`y@prYX%I}qYqvbEMj>ftC3%OO4OkhMJ=f!aNLmetK2uflO3=vvpu3=n7{ZJ#d7c@1BmgJ#Z zR5R{`lBAGk8&mXZ4-FJ+t&xr*q=iLByEQ3%gaKA&wi4#?dXxz&wsj4xB-L(VzG$##>T2Lk6pZ5V#@T(@npe!7HvxHVy5*v zi5NkW!y>sTAcc}Z>6pOCS?2(jjR@XUn-f>VA@xKI#w%KZC9-V|HESs$49@wxxrU@s z_}CH`>bX(#yh9lj7h|1O7s+}0H5Uto5^rfVY68@t(IN1WA<93~*3RX)R@bxHKTkX6 z8DHQaI(9CDmuyCcfRSM!b;v_tXt6p^o^xZ3^MIT<)?{27-Hqy6QK%$LFk_8bDw-<< zXcZ%kK}{M@N}3~WcWSjqs@^BQIPojcj2n+0RwZdfDAA-&-XxXO1e@&QqeMGm zGiYQ@CPWx;6&6w{$-z9xm$vR3Np-Z2CA2o8m3=5px+9$}&sy}{i9`W4f$&&+1*ZvR zt&Xv)DC4!2vTOWq9Te7*luj6r>`b0 z^kw81b(zj8L9%USLKHeGVewT99!e?8(VWManN4O5C5-x*LJ?`9{P3}4Kd?)$g2$nAJ_DYZ+ja_4zMB35Lh8|d&xs8M!H zwN-nrnZ99FEm{3S>csfkc{U_AKB4j!di^2b2o-)VA}zl)_q(<~Zc)$>@tiC|jMzT& zow%{$9Q!EAGkEcdF(wctMCsb(eJbdc3CgABhZJ{lcy1ncqxLnqu-cfyg;_%RS)~Om z8zFg(#|{1jjN}u zyAVBsxG;}}GGV2|CLt2eKgzjwsy{9#A-r=<2;ot0p3Ny>(aPNJN0`rxVbmsP6{usD z35UM1wUZ=`fL2iBW#Dc-?YS4pDxJIrDP(|kmjO@fW3z%3?+?*7LL?ArjhfOz z@bWje1S|slBosfy7ksv%k`O~kG;3xzOHbyPWz8xx$p;&@WQnU+Ngh(Nsq^7t4U!#5 z6Dl^82~(Psl$nOJSa2A6Ls{pvFjWTG)_HYuVGjk>%+2w zYREoeD<9cVnkh|gX4JpdVBcP3)&9hqTE;Lfrh6twR z2xhv2ylf+7h5^LpL3_`4QUX&rN2kksjW%(WByvj#hzK$^VD*{EZb9Lb+PQhmY9+_x zvB#0OE&<*f0by}Fm8Shf!w6Z`c+xW5(|(-pZ!T+b89>HGDI)cnf|GY0ajUtFro4cT z+)HnnWgnM^WC>9xCibX(Vi(p^i+$P+C$^HLx|~8aT5c>f*FMV}$z%m`#va94idc6syNnzN9jv!BS$} zqr>h-(TLNU8^Sj|sOR*`(C5|ao5HIPfz2q<4!ioTX@o&8+a;FT(|;YnMwLoUZdG908nJ;&^i);b6_MTsF#drX7TKL!`8PUsj4VO#e^bQ77A zW!Mwam4#gQ5O$Mc_iJY%J^(B0{ttcrpB#Jy zhMseryY8S1qzay=wY=Sf>5(tPZuSDSJ2yN?`JUCiw|)9UypOQN%f)YUo1d@YDv8%o z_l6)FJ=b{5c8s+W3D1UoM2M%-D41BSqY#MD@|E!I3OBjLW;sWp5qL%*PEB+6@-q2)*OWK6 zNA*KuMzuK2SwTFR2p~E5-MZb%+dT#=SR1jM5 zZbs{?doVGIZTQWHK)uc_8&ZK|^{+L&;81Z~t)UvrSwhxYZhIH)#;*6JHS@_e zl9Nxp5$jV7QzilNZQ)1RGzl2g&Y-X(b8;Ds`R!OOzB*k47h>uAI>T5dnHa~oUJ*Nk zU5(KZTyr7D{iNthjTDiTW0M8qEf_f29{{aS5Ohx#D!F4xHxh2^fzcTT8#4J1_0}5N zEk;3V$-lfyD9h6g-x!HvwD@*E8t4Gr4WJC}DhIa<3JMr!v{Kxo;${!!zY&o-j)_SV zRmcT~@p%(jcd=q;00txXghd4mwu);B3KX(^31tm53S*oJ;SQW=rVohE`>P;lxMaGp zPVn?F9RX9QJrAJ5HBeA*(bQg1MKR@=PMSwd#lop%0_kJx0SOzjENQO@P$Q6S>j#{y zrPN;?TU1tYPniQn0SOv`@qZ-f0TMn7$H2t@X9CWwM7EGm@b9U|)6dq*Wj;wa7xZZ3 z%y5eAmv^HTbpPu$u(`vWZZzME;OJw9%&6c{zWHP}y@x`=2eP2hO`(1#;1u$q(AbLI zTg2-`nnmP3x1-^OngN1}flA~aotV^1Hgs6fgX(1!o?u3Y3kM$Ar-UAFj9nz=^xxPj z8#^t+;ui^R#No?pb*BGB{6k*5%^N-0A1(Rc(9&+hMN3w!+PFsUEWDdRnh9B*Jt%KN zD`@(sB5<11lwb*{Ximo)0jQXeM6Xv^NLct!Mc@>&uyFp5ie@Jse^jhzC6_ArS#|b$MvJ& z%&(k)whHAWK1so#bwY%jaq5`X-k%&ky%FPW8wgbfhaC{<1Oytse1uliP>eaI6Xy{& zfo30g7VPCu+qP3Qd?iag_z^Q1jr*c%B|iHPMa=BPv1C!+`e#(Md2MGHWEfxnGX0h( zyo96_42lm#7z{!hWEk!#E1KT-JrYZ6yFI}?M9!wMW<%k)#W;TvJHcHI%`spDV1haT z_q4v+70kmFbR9%Z28=~GqZQ+xvIp{PQo>lgFp_{&XTd|Xt(o>1wV~}R$x7~Bj+fugs z4(*Lv4;b@^-xEzdzNp$!Dr*0=)6l}~k$h+F`VYKluPy2#pbdy;TG@6#gNX4?e65`a6@F5FAgAYblB2Np& zI23m491eZN9Q0(cYToM(RG|_PA_zl;11{R(0}idBB5biKdo2x6QBos)=mc)sFotu) zu{{n{&^_otq3gf!otAS9QLk8}op3o0dMbea<4_@KOO#YC2R&x&FgXe{Zt_N+IRgqv z`v!W5tk5EMc)KM5e93_dW9WbwWktj=c&|(pw`nnb!Vdog@XANTPWB;QjX!?bGDj(GC`FZ3H4)oQlP>X(YSoCAvNH?6B zaWm!Wn7~ow8*Z{+7W%EzN9^v-20F~EJ>m~5#`?iewSXZ_^vzcHCoWDdG)D0!i0jFl z`D>cB-nYoAdq+nI7^8-2bcuy>2_i0e?Z%-;jHj;u>fQwN(-JHhxNCtDVe?Bl@CYm+ z9JlYJsIsgdjvTo_Jf}lyA<>)Pb<+kXP8S%zZ{W0taq;i7YI^j)k(YvhRSaKTZM?>@+i%o7Q($Tg zlfed$x9)$FXHS{r_jtW{<6(k}dh(7>-)d^(5Vr9q=IViDv@!&{Er1*AXQJewzask$* z3|!|kZ2K*D$=Jy5y?IhB#q7;MST0^>VC-D=z$ z#|iS=Zf;Qv?d~As556fv{F6QMTNaz5abDS!o<6!`VP| zr6>(13j_x8p$7mS@ee+*52Y)Oa|gh&m7-DsI6FXZls53~AAE2h$`+tEnhnHLidq5S z`~W=wkNyWA+K2K5=m9v9QWQ4G0znL*H(nix{Rbc3hl&E|jb{VN0Qmr14xk6%@&Di> z`%qZ`JpiWy}|wi|Oh>>c2LOqrC$}8cs?l*_)ODa>jPX z(OM>@+c}tlHjtw^Wu$_2r&5LTx&J!2C7@}h-CeCQ8uWhld7?S^B3s?%^S#sIcS^)1 z@&m8#hrZO<)(Ywl71qANk}N*;+1#|2k~aR8;WQBBRP~hYr0ra}A* zZD9wJ;oTLV^Y`;F2mH}doBY4;JYyd`7^zNzhek(6M942O^$L;2F#KvwXPAcTS<?{hxHR9EICAS*MTAi6J!q|JQa#ThAQF&*TD1-7pR#aDuF@5XQOzk=6fJ|&KwP| zKw_iW_`o^APD39lPBvqZN0roD}!EcGAYKr)H*BoXs$$Sq#$~#zNwM3Gy4kdcS*qEE~|Z z`R4mj*x2}ChcpSs7XRzJERH1V_=qfyPw0d;U$)H^d0FC_DqXRTV+8N+KF4!8)AbW- zYhFa-$efNhB7MLCnftDqD~eT_U{!4WykIu>T{c&us0zZG2Jq`Yr*qlU^?wb5OCw-i zo%gUJ`p9EE{Z=qj6r}~rghUi@2>Ff8WmPlO4-T7!->jjuZ!rT7-__~ql}?IKY> zhwu32aOG}dK}MqWy$PrYbuvw2QUyQxjRtxe;W8+~oqa%uei-D?DZrWxc@@;Kk|Y!( z1v@~Ql^wf0e7tw9c4{0ydf$YU`*Z5UaKs1nt+UY$JZZ>=7mKe2rB%^%wQI=<2+$3D zu=Yd?WCFq9n%UqjF=Z%(!WtI72-`wsQr&O>6e5Py<&$#gS9}z6pO1tRr_wb@?^#Kw ze}D_b&5zj2f*~FRp$p@6pLqP(Mv`Qt2{{8V-a82h&@V8KL>Boz2MO6DeuqU8YFmtm z;2(>DbiZGp6Evg9|!nnL!Yhv+uc*RDJ%8!s*zuv5b=+n zEO|*+J6g95!!Z;56DUiA*xvguZa`ov>438dOt*Dz8r;ez50BJf z%Lo;3UY{t`4F+Dx4M$(p2Ic-W$0ed?KpE2y3W?B4r!L1UUg7c)^2r;X4$O<`FT- z_Ywm^IC&fU`F&r}Fl|G9|KP<%!mEqf%9U6E0Pt0xAgAC?HOdp68w9B}0S_AS%v6YeLy@tIkLlcWH_J9=q@dGsS?|x z!n9x_;EV%T5Pq$dEZ4!44($i;%*f{#1pM>HJ-2%Q)qULWUc!>n6?y^XL~`J_jB;D zF~3BMJ6!!@_}?|dMiMJs?(k2IdygW@qFo6b>@MEkh~L+5x79`Yh&kMVGo%z_5j_Xn zv*%|*#(@IJqpqlEL@*_5u@EPtp&>{=szvgl*807@zV3uL`%o=zK0YXE7P1|rC)You z;*z~YwwKS(NQ@Tg0N8K&515>62Q43N32BP2g)z>A<1=0r5x#GZX0b?yA=>Vz-4n<% z70Cgr&t$;mFFBe;#u{H zIH;~*qR2JLc z;V~FmeDJyE-LZV5>w-)3rIy@+ALW<+RoMia&%%deJ%w?mzsGvmcoo0+=uZo;zFF4P zP$Ak)Hmn-t2!E{1^z1Fd?Fhn3i4nBQ&VntY|Kpx7W}O5Vj0u;x$N2&d@jEkhOwd2F z0AAP?Qi9VBmI;c#@qz&&KEbXT=>tCC%Ggq)GFb@; z5v0o9M52c#zy~8UL_LMyiM&SdO;F8|W zVz7=Py-bnoLg&^q?M(FoNAC+aEtY@teC^OI{)rGD;<&(Ge|2?Ea zJKfuPeF|at!YO(GNs^WK>56swzMWL)0ZQ(QB`_vC!54M74GR^bm{QTvjiLIIFm>It zB#g8XaV*UE%sIk$bvTn767g!}GW1Z5{LAVf zTlZ~a7$Ll)U;b~Ogi2JgdPfarui*iXOh~*22U~s}e0NtJa&k%RSEKtdfvwUnME8gy zs`0rA7Zv)_S(sf49!{=qu8nP++*@k8Ae<{n6({Vp9UdQ4z1#OQhm+ixvwaLt+?^mJ z*$X5jVX(?fH~NA$@Zj>Y);rl@<>v4I#nV*}3gc6#5~M%E#;Z>{Tp+Y1O0Yw51yu5XSWzYe6od4mgNaB~&SA+qHaQ#XB*>tk2?TmtN8S$4} z1bm&^oxV=aj_$XkKeI2Vt|nF(w0|&O`mUWl0Uw%g*YmmL;6*y6#h_1uWQli&=fLaw z@qGBaar(3NcYP)piF13rpjRr)4YE-2?6(Bwr*sb^8NPV?!fkEifScGBI==ofZ;Em z6;Rq`w$^KhuBow$tZE~BNOZm;I7xECm(1!A%ZEZ&!-1Et=FR-1s|QN2eUI+cygU6R zNYtzXL9vpKzjUsJ2v{lBj)-EQDa_;f9hkdJuoO35DyNLL>WcAbFUJm`G0ucWcAYsv zOic=P`k@ZMQ$$wTI;fa@h)Xf5Knm!1oQ?y2`8=M;>U*6h%o;PUq|xai*&cz-H6!U_LqWdyEkf&aPQ za!fnSq#w%3wicsJIkY>=zkr~`G{hLFSJHB=_ML(05BnH;!1&HA{??XX%5z={CsbKq(MoF+fFkAVG=@KNz@ ztmR(r0YPP)>Hjo!ol#9NOPk&jdJ9VL5u~GlK@z2tf!S1OrG2 z5NRq!LJ1uOgqJ4DOBGN+ks1VU{O&pTeE)WKo_S`@{+Zp`vpc{ge&!{DZl{k0!rKgT zgf*2ItkNQ1SqGV^LMDFTbkBVa5U}RhXalm$;tyP*A81(7$nE6&m<>ADAGAE?|8g>C zW{dF`GScwLOA=L6(D`7g!7aXmgp8RV1)wd(XoEA(_OsuMhF4Jjzk(rs4~}jkBOy5j z=LZ9UmP0D2c}V$1G;0E~KF5ps!1Tv4{8+9vBkB*>Vi12cO<1z}P4mEOFjbh$%AWIVP+MOKSf z46$oJ@8gX7-o%55c4_TZK4}7#&dSaBQ_p&Rfdvciz)CicmV}2 z(#C-xH8`dE?%i234jbQqFH!VIpucpffA#vEJc#3KofJCWUyT|OR)U+u!Q{MU|1hIP zE`?-?uUQ@i-g%4siX#%_jtH=@uRqwt-QvM?WkiL-i}StcTf*u92QB+T>x7gJ`JAGB zun^7?Y!Ybk;CWTL?~yut);wyu)@sP?p@njWgME{Rltdr6uiDx?c`M>`-okTV1Z~Nf z0p*96;TQhR!Xe)-9_t5YT3eeG&&+EZRh-e8hZbO@hoaedYCADwh=a`>~?V|ih#sr`NX1=_PX}J zVWUiCQr&5E=p7|1BRK=20yoqEMtBoV;_|sO>)tX{()!Mt#v0LEkoz@B8KzKvR5j93 zwL5K%jD~w7oxU!7`ZF=lTnQQc;6C)Q3lN>dd|-6pWqPZ=DA};Pi{Ch}Hvy-lPl9 zv>!f@?$|VJ;SPmmS)=StL!A;TBUzM?iW2U$4^E7>QO`jPSHXUIc=Bm8cP?P{q2y3Z z8lVAjukv7Lk+3Kd|8zV4m{KFdeI9lD;*rq)$NL+E(CO)Z{BTvHRv=1r0iu<5y1j(Y zTPf3hAA2yd-6x!L<~dgS|BGx>{4=`CL{HC!7w(V?Z^|DKsk`T$aRCe3-_O@$%?)rO zTqj${1vVjzbvTIjeM`ZlkzJzuLHn(in|RmZW6a%kp!>`rx&X9RGK#%^g06c47{3rx zx~GyLteGj@6T71u1dKc$4BNKm6qlSv){{NxUfp zT9D|Mo~jnpe0y%HK{VW2-rWHR&E8dizPfMVxN{bE#L z%R?#Vd$Qg2Gu2_XT!4#&x$HB6B~Pi2EDGOJbp3u2{Tud`pM%QNIR{lDNCI8 ziUSh1Dqsp*7xKt4>^%mK9zNmy%xgq^As8B_f>L~y7Eh4U(w1n$^SK8(k@{Qnp ztDp5+KRcaW`p)-7?An~haOW_wO`ZA9!DY6v{Nl4iXXQ|b-w*dL|48nJ`MF*9TA3LP zC?8;@o!_a*?jIlJxd$>9G`{unyd{<6l89dK?8sRBZuwM{qh%sPLqSx7kDjk2ixm(< zN$5d`asquFdK2uhB)3wARYN@~G!3h7j0NgFjM#DGwdohnR~;wB#mU&}q>l)z)kD<>O>1WE1<{zHYHJkmQ{QCIL>q$#5L77&fCa)AjQ2jm(&NA(OOgcXzn)YP#O`4K5xyU7fl3Mqh*^h=JKT&&V<6ykFVuXOU#0 zYBD0JOH}NBE>q_hA?9>_aq`9!Fjx0nw5fqN(pKG^t|i3Oz!y1A8J&(GlDb8`hx29y zdU>8|w}CD9<5{@f-tS7J$R4|k>eGKRO!Zl_7iBcqr=IzHi7bFIX7*Q+^A3lyge-2u zlQ5OIjouzr*>Y_oLy!O_aa0c3E2;%ezH9RmR3TeV`<^C3=0sHN-lmwm_9HGP@R7?k z*F@-7NfAk=y6w6Clxeavj7Y8CGF!vUwp!9l|I(xjhWe#o#&o;rldilrqhO!LgNh^| zN_d>=+h1?s-QJ$xL_1_Ztr&bv9CP-8k+N))uy-r1i+ZJz>XEOXG3<8=6f$lO>eZ9$ zAf!wJol)lkTLO=eS@&8G>0jeo;T5OT@11T0)6b^H9NWh}w%9qG|<#8XO7CbT=$E_SidT6;Q%(3*d$4<_ zSSpa4sVD45j(pBl)2{kX01r*!UR@?-_O2&vUrs(}liw?9k)DOx@)kEW!^~2Yx52-S z@)UxH@qp#4j?*U4?l0m0o8NhgC{a*b)wOmq0^E!78$SOmc; z^Vf@tg|ZbBeW#E&{rcsCbK!N|n3^co6^xq6-5&ZLitv`mh0%+6aVmqrVrq`UmN9x? z)gxhpbk$p|>2$KFM8`@}2l=BGHH-0Rr3JL6(>M#B3mO0^ARSGdM1JN|CFj~nSK7Uj zm3kG3w=Y(@c(U(1AlE{pLr93_8Yt5>X?<^L9|I--o@mGB^#+$6N!maAX9ix_9y4mp z#jt$uU3gsbnQ89?KckxNuyK>!plIGigpY8cY;F{Ig1oo=cFc-9q{q&rJMa71} z(xUt2#^Ej8$Cw*#y~D9>wq0b|IN2+zLX|&7T~Ir zG50$at;PoAh5gwJfg*k(!onXsE{;Y~y-tS!K2i4`SR9iIlD7|Juf{_ZyXS+fv zsZJQ}DY3z{H@>s3?rE~MCe1>RC;AuHrlxLz|N{{h6W0r4#Q%KXF_cEpe-tUiR>)6jwPQ2tqpDiZrqx}?jvu+Y?5 zA`SOZvZT(xFf0DM*VD(+zXIiY{VL4q{pwViuiqDtmSAMn+tJE<7i*U?Aun7` zT}NPm<+w)EkPU}p#d=~N#$@JPCN`uI{;|36a*cUaUC31Os5E;f0UgM-x{)_zRAnU$ z^T@wsR&UqZxnht)-}j;KWbLY`CchG$X56l@HI(-0Wm{}v+8milBc1Ihr%iyKBO+Hh za*+dqr=t^+*%x*vB+|O!{?GAuSyfUlzrU9JJxpc zn6(evw}WyQu6La!X7bYt+NrSyZ{a1>cR!R{KKBob+eEYNvxeoXARqE!wT6MeZdmAd zVuSHB%D!;{(Ihtvhy=>vf{&`8Zcb&*QJme+hCS8NTss{S4eG z_h425!R+Q`T3*V1I{RMocdtT~H=3N)gUD*4MUSs7Cs76bgLHYQ%`Ked461D|D~o!& zm&pFgUDj}~nwZ`h(Ci)qm06#H{>Z8({^X>hwF6&@J;WzloQz~2A*l|`eI57aa}e7z zSch*h)7)2(*baDd=E3>orfquS&3cy$B{>8_Y zFL_VKcvS%_mk{N!04I^}nqp0Qk=N!IC1Y-z;(Y?V_nUyM6brHH|5`w%$boT03e&`> z$`oBK2gR}mz8+!rphl{Rf^H@Q0TeWKJGV-vcdg+E_`G+`X%$7`$d*FS`}81;M4_oj zJW6@@Dh9RX&zHviF$w>g{BxZdP2b3-4!EC+`*utT2OF-mq}xWW{tiad+jpPF@WtJB z2r+OTmCxd4>4iJF#wi`6MtfXSGZ;M$Nv(-%x~ZpQylO+OiQ>b2enl9wtn3znrl3=U z2wPLC4;-lU6K8)jx*GwQA()D?cOx*}3j$PAw3S}($`|8|h=*0t?O2B6^u()KU;(tl zqz;mbWFogECLOAxOxp@f$Fx(cF;dVO&&4=@&~&N^ND0e4D|}s=N19IdgMX?yY6Smp zYtwyReIYBKmOtVXgj952?{Z{z<1{fv%5 literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..aa6d55627e9b6f2ec06b644ef97a08978c3d4f51 GIT binary patch literal 1033 zcmV+k1or!^4*>uG0001Zob6g|Z`wK({=Q!k@p%{Lh4NCRnYxxh~>5%s0XA%auBorpKy4(aI**@p^IOp+mY$vk)>F1Pc3FZ+A*;&n~>opBCFZ2lu z&T4m~tAEhPWU?+CV4eU|*E`zn7wsyhR9hO_V6(UCm#}fTQe6&untXN}C{ndUGzqzYlNk~d=a&sO zo2ua$O$nVl-@E7kc84}tHdUKN_)d3V+?pp>&6au5v_UF*;fYWjsj`)PTb!ywP6EOZ zh3SLU>p=daIRhLg&;Ut*>dDj82C7-UD>0v8XV~qZk9zlA8>G#AOO7cLB*Cu?s+#RF zC>#uLzH~1}I|oN@6w+8My@E+N#Sw60?jiBFd zKO!YAM||!)hy2k7e_A`@x&L;(GX!sfy~ik?I@q$X-s-fycGI(5q&LynXy{GT^Kiqr z!OE^+ERKkXoV(lZ@S}Edb3N$wyO(m{OuS7akk6z2=_T=-QBTb4bUJ=pPRRj)I@;l6 z`qt~g-q+jFdB1-Mp~9H}Pq9qSI9iq4kMkzH>0e*%#~VvOX|)Wq-Ll+9r-iJx+tQKM zFY?<=dR6RD zmB+vijYM#Dnmnrs9^=|8jM;U3OO4mWs2HIt|B4YSUA`(+GwIdw=p&pFd2UZz#l}A2 z4q>xcX85AI!w`>AOa)93jjdm|9W;{OSOQ9)pq9)h4sgrG`-ttmOsBo#D8&@7TjVNS`jXn{vk;jvy-AZEy=xa2S- z6$}d)%AO5D$>VoR9)Gq|Mgj?}J?N*+H$O%k1u98}N)9S19?+wYCC?cV20`gJ&J2Y0 zD~Q>6zfYt8iLa!8a|3Cu-g%)cvv{p{@qNXD{s-NES_@aVDzoe3%M0}z4XOSOC-q^s DdAj+| literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx new file mode 100644 index 0000000000000000000000000000000000000000..d5b13c1573c3255a476d4e72a2988a480b9ca395 GIT binary patch literal 9767 zcmXY%bzIx*+r@!(xHH@xh7B(a*TI0nhP%7F4AE?B9@M?u9?vD-(q07%Ag7Dz2!q(CW!2&r2G54Y{&Nx_&N0AkKUzEC&{Lf8*ST-qIeb zXP*vDXSC!>cAg?O+&wvo?uA(C#ou;3CP4%Gj_Vn$wzC>`LNBe4i~7m?7)hY*H-61= zubPc+uf-1XalC}nUxQFK zgfmy$h%aPy+8C&-3-Q%0!6-0T>By0{GY#zc{5Hh}ktpcc1M(KRcL{rYW;_>69?Wi= z*M6z-TAba!X3b&_r#A!fryF0ms6EfFCcb(Ke?7RlGP3LL{QGuf9|!6)O!uusU0WCM zJ=%756#TfANZvN^xBAhzi+35EY25Q-2h!7>2yK}5ex<9iHMKYv?u(eO>6EvFUv7!J z_hDwVd3M};S_ZRp`}e-QxO0(cN6l`u{yg5Aon2_u-DSJ1nf}8Qm?d~)tGx6=1?dTt zyl|impI&=6S(W;&VX;%sbcSKzk6tcv$D?e7oxw&2v0O4aLjRy+a$c9GHsLO;30tCY zVuqr?sf8IfA#=kdC-Fg<^Ha=PgS9)yrV5NaCiK*E_tZHTX3i=UIPi>BCaD5(pC zt**?fVq(Z0~5qt2fepH?|=ZXGv13ktrDP4kkc>^Oja7QY=$?&&35NL)bn z7&x^o=W&jU>IY9iZ!xDCq%mH5I4@R-pp6{ni|U!@22;?Pul=50?)By0_rfnz8Gn3l zk4VdFfa%&LAXDLF*6?8Y%Ih2;F;3FA$~VVbFNM*!H`heUbhHNHa08!4&#gMQ3QsUN zd(hZE<=(bvw%zR~?caxjk*jIm<(*AtvWpjKzRw_c$g%vr)aFkT4BP!>>dkH;UG z#E9fu=bOsWBv%mVgD|xX#DD(ERIm!CwdHs6( z60wo7NN=}c9Fq+KRSG@d96@Hs63Ab7H+TDwG+rBgUioV#g)?`>uOi$yvYdmc4Zc6jUZL0bi^e&kz{AF1`E?AQ<>1 zuhM$dbo6qKzZhp@M?T3c#4g4L6LEOH59MxLh~-ffAH9zb-SItV%b#tiHfM0ejE-2e z{|mW3N)3seg)`((x_E5&bvaCk#U+vx6I;qeofM&^W}2qpDsct!qp-BeaDLmVn&hB}?c_w=76d66)T<6ng^ZCN8(-DL^xDo^vT+F>qdUDIhg)p0OxMibgsZu*3M9KlvE|5)U)PJQz*I8vFTM zj-w$!10Y_SuKCHyG&~J=JTqvVr0#ZioQsnwHI0CyVSpx^lXJXMzu3TBGozim2g;{? z57SsD+Dg^vm7|GGtJZo)Wtz&d7TK86FMGh!J6hm6)X~T2uYw>JZHytCQ{~6 z@VN9A+JW2xUjk6{c!XNK<;D3AlLxwv1fBoaoKN-0VNH!Q@!#3YsYaY6T9y++5!h(B zW+I~(oq>E$8Y40&(?jTaXrFiqd5LZ!sjZ#d%SJ+MhQt|q#*O=V=$G~{u@fd%G7+JM z2h>Q03N!I&tCh7A+a|Qc%^F4-{ag&zw zu}v2f2Yyh{(^L!t?XgS^$L~xX+z4GB}P|bd-q0^bToh%#1P7AQ$wy-Mp{VkC^TeFI-I}{luXcQso_SW(7j!Ay6L(ElvkY>Io86`!N$`CqN>W8 zJGe9Yg|OgF{kg=3nb2h3a>mks1Q|paL5<$G5SHlN!R_Ez;^rmMh<=o3UP}kVE#Ur? z`QSI~+KUi5W|9vi1XXZf^7YNrF?TMRwsLz?g|LUxkIJ#uA4Kc72XW-y zU00-&P$vYUFmTy82FRha=1L1hqYI=}4B=I%=*+veE|ro}rGmrU z&-WgoRggVU1Eq@aEb$=o2y*@q0QFwftNBiSWyO(cN zX{3yPRn1Ja)ZkyuNd@?asW>KcUuEBrnmA=Tw$>Uv9!iwX>F!q7@S z_aNOG%$UdSfmN*~S6(R$iYF0|CYDsF+RSY2bowX$&iw3qgp0^qSfd zgMTUDUL=S{O!|*pgOcn}VGk-~VM-w^GFKWDaPP&9RCgGsJCC%VCs>TqC+N71a_uFK zRC}4EUym9uu5-w@nbe>7q!mANEW3c^enh98wwo0*PRhBibI&GP(RG|>5RUTx(0Q0B zg*jy_Kj}`R+%bW!q3&grRYOcet|yei-VIAwO!zrDW&6j>*4@~j4c~9!aH*KWt1=EH zr^-B`$|t1-v``JsMGlmhmygqw^X0ng{ERLhmFxL?lN!!+Ba@EY0Q6U>V^88dXn<~r z#r!ACWSyv|#6s2-eE~d_Z;Q5d!$r%9VpW!32(97gX*toX{PJ6E(PBHX81fifvBLhW zM8RADWX-Y90r{o2xUrqM47rk*#X5v-?It4Ey17Ak{aqr)CkwD68UEOs0N!_TWjcuN zyozE@_Pm%%eEx7mb_lZO@U{q4<(GMWLlA%L^bm-DSosNa?o~)`Q1MiV$O0>%lbRtf z?+0vLsTSgg3q)jd^z~-4U+uvnSJBWN6rjV)*x35H0cg1p+yJcA|1q-Wc;|@P$}N}- z8(4``sInN=B0HR!6$0UbOvOysE*LM)9IbzTWUBT=yp!C%s)4CXUMLx(b*9l)QcBGk z5_YB}O!VfI)L9^(pWn6;M9Vy#UM6RaEB=ygor`wKT>JMYtg1~kG!Bz^c&wcwZJ_5x zok0c;G%rn}qE@UUNRNsqwsEb6#BkZ~1Hu*J9)VzU6v(&%)q|FY9<3Tm)4 z^K}~0$*)uv_TKO;ny9g9#27>flwExoHd$6N#mT|}Yj-vjJOY{Jv2QVDI&7bjRL!c+hD7N5`M*iA zMB|vVhe}lx$z0xJrYUn8=J+}2H`+75w*=-O9=_SDLjzLun}FzV0D@#i4;OQV+z0J6 zJ{ryUrurt|^G9TI-sRJNLisv?BMwbabQXWfONxLI6nAh;j@oy3hie?tFQs{R&LYsx z=_P~wZ%WNZm)G4(MnZEx%Jq|4bgq&0LMz&g7XtpL(=ILzY4_Ps?kLZ*r>YuU07Qzo zTNm)#mP#MNhg*LO&4%z}-LG(+2W(tR&fT9k&&1Opa(VP_N3sphVryeHdti;Cp(9*V zwEgSH0OAp%YodTAqyv7N@ z4vSQ_yt)p!B3eWcB>)XxD+LT6@Qg50hdvYxwts?U58V*(rIK@zP5Pn z^Aw%qe_a!%<=ertw=L&=`1KyD>gck1%~oy5f)(L$$l-HRO2eF<8mJ{wVX{4+iUj8B z0jKin_JMYeos=*%eUO+uAgcu9s8INu9Z`0C_rkGgS;MaZ_S5jO>~{!^|EN3u<& zKVGPs&{FN7{VhQK-{-#>ebVp8lZIXyM-hmdfg%47AVH`2y4RHD9(|^GaN7mVe8~`r z1--^hq#ut7I_sqGh?)tWv|%?0Hfl$fj*i;iy|0qpp3v&b#>dDKVIf z$adfnt{Tk{ohO++U6;-yi}{yEs{+yc7RjG?3-Ihw*X`I*ql4B1MWYqL*c<+Nasha< z7?Ixsl6tnP0HXuudAB`}H0nsG8((teB+zAqkW}GjYpZ)C%(MPy$o0K`mg?*IjvmRT zrOlFntTx`UarI8Eyqe>WqSC^mo{)Xf$MW+h=c}ck9`hj5qK01U)R#C=1=|PTxU#Pn zZ{@V*Y8QV?%r4j(5EgP+*(!j19on2LNF*@#$9H>2Pa1FQZ6%HlK0a-@WN^3l^CuBE zv_Q zhspPXjlMw63{<6TUSO>Wd7U^~_BFMqJF1B|MZ(q%u{*6DZF&gNcpwQ+X52@K zfXm|}HbcL~z^{3=gWh@wb&kq?lv%m+wjdOqxO8-9Peskbuo8MddGEo(=t>U<;Hv`J zoHPDB#oE85LB(5AkG+ilC;+~^_CMJ|K0WmBfQ?yCfy;(rRJF3$lhG-{=j{5q*7vHu zFoVLHyGZ^Clu0g7wQr0DD((Bm-nnKLLT_AY$9?~ylMyl+e9Ki>a-?FEK=zq=uo{2k zs@xkRx1uAVOA^FdN7}indvkgc=1OY&{C{!2XSn z1kOqs%^fUcwujuvLNZ7f23{QN^{5K~8`#C(*>^|xy$Cc^NTf`gGGUU+xLDTGRo-sM znUc%AVe2-Nq@F56ZZmmXP1-%Ublm_tK;y-v{8mDxpqQ8b{p8pWM)yiVI6YKxqm>+D zs>?G%+0)j0)H$*)^G5G(YPp|>5^+52zw9OzrNB*QdG|DEF-_7IlV3^&J(rgnPD^)I z-ch3O97-0PiE|nJi7-G5yiYTR+swXdind}4SSffQvdNJ~#?cE3piS20xo1v0YQ6Vs z$mG4q->SQ3IP2vhSow&(nO(L6#r^-oA25+{^o+N3`)9_6vhOt47sv!=EG_Y(npf+B zez65Cikfd$CHJ(L6`6pW5EAgYVauC8uLk{^q&2S*Wg~`U-yXL<>Kpb?RY;xa1oZ75 zKo5Ln!I%fwBwB`1%;PL?8R$e@_!o7>Zt833?k;?hQ0m$gHxq&x@0Yt?n674LS8+$r z!0+U8cIKXA)1E(4KRxleYsxGJmDA7z8SZ)kq2(HUz<$vy@kKE!X)_>A$v^c&X!){> zeRQC5PH+dhT7#>7{J$X_htrb+8%PTO>gVcy<{zWvoA~z~_>3p218<-zV9jN&5KK$Y zk9bFzdKk;?gJQQ0|-;{`fV*BbaM*)||ywLaDrC7&T6boZm{&trdmy_{}Su z!WZ(L>2^LO5Lf z3bYXx1Hbv_zxIM~Nf5Ql328>>eNTDau zv~BG-k=ruBmA)1smn1~wZF3^qY_MJDIku_}Q&+BUXTQHqmo8lvL-E!$3rD-Hi5jR07=dMz~{JhZ;pMcjX4E*h;O}XAg!ocUw zu*4rVe6Ljs5-ld{i??O!CrjO##MC`eV*-Z@i`Clp94wB9s7>@8Jh|)?Q3Fh%$uzx%D5q z<$JzWHU`8*Z0F*>ntKhE2>+2mkC98gN~XdhTkMWHZ7(hvmPCwYhN;W_B1#CHg^V4) zrF)kyX5e_wfF^vrThsT!DB0T)gk&2fv`JW>vDY4S$D-MlkvBw{n$$U;uU=Ne8|wUP zZKQ!8eBjR*m3LjY!GD<^dmE*O?C4*bv77*z`ML2zv0!$Q9;wryrmSEt-va*#3Gfb)ToEQT&OWVIG` zj0LgtlcX%^MP%KXu_wg`6F9c0_`c204NQZ{5n*C^ha}qH3x78|3ICw1LO@6U&OZSA z-uGcu0kA4Gi;XB=bk#g?Ufw5EB90uw@RI6g_>{JkZcSnAQ{?on=3SfzXG6(Tos;^d zlQ}8`BJxQX?a{$Jj{s!uL_eYM3~W@a>@SU#M2gRWeO|&DzoRFuE~8q+7~4r%o6ma* zYc#}%{tOXU5pIL862MX*6UOfEw-ei^lU%md-^d7>hyF4{-x79pMQMd#1$&2c;CqW= zAla>_j(TiJ9YzD}WCN0+AIaLnbg?P-w*52okinz7iXPmj>K;MYTj5ww_g;W5K~B+iRkBNEL-9!ucBUA`Y(+(n=)x8= z7L}GHe9^t>Y}vli{@Z*433#fE36I4Wk9NeedZ90_*eclO)H3c>CF23RB_y3xf*jM~ zo9`m*Iv!E^$Ry~r%x0pzCcSrrozEV8X$mh+w+dKYFIZb|7^0NeX z`74r=@+xDqP>8r_C0R*c=6Jxbjp(uIQj|FtR z&W?)*L|FXU)2b@Q=*sVDrag_XwWZJ$qOR*UZr0cA9Y#p&jQo~<9@zOs8PP}(8^AJs z`+0FJ)vn;8WjD2VxkwCSUCCQ-!#$Vbzt!3qJw+Tz$HxV7Fd;4Cjp(yC&vjHH&Erii zji%`v@}$1S>m@QQpa8NKQQyMP&SE)=w}wY|&JhQ2LP6MnVB-DWF%0oZ)D-df7wK-u z1s#wz1In&%5VTQhvr8YLNik7J!GFXb!}1IaJv408+v4YwwZmFtdu%q&*lLxC4$~5A z;T5$-R0At{ex(5ZV~3!u!5H8Szm+Z;#%w!{wj_?ak2g!rmOm!PYql*QX#w49cw5&l zdu01&UY(t^3a;(w8dFZ%A!p6m1+h5c$0*xh=<0IhnzIvPaRDxncbzQYh(S6h;~c%$ zdAEAMSUOane}!3IQyjGRHX zC}JC&l?y7$Vf1@+ysZ7yru^>ks))5h_PAs^*=Q#wMH{bH!&={>YiRi7;jV13dC6Jv>)k-O6eCufr(`c()h$Gt03rD+ z&Fvl+!8QnHnYJ5&0v=pVzI?JQGH(G#R?;6(kTd*jWMc-(!KM2^;isT0B)Y3M+9N5CqbRg=iZZC%KN!*K$5X|-~xvFy{_j1w* z{QjCaU>%7(^t`PXX3g0)yi&l?NKojBO>`(Ol>Z{2O5N+TU%rxz9p+FXRwym>j=J>C7I(mnr^r?FEj;;mSi zT(bzAQ%2h}@%nM%bvGjWXZG5MaaIXSrej5|%`~Y!RbF|t5;rxN}s$v`09)Z~1Kef6Wh=Us&R~e9XBvQd$Frw| ziAiad7)KZ>-ler1LHX0BwKG|V(z2W|^dBVsNvxrLwwh!j)jXoA76TMcuXOK4dDb+k z!8=sog{~}uihF9ld-l-K`=a+e{^0_V*mp~<#f<~|xj6=f72qM0B3d4I7W)vJvHIa8 zXgvqB5ytWr4nGBt)jFQSPRv>ZyR{pNE3WIs&l&At&tel>t0o()=JQl@u+;Tt#m_1U zaq1gNyH>{x%o_414X%c0KdDuuiCWiv=-hQw@D}J`?Oa6JME1ojSbrD zBlZG1M=iBxIUlO=N}sjDt#}spo!GH8qF_v6_G8UWs-IYhS}A2Pt^hqiUvkg4;XB(2 zu_f$fjJDk>4a9Hqkv1IGjeber=x#xB&d>uqjpV~KGBRzdduz=jfU7@77Z`X#?%ghU zK!`kX-dFI9O7i|lGd)#T72}(a~DZhphvr=LhU(*1XnN^h}W{m98^AVVYR3xnICO;SR zD`1@MVQb951!n1IWjKSv+Q8 z=X96dWCJx~UU0+7NZMwmZkP1(7ElWXm8LlT$fOY&Jcrd-qp@YisNF*2P-@m=_ujL` zlEr9`s=;3+4VQ0Ri3?|;Ru7e7oLmX^w#uSO!WXUuEu?tIy}42UEqF~#lAiDc*3&ot z*rhX~yx(Sfj;TPfV~ydkN;nTL7Gd)yPNp9!;VNg zXi*_VjWuR_A}`)Gi1@$e;zU|g%-$=x$5qQtUn2~c zsMyAh2^~9AJnelHNt|FsN_!PA(p|_sicO0LR1SPOrPi}w`qHJ=atEIrOn@=l3^77L zVvV;4<;GIin>C4-+`+Gw4!Ub?x*A9;*{! z+@dktQF=sHdgSqml-57=6jcT|Clo(&P*LajjrW>g?3`@5^2nT=%yDwqR9@QaDE6}? zj}?D7Yv>wzy~b=D7~fItpTRd9>m~ZnFbZ0O{b!U2q2^gY@IUE{0-m^t)xh-!^3G@e zcY_?FUda;>x3^z((MAnguWbY)xu(#(|}*fbZUvZVa(VS?Dxbb_p4YC6H(HX3-Nl!&Xt z9}Klb8122<5z=83Vs-ww$xK&=*k^$72^+^9F#$1athLgBQpA+)X`>JKnIEv0%4~;N zpPoO>e?iSA$BSD*j$3d2?u)f{V|EyCbJ&VYJdX#D@yDG>VqsI(7dbDG^@@()_E=)V z*PMwsqY6{Qm+6IYuKs0KGzXb~5NYYJ2(mSZsc|VFwyCAN+W`$dehJ+WAAqZMA}^WT z?S1$6&odaqtcLB|R43;zdhnuX~!UNAM2lRw#PN!q+)V(1LZ$ z*PBEhGUjpbF>1Hveiu+tasYi-g?D*U6~vjjv2Nz8t42m72fqV;Q-Ca{tu%y!zotDE zrfFeXJw_g#@@JWRW8d3xTWt0fY?nDec{?v#u-Nw->|a8=n-^*Am9_}_(QjZ`twwHP z@8+B19j0Ls@i=y&LKVzPk$6&(*?)Pwc;VAUY*(-7!u*k~>2K8by7wDhR$;^VR27Uw z)OvEGSA>PMbW6c0r%uVk(Jp@$D!Ernx#5zwDG2iUip0vzJz1GZ_~h`A{|@LBwU7Ln zuS1~g+3TVuJi5UwT3W54_jFqLvgYVCXPNp490Pp~>Bvi5VbCsxu39%=x2Pi$-st+K z40LfV!#=f%Q&SwvBW!=3>dD^ubNS}&jcZ{42^8~+U%ad&`+uzP BLuLQ~ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt new file mode 100644 index 0000000..d0b749d --- /dev/null +++ b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt @@ -0,0 +1,1686 @@ + + + + vga_driver_unit/column_counter_sig_4_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_24_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_5_ + stratix_lcell + le + + + vga_control_unit/g_Z + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_0_ + stratix_lcell + le + + + vga_driver_unit/v_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_14_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_13_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_21_ + stratix_lcell + le + + SA0 + + + + vga_control_unit/toggle_counter_sig_16_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_7_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_4_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_8_ + stratix_lcell + le + + + vga_driver_unit/h_enable_sig_Z + stratix_lcell + le + + + vga_driver_unit/vsync_state_0_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_23_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/hsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_2_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_3_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_7_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_3_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_15_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_12_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_19_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_1_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_4_ + stratix_lcell + le + + + dly_counter_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_6_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_7_ + stratix_lcell + le + + + vga_control_unit/toggle_sig_Z + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_3_ + stratix_lcell + le + + + vga_driver_unit/h_sync_Z + stratix_lcell + le + + + vga_driver_unit/vsync_counter_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_11_ + stratix_lcell + le + + + dly_counter_0_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_1_ + stratix_lcell + le + + + vga_control_unit/r_Z + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_counter_9_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_9_ + stratix_lcell + le + + + vga_driver_unit/v_sync_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_20_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/line_counter_sig_5_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_18_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_17_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_22_ + stratix_lcell + le + + SA0 + + + + vga_driver_unit/vsync_state_1_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_4_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_1_ + stratix_lcell + le + + + vga_control_unit/b_Z + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_10_ + stratix_lcell + le + + + vga_control_unit/toggle_counter_sig_0_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_2_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_3_ + stratix_lcell + le + + + vga_driver_unit/hsync_state_6_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_8_ + stratix_lcell + le + + + vga_driver_unit/vsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/column_counter_sig_5_ + stratix_lcell + le + + + vga_driver_unit/hsync_counter_2_ + stratix_lcell + le + + + vga_driver_unit/vsync_state_4_ + stratix_lcell + le + + + vga_driver_unit/line_counter_sig_4_ + stratix_lcell + le + + + + + + + vga_driver:vga_driver_unit|line_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_10 + + + vga_control:vga_control_unit|toggle_counter_sig_11 + + + vga_driver:vga_driver_unit|line_counter_sig_0 + + + vga_control:vga_control_unit|toggle_counter_sig_0 + + + vga_driver:vga_driver_unit|line_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_14 + + + vga_control:vga_control_unit|toggle_counter_sig_1 + + + vga_control:vga_control_unit|toggle_counter_sig_15 + + + vga_control:vga_control_unit|toggle_counter_sig_2 + + + vga_control:vga_control_unit|toggle_counter_sig_12 + + + vga_driver:vga_driver_unit|line_counter_sig_4 + + + vga_driver:vga_driver_unit|line_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_13 + + + vga_driver:vga_driver_unit|line_counter_sig_2 + + + vga_driver:vga_driver_unit|line_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_6 + + + vga_driver:vga_driver_unit|vsync_state_5 + + + vga_driver:vga_driver_unit|vsync_state_4 + + + vga_driver:vga_driver_unit|column_counter_sig_4 + + + vga_driver:vga_driver_unit|vsync_state_3 + + + vga_driver:vga_driver_unit|column_counter_sig_3 + + + vga_driver:vga_driver_unit|vsync_state_2 + + + vga_driver:vga_driver_unit|column_counter_sig_2 + + + vga_driver:vga_driver_unit|vsync_state_1 + + + vga_driver:vga_driver_unit|column_counter_sig_1 + + + vga_driver:vga_driver_unit|hsync_counter_8 + + + vga_driver:vga_driver_unit|vsync_state_0 + + + vga_driver:vga_driver_unit|column_counter_sig_0 + + + vga_driver:vga_driver_unit|hsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_counter_9 + + + vga_driver:vga_driver_unit|v_enable_sig + + + vga_driver:vga_driver_unit|h_sync + + + vga_control:vga_control_unit|toggle_sig + + + vga_control:vga_control_unit|b + + + vga_driver:vga_driver_unit|h_enable_sig + + + dly_counter[1] + + + vga_driver:vga_driver_unit|column_counter_sig_9 + + + vga_driver:vga_driver_unit|column_counter_sig_8 + + + vga_driver:vga_driver_unit|column_counter_sig_7 + + + vga_driver:vga_driver_unit|column_counter_sig_6 + + + vga_driver:vga_driver_unit|column_counter_sig_5 + + + vga_driver:vga_driver_unit|v_sync + + + vga_control:vga_control_unit|toggle_counter_sig_18 + + + vga_control:vga_control_unit|toggle_counter_sig_19 + + + dly_counter[0] + + + vga_control:vga_control_unit|toggle_counter_sig_16 + + + vga_control:vga_control_unit|toggle_counter_sig_17 + + + vga_driver:vga_driver_unit|vsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_counter_4 + + + vga_driver:vga_driver_unit|vsync_counter_3 + + + vga_driver:vga_driver_unit|hsync_counter_3 + + + vga_driver:vga_driver_unit|vsync_counter_4 + + + vga_driver:vga_driver_unit|hsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_state_2 + + + vga_driver:vga_driver_unit|vsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_counter_5 + + + vga_driver:vga_driver_unit|hsync_state_3 + + + vga_driver:vga_driver_unit|hsync_state_0 + + + vga_driver:vga_driver_unit|vsync_counter_6 + + + vga_driver:vga_driver_unit|hsync_counter_0 + + + vga_driver:vga_driver_unit|vsync_counter_7 + + + vga_driver:vga_driver_unit|hsync_state_1 + + + vga_driver:vga_driver_unit|hsync_counter_2 + + + vga_driver:vga_driver_unit|hsync_state_6 + + + vga_driver:vga_driver_unit|vsync_counter_8 + + + vga_driver:vga_driver_unit|hsync_counter_1 + + + vga_driver:vga_driver_unit|vsync_counter_9 + + + vga_driver:vga_driver_unit|hsync_state_4 + + + vga_driver:vga_driver_unit|hsync_state_5 + + + vga_control:vga_control_unit|toggle_counter_sig_7 + + + vga_control:vga_control_unit|toggle_counter_sig_8 + + + vga_control:vga_control_unit|toggle_counter_sig_9 + + + vga_control:vga_control_unit|toggle_counter_sig_3 + + + vga_control:vga_control_unit|toggle_counter_sig_4 + + + vga_control:vga_control_unit|toggle_counter_sig_5 + + + vga_control:vga_control_unit|toggle_counter_sig_6 + + + vga_driver:vga_driver_unit|line_counter_sig_8 + + + vga_driver:vga_driver_unit|line_counter_sig_6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft new file mode 100644 index 0000000..dffb074 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft @@ -0,0 +1,4 @@ +set tool_name "ModelSim (VHDL)" +set corner_file_list { + {{"Slow Model"} {vga.vho vga_vhd.sdo}} +} diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho new file mode 100644 index 0000000..55318bb --- /dev/null +++ b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho @@ -0,0 +1,7773 @@ +-- Copyright (C) 1991-2009 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + +-- VENDOR "Altera" +-- PROGRAM "Quartus II" +-- VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version" + +-- DATE "11/03/2009 17:31:40" + +-- +-- Device: Altera EP1S25F672C6 Package FBGA672 +-- + +-- +-- This VHDL file should be used for ModelSim (VHDL) only +-- + +LIBRARY IEEE, stratix; +USE IEEE.std_logic_1164.all; +USE stratix.stratix_components.all; + +ENTITY vga IS + PORT ( + clk_pin : IN std_logic; + reset_pin : IN std_logic; + r0_pin : OUT std_logic; + r1_pin : OUT std_logic; + r2_pin : OUT std_logic; + g0_pin : OUT std_logic; + g1_pin : OUT std_logic; + g2_pin : OUT std_logic; + b0_pin : OUT std_logic; + b1_pin : OUT std_logic; + hsync_pin : OUT std_logic; + vsync_pin : OUT std_logic; + seven_seg_pin : OUT std_logic_vector(13 DOWNTO 0); + d_hsync : OUT std_logic; + d_vsync : OUT std_logic; + d_column_counter : OUT std_logic_vector(9 DOWNTO 0); + d_line_counter : OUT std_logic_vector(8 DOWNTO 0); + d_set_column_counter : OUT std_logic; + d_set_line_counter : OUT std_logic; + d_hsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_vsync_counter : OUT std_logic_vector(9 DOWNTO 0); + d_set_hsync_counter : OUT std_logic; + d_set_vsync_counter : OUT std_logic; + d_h_enable : OUT std_logic; + d_v_enable : OUT std_logic; + d_r : OUT std_logic; + d_g : OUT std_logic; + d_b : OUT std_logic; + d_hsync_state : OUT std_logic_vector(0 TO 6); + d_vsync_state : OUT std_logic_vector(0 TO 6); + d_state_clk : OUT std_logic; + d_toggle : OUT std_logic; + d_toggle_counter : OUT std_logic_vector(24 DOWNTO 0) + ); +END vga; + +ARCHITECTURE structure OF vga IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_clk_pin : std_logic; +SIGNAL ww_reset_pin : std_logic; +SIGNAL ww_r0_pin : std_logic; +SIGNAL ww_r1_pin : std_logic; +SIGNAL ww_r2_pin : std_logic; +SIGNAL ww_g0_pin : std_logic; +SIGNAL ww_g1_pin : std_logic; +SIGNAL ww_g2_pin : std_logic; +SIGNAL ww_b0_pin : std_logic; +SIGNAL ww_b1_pin : std_logic; +SIGNAL ww_hsync_pin : std_logic; +SIGNAL ww_vsync_pin : std_logic; +SIGNAL ww_seven_seg_pin : std_logic_vector(13 DOWNTO 0); +SIGNAL ww_d_hsync : std_logic; +SIGNAL ww_d_vsync : std_logic; +SIGNAL ww_d_column_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_line_counter : std_logic_vector(8 DOWNTO 0); +SIGNAL ww_d_set_column_counter : std_logic; +SIGNAL ww_d_set_line_counter : std_logic; +SIGNAL ww_d_hsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_vsync_counter : std_logic_vector(9 DOWNTO 0); +SIGNAL ww_d_set_hsync_counter : std_logic; +SIGNAL ww_d_set_vsync_counter : std_logic; +SIGNAL ww_d_h_enable : std_logic; +SIGNAL ww_d_v_enable : std_logic; +SIGNAL ww_d_r : std_logic; +SIGNAL ww_d_g : std_logic; +SIGNAL ww_d_b : std_logic; +SIGNAL ww_d_hsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_vsync_state : std_logic_vector(0 TO 6); +SIGNAL ww_d_state_clk : std_logic; +SIGNAL ww_d_toggle : std_logic; +SIGNAL ww_d_toggle_counter : std_logic_vector(24 DOWNTO 0); +SIGNAL \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\ : std_logic; +SIGNAL \~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; +SIGNAL \clk_pin~combout\ : std_logic; +SIGNAL \reset_pin~combout\ : std_logic; +SIGNAL \vga_driver_unit|un6_dly_counter_0_x\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9_3\ : std_logic; +SIGNAL \vga_driver_unit|un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|un13_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un12_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un10_hsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_hsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|hsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un11_hsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|hsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_7\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_6\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6_1\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglt6\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_8\ : std_logic; +SIGNAL \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_9\ : std_logic; +SIGNAL \vga_driver_unit|un10_column_counter_siglto9\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|column_counter_sig_3\ : std_logic; +SIGNAL \vga_control_unit|un5_v_enablelto3\ : std_logic; +SIGNAL \vga_control_unit|un5_v_enablelto5_0\ : std_logic; +SIGNAL \vga_control_unit|un5_v_enablelto7\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_2\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9_5\ : std_logic; +SIGNAL \vga_driver_unit|un9_vsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_6\ : std_logic; +SIGNAL \vga_driver_unit|G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_1\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_7\ : std_logic; +SIGNAL \vga_driver_unit|un12_vsync_counter_6\ : std_logic; +SIGNAL \vga_driver_unit|un14_vsync_counter_8\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_5\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un15_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_3\ : std_logic; +SIGNAL \vga_driver_unit|un13_vsync_counter_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_next_2_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_2\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_0\ : std_logic; +SIGNAL \vga_driver_unit|d_set_vsync_counter\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_next_1_sqmuxa\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ : std_logic; +SIGNAL \vga_driver_unit|vsync_counter_9\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_4\ : std_logic; +SIGNAL \vga_driver_unit|vsync_state_1\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_0\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_1\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_2\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_4\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_3\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_6\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_5\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglt4_2\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto5\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_8\ : std_logic; +SIGNAL \vga_driver_unit|un10_line_counter_siglto8\ : std_logic; +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ : std_logic; +SIGNAL \vga_driver_unit|line_counter_sig_7\ : std_logic; +SIGNAL \vga_control_unit|un17_v_enablelt2\ : std_logic; +SIGNAL \vga_control_unit|un17_v_enablelto5\ : std_logic; +SIGNAL \vga_control_unit|un17_v_enablelto7\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_0\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_1\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_3\ : std_logic; +SIGNAL \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_2\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_5\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_4\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_6\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_7\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_9\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_8\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_10\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_11\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_13\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_12\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_15\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_14\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_17\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_16\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_18\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ : std_logic; +SIGNAL \vga_control_unit|toggle_counter_sig_19\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto19_4\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto19_5\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto7_4\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto7\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto10\ : std_logic; +SIGNAL \vga_control_unit|un1_toggle_counter_siglto19\ : std_logic; +SIGNAL \vga_control_unit|toggle_sig_0_0_0_g1\ : std_logic; +SIGNAL \vga_control_unit|toggle_sig\ : std_logic; +SIGNAL \vga_control_unit|un9_v_enablelto6\ : std_logic; +SIGNAL \vga_control_unit|un9_v_enablelto9\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|h_enable_sig\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ : std_logic; +SIGNAL \vga_driver_unit|v_enable_sig\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_g0_3\ : std_logic; +SIGNAL \vga_control_unit|b_next_0_g0_5\ : std_logic; +SIGNAL \vga_control_unit|un13_v_enablelto8_a\ : std_logic; +SIGNAL \vga_control_unit|un13_v_enablelto8\ : std_logic; +SIGNAL \vga_control_unit|b\ : std_logic; +SIGNAL \vga_driver_unit|un1_hsync_state_3_0\ : std_logic; +SIGNAL \vga_driver_unit|h_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|h_sync\ : std_logic; +SIGNAL \vga_driver_unit|un1_vsync_state_2_0\ : std_logic; +SIGNAL \vga_driver_unit|v_sync_1_0_0_0_g1\ : std_logic; +SIGNAL \vga_driver_unit|v_sync\ : std_logic; +SIGNAL dly_counter : std_logic_vector(1 DOWNTO 0); +SIGNAL \vga_control_unit|toggle_counter_sig_cout\ : std_logic_vector(17 DOWNTO 1); +SIGNAL \vga_control_unit|un2_toggle_counter_next_cout\ : std_logic_vector(0 DOWNTO 0); +SIGNAL \vga_driver_unit|hsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout\ : std_logic_vector(1 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un1_line_counter_sig_cout\ : std_logic_vector(7 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_combout\ : std_logic_vector(9 DOWNTO 1); +SIGNAL \vga_driver_unit|un2_column_counter_next_cout\ : std_logic_vector(7 DOWNTO 0); +SIGNAL \vga_driver_unit|vsync_counter_cout\ : std_logic_vector(8 DOWNTO 0); +SIGNAL \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_2_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_G_16_i\ : std_logic; +SIGNAL \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ : std_logic; +SIGNAL \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ : std_logic; +SIGNAL \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic; + +BEGIN + +ww_clk_pin <= clk_pin; +ww_reset_pin <= reset_pin; +r0_pin <= ww_r0_pin; +r1_pin <= ww_r1_pin; +r2_pin <= ww_r2_pin; +g0_pin <= ww_g0_pin; +g1_pin <= ww_g1_pin; +g2_pin <= ww_g2_pin; +b0_pin <= ww_b0_pin; +b1_pin <= ww_b1_pin; +hsync_pin <= ww_hsync_pin; +vsync_pin <= ww_vsync_pin; +seven_seg_pin <= ww_seven_seg_pin; +d_hsync <= ww_d_hsync; +d_vsync <= ww_d_vsync; +d_column_counter <= ww_d_column_counter; +d_line_counter <= ww_d_line_counter; +d_set_column_counter <= ww_d_set_column_counter; +d_set_line_counter <= ww_d_set_line_counter; +d_hsync_counter <= ww_d_hsync_counter; +d_vsync_counter <= ww_d_vsync_counter; +d_set_hsync_counter <= ww_d_set_hsync_counter; +d_set_vsync_counter <= ww_d_set_vsync_counter; +d_h_enable <= ww_d_h_enable; +d_v_enable <= ww_d_v_enable; +d_r <= ww_d_r; +d_g <= ww_d_g; +d_b <= ww_d_b; +d_hsync_state <= ww_d_hsync_state; +d_vsync_state <= ww_d_vsync_state; +d_state_clk <= ww_d_state_clk; +d_toggle <= ww_d_toggle; +d_toggle_counter <= ww_d_toggle_counter; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +\vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\; +\vga_driver_unit|ALT_INV_G_2_i\ <= NOT \vga_driver_unit|G_2_i\; +\vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ <= NOT \vga_driver_unit|un9_hsync_counterlt9\; +\vga_driver_unit|ALT_INV_G_16_i\ <= NOT \vga_driver_unit|G_16_i\; +\vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ <= NOT \vga_driver_unit|un9_vsync_counterlt9\; +\vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ <= NOT \vga_control_unit|toggle_sig_0_0_0_g1\; +\ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ <= NOT \~STRATIX_FITTER_CREATED_GND~I_combout\; + +\~STRATIX_FITTER_CREATED_GND~I\ : stratix_lcell +-- Equation(s): +-- \~STRATIX_FITTER_CREATED_GND~I_combout\ = GND + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \~STRATIX_FITTER_CREATED_GND~I_combout\); + +clk_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_clk_pin, + combout => \clk_pin~combout\); + +reset_pin_in : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "input", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => GND, + padio => ww_reset_pin, + combout => \reset_pin~combout\); + +\dly_counter_1_\ : stratix_lcell +-- Equation(s): +-- dly_counter(1) = DFFEAS(\reset_pin~combout\ & (dly_counter(0) # dly_counter(1)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaa0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \reset_pin~combout\, + datac => dly_counter(0), + datad => dly_counter(1), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(1)); + +\dly_counter_0_\ : stratix_lcell +-- Equation(s): +-- dly_counter(0) = DFFEAS(\reset_pin~combout\ & (dly_counter(1) # !dly_counter(0)), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f300", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + datad => \reset_pin~combout\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => dly_counter(0)); + +\vga_driver_unit|vsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un6_dly_counter_0_x\ = !\reset_pin~combout\ # !dly_counter(1) # !dly_counter(0) +-- \vga_driver_unit|vsync_state_6\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3fff", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => dly_counter(0), + datac => dly_counter(1), + datad => \reset_pin~combout\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un6_dly_counter_0_x\, + regout => \vga_driver_unit|vsync_state_6\); + +\vga_driver_unit|hsync_state_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_hsync_counter\ = C1_hsync_state_6 # \vga_driver_unit|hsync_state_0\ +-- \vga_driver_unit|hsync_state_6\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|un6_dly_counter_0_x\, , , VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|hsync_state_0\, + aclr => GND, + sload => VCC, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_hsync_counter\, + regout => \vga_driver_unit|hsync_state_6\); + +\vga_driver_unit|hsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_0\ = DFFEAS(!\vga_driver_unit|hsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(0) = CARRY(\vga_driver_unit|hsync_counter_0\) +-- \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|hsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "33cc", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_0\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_0\, + cout0 => \vga_driver_unit|hsync_counter_cout\(0), + cout1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|hsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_1\ = DFFEAS(\vga_driver_unit|hsync_counter_1\ $ \vga_driver_unit|hsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(1) = CARRY(!\vga_driver_unit|hsync_counter_cout\(0) # !\vga_driver_unit|hsync_counter_1\) +-- \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|hsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_1\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(0), + cin1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_1\, + cout0 => \vga_driver_unit|hsync_counter_cout\(1), + cout1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|hsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_2\ = DFFEAS(\vga_driver_unit|hsync_counter_2\ $ (!\vga_driver_unit|hsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(2) = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout\(1))) +-- \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_2\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(1), + cin1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_2\, + cout0 => \vga_driver_unit|hsync_counter_cout\(2), + cout1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|hsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_3\ = DFFEAS(\vga_driver_unit|hsync_counter_3\ $ (\vga_driver_unit|hsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(3) = CARRY(!\vga_driver_unit|hsync_counter_cout\(2) # !\vga_driver_unit|hsync_counter_3\) +-- \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|hsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_3\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(2), + cin1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_3\, + cout0 => \vga_driver_unit|hsync_counter_cout\(3), + cout1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|hsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_4\ = DFFEAS(\vga_driver_unit|hsync_counter_4\ $ (!\vga_driver_unit|hsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(4) = CARRY(\vga_driver_unit|hsync_counter_4\ & (!\vga_driver_unit|hsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin0 => \vga_driver_unit|hsync_counter_cout\(3), + cin1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_4\, + cout => \vga_driver_unit|hsync_counter_cout\(4)); + +\vga_driver_unit|hsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_5\ = DFFEAS(\vga_driver_unit|hsync_counter_5\ $ \vga_driver_unit|hsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, +-- !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(5) = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_5\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_5\, + cout0 => \vga_driver_unit|hsync_counter_cout\(5), + cout1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|hsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_6\ = DFFEAS(\vga_driver_unit|hsync_counter_6\ $ !(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(5)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(6) = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout\(5)) +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(5), + cin1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_6\, + cout0 => \vga_driver_unit|hsync_counter_cout\(6), + cout1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|hsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_7\ = DFFEAS(\vga_driver_unit|hsync_counter_7\ $ ((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(6)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(7) = CARRY(!\vga_driver_unit|hsync_counter_cout\(6) # !\vga_driver_unit|hsync_counter_7\) +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|hsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(6), + cin1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_7\, + cout0 => \vga_driver_unit|hsync_counter_cout\(7), + cout1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|hsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_8\ = DFFEAS(\vga_driver_unit|hsync_counter_8\ $ (!(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(7)) # (\vga_driver_unit|hsync_counter_cout\(4) & +-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) +-- \vga_driver_unit|hsync_counter_cout\(8) = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout\(7))) +-- \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(7), + cin1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_8\, + cout0 => \vga_driver_unit|hsync_counter_cout\(8), + cout1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|hsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_9\ = DFFEAS((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(8)) # (\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|hsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|hsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_2_i\, + sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\, + cin => \vga_driver_unit|hsync_counter_cout\(4), + cin0 => \vga_driver_unit|hsync_counter_cout\(8), + cin1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_counter_9\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9_3\ = !\vga_driver_unit|hsync_counter_4\ # !\vga_driver_unit|hsync_counter_6\ # !\vga_driver_unit|hsync_counter_7\ # !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_5\, + datab => \vga_driver_unit|hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_6\, + datad => \vga_driver_unit|hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9_3\); + +\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_hsync_counterlt9\ = \vga_driver_unit|un9_hsync_counterlt9_3\ # !\vga_driver_unit|hsync_counter_8\ # !\vga_driver_unit|hsync_counter_9\ # !\vga_driver_unit|un13_hsync_counter_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un13_hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|un9_hsync_counterlt9_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_hsync_counterlt9\); + +\vga_driver_unit|G_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_2_i\ = !\vga_driver_unit|hsync_state_6\ & !\vga_driver_unit|hsync_state_0\ & !\vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un9_hsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "01ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_6\, + datab => \vga_driver_unit|hsync_state_0\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|un9_hsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_2_i\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_7\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_0\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_7\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter_2\ = !\vga_driver_unit|hsync_counter_5\ & \vga_driver_unit|hsync_counter_8\ & \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_5\, + datab => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_4\, + datad => \vga_driver_unit|hsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter_2\); + +\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_hsync_counter\ = !\vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|un13_hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|un13_hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0400", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|un13_hsync_counter_7\, + datac => \vga_driver_unit|hsync_counter_6\, + datad => \vga_driver_unit|un13_hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_hsync_counter\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_9\ & \vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0040", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_3\, + datab => \vga_driver_unit|hsync_counter_9\, + datac => \vga_driver_unit|hsync_counter_8\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter_4\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0100", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_6\, + datac => \vga_driver_unit|hsync_counter_4\, + datad => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter_4\); + +\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_hsync_counter\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|un12_hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|un12_hsync_counter_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|un12_hsync_counter_3\, + datac => \vga_driver_unit|hsync_counter_0\, + datad => \vga_driver_unit|un12_hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_hsync_counter\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_4\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_4\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0011", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_2\, + datad => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_3\); + +\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_hsync_counter_1\ = !\vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0003", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|hsync_counter_8\, + datac => \vga_driver_unit|hsync_counter_9\, + datad => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_hsync_counter_1\); + +\vga_driver_unit|hsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_5\ = DFFEAS(\vga_driver_unit|hsync_state_6\ # \vga_driver_unit|hsync_state_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|hsync_state_6\, + datad => \vga_driver_unit|hsync_state_0\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_5\); + +\vga_driver_unit|hsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_4\ = DFFEAS(\vga_driver_unit|un10_hsync_counter_4\ & \vga_driver_unit|un10_hsync_counter_3\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|hsync_state_5\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_hsync_counter_4\, + datab => \vga_driver_unit|un10_hsync_counter_3\, + datac => \vga_driver_unit|un10_hsync_counter_1\, + datad => \vga_driver_unit|hsync_state_5\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_4\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_3\ = \vga_driver_unit|hsync_counter_1\ & !\vga_driver_unit|hsync_counter_4\ & !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0200", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_1\, + datab => \vga_driver_unit|hsync_counter_4\, + datac => \vga_driver_unit|hsync_counter_3\, + datad => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_3\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|hsync_state_4\ & (!\vga_driver_unit|un11_hsync_counter_3\ # !\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un11_hsync_counter_2\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4ccc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un11_hsync_counter_2\, + datab => \vga_driver_unit|hsync_state_4\, + datac => \vga_driver_unit|un10_hsync_counter_1\, + datad => \vga_driver_unit|un11_hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|hsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|un13_hsync_counter\ & !\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3 # !\vga_driver_unit|un13_hsync_counter\ & (\vga_driver_unit|hsync_state_2\ # +-- !\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3) +-- \vga_driver_unit|hsync_state_3\ = DFFEAS(\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, \vga_driver_unit|hsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7530", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un13_hsync_counter\, + datab => \vga_driver_unit|un12_hsync_counter\, + datac => \vga_driver_unit|hsync_state_1\, + datad => \vga_driver_unit|hsync_state_2\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + regout => \vga_driver_unit|hsync_state_3\); + +\vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|hsync_state_5\ & (!\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un10_hsync_counter_4\ # !\vga_driver_unit|un10_hsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2aaa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_5\, + datab => \vga_driver_unit|un10_hsync_counter_3\, + datac => \vga_driver_unit|un10_hsync_counter_4\, + datad => \vga_driver_unit|un10_hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_next_1_sqmuxa_2\ & !\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\, + datac => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, + datad => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\); + +\vga_driver_unit|hsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_2\ = DFFEAS(\vga_driver_unit|un12_hsync_counter\ & (\vga_driver_unit|hsync_state_3\), GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a0a0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un12_hsync_counter\, + datac => \vga_driver_unit|hsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_2\); + +\vga_driver_unit|hsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_0\ = DFFEAS(\vga_driver_unit|un13_hsync_counter\ & \vga_driver_unit|hsync_state_2\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un13_hsync_counter\, + datad => \vga_driver_unit|hsync_state_2\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_0\); + +\vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_counter_next_1_sqmuxa\ = dly_counter(1) & dly_counter(0) & \reset_pin~combout\ & !\vga_driver_unit|d_set_hsync_counter\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => dly_counter(1), + datab => dly_counter(0), + datac => \reset_pin~combout\, + datad => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|hsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un11_hsync_counter_2\ = \vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0088", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_counter_7\, + datab => \vga_driver_unit|hsync_counter_2\, + datad => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un11_hsync_counter_2\); + +\vga_driver_unit|hsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|hsync_state_1\ = DFFEAS(\vga_driver_unit|un11_hsync_counter_2\ & \vga_driver_unit|hsync_state_4\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|un11_hsync_counter_3\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un11_hsync_counter_2\, + datab => \vga_driver_unit|hsync_state_4\, + datac => \vga_driver_unit|un10_hsync_counter_1\, + datad => \vga_driver_unit|un11_hsync_counter_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|hsync_state_1\); + +\vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ = dly_counter(0) & dly_counter(1) & \reset_pin~combout\ & !\vga_driver_unit|hsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => dly_counter(0), + datab => dly_counter(1), + datac => \reset_pin~combout\, + datad => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|column_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_0\ = DFFEAS(!\vga_driver_unit|un10_column_counter_siglto9\ # !\vga_driver_unit|column_counter_sig_0\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0fff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|column_counter_sig_0\, + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_0\); + +\vga_driver_unit|un2_column_counter_next_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(1) = \vga_driver_unit|column_counter_sig_1\ $ \vga_driver_unit|column_counter_sig_0\ +-- \vga_driver_unit|un2_column_counter_next_cout\(1) = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) +-- \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_1\, + datab => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(1), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\); + +\vga_driver_unit|column_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_1\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(1) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(1), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_1\); + +\vga_driver_unit|un2_column_counter_next_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_cout\(0) = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) +-- \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_1\, + datab => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\, + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\); + +\vga_driver_unit|un2_column_counter_next_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(2) = \vga_driver_unit|column_counter_sig_2\ $ (\vga_driver_unit|un2_column_counter_next_cout\(0)) +-- \vga_driver_unit|un2_column_counter_next_cout\(2) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(0) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) +-- \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_2\, + datab => \vga_driver_unit|column_counter_sig_3\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(0), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(2), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\); + +\vga_driver_unit|un2_column_counter_next_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(4) = \vga_driver_unit|column_counter_sig_4\ $ !\vga_driver_unit|un2_column_counter_next_cout\(2) +-- \vga_driver_unit|un2_column_counter_next_cout\(4) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(2)) +-- \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c308", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(2), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(4), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\); + +\vga_driver_unit|column_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_4\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(4) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(4), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_4\); + +\vga_driver_unit|un2_column_counter_next_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(3) = \vga_driver_unit|column_counter_sig_3\ $ (\vga_driver_unit|column_counter_sig_2\ & \vga_driver_unit|un2_column_counter_next_cout\(1)) +-- \vga_driver_unit|un2_column_counter_next_cout\(3) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(1) # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\) +-- \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_3\, + datab => \vga_driver_unit|column_counter_sig_2\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(1), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(3), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\); + +\vga_driver_unit|un2_column_counter_next_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(5) = \vga_driver_unit|column_counter_sig_5\ $ (\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout\(5) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3)) +-- \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_5\, + datab => \vga_driver_unit|column_counter_sig_4\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(3), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(5), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\); + +\vga_driver_unit|column_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_5\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(5) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(5), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_5\); + +\vga_driver_unit|un2_column_counter_next_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(7) = \vga_driver_unit|column_counter_sig_7\ $ (\vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|un2_column_counter_next_cout\(5)) +-- \vga_driver_unit|un2_column_counter_next_cout\(7) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(5) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) +-- \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_7\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(5), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(7), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\); + +\vga_driver_unit|column_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_7\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(7) & \vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8080", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un2_column_counter_next_combout\(7), + datab => \vga_driver_unit|un10_column_counter_siglto9\, + datac => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_7\); + +\vga_driver_unit|un2_column_counter_next_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(6) = \vga_driver_unit|column_counter_sig_6\ $ (\vga_driver_unit|un2_column_counter_next_cout\(4)) +-- \vga_driver_unit|un2_column_counter_next_cout\(6) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(4) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) +-- \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datab => \vga_driver_unit|column_counter_sig_7\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(4), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(6), + cout0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cout1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\); + +\vga_driver_unit|column_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_6\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(6) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(6), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_6\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6_1\ = !\vga_driver_unit|column_counter_sig_5\ # !\vga_driver_unit|column_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "55ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_6\, + datad => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6_1\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6_2\ = !\vga_driver_unit|column_counter_sig_4\ # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|column_counter_sig_3\, + datac => \vga_driver_unit|column_counter_sig_2\, + datad => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6_2\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglt6\ = \vga_driver_unit|un10_column_counter_siglt6_1\ # \vga_driver_unit|un10_column_counter_siglt6_2\ # !\vga_driver_unit|column_counter_sig_1\ # !\vga_driver_unit|column_counter_sig_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff7", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_0\, + datab => \vga_driver_unit|column_counter_sig_1\, + datac => \vga_driver_unit|un10_column_counter_siglt6_1\, + datad => \vga_driver_unit|un10_column_counter_siglt6_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglt6\); + +\vga_driver_unit|un2_column_counter_next_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(8) = \vga_driver_unit|un2_column_counter_next_cout\(6) $ !\vga_driver_unit|column_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f00f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datad => \vga_driver_unit|column_counter_sig_8\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(6), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(8)); + +\vga_driver_unit|column_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_8\ = DFFEAS(\vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un2_column_counter_next_combout\(8), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|un10_column_counter_siglto9\, + datac => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(8), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_8\); + +\vga_driver_unit|un2_column_counter_next_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un2_column_counter_next_combout\(9) = \vga_driver_unit|column_counter_sig_9\ $ (!\vga_driver_unit|un2_column_counter_next_cout\(7) & \vga_driver_unit|column_counter_sig_8\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a5aa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_9\, + datad => \vga_driver_unit|column_counter_sig_8\, + cin0 => \vga_driver_unit|un2_column_counter_next_cout\(7), + cin1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un2_column_counter_next_combout\(9)); + +\vga_driver_unit|column_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_9\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(9) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(9), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_9\); + +\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_column_counter_siglto9\ = \vga_driver_unit|un10_column_counter_siglt6\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "333b", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un10_column_counter_siglt6\, + datab => \vga_driver_unit|column_counter_sig_9\, + datac => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_column_counter_siglto9\); + +\vga_driver_unit|column_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_2\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(2) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_column_counter_siglto9\, + datad => \vga_driver_unit|un2_column_counter_next_combout\(2), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_2\); + +\vga_driver_unit|column_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|column_counter_sig_3\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(3) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un2_column_counter_next_combout\(3), + datad => \vga_driver_unit|un10_column_counter_siglto9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|column_counter_sig_3\); + +\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un5_v_enablelto3\ = \vga_driver_unit|column_counter_sig_3\ & (\vga_driver_unit|column_counter_sig_2\ # \vga_driver_unit|column_counter_sig_0\ # \vga_driver_unit|column_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaa8", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_3\, + datab => \vga_driver_unit|column_counter_sig_2\, + datac => \vga_driver_unit|column_counter_sig_0\, + datad => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un5_v_enablelto3\); + +\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un5_v_enablelto5_0\ = \vga_driver_unit|column_counter_sig_4\ # \vga_driver_unit|column_counter_sig_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|column_counter_sig_4\, + datad => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un5_v_enablelto5_0\); + +\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un5_v_enablelto7\ = \vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|column_counter_sig_7\ & (\vga_control_unit|un5_v_enablelto3\ # \vga_control_unit|un5_v_enablelto5_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "e000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|un5_v_enablelto3\, + datab => \vga_control_unit|un5_v_enablelto5_0\, + datac => \vga_driver_unit|column_counter_sig_6\, + datad => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un5_v_enablelto7\); + +\vga_driver_unit|un1_line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(1) = \vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|line_counter_sig_0\ +-- \vga_driver_unit|un1_line_counter_sig_cout\(1) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\) +-- \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|d_set_hsync_counter\, + datab => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(1), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\); + +\vga_driver_unit|vsync_counter_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_0\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(0) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\) +-- \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|d_set_hsync_counter\, + datab => \vga_driver_unit|vsync_counter_0\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_0\, + cout0 => \vga_driver_unit|vsync_counter_cout\(0), + cout1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\); + +\vga_driver_unit|vsync_counter_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_1\ = DFFEAS(\vga_driver_unit|vsync_counter_1\ $ \vga_driver_unit|vsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(1) = CARRY(!\vga_driver_unit|vsync_counter_cout\(0) # !\vga_driver_unit|vsync_counter_1\) +-- \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|vsync_counter_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(0), + cin1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_1\, + cout0 => \vga_driver_unit|vsync_counter_cout\(1), + cout1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\); + +\vga_driver_unit|vsync_counter_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_2\ = DFFEAS(\vga_driver_unit|vsync_counter_2\ $ (!\vga_driver_unit|vsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(2) = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout\(1))) +-- \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout[1]~COUT1_12\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(1), + cin1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_2\, + cout0 => \vga_driver_unit|vsync_counter_cout\(2), + cout1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\); + +\vga_driver_unit|vsync_counter_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_3\ = DFFEAS(\vga_driver_unit|vsync_counter_3\ $ (\vga_driver_unit|vsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(3) = CARRY(!\vga_driver_unit|vsync_counter_cout\(2) # !\vga_driver_unit|vsync_counter_3\) +-- \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|vsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_3\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(2), + cin1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_3\, + cout0 => \vga_driver_unit|vsync_counter_cout\(3), + cout1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\); + +\vga_driver_unit|vsync_counter_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_4\ = DFFEAS(\vga_driver_unit|vsync_counter_4\ $ (!\vga_driver_unit|vsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(4) = CARRY(\vga_driver_unit|vsync_counter_4\ & (!\vga_driver_unit|vsync_counter_cout[3]~COUT1_16\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_4\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin0 => \vga_driver_unit|vsync_counter_cout\(3), + cin1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_4\, + cout => \vga_driver_unit|vsync_counter_cout\(4)); + +\vga_driver_unit|vsync_counter_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_5\ = DFFEAS(\vga_driver_unit|vsync_counter_5\ $ \vga_driver_unit|vsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, +-- !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(5) = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "3c3f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_5\, + cout0 => \vga_driver_unit|vsync_counter_cout\(5), + cout1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_6\ = !\vga_driver_unit|vsync_counter_0\ # !\vga_driver_unit|vsync_counter_3\ # !\vga_driver_unit|vsync_counter_1\ # !\vga_driver_unit|vsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_2\, + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_3\, + datad => \vga_driver_unit|vsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_6\); + +\vga_driver_unit|vsync_counter_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_6\ = DFFEAS(\vga_driver_unit|vsync_counter_6\ $ !(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(5)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(6) = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout\(5)) +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout[5]~COUT1_18\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c30c", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_counter_6\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(5), + cin1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_6\, + cout0 => \vga_driver_unit|vsync_counter_cout\(6), + cout1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\); + +\vga_driver_unit|vsync_counter_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_7\ = DFFEAS(\vga_driver_unit|vsync_counter_7\ $ ((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(6)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(7) = CARRY(!\vga_driver_unit|vsync_counter_cout\(6) # !\vga_driver_unit|vsync_counter_7\) +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|vsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a5f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_7\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(6), + cin1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_7\, + cout0 => \vga_driver_unit|vsync_counter_cout\(7), + cout1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\); + +\vga_driver_unit|vsync_counter_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_8\ = DFFEAS(\vga_driver_unit|vsync_counter_8\ $ (!(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(7)) # (\vga_driver_unit|vsync_counter_cout\(4) & +-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) +-- \vga_driver_unit|vsync_counter_cout\(8) = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout\(7))) +-- \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a50a", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(7), + cin1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_8\, + cout0 => \vga_driver_unit|vsync_counter_cout\(8), + cout1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9_5\ = !\vga_driver_unit|vsync_counter_6\ # !\vga_driver_unit|vsync_counter_7\ # !\vga_driver_unit|vsync_counter_8\ # !\vga_driver_unit|vsync_counter_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_7\, + datad => \vga_driver_unit|vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9_5\); + +\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un9_vsync_counterlt9\ = \vga_driver_unit|un9_vsync_counterlt9_6\ # \vga_driver_unit|un9_vsync_counterlt9_5\ # !\vga_driver_unit|vsync_counter_4\ # !\vga_driver_unit|vsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffdf", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datab => \vga_driver_unit|un9_vsync_counterlt9_6\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|un9_vsync_counterlt9_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un9_vsync_counterlt9\); + +\vga_driver_unit|G_16\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|G_16_i\ = !\vga_driver_unit|un6_dly_counter_0_x\ & !\vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_6\ # !\vga_driver_unit|un9_vsync_counterlt9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "5557", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un9_vsync_counterlt9\, + datab => \vga_driver_unit|un6_dly_counter_0_x\, + datac => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|G_16_i\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_7\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_2\ & !\vga_driver_unit|vsync_counter_4\ & !\vga_driver_unit|vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_1\, + datab => \vga_driver_unit|vsync_counter_2\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_7\); + +\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un12_vsync_counter_6\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_6\, + datad => \vga_driver_unit|vsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un12_vsync_counter_6\); + +\vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un14_vsync_counter_8\ = \vga_driver_unit|un12_vsync_counter_7\ & (\vga_driver_unit|un12_vsync_counter_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "cc00", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|un12_vsync_counter_7\, + datad => \vga_driver_unit|un12_vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un14_vsync_counter_8\); + +\vga_driver_unit|vsync_state_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ = C1_vsync_state_3 & (!\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_0\ # !\vga_driver_unit|un14_vsync_counter_8\) +-- \vga_driver_unit|vsync_state_3\ = DFFEAS(\vga_driver_unit|vsync_state_next_1_sqmuxa_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, \vga_driver_unit|vsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "70f0", + operation_mode => "normal", + output_mode => "reg_and_comb", + register_cascade_mode => "off", + sum_lutc_input => "qfbk", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un14_vsync_counter_8\, + datab => \vga_driver_unit|vsync_counter_0\, + datac => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|vsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + sload => VCC, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + regout => \vga_driver_unit|vsync_state_3\); + +\vga_driver_unit|vsync_state_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_5\ = DFFEAS(\vga_driver_unit|vsync_state_6\ # \vga_driver_unit|vsync_state_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fcfc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|vsync_state_6\, + datac => \vga_driver_unit|vsync_state_0\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_5\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|vsync_state_5\ & (\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_0\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8ccc", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|vsync_state_5\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un14_vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|vsync_counter_3\ & !\vga_driver_unit|vsync_counter_2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0040", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_0\, + datab => \vga_driver_unit|vsync_counter_9\, + datac => \vga_driver_unit|vsync_counter_3\, + datad => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un15_vsync_counter_4\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_4\ & \vga_driver_unit|un15_vsync_counter_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0300", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_counter_1\, + datac => \vga_driver_unit|vsync_counter_4\, + datad => \vga_driver_unit|un15_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un15_vsync_counter_4\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_9\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_7\, + datab => \vga_driver_unit|vsync_counter_8\, + datac => \vga_driver_unit|vsync_counter_6\, + datad => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_3\); + +\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un13_vsync_counter_4\ = \vga_driver_unit|vsync_counter_5\ & (\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un13_vsync_counter_3\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "a000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_counter_5\, + datac => \vga_driver_unit|vsync_counter_0\, + datad => \vga_driver_unit|un13_vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un13_vsync_counter_4\); + +\vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|vsync_state_4\ & (!\vga_driver_unit|un13_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_7\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "30f0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|un12_vsync_counter_7\, + datac => \vga_driver_unit|vsync_state_4\, + datad => \vga_driver_unit|un13_vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\); + +\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ # \vga_driver_unit|vsync_state_2\ & (!\vga_driver_unit|un15_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff4c", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un12_vsync_counter_6\, + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un15_vsync_counter_4\, + datad => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\); + +\vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_next_1_sqmuxa_3\ & !\vga_driver_unit|vsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aaab", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\, + datac => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\, + datad => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_next_2_sqmuxa\); + +\vga_driver_unit|vsync_state_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_2\ = DFFEAS(\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_state_3\ & \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "8000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|un14_vsync_counter_8\, + datac => \vga_driver_unit|vsync_state_3\, + datad => \vga_driver_unit|vsync_counter_0\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_2\); + +\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ = \vga_driver_unit|vsync_state_2\ & \vga_driver_unit|un12_vsync_counter_6\ & \vga_driver_unit|un15_vsync_counter_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|un12_vsync_counter_6\, + datad => \vga_driver_unit|un15_vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\); + +\vga_driver_unit|vsync_state_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_0\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|vsync_state_0\ & (!\vga_driver_unit|vsync_state_next_2_sqmuxa\) # !\vga_driver_unit|un6_dly_counter_0_x\ & (\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ +-- # \vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "50dc", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un6_dly_counter_0_x\, + datab => \vga_driver_unit|vsync_state_0\, + datac => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\, + datad => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_0\); + +\vga_driver_unit|d_set_vsync_counter_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|d_set_vsync_counter\ = \vga_driver_unit|vsync_state_0\ # \vga_driver_unit|vsync_state_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|vsync_state_0\, + datad => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|d_set_vsync_counter\); + +\vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_next_1_sqmuxa\ = dly_counter(0) & dly_counter(1) & \reset_pin~combout\ & !\vga_driver_unit|d_set_vsync_counter\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => dly_counter(0), + datab => dly_counter(1), + datac => \reset_pin~combout\, + datad => \vga_driver_unit|d_set_vsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|vsync_counter_next_1_sqmuxa\); + +\vga_driver_unit|vsync_counter_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_counter_9\ = DFFEAS((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(8)) # (\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\) $ +-- \vga_driver_unit|vsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\, + datad => \vga_driver_unit|vsync_counter_9\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_G_16_i\, + sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\, + cin => \vga_driver_unit|vsync_counter_cout\(4), + cin0 => \vga_driver_unit|vsync_counter_cout\(8), + cin1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_counter_9\); + +\vga_driver_unit|vsync_state_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_4\ = DFFEAS(!\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_state_5\ & \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , +-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "4000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_counter_9\, + datab => \vga_driver_unit|un14_vsync_counter_8\, + datac => \vga_driver_unit|vsync_state_5\, + datad => \vga_driver_unit|vsync_counter_0\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_4\); + +\vga_driver_unit|vsync_state_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|vsync_state_1\ = DFFEAS(\vga_driver_unit|vsync_state_4\ & \vga_driver_unit|un12_vsync_counter_7\ & !\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|un13_vsync_counter_4\, GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0800", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_state_4\, + datab => \vga_driver_unit|un12_vsync_counter_7\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|un13_vsync_counter_4\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|vsync_state_1\); + +\vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ = dly_counter(1) & \reset_pin~combout\ & dly_counter(0) & !\vga_driver_unit|vsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0080", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => dly_counter(1), + datab => \reset_pin~combout\, + datac => dly_counter(0), + datad => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\); + +\vga_driver_unit|line_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_0\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(1) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(1), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_0\); + +\vga_driver_unit|un1_line_counter_sig_a_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_a_cout\(1) = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) +-- \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_0\, + datab => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\, + cout0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cout1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\); + +\vga_driver_unit|un1_line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(2) = \vga_driver_unit|line_counter_sig_1\ $ \vga_driver_unit|un1_line_counter_sig_a_cout\(1) +-- \vga_driver_unit|un1_line_counter_sig_cout\(2) = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout\(1) # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) +-- \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + cin0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(2), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\); + +\vga_driver_unit|line_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_1\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(2) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(2), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_1\); + +\vga_driver_unit|un1_line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(3) = \vga_driver_unit|line_counter_sig_2\ $ (\vga_driver_unit|line_counter_sig_1\ & \vga_driver_unit|un1_line_counter_sig_cout\(1)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(3) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(1) # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\) +-- \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_1\, + datab => \vga_driver_unit|line_counter_sig_2\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(1), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(3), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\); + +\vga_driver_unit|line_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_2\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(3) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(3), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_2\); + +\vga_driver_unit|un1_line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(5) = \vga_driver_unit|line_counter_sig_4\ $ (\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(5) = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3)) +-- \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "c608", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_3\, + datab => \vga_driver_unit|line_counter_sig_4\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(3), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(5), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\); + +\vga_driver_unit|line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_4\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(5) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0ff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_driver_unit|un1_line_counter_sig_combout\(5), + datad => \vga_driver_unit|un10_line_counter_siglto8\, + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_4\); + +\vga_driver_unit|un1_line_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(4) = \vga_driver_unit|line_counter_sig_3\ $ (!\vga_driver_unit|un1_line_counter_sig_cout\(2)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(4) = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout\(2)) +-- \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_3\, + datab => \vga_driver_unit|line_counter_sig_4\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(2), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(4), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\); + +\vga_driver_unit|line_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_3\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(4) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(4), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_3\); + +\vga_driver_unit|un1_line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(7) = \vga_driver_unit|line_counter_sig_6\ $ (\vga_driver_unit|line_counter_sig_5\ & \vga_driver_unit|un1_line_counter_sig_cout\(5)) +-- \vga_driver_unit|un1_line_counter_sig_cout\(7) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(5) # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) +-- \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_6\, + datab => \vga_driver_unit|line_counter_sig_5\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(5), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(7), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\); + +\vga_driver_unit|line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_6\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(7) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(7), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_6\); + +\vga_driver_unit|un1_line_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(6) = \vga_driver_unit|line_counter_sig_5\ $ \vga_driver_unit|un1_line_counter_sig_cout\(4) +-- \vga_driver_unit|un1_line_counter_sig_cout\(6) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(4) # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) +-- \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "3c7f", + operation_mode => "arithmetic", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_6\, + datab => \vga_driver_unit|line_counter_sig_5\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(4), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(6), + cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cout1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\); + +\vga_driver_unit|line_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_5\ = DFFEAS(\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un10_line_counter_siglto8\ & \vga_driver_unit|un1_line_counter_sig_combout\(6), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, + datac => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(6), + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_5\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglt4_2\ = !\vga_driver_unit|line_counter_sig_0\ # !\vga_driver_unit|line_counter_sig_3\ # !\vga_driver_unit|line_counter_sig_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_4\, + datac => \vga_driver_unit|line_counter_sig_3\, + datad => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglt4_2\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto5\ = !\vga_driver_unit|line_counter_sig_5\ & (\vga_driver_unit|un10_line_counter_siglt4_2\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "3313", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_5\, + datac => \vga_driver_unit|line_counter_sig_1\, + datad => \vga_driver_unit|un10_line_counter_siglt4_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto5\); + +\vga_driver_unit|un1_line_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(9) = \vga_driver_unit|line_counter_sig_8\ $ (\vga_driver_unit|line_counter_sig_7\ & !\vga_driver_unit|un1_line_counter_sig_cout\(7)) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a6a6", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_8\, + datab => \vga_driver_unit|line_counter_sig_7\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(7), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(9)); + +\vga_driver_unit|line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_8\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(9) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(9), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_8\); + +\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un10_line_counter_siglto8\ = \vga_driver_unit|un10_line_counter_siglto5\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_8\ # !\vga_driver_unit|line_counter_sig_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "dfff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_7\, + datab => \vga_driver_unit|un10_line_counter_siglto5\, + datac => \vga_driver_unit|line_counter_sig_8\, + datad => \vga_driver_unit|line_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un10_line_counter_siglto8\); + +\vga_driver_unit|un1_line_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_line_counter_sig_combout\(8) = \vga_driver_unit|un1_line_counter_sig_cout\(6) $ !\vga_driver_unit|line_counter_sig_7\ + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "f00f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datad => \vga_driver_unit|line_counter_sig_7\, + cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(6), + cin1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_line_counter_sig_combout\(8)); + +\vga_driver_unit|line_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|line_counter_sig_7\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(8) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|un10_line_counter_siglto8\, + datad => \vga_driver_unit|un1_line_counter_sig_combout\(8), + aclr => GND, + sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|line_counter_sig_7\); + +\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un17_v_enablelt2\ = \vga_driver_unit|line_counter_sig_2\ # \vga_driver_unit|line_counter_sig_1\ # \vga_driver_unit|line_counter_sig_0\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffee", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_1\, + datad => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un17_v_enablelt2\); + +\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un17_v_enablelto5\ = \vga_driver_unit|line_counter_sig_5\ # \vga_driver_unit|line_counter_sig_4\ # \vga_driver_unit|line_counter_sig_3\ & \vga_control_unit|un17_v_enablelt2\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fefa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_5\, + datab => \vga_driver_unit|line_counter_sig_3\, + datac => \vga_driver_unit|line_counter_sig_4\, + datad => \vga_control_unit|un17_v_enablelt2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un17_v_enablelto5\); + +\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un17_v_enablelto7\ = \vga_driver_unit|line_counter_sig_7\ & \vga_control_unit|un17_v_enablelto5\ & \vga_driver_unit|line_counter_sig_6\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "c000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|line_counter_sig_7\, + datac => \vga_control_unit|un17_v_enablelto5\, + datad => \vga_driver_unit|line_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un17_v_enablelto7\); + +\vga_control_unit|toggle_counter_sig_0_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_0\ = DFFEAS(!\vga_control_unit|toggle_counter_sig_0\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0f0f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datac => \vga_control_unit|toggle_counter_sig_0\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_0\); + +\vga_control_unit|toggle_counter_sig_1_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_1\ = DFFEAS(\vga_control_unit|toggle_counter_sig_0\ $ \vga_control_unit|toggle_counter_sig_1\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, +-- ) +-- \vga_control_unit|toggle_counter_sig_cout\(1) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) +-- \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "6688", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_0\, + datab => \vga_control_unit|toggle_counter_sig_1\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_1\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(1), + cout1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\); + +\vga_control_unit|toggle_counter_sig_3_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_3\ = DFFEAS(\vga_control_unit|toggle_counter_sig_3\ $ (\vga_control_unit|toggle_counter_sig_2\ & \vga_control_unit|toggle_counter_sig_cout\(1)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(3) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(1) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) +-- \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_2\, + datab => \vga_control_unit|toggle_counter_sig_3\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(1), + cin1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_3\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(3), + cout1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\); + +\vga_control_unit|un2_toggle_counter_next_0_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un2_toggle_counter_next_cout\(0) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) +-- \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff88", + operation_mode => "arithmetic", + output_mode => "none", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_0\, + datab => \vga_control_unit|toggle_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\, + cout0 => \vga_control_unit|un2_toggle_counter_next_cout\(0), + cout1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\); + +\vga_control_unit|toggle_counter_sig_2_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_2\ = DFFEAS(\vga_control_unit|toggle_counter_sig_2\ $ (\vga_control_unit|un2_toggle_counter_next_cout\(0)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(2) = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout\(0) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) +-- \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_2\, + datab => \vga_control_unit|toggle_counter_sig_3\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|un2_toggle_counter_next_cout\(0), + cin1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_2\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(2), + cout1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\); + +\vga_control_unit|toggle_counter_sig_5_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_5\ = DFFEAS(\vga_control_unit|toggle_counter_sig_5\ $ (\vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(5) = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3)) +-- \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_5\, + datab => \vga_control_unit|toggle_counter_sig_4\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(3), + cin1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_5\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(5), + cout1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\); + +\vga_control_unit|toggle_counter_sig_4_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_4\ = DFFEAS(\vga_control_unit|toggle_counter_sig_4\ $ (!\vga_control_unit|toggle_counter_sig_cout\(2)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(4) = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout\(2)) +-- \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_4\, + datab => \vga_control_unit|toggle_counter_sig_5\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(2), + cin1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_4\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(4), + cout1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\); + +\vga_control_unit|toggle_counter_sig_6_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_6\ = DFFEAS(\vga_control_unit|toggle_counter_sig_6\ $ (\vga_control_unit|toggle_counter_sig_cout\(4)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(6) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(4) # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\) +-- \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_6\, + datab => \vga_control_unit|toggle_counter_sig_7\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(4), + cin1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_6\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(6), + cout1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\); + +\vga_control_unit|toggle_counter_sig_7_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_7\ = DFFEAS(\vga_control_unit|toggle_counter_sig_7\ $ (\vga_control_unit|toggle_counter_sig_6\ & \vga_control_unit|toggle_counter_sig_cout\(5)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(7) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(5) # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\) +-- \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_7\, + datab => \vga_control_unit|toggle_counter_sig_6\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(5), + cin1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_7\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(7), + cout1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\); + +\vga_control_unit|toggle_counter_sig_9_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_9\ = DFFEAS(\vga_control_unit|toggle_counter_sig_9\ $ (\vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout\(7)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(9) = CARRY(\vga_control_unit|toggle_counter_sig_9\ & \vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_9\, + datab => \vga_control_unit|toggle_counter_sig_8\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(7), + cin1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_9\, + cout => \vga_control_unit|toggle_counter_sig_cout\(9)); + +\vga_control_unit|toggle_counter_sig_8_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_8\ = DFFEAS(\vga_control_unit|toggle_counter_sig_8\ $ (!\vga_control_unit|toggle_counter_sig_cout\(6)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(8) = CARRY(\vga_control_unit|toggle_counter_sig_8\ & \vga_control_unit|toggle_counter_sig_9\ & !\vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_8\, + datab => \vga_control_unit|toggle_counter_sig_9\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin0 => \vga_control_unit|toggle_counter_sig_cout\(6), + cin1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_8\, + cout => \vga_control_unit|toggle_counter_sig_cout\(8)); + +\vga_control_unit|toggle_counter_sig_10_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_10\ = DFFEAS(\vga_control_unit|toggle_counter_sig_10\ $ (\vga_control_unit|toggle_counter_sig_cout\(8)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , +-- !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(10) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) +-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_10\, + datab => \vga_control_unit|toggle_counter_sig_11\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_10\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(10), + cout1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\); + +\vga_control_unit|toggle_counter_sig_11_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_11\ = DFFEAS(\vga_control_unit|toggle_counter_sig_11\ $ (\vga_control_unit|toggle_counter_sig_10\ & \vga_control_unit|toggle_counter_sig_cout\(9)), GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(11) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) +-- \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\) + +-- pragma translate_off +GENERIC MAP ( + cin_used => "true", + lut_mask => "6c7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_10\, + datab => \vga_control_unit|toggle_counter_sig_11\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_11\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(11), + cout1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\); + +\vga_control_unit|toggle_counter_sig_13_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_13\ = DFFEAS(\vga_control_unit|toggle_counter_sig_13\ $ (\vga_control_unit|toggle_counter_sig_12\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(11)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(13) = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout\(11)) +-- \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "c608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_12\, + datab => \vga_control_unit|toggle_counter_sig_13\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(11), + cin1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_13\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(13), + cout1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\); + +\vga_control_unit|toggle_counter_sig_12_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_12\ = DFFEAS(\vga_control_unit|toggle_counter_sig_12\ $ (!(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(10)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(12) = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout\(10)) +-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_12\, + datab => \vga_control_unit|toggle_counter_sig_13\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(10), + cin1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_12\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(12), + cout1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\); + +\vga_control_unit|toggle_counter_sig_15_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_15\ = DFFEAS(\vga_control_unit|toggle_counter_sig_15\ $ (\vga_control_unit|toggle_counter_sig_14\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(13)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(15) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(13) # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\) +-- \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "6a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_15\, + datab => \vga_control_unit|toggle_counter_sig_14\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(13), + cin1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_15\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(15), + cout1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\); + +\vga_control_unit|toggle_counter_sig_14_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_14\ = DFFEAS(\vga_control_unit|toggle_counter_sig_14\ $ ((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(12)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(14) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(12) # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\) +-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "5a7f", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_14\, + datab => \vga_control_unit|toggle_counter_sig_15\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(12), + cin1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_14\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(14), + cout1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\); + +\vga_control_unit|toggle_counter_sig_17_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_17\ = DFFEAS(\vga_control_unit|toggle_counter_sig_17\ $ (\vga_control_unit|toggle_counter_sig_16\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(15)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(17) = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout\(15)) +-- \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a608", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_17\, + datab => \vga_control_unit|toggle_counter_sig_16\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(15), + cin1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_17\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(17), + cout1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\); + +\vga_control_unit|toggle_counter_sig_16_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_16\ = DFFEAS(\vga_control_unit|toggle_counter_sig_16\ $ (!(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(14)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & +-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) +-- \vga_control_unit|toggle_counter_sig_cout\(16) = CARRY(\vga_control_unit|toggle_counter_sig_16\ & \vga_control_unit|toggle_counter_sig_17\ & !\vga_control_unit|toggle_counter_sig_cout\(14)) +-- \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ = CARRY(\vga_control_unit|toggle_counter_sig_16\ & \vga_control_unit|toggle_counter_sig_17\ & !\vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "a508", + operation_mode => "arithmetic", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_counter_sig_16\, + datab => \vga_control_unit|toggle_counter_sig_17\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(14), + cin1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_16\, + cout0 => \vga_control_unit|toggle_counter_sig_cout\(16), + cout1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\); + +\vga_control_unit|toggle_counter_sig_18_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_18\ = DFFEAS((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(16)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\) +-- $ \vga_control_unit|toggle_counter_sig_18\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "0ff0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datad => \vga_control_unit|toggle_counter_sig_18\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(8), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(16), + cin1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_18\); + +\vga_control_unit|toggle_counter_sig_19_\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_counter_sig_19\ = DFFEAS(\vga_control_unit|toggle_counter_sig_19\ $ (\vga_control_unit|toggle_counter_sig_18\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(17)) # +-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, ) + +-- pragma translate_off +GENERIC MAP ( + cin0_used => "true", + cin1_used => "true", + cin_used => "true", + lut_mask => "3fc0", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "cin", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + datab => \vga_control_unit|toggle_counter_sig_18\, + datad => \vga_control_unit|toggle_counter_sig_19\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\, + cin => \vga_control_unit|toggle_counter_sig_cout\(9), + cin0 => \vga_control_unit|toggle_counter_sig_cout\(17), + cin1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_counter_sig_19\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto19_4\ = !\vga_control_unit|toggle_counter_sig_19\ # !\vga_control_unit|toggle_counter_sig_17\ # !\vga_control_unit|toggle_counter_sig_18\ # !\vga_control_unit|toggle_counter_sig_16\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "7fff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_16\, + datab => \vga_control_unit|toggle_counter_sig_18\, + datac => \vga_control_unit|toggle_counter_sig_17\, + datad => \vga_control_unit|toggle_counter_sig_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto19_4\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto19_5\ = \vga_control_unit|un1_toggle_counter_siglto19_4\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_13\ # !\vga_control_unit|toggle_counter_sig_14\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_14\, + datab => \vga_control_unit|toggle_counter_sig_13\, + datac => \vga_control_unit|toggle_counter_sig_15\, + datad => \vga_control_unit|un1_toggle_counter_siglto19_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto19_5\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto7_4\ = !\vga_control_unit|toggle_counter_sig_7\ & !\vga_control_unit|toggle_counter_sig_6\ & !\vga_control_unit|toggle_counter_sig_1\ & !\vga_control_unit|toggle_counter_sig_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0001", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_7\, + datab => \vga_control_unit|toggle_counter_sig_6\, + datac => \vga_control_unit|toggle_counter_sig_1\, + datad => \vga_control_unit|toggle_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto7_4\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto7\ = !\vga_control_unit|toggle_counter_sig_2\ & !\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|un1_toggle_counter_siglto7_4\ & !\vga_control_unit|toggle_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0010", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_2\, + datab => \vga_control_unit|toggle_counter_sig_4\, + datac => \vga_control_unit|un1_toggle_counter_siglto7_4\, + datad => \vga_control_unit|toggle_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto7\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto10\ = !\vga_control_unit|toggle_counter_sig_9\ & (\vga_control_unit|un1_toggle_counter_siglto7\ # !\vga_control_unit|toggle_counter_sig_8\) # !\vga_control_unit|toggle_counter_sig_10\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "5f57", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_10\, + datab => \vga_control_unit|toggle_counter_sig_8\, + datac => \vga_control_unit|toggle_counter_sig_9\, + datad => \vga_control_unit|un1_toggle_counter_siglto7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto10\); + +\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un1_toggle_counter_siglto19\ = \vga_control_unit|un1_toggle_counter_siglto19_5\ # !\vga_control_unit|toggle_counter_sig_11\ & !\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|un1_toggle_counter_siglto10\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f1f0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_counter_sig_11\, + datab => \vga_control_unit|toggle_counter_sig_12\, + datac => \vga_control_unit|un1_toggle_counter_siglto19_5\, + datad => \vga_control_unit|un1_toggle_counter_siglto10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un1_toggle_counter_siglto19\); + +\vga_control_unit|toggle_sig_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_sig_0_0_0_g1\ = \vga_control_unit|un1_toggle_counter_siglto19\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff00", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datad => \vga_control_unit|un1_toggle_counter_siglto19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|toggle_sig_0_0_0_g1\); + +\vga_control_unit|toggle_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|toggle_sig\ = DFFEAS(\vga_control_unit|toggle_sig_0_0_0_g1\ $ (!\vga_control_unit|toggle_sig\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "aa55", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|toggle_sig_0_0_0_g1\, + datad => \vga_control_unit|toggle_sig\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|toggle_sig\); + +\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un9_v_enablelto6\ = \vga_driver_unit|un10_column_counter_siglt6_1\ # !\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|column_counter_sig_2\ & !\vga_driver_unit|column_counter_sig_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0f1", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|column_counter_sig_4\, + datab => \vga_driver_unit|column_counter_sig_2\, + datac => \vga_driver_unit|un10_column_counter_siglt6_1\, + datad => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un9_v_enablelto6\); + +\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un9_v_enablelto9\ = \vga_control_unit|un9_v_enablelto6\ & !\vga_driver_unit|column_counter_sig_9\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0002", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|un9_v_enablelto6\, + datab => \vga_driver_unit|column_counter_sig_9\, + datac => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un9_v_enablelto9\); + +\vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_5\ & !\vga_driver_unit|vsync_state_4\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0f3", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datab => \vga_driver_unit|vsync_state_5\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|vsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|h_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_enable_sig\ = DFFEAS(\vga_driver_unit|vsync_state_1\ # \vga_driver_unit|vsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffaa", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|vsync_state_1\, + datad => \vga_driver_unit|vsync_state_3\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_enable_sig\); + +\vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_4\ & !\vga_driver_unit|hsync_state_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "f0f5", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_4\, + datac => \vga_driver_unit|un6_dly_counter_0_x\, + datad => \vga_driver_unit|hsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\); + +\vga_driver_unit|v_enable_sig_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_enable_sig\ = DFFEAS(\vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ffaa", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "on") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|hsync_state_3\, + datad => \vga_driver_unit|hsync_state_1\, + aclr => GND, + sclr => \vga_driver_unit|un6_dly_counter_0_x\, + ena => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_enable_sig\); + +\vga_control_unit|b_next_0_g0_3_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_g0_3\ = \vga_driver_unit|v_enable_sig\ & !\vga_driver_unit|column_counter_sig_9\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|line_counter_sig_8\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0002", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|v_enable_sig\, + datab => \vga_driver_unit|column_counter_sig_9\, + datac => \vga_driver_unit|column_counter_sig_8\, + datad => \vga_driver_unit|line_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_g0_3\); + +\vga_control_unit|b_next_0_g0_5_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b_next_0_g0_5\ = \vga_control_unit|toggle_sig\ & !\vga_control_unit|un9_v_enablelto9\ & \vga_driver_unit|h_enable_sig\ & \vga_control_unit|b_next_0_g0_3\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "2000", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_control_unit|toggle_sig\, + datab => \vga_control_unit|un9_v_enablelto9\, + datac => \vga_driver_unit|h_enable_sig\, + datad => \vga_control_unit|b_next_0_g0_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|b_next_0_g0_5\); + +\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un13_v_enablelto8_a\ = !\vga_driver_unit|line_counter_sig_2\ & !\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|line_counter_sig_4\ # !\vga_driver_unit|line_counter_sig_5\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "01ff", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_2\, + datab => \vga_driver_unit|line_counter_sig_3\, + datac => \vga_driver_unit|line_counter_sig_4\, + datad => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un13_v_enablelto8_a\); + +\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|un13_v_enablelto8\ = !\vga_driver_unit|line_counter_sig_8\ & !\vga_driver_unit|line_counter_sig_7\ & (\vga_control_unit|un13_v_enablelto8_a\ # !\vga_driver_unit|line_counter_sig_6\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0501", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|line_counter_sig_8\, + datab => \vga_driver_unit|line_counter_sig_6\, + datac => \vga_driver_unit|line_counter_sig_7\, + datad => \vga_control_unit|un13_v_enablelto8_a\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_control_unit|un13_v_enablelto8\); + +\vga_control_unit|b_Z\ : stratix_lcell +-- Equation(s): +-- \vga_control_unit|b\ = DFFEAS(!\vga_control_unit|un5_v_enablelto7\ & !\vga_control_unit|un17_v_enablelto7\ & \vga_control_unit|b_next_0_g0_5\ & !\vga_control_unit|un13_v_enablelto8\, GLOBAL(\clk_pin~combout\), +-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0010", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_control_unit|un5_v_enablelto7\, + datab => \vga_control_unit|un17_v_enablelto7\, + datac => \vga_control_unit|b_next_0_g0_5\, + datad => \vga_control_unit|un13_v_enablelto8\, + aclr => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_control_unit|b\); + +\vga_driver_unit|un1_hsync_state_3_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_hsync_state_3_0\ = \vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fff0", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + datac => \vga_driver_unit|hsync_state_3\, + datad => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_hsync_state_3_0\); + +\vga_driver_unit|h_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync_1_0_0_0_g1\ = \vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|hsync_state_2\ & +-- \vga_driver_unit|hsync_state_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ccca", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|hsync_state_4\, + datab => \vga_driver_unit|h_sync\, + datac => \vga_driver_unit|un1_hsync_state_3_0\, + datad => \vga_driver_unit|hsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|h_sync_1_0_0_0_g1\); + +\vga_driver_unit|h_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|h_sync\ = DFFEAS(\vga_driver_unit|h_sync_1_0_0_0_g1\ # !\reset_pin~combout\ # !dly_counter(0) # !dly_counter(1), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "ff7f", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => dly_counter(1), + datab => dly_counter(0), + datac => \reset_pin~combout\, + datad => \vga_driver_unit|h_sync_1_0_0_0_g1\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|h_sync\); + +\vga_driver_unit|un1_vsync_state_2_0_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|un1_vsync_state_2_0\ = \vga_driver_unit|vsync_state_3\ # \vga_driver_unit|vsync_state_1\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fafa", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|vsync_state_3\, + datac => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|un1_vsync_state_2_0\); + +\vga_driver_unit|v_sync_1_0_0_0_g1_cZ\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync_1_0_0_0_g1\ = \vga_driver_unit|un1_vsync_state_2_0\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|un1_vsync_state_2_0\ & (\vga_driver_unit|vsync_state_2\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|vsync_state_2\ & +-- \vga_driver_unit|vsync_state_4\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "fe10", + operation_mode => "normal", + output_mode => "comb_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + dataa => \vga_driver_unit|un1_vsync_state_2_0\, + datab => \vga_driver_unit|vsync_state_2\, + datac => \vga_driver_unit|vsync_state_4\, + datad => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + combout => \vga_driver_unit|v_sync_1_0_0_0_g1\); + +\vga_driver_unit|v_sync_Z\ : stratix_lcell +-- Equation(s): +-- \vga_driver_unit|v_sync\ = DFFEAS(\vga_driver_unit|v_sync_1_0_0_0_g1\ # !\reset_pin~combout\ # !dly_counter(1) # !dly_counter(0), GLOBAL(\clk_pin~combout\), VCC, , , , , , ) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "bfff", + operation_mode => "normal", + output_mode => "reg_only", + register_cascade_mode => "off", + sum_lutc_input => "datac", + synch_mode => "off") +-- pragma translate_on +PORT MAP ( + clk => \clk_pin~combout\, + dataa => \vga_driver_unit|v_sync_1_0_0_0_g1\, + datab => dly_counter(0), + datac => dly_counter(1), + datad => \reset_pin~combout\, + aclr => GND, + devclrn => ww_devclrn, + devpor => ww_devpor, + regout => \vga_driver_unit|v_sync\); + +r0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r0_pin); + +r1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r1_pin); + +r2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_r2_pin); + +g0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g0_pin); + +g1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g1_pin); + +g2_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_g2_pin); + +b0_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b0_pin); + +b1_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_b1_pin); + +hsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_hsync_pin); + +vsync_pin_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_vsync_pin); + +\seven_seg_pin_tri_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(0)); + +\seven_seg_pin_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(1)); + +\seven_seg_pin_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(2)); + +\seven_seg_pin_tri_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(3)); + +\seven_seg_pin_tri_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(4)); + +\seven_seg_pin_tri_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(5)); + +\seven_seg_pin_tri_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(6)); + +\seven_seg_pin_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(7)); + +\seven_seg_pin_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(8)); + +\seven_seg_pin_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(9)); + +\seven_seg_pin_out_10_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(10)); + +\seven_seg_pin_out_11_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(11)); + +\seven_seg_pin_out_12_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|un6_dly_counter_0_x\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(12)); + +\seven_seg_pin_tri_13_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_seven_seg_pin(13)); + +d_hsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync); + +d_vsync_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_sync\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync); + +\d_column_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(0)); + +\d_column_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(1)); + +\d_column_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(2)); + +\d_column_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(3)); + +\d_column_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(4)); + +\d_column_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(5)); + +\d_column_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(6)); + +\d_column_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(7)); + +\d_column_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(8)); + +\d_column_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|column_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_column_counter(9)); + +\d_line_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(0)); + +\d_line_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(1)); + +\d_line_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(2)); + +\d_line_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(3)); + +\d_line_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(4)); + +\d_line_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(5)); + +\d_line_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(6)); + +\d_line_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(7)); + +\d_line_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|line_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_line_counter(8)); + +d_set_column_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_column_counter); + +d_set_line_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_line_counter); + +\d_hsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(0)); + +\d_hsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(1)); + +\d_hsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(2)); + +\d_hsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(3)); + +\d_hsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(4)); + +\d_hsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(5)); + +\d_hsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(6)); + +\d_hsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(7)); + +\d_hsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(8)); + +\d_hsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_counter(9)); + +\d_vsync_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(0)); + +\d_vsync_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(1)); + +\d_vsync_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(2)); + +\d_vsync_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(3)); + +\d_vsync_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(4)); + +\d_vsync_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(5)); + +\d_vsync_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(6)); + +\d_vsync_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(7)); + +\d_vsync_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(8)); + +\d_vsync_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_counter_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_counter(9)); + +d_set_hsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_hsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_hsync_counter); + +d_set_vsync_counter_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|d_set_vsync_counter\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_set_vsync_counter); + +d_h_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|h_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_h_enable); + +d_v_enable_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|v_enable_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_v_enable); + +d_r_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_r); + +d_g_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_g); + +d_b_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|b\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_b); + +\d_hsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(6)); + +\d_hsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(5)); + +\d_hsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(4)); + +\d_hsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(3)); + +\d_hsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(2)); + +\d_hsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(1)); + +\d_hsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|hsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_hsync_state(0)); + +\d_vsync_state_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(6)); + +\d_vsync_state_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(5)); + +\d_vsync_state_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(4)); + +\d_vsync_state_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(3)); + +\d_vsync_state_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(2)); + +\d_vsync_state_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(1)); + +\d_vsync_state_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_driver_unit|vsync_state_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_vsync_state(0)); + +d_state_clk_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \clk_pin~combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_state_clk); + +d_toggle_out : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_sig\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle); + +\d_toggle_counter_out_0_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_0\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(0)); + +\d_toggle_counter_out_1_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_1\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(1)); + +\d_toggle_counter_out_2_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_2\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(2)); + +\d_toggle_counter_out_3_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_3\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(3)); + +\d_toggle_counter_out_4_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_4\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(4)); + +\d_toggle_counter_out_5_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_5\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(5)); + +\d_toggle_counter_out_6_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_6\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(6)); + +\d_toggle_counter_out_7_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_7\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(7)); + +\d_toggle_counter_out_8_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_8\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(8)); + +\d_toggle_counter_out_9_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_9\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(9)); + +\d_toggle_counter_out_10_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_10\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(10)); + +\d_toggle_counter_out_11_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_11\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(11)); + +\d_toggle_counter_out_12_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_12\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(12)); + +\d_toggle_counter_out_13_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_13\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(13)); + +\d_toggle_counter_out_14_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_14\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(14)); + +\d_toggle_counter_out_15_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_15\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(15)); + +\d_toggle_counter_out_16_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_16\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(16)); + +\d_toggle_counter_out_17_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_17\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(17)); + +\d_toggle_counter_out_18_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_18\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(18)); + +\d_toggle_counter_out_19_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \vga_control_unit|toggle_counter_sig_19\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(19)); + +\d_toggle_counter_out_20_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(20)); + +\d_toggle_counter_out_21_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(21)); + +\d_toggle_counter_out_22_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(22)); + +\d_toggle_counter_out_23_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(23)); + +\d_toggle_counter_out_24_\ : stratix_io +-- pragma translate_off +GENERIC MAP ( + ddio_mode => "none", + input_async_reset => "none", + input_power_up => "low", + input_register_mode => "none", + input_sync_reset => "none", + oe_async_reset => "none", + oe_power_up => "low", + oe_register_mode => "none", + oe_sync_reset => "none", + operation_mode => "output", + output_async_reset => "none", + output_power_up => "low", + output_register_mode => "none", + output_sync_reset => "none") +-- pragma translate_on +PORT MAP ( + datain => \~STRATIX_FITTER_CREATED_GND~I_combout\, + devclrn => ww_devclrn, + devpor => ww_devpor, + devoe => ww_devoe, + oe => VCC, + padio => ww_d_toggle_counter(24)); +END structure; + + diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf new file mode 100644 index 0000000..85d2348 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf @@ -0,0 +1,269 @@ +vendor_name = ModelSim +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm +source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/db/vga.cbx.xml +design_name = vga +instance = comp, \~STRATIX_FITTER_CREATED_GND~I\, ~STRATIX_FITTER_CREATED_GND~I, vga, 1 +instance = comp, \dly_counter_1_\, dly_counter_1_, vga, 1 +instance = comp, \dly_counter_0_\, dly_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_6_\, vga_driver_unit|vsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_6_\, vga_driver_unit|hsync_state_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_0_\, vga_driver_unit|hsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_1_\, vga_driver_unit|hsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_2_\, vga_driver_unit|hsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_3_\, vga_driver_unit|hsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_4_\, vga_driver_unit|hsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_5_\, vga_driver_unit|hsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_6_\, vga_driver_unit|hsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_7_\, vga_driver_unit|hsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_8_\, vga_driver_unit|hsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_9_\, vga_driver_unit|hsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_2\, vga_driver_unit|G_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_5_\, vga_driver_unit|hsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_4_\, vga_driver_unit|hsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_\, vga_driver_unit|hsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\, vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_2_\, vga_driver_unit|hsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_0_\, vga_driver_unit|hsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga, 1 +instance = comp, \vga_driver_unit|hsync_state_1_\, vga_driver_unit|hsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_0_\, vga_driver_unit|column_counter_sig_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_1_\, vga_driver_unit|un2_column_counter_next_1_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_1_\, vga_driver_unit|column_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_0_\, vga_driver_unit|un2_column_counter_next_0_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_2_\, vga_driver_unit|un2_column_counter_next_2_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_4_\, vga_driver_unit|un2_column_counter_next_4_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_4_\, vga_driver_unit|column_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_3_\, vga_driver_unit|un2_column_counter_next_3_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_5_\, vga_driver_unit|un2_column_counter_next_5_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_5_\, vga_driver_unit|column_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_7_\, vga_driver_unit|un2_column_counter_next_7_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_7_\, vga_driver_unit|column_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_6_\, vga_driver_unit|un2_column_counter_next_6_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_6_\, vga_driver_unit|column_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_8_\, vga_driver_unit|un2_column_counter_next_8_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_8_\, vga_driver_unit|column_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|un2_column_counter_next_9_\, vga_driver_unit|un2_column_counter_next_9_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_9_\, vga_driver_unit|column_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_2_\, vga_driver_unit|column_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|column_counter_sig_3_\, vga_driver_unit|column_counter_sig_3_, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_1_\, vga_driver_unit|un1_line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_0_\, vga_driver_unit|vsync_counter_0_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_1_\, vga_driver_unit|vsync_counter_1_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_2_\, vga_driver_unit|vsync_counter_2_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_3_\, vga_driver_unit|vsync_counter_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_4_\, vga_driver_unit|vsync_counter_4_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_5_\, vga_driver_unit|vsync_counter_5_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_6_\, vga_driver_unit|vsync_counter_6_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_7_\, vga_driver_unit|vsync_counter_7_, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_8_\, vga_driver_unit|vsync_counter_8_, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga, 1 +instance = comp, \vga_driver_unit|G_16\, vga_driver_unit|G_16, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\, vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_\, vga_driver_unit|vsync_state_3_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_5_\, vga_driver_unit|vsync_state_5_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga, 1 +instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\, vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\, vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_2_\, vga_driver_unit|vsync_state_2_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\, vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_0_\, vga_driver_unit|vsync_state_0_, vga, 1 +instance = comp, \vga_driver_unit|d_set_vsync_counter_cZ\, vga_driver_unit|d_set_vsync_counter_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga, 1 +instance = comp, \vga_driver_unit|vsync_counter_9_\, vga_driver_unit|vsync_counter_9_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_4_\, vga_driver_unit|vsync_state_4_, vga, 1 +instance = comp, \vga_driver_unit|vsync_state_1_\, vga_driver_unit|vsync_state_1_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_0_\, vga_driver_unit|line_counter_sig_0_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_a_1_\, vga_driver_unit|un1_line_counter_sig_a_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_2_\, vga_driver_unit|un1_line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_1_\, vga_driver_unit|line_counter_sig_1_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_3_\, vga_driver_unit|un1_line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_2_\, vga_driver_unit|line_counter_sig_2_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_5_\, vga_driver_unit|un1_line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_4_\, vga_driver_unit|line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_4_\, vga_driver_unit|un1_line_counter_sig_4_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_3_\, vga_driver_unit|line_counter_sig_3_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_7_\, vga_driver_unit|un1_line_counter_sig_7_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_6_\, vga_driver_unit|line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_6_\, vga_driver_unit|un1_line_counter_sig_6_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_5_\, vga_driver_unit|line_counter_sig_5_, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_9_\, vga_driver_unit|un1_line_counter_sig_9_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_8_\, vga_driver_unit|line_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga, 1 +instance = comp, \vga_driver_unit|un1_line_counter_sig_8_\, vga_driver_unit|un1_line_counter_sig_8_, vga, 1 +instance = comp, \vga_driver_unit|line_counter_sig_7_\, vga_driver_unit|line_counter_sig_7_, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_0_\, vga_control_unit|toggle_counter_sig_0_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_1_\, vga_control_unit|toggle_counter_sig_1_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_3_\, vga_control_unit|toggle_counter_sig_3_, vga, 1 +instance = comp, \vga_control_unit|un2_toggle_counter_next_0_\, vga_control_unit|un2_toggle_counter_next_0_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_2_\, vga_control_unit|toggle_counter_sig_2_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_5_\, vga_control_unit|toggle_counter_sig_5_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_4_\, vga_control_unit|toggle_counter_sig_4_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_6_\, vga_control_unit|toggle_counter_sig_6_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_7_\, vga_control_unit|toggle_counter_sig_7_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_9_\, vga_control_unit|toggle_counter_sig_9_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_8_\, vga_control_unit|toggle_counter_sig_8_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_10_\, vga_control_unit|toggle_counter_sig_10_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_11_\, vga_control_unit|toggle_counter_sig_11_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_13_\, vga_control_unit|toggle_counter_sig_13_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_12_\, vga_control_unit|toggle_counter_sig_12_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_15_\, vga_control_unit|toggle_counter_sig_15_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_14_\, vga_control_unit|toggle_counter_sig_14_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_17_\, vga_control_unit|toggle_counter_sig_17_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_16_\, vga_control_unit|toggle_counter_sig_16_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_18_\, vga_control_unit|toggle_counter_sig_18_, vga, 1 +instance = comp, \vga_control_unit|toggle_counter_sig_19_\, vga_control_unit|toggle_counter_sig_19_, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10, vga, 1 +instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19, vga, 1 +instance = comp, \vga_control_unit|toggle_sig_0_0_0_g1_cZ\, vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_control_unit|toggle_sig_Z\, vga_control_unit|toggle_sig_Z, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_enable_sig_Z\, vga_driver_unit|h_enable_sig_Z, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_enable_sig_Z\, vga_driver_unit|v_enable_sig_Z, vga, 1 +instance = comp, \vga_control_unit|b_next_0_g0_3_cZ\, vga_control_unit|b_next_0_g0_3_cZ, vga, 1 +instance = comp, \vga_control_unit|b_next_0_g0_5_cZ\, vga_control_unit|b_next_0_g0_5_cZ, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a, vga, 1 +instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8, vga, 1 +instance = comp, \vga_control_unit|b_Z\, vga_control_unit|b_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_hsync_state_3_0_cZ\, vga_driver_unit|un1_hsync_state_3_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_1_0_0_0_g1_cZ\, vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|h_sync_Z\, vga_driver_unit|h_sync_Z, vga, 1 +instance = comp, \vga_driver_unit|un1_vsync_state_2_0_cZ\, vga_driver_unit|un1_vsync_state_2_0_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_1_0_0_0_g1_cZ\, vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga, 1 +instance = comp, \vga_driver_unit|v_sync_Z\, vga_driver_unit|v_sync_Z, vga, 1 +instance = comp, \seven_seg_pin_tri_0_\, seven_seg_pin_tri_0_, vga, 1 +instance = comp, \seven_seg_pin_out_1_\, seven_seg_pin_out_1_, vga, 1 +instance = comp, \seven_seg_pin_out_2_\, seven_seg_pin_out_2_, vga, 1 +instance = comp, \seven_seg_pin_tri_3_\, seven_seg_pin_tri_3_, vga, 1 +instance = comp, \seven_seg_pin_tri_4_\, seven_seg_pin_tri_4_, vga, 1 +instance = comp, \seven_seg_pin_tri_5_\, seven_seg_pin_tri_5_, vga, 1 +instance = comp, \seven_seg_pin_tri_6_\, seven_seg_pin_tri_6_, vga, 1 +instance = comp, \seven_seg_pin_out_7_\, seven_seg_pin_out_7_, vga, 1 +instance = comp, \seven_seg_pin_out_8_\, seven_seg_pin_out_8_, vga, 1 +instance = comp, \seven_seg_pin_out_9_\, seven_seg_pin_out_9_, vga, 1 +instance = comp, \seven_seg_pin_out_10_\, seven_seg_pin_out_10_, vga, 1 +instance = comp, \seven_seg_pin_out_11_\, seven_seg_pin_out_11_, vga, 1 +instance = comp, \seven_seg_pin_out_12_\, seven_seg_pin_out_12_, vga, 1 +instance = comp, \seven_seg_pin_tri_13_\, seven_seg_pin_tri_13_, vga, 1 +instance = comp, \d_column_counter_out_0_\, d_column_counter_out_0_, vga, 1 +instance = comp, \d_column_counter_out_1_\, d_column_counter_out_1_, vga, 1 +instance = comp, \d_column_counter_out_2_\, d_column_counter_out_2_, vga, 1 +instance = comp, \d_column_counter_out_3_\, d_column_counter_out_3_, vga, 1 +instance = comp, \d_column_counter_out_4_\, d_column_counter_out_4_, vga, 1 +instance = comp, \d_column_counter_out_5_\, d_column_counter_out_5_, vga, 1 +instance = comp, \d_column_counter_out_6_\, d_column_counter_out_6_, vga, 1 +instance = comp, \d_column_counter_out_7_\, d_column_counter_out_7_, vga, 1 +instance = comp, \d_column_counter_out_8_\, d_column_counter_out_8_, vga, 1 +instance = comp, \d_column_counter_out_9_\, d_column_counter_out_9_, vga, 1 +instance = comp, \d_line_counter_out_0_\, d_line_counter_out_0_, vga, 1 +instance = comp, \d_line_counter_out_1_\, d_line_counter_out_1_, vga, 1 +instance = comp, \d_line_counter_out_2_\, d_line_counter_out_2_, vga, 1 +instance = comp, \d_line_counter_out_3_\, d_line_counter_out_3_, vga, 1 +instance = comp, \d_line_counter_out_4_\, d_line_counter_out_4_, vga, 1 +instance = comp, \d_line_counter_out_5_\, d_line_counter_out_5_, vga, 1 +instance = comp, \d_line_counter_out_6_\, d_line_counter_out_6_, vga, 1 +instance = comp, \d_line_counter_out_7_\, d_line_counter_out_7_, vga, 1 +instance = comp, \d_line_counter_out_8_\, d_line_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_0_\, d_hsync_counter_out_0_, vga, 1 +instance = comp, \d_hsync_counter_out_1_\, d_hsync_counter_out_1_, vga, 1 +instance = comp, \d_hsync_counter_out_2_\, d_hsync_counter_out_2_, vga, 1 +instance = comp, \d_hsync_counter_out_3_\, d_hsync_counter_out_3_, vga, 1 +instance = comp, \d_hsync_counter_out_4_\, d_hsync_counter_out_4_, vga, 1 +instance = comp, \d_hsync_counter_out_5_\, d_hsync_counter_out_5_, vga, 1 +instance = comp, \d_hsync_counter_out_6_\, d_hsync_counter_out_6_, vga, 1 +instance = comp, \d_hsync_counter_out_7_\, d_hsync_counter_out_7_, vga, 1 +instance = comp, \d_hsync_counter_out_8_\, d_hsync_counter_out_8_, vga, 1 +instance = comp, \d_hsync_counter_out_9_\, d_hsync_counter_out_9_, vga, 1 +instance = comp, \d_vsync_counter_out_0_\, d_vsync_counter_out_0_, vga, 1 +instance = comp, \d_vsync_counter_out_1_\, d_vsync_counter_out_1_, vga, 1 +instance = comp, \d_vsync_counter_out_2_\, d_vsync_counter_out_2_, vga, 1 +instance = comp, \d_vsync_counter_out_3_\, d_vsync_counter_out_3_, vga, 1 +instance = comp, \d_vsync_counter_out_4_\, d_vsync_counter_out_4_, vga, 1 +instance = comp, \d_vsync_counter_out_5_\, d_vsync_counter_out_5_, vga, 1 +instance = comp, \d_vsync_counter_out_6_\, d_vsync_counter_out_6_, vga, 1 +instance = comp, \d_vsync_counter_out_7_\, d_vsync_counter_out_7_, vga, 1 +instance = comp, \d_vsync_counter_out_8_\, d_vsync_counter_out_8_, vga, 1 +instance = comp, \d_vsync_counter_out_9_\, d_vsync_counter_out_9_, vga, 1 +instance = comp, \d_hsync_state_out_6_\, d_hsync_state_out_6_, vga, 1 +instance = comp, \d_hsync_state_out_5_\, d_hsync_state_out_5_, vga, 1 +instance = comp, \d_hsync_state_out_4_\, d_hsync_state_out_4_, vga, 1 +instance = comp, \d_hsync_state_out_3_\, d_hsync_state_out_3_, vga, 1 +instance = comp, \d_hsync_state_out_2_\, d_hsync_state_out_2_, vga, 1 +instance = comp, \d_hsync_state_out_1_\, d_hsync_state_out_1_, vga, 1 +instance = comp, \d_hsync_state_out_0_\, d_hsync_state_out_0_, vga, 1 +instance = comp, \d_vsync_state_out_6_\, d_vsync_state_out_6_, vga, 1 +instance = comp, \d_vsync_state_out_5_\, d_vsync_state_out_5_, vga, 1 +instance = comp, \d_vsync_state_out_4_\, d_vsync_state_out_4_, vga, 1 +instance = comp, \d_vsync_state_out_3_\, d_vsync_state_out_3_, vga, 1 +instance = comp, \d_vsync_state_out_2_\, d_vsync_state_out_2_, vga, 1 +instance = comp, \d_vsync_state_out_1_\, d_vsync_state_out_1_, vga, 1 +instance = comp, \d_vsync_state_out_0_\, d_vsync_state_out_0_, vga, 1 +instance = comp, \d_toggle_counter_out_0_\, d_toggle_counter_out_0_, vga, 1 +instance = comp, \d_toggle_counter_out_1_\, d_toggle_counter_out_1_, vga, 1 +instance = comp, \d_toggle_counter_out_2_\, d_toggle_counter_out_2_, vga, 1 +instance = comp, \d_toggle_counter_out_3_\, d_toggle_counter_out_3_, vga, 1 +instance = comp, \d_toggle_counter_out_4_\, d_toggle_counter_out_4_, vga, 1 +instance = comp, \d_toggle_counter_out_5_\, d_toggle_counter_out_5_, vga, 1 +instance = comp, \d_toggle_counter_out_6_\, d_toggle_counter_out_6_, vga, 1 +instance = comp, \d_toggle_counter_out_7_\, d_toggle_counter_out_7_, vga, 1 +instance = comp, \d_toggle_counter_out_8_\, d_toggle_counter_out_8_, vga, 1 +instance = comp, \d_toggle_counter_out_9_\, d_toggle_counter_out_9_, vga, 1 +instance = comp, \d_toggle_counter_out_10_\, d_toggle_counter_out_10_, vga, 1 +instance = comp, \d_toggle_counter_out_11_\, d_toggle_counter_out_11_, vga, 1 +instance = comp, \d_toggle_counter_out_12_\, d_toggle_counter_out_12_, vga, 1 +instance = comp, \d_toggle_counter_out_13_\, d_toggle_counter_out_13_, vga, 1 +instance = comp, \d_toggle_counter_out_14_\, d_toggle_counter_out_14_, vga, 1 +instance = comp, \d_toggle_counter_out_15_\, d_toggle_counter_out_15_, vga, 1 +instance = comp, \d_toggle_counter_out_16_\, d_toggle_counter_out_16_, vga, 1 +instance = comp, \d_toggle_counter_out_17_\, d_toggle_counter_out_17_, vga, 1 +instance = comp, \d_toggle_counter_out_18_\, d_toggle_counter_out_18_, vga, 1 +instance = comp, \d_toggle_counter_out_19_\, d_toggle_counter_out_19_, vga, 1 +instance = comp, \d_toggle_counter_out_20_\, d_toggle_counter_out_20_, vga, 1 +instance = comp, \d_toggle_counter_out_21_\, d_toggle_counter_out_21_, vga, 1 +instance = comp, \d_toggle_counter_out_22_\, d_toggle_counter_out_22_, vga, 1 +instance = comp, \d_toggle_counter_out_23_\, d_toggle_counter_out_23_, vga, 1 +instance = comp, \d_toggle_counter_out_24_\, d_toggle_counter_out_24_, vga, 1 diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo new file mode 100644 index 0000000..873356b --- /dev/null +++ b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo @@ -0,0 +1,5552 @@ +// Copyright (C) 1991-2009 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP1S25F672C6 Package FBGA672 +// + +// +// This SDF file should be used for ModelSim (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "vga") + (DATE "11/03/2009 17:31:40") + (VENDOR "Altera") + (PROGRAM "Quartus II") + (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE clk_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (868:868:868) (868:868:868)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE reset_pin_in.inst1) + (DELAY + (ABSOLUTE + (IOPATH padio combout (868:868:868) (868:868:868)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (5525:5525:5525) (5525:5525:5525)) + (PORT datac (992:992:992) (992:992:992)) + (PORT datad (461:461:461) (461:461:461)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\dly_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (446:446:446) (446:446:446)) + (PORT datac (655:655:655) (655:655:655)) + (PORT datad (5530:5530:5530) (5530:5530:5530)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\dly_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (451:451:451) (451:451:451)) + (PORT datac (652:652:652) (652:652:652)) + (PORT datad (5528:5528:5528) (5528:5528:5528)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (2040:2040:2040) (2040:2040:2040)) + (PORT datad (1275:1275:1275) (1275:1275:1275)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (2130:2130:2130) (2130:2130:2130)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2448:2448:2448) (2448:2448:2448)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1610:1610:1610) (1610:1610:1610)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1700:1700:1700) (1700:1700:1700)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1609:1609:1609) (1609:1609:1609)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1699:1699:1699) (1699:1699:1699)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1607:1607:1607) (1607:1607:1607)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1697:1697:1697) (1697:1697:1697)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1606:1606:1606) (1606:1606:1606)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1696:1696:1696) (1696:1696:1696)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1605:1605:1605) (1605:1605:1605)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1695:1695:1695) (1695:1695:1695)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1592:1592:1592) (1592:1592:1592)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1682:1682:1682) (1682:1682:1682)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1595:1595:1595) (1595:1595:1595)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1685:1685:1685) (1685:1685:1685)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1598:1598:1598) (1598:1598:1598)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1688:1688:1688) (1688:1688:1688)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1601:1601:1601) (1601:1601:1601)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1691:1691:1691) (1691:1691:1691)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1603:1603:1603) (1603:1603:1603)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1457:1457:1457) (1457:1457:1457)) + (PORT datac (1693:1693:1693) (1693:1693:1693)) + (PORT sclr (1308:1308:1308) (1308:1308:1308)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1157:1157:1157) (1157:1157:1157)) + (PORT datab (1141:1141:1141) (1141:1141:1141)) + (PORT datac (1164:1164:1164) (1164:1164:1164)) + (PORT datad (1107:1107:1107) (1107:1107:1107)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (577:577:577) (577:577:577)) + (PORT datab (663:663:663) (663:663:663)) + (PORT datac (659:659:659) (659:659:659)) + (PORT datad (1051:1051:1051) (1051:1051:1051)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2259:2259:2259) (2259:2259:2259)) + (PORT datab (2033:2033:2033) (2033:2033:2033)) + (PORT datac (1799:1799:1799) (1799:1799:1799)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (664:664:664) (664:664:664)) + (PORT datab (622:622:622) (622:622:622)) + (PORT datac (973:973:973) (973:973:973)) + (PORT datad (966:966:966) (966:966:966)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (638:638:638)) + (PORT datab (641:641:641) (641:641:641)) + (PORT datac (994:994:994) (994:994:994)) + (PORT datad (671:671:671) (671:671:671)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (710:710:710) (710:710:710)) + (PORT datab (341:341:341) (341:341:341)) + (PORT datac (708:708:708) (708:708:708)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (663:663:663) (663:663:663)) + (PORT datab (659:659:659) (659:659:659)) + (PORT datac (652:652:652) (652:652:652)) + (PORT datad (625:625:625) (625:625:625)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (715:715:715) (715:715:715)) + (PORT datab (694:694:694) (694:694:694)) + (PORT datac (991:991:991) (991:991:991)) + (PORT datad (964:964:964) (964:964:964)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (665:665:665) (665:665:665)) + (PORT datab (343:343:343) (343:343:343)) + (PORT datac (638:638:638) (638:638:638)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (636:636:636) (636:636:636)) + (PORT datab (631:631:631) (631:631:631)) + (PORT datac (612:612:612) (612:612:612)) + (PORT datad (609:609:609) (609:609:609)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (632:632:632) (632:632:632)) + (PORT datab (631:631:631) (631:631:631)) + (PORT datad (625:625:625) (625:625:625)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (593:593:593) (593:593:593)) + (PORT datac (605:605:605) (605:605:605)) + (PORT datad (675:675:675) (675:675:675)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (2211:2211:2211) (2211:2211:2211)) + (PORT datad (2256:2256:2256) (2256:2256:2256)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2314:2314:2314) (2314:2314:2314)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (PORT ena (1639:1639:1639) (1639:1639:1639)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (850:850:850) (850:850:850)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (601:601:601) (601:601:601)) + (PORT datad (446:446:446) (446:446:446)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2314:2314:2314) (2314:2314:2314)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (PORT ena (1639:1639:1639) (1639:1639:1639)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (634:634:634) (634:634:634)) + (PORT datab (625:625:625) (625:625:625)) + (PORT datac (607:607:607) (607:607:607)) + (PORT datad (621:621:621) (621:621:621)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (375:375:375)) + (PORT datab (429:429:429) (429:429:429)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (355:355:355) (355:355:355)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datab (344:344:344) (344:344:344)) + (PORT datac (1188:1188:1188) (1188:1188:1188)) + (PORT datad (1338:1338:1338) (1338:1338:1338)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1278:1278:1278) (1278:1278:1278)) + (PORT sclr (2572:2572:2572) (2572:2572:2572)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (PORT ena (1081:1081:1081) (1081:1081:1081)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (456:456:456) (456:456:456)) + (PORT datab (348:348:348) (348:348:348)) + (PORT datac (366:366:366) (366:366:366)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1806:1806:1806) (1806:1806:1806)) + (PORT datab (917:917:917) (917:917:917)) + (PORT datac (370:370:370) (370:370:370)) + (PORT datad (926:926:926) (926:926:926)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1435:1435:1435) (1435:1435:1435)) + (PORT datac (1775:1775:1775) (1775:1775:1775)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1824:1824:1824) (1824:1824:1824)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (PORT ena (1916:1916:1916) (1916:1916:1916)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1404:1404:1404) (1404:1404:1404)) + (PORT datad (709:709:709) (709:709:709)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1824:1824:1824) (1824:1824:1824)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (PORT ena (1916:1916:1916) (1916:1916:1916)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (473:473:473) (473:473:473)) + (PORT datab (975:975:975) (975:975:975)) + (PORT datac (5544:5544:5544) (5544:5544:5544)) + (PORT datad (1496:1496:1496) (1496:1496:1496)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datab (629:629:629) (629:629:629)) + (PORT datad (609:609:609) (609:609:609)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (376:376:376) (376:376:376)) + (PORT datab (431:431:431) (431:431:431)) + (PORT datac (599:599:599) (599:599:599)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2314:2314:2314) (2314:2314:2314)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2413:2413:2413) (2413:2413:2413)) + (PORT ena (1639:1639:1639) (1639:1639:1639)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (966:966:966) (966:966:966)) + (PORT datab (619:619:619) (619:619:619)) + (PORT datac (5548:5548:5548) (5548:5548:5548)) + (PORT datad (1290:1290:1290) (1290:1290:1290)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (461:461:461) (461:461:461)) + (PORT datad (625:625:625) (625:625:625)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2608:2608:2608) (2608:2608:2608)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (942:942:942) (942:942:942)) + (PORT datab (676:676:676) (676:676:676)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (540:540:540) (540:540:540)) + (PORT datad (630:630:630) (630:630:630)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2608:2608:2608) (2608:2608:2608)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1196:1196:1196) (1196:1196:1196)) + (PORT datab (1380:1380:1380) (1380:1380:1380)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1222:1222:1222) (1222:1222:1222)) + (PORT datab (1109:1109:1109) (1109:1109:1109)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1155:1155:1155) (1155:1155:1155)) + (PORT datab (414:414:414) (414:414:414)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1328:1328:1328) (1328:1328:1328)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2614:2614:2614) (2614:2614:2614)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2403:2403:2403) (2403:2403:2403)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (684:684:684) (684:684:684)) + (PORT datab (688:688:688) (688:688:688)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (947:947:947) (947:947:947)) + (PORT datab (1122:1122:1122) (1122:1122:1122)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (935:935:935) (935:935:935)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (628:628:628) (628:628:628)) + (PORT datab (416:416:416) (416:416:416)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (352:352:352) (352:352:352)) + (PORT datab (920:920:920) (920:920:920)) + (PORT datac (1883:1883:1883) (1883:1883:1883)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1175:1175:1175) (1175:1175:1175)) + (PORT datab (1203:1203:1203) (1203:1203:1203)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (938:938:938) (938:938:938)) + (PORT datad (1031:1031:1031) (1031:1031:1031)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (680:680:680) (680:680:680)) + (PORT datad (965:965:965) (965:965:965)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (450:450:450) (450:450:450)) + (PORT datac (682:682:682) (682:682:682)) + (PORT datad (1077:1077:1077) (1077:1077:1077)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (452:452:452) (452:452:452)) + (PORT datab (445:445:445) (445:445:445)) + (PORT datac (373:373:373) (373:373:373)) + (PORT datad (339:339:339) (339:339:339)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (1145:1145:1145) (1145:1145:1145)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (921:921:921) (921:921:921)) + (PORT datac (1883:1883:1883) (1883:1883:1883)) + (PORT datad (1026:1026:1026) (1026:1026:1026)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un2_column_counter_next_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (442:442:442) (442:442:442)) + (PORT datad (941:941:941) (941:941:941)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (936:936:936) (936:936:936)) + (PORT datad (352:352:352) (352:352:352)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2653:2653:2653) (2653:2653:2653)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (559:559:559) (559:559:559)) + (PORT datab (953:953:953) (953:953:953)) + (PORT datac (1003:1003:1003) (1003:1003:1003)) + (PORT datad (1073:1073:1073) (1073:1073:1073)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (640:640:640) (640:640:640)) + (PORT datad (1035:1035:1035) (1035:1035:1035)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2608:2608:2608) (2608:2608:2608)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (857:857:857) (857:857:857)) + (PORT datad (626:626:626) (626:626:626)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2608:2608:2608) (2608:2608:2608)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (694:694:694) (694:694:694)) + (PORT datab (446:446:446) (446:446:446)) + (PORT datac (460:460:460) (460:460:460)) + (PORT datad (457:457:457) (457:457:457)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5_0\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1133:1133:1133) (1133:1133:1133)) + (PORT datad (969:969:969) (969:969:969)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (565:565:565) (565:565:565)) + (PORT datab (530:530:530) (530:530:530)) + (PORT datac (964:964:964) (964:964:964)) + (PORT datad (1068:1068:1068) (1068:1068:1068)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1959:1959:1959) (1959:1959:1959)) + (PORT datab (599:599:599) (599:599:599)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1763:1763:1763) (1763:1763:1763)) + (PORT datab (423:423:423) (423:423:423)) + (PORT datac (1251:1251:1251) (1251:1251:1251)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1341:1341:1341) (1341:1341:1341)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (419:419:419) (419:419:419)) + (PORT datac (1249:1249:1249) (1249:1249:1249)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1339:1339:1339) (1339:1339:1339)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datac (1248:1248:1248) (1248:1248:1248)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1338:1338:1338) (1338:1338:1338)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datac (1247:1247:1247) (1247:1247:1247)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1337:1337:1337) (1337:1337:1337)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1246:1246:1246) (1246:1246:1246)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1336:1336:1336) (1336:1336:1336)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (420:420:420) (420:420:420)) + (PORT datac (1233:1233:1233) (1233:1233:1233)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1323:1323:1323) (1323:1323:1323)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (945:945:945) (945:945:945)) + (PORT datab (922:922:922) (922:922:922)) + (PORT datac (928:928:928) (928:928:928)) + (PORT datad (960:960:960) (960:960:960)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (422:422:422) (422:422:422)) + (PORT datac (1235:1235:1235) (1235:1235:1235)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1325:1325:1325) (1325:1325:1325)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datac (1238:1238:1238) (1238:1238:1238)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1328:1328:1328) (1328:1328:1328)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datac (1241:1241:1241) (1241:1241:1241)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1331:1331:1331) (1331:1331:1331)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (997:997:997) (997:997:997)) + (PORT datab (582:582:582) (582:582:582)) + (PORT datac (918:918:918) (918:918:918)) + (PORT datad (591:591:591) (591:591:591)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (625:625:625) (625:625:625)) + (PORT datab (340:340:340) (340:340:340)) + (PORT datac (990:990:990) (990:990:990)) + (PORT datad (350:350:350) (350:350:350)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|G_16\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (355:355:355) (355:355:355)) + (PORT datab (1687:1687:1687) (1687:1687:1687)) + (PORT datac (1443:1443:1443) (1443:1443:1443)) + (PORT datad (1444:1444:1444) (1444:1444:1444)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (650:650:650) (650:650:650)) + (PORT datab (643:643:643) (643:643:643)) + (PORT datac (685:685:685) (685:685:685)) + (PORT datad (672:672:672) (672:672:672)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (638:638:638) (638:638:638)) + (PORT datab (683:683:683) (683:683:683)) + (PORT datac (651:651:651) (651:651:651)) + (PORT datad (608:608:608) (608:608:608)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (351:351:351) (351:351:351)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (375:375:375)) + (PORT datab (701:701:701) (701:701:701)) + (PORT datac (1894:1894:1894) (1894:1894:1894)) + (PORT datad (707:707:707) (707:707:707)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH qfbkin combout (291:291:291) (291:291:291)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT datac (1984:1984:1984) (1984:1984:1984)) + (PORT sclr (2780:2780:2780) (2780:2780:2780)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (PORT ena (1087:1087:1087) (1087:1087:1087)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (938:938:938) (938:938:938)) + (PORT datac (461:461:461) (461:461:461)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (PORT ena (1819:1819:1819) (1819:1819:1819)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (936:936:936) (936:936:936)) + (PORT datab (1161:1161:1161) (1161:1161:1161)) + (PORT datac (716:716:716) (716:716:716)) + (PORT datad (361:361:361) (361:361:361)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (604:604:604) (604:604:604)) + (PORT datab (601:601:601) (601:601:601)) + (PORT datac (622:622:622) (622:622:622)) + (PORT datad (593:593:593) (593:593:593)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (921:921:921) (921:921:921)) + (PORT datac (993:993:993) (993:993:993)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (635:635:635) (635:635:635)) + (PORT datab (680:680:680) (680:680:680)) + (PORT datac (650:650:650) (650:650:650)) + (PORT datad (703:703:703) (703:703:703)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (621:621:621) (621:621:621)) + (PORT datac (716:716:716) (716:716:716)) + (PORT datad (356:356:356) (356:356:356)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (352:352:352) (352:352:352)) + (PORT datac (1248:1248:1248) (1248:1248:1248)) + (PORT datad (358:358:358) (358:358:358)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (359:359:359) (359:359:359)) + (PORT datab (1225:1225:1225) (1225:1225:1225)) + (PORT datac (1016:1016:1016) (1016:1016:1016)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1276:1276:1276) (1276:1276:1276)) + (PORT datab (350:350:350) (350:350:350)) + (PORT datac (365:365:365) (365:365:365)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1221:1221:1221) (1221:1221:1221)) + (PORT datab (1074:1074:1074) (1074:1074:1074)) + (PORT datac (1273:1273:1273) (1273:1273:1273)) + (PORT datad (1486:1486:1486) (1486:1486:1486)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (PORT ena (1819:1819:1819) (1819:1819:1819)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (447:447:447) (447:447:447)) + (PORT datac (1113:1113:1113) (1113:1113:1113)) + (PORT datad (1452:1452:1452) (1452:1452:1452)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (369:369:369) (369:369:369)) + (PORT datab (427:427:427) (427:427:427)) + (PORT datac (540:540:540) (540:540:540)) + (PORT datad (1087:1087:1087) (1087:1087:1087)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|d_set_vsync_counter_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1442:1442:1442) (1442:1442:1442)) + (PORT datad (1447:1447:1447) (1447:1447:1447)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (960:960:960) (960:960:960)) + (PORT datab (620:620:620) (620:620:620)) + (PORT datac (5539:5539:5539) (5539:5539:5539)) + (PORT datad (1116:1116:1116) (1116:1116:1116)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1244:1244:1244) (1244:1244:1244)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sload (1470:1470:1470) (1470:1470:1470)) + (PORT datac (1334:1334:1334) (1334:1334:1334)) + (PORT sclr (1340:1340:1340) (1340:1340:1340)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2476:2476:2476) (2476:2476:2476)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datac (posedge clk) (10:10:10)) + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP sload (posedge clk) (10:10:10)) + (HOLD datac (posedge clk) (100:100:100)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD sload (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1224:1224:1224) (1224:1224:1224)) + (PORT datab (1075:1075:1075) (1075:1075:1075)) + (PORT datac (446:446:446) (446:446:446)) + (PORT datad (1486:1486:1486) (1486:1486:1486)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1153:1153:1153) (1153:1153:1153)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (PORT ena (1819:1819:1819) (1819:1819:1819)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2096:2096:2096) (2096:2096:2096)) + (PORT datab (1759:1759:1759) (1759:1759:1759)) + (PORT datac (2102:2102:2102) (2102:2102:2102)) + (PORT datad (1926:1926:1926) (1926:1926:1926)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (473:473:473) (473:473:473)) + (PORT datab (5529:5529:5529) (5529:5529:5529)) + (PORT datac (994:994:994) (994:994:994)) + (PORT datad (1840:1840:1840) (1840:1840:1840)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (373:373:373) (373:373:373)) + (PORT datad (938:938:938) (938:938:938)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2663:2663:2663) (2663:2663:2663)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_a_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (894:894:894) (894:894:894)) + (PORT datab (1930:1930:1930) (1930:1930:1930)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (723:723:723) (723:723:723)) + (PORT datab (686:686:686) (686:686:686)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (375:375:375)) + (PORT datad (546:546:546) (546:546:546)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2826:2826:2826) (2826:2826:2826)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (986:986:986) (986:986:986)) + (PORT datab (927:927:927) (927:927:927)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (375:375:375)) + (PORT datad (814:814:814) (814:814:814)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2826:2826:2826) (2826:2826:2826)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (954:954:954) (954:954:954)) + (PORT datab (421:421:421) (421:421:421)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (370:370:370) (370:370:370)) + (PORT datad (939:939:939) (939:939:939)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2663:2663:2663) (2663:2663:2663)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (938:938:938) (938:938:938)) + (PORT datab (694:694:694) (694:694:694)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (375:375:375) (375:375:375)) + (PORT datad (545:545:545) (545:545:545)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2826:2826:2826) (2826:2826:2826)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (1009:1009:1009) (1009:1009:1009)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (949:949:949) (949:949:949)) + (PORT datad (348:348:348) (348:348:348)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2663:2663:2663) (2663:2663:2663)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (991:991:991) (991:991:991)) + (PORT datab (755:755:755) (755:755:755)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2118:2118:2118) (2118:2118:2118)) + (PORT datac (570:570:570) (570:570:570)) + (PORT datad (542:542:542) (542:542:542)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (697:697:697) (697:697:697)) + (PORT datac (667:667:667) (667:667:667)) + (PORT datad (677:677:677) (677:677:677)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (721:721:721) (721:721:721)) + (PORT datab (755:755:755) (755:755:755)) + (PORT datac (972:972:972) (972:972:972)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (421:421:421) (421:421:421)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (948:948:948) (948:948:948)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2663:2663:2663) (2663:2663:2663)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (972:972:972) (972:972:972)) + (PORT datab (530:530:530) (530:530:530)) + (PORT datac (905:905:905) (905:905:905)) + (PORT datad (983:983:983) (983:983:983)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (962:962:962) (962:962:962)) + (IOPATH datad combout (87:87:87) (87:87:87)) + (IOPATH cin0 combout (432:432:432) (432:432:432)) + (IOPATH cin1 combout (449:449:449) (449:449:449)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (951:951:951) (951:951:951)) + (PORT datad (569:569:569) (569:569:569)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2663:2663:2663) (2663:2663:2663)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2446:2446:2446) (2446:2446:2446)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelt2\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (719:719:719) (719:719:719)) + (PORT datab (696:696:696) (696:696:696)) + (PORT datad (679:679:679) (679:679:679)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1045:1045:1045) (1045:1045:1045)) + (PORT datab (650:650:650) (650:650:650)) + (PORT datac (714:714:714) (714:714:714)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2205:2205:2205) (2205:2205:2205)) + (PORT datac (1551:1551:1551) (1551:1551:1551)) + (PORT datad (1612:1612:1612) (1612:1612:1612)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (441:441:441) (441:441:441)) + (IOPATH datac regin (364:364:364) (364:364:364)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1158:1158:1158) (1158:1158:1158)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (607:607:607) (607:607:607)) + (PORT datab (423:423:423) (423:423:423)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (989:989:989) (989:989:989)) + (PORT datab (419:419:419) (419:419:419)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|un2_toggle_counter_next_0_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (612:612:612) (612:612:612)) + (PORT datab (925:925:925) (925:925:925)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (671:671:671) (671:671:671)) + (PORT datab (927:927:927) (927:927:927)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datab (972:972:972) (972:972:972)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (444:444:444) (444:444:444)) + (PORT datab (929:929:929) (929:929:929)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (921:921:921) (921:921:921)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (437:437:437) (437:437:437)) + (PORT datab (949:949:949) (949:949:949)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (962:962:962) (962:962:962)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (912:912:912) (912:912:912)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout (551:551:551) (551:551:551)) + (IOPATH datab cout (460:460:460) (460:460:460)) + (IOPATH cin0 cout (135:135:135) (135:135:135)) + (IOPATH cin1 cout (123:123:123) (123:123:123)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datab (915:915:915) (915:915:915)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (949:949:949) (949:949:949)) + (PORT datab (420:420:420) (420:420:420)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (956:956:956) (956:956:956)) + (PORT datab (422:422:422) (422:422:422)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (629:629:629) (629:629:629)) + (PORT datab (900:900:900) (900:900:900)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (936:936:936) (936:936:936)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (436:436:436) (436:436:436)) + (PORT datab (938:938:938) (938:938:938)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (935:935:935) (935:935:935)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (445:445:445) (445:445:445)) + (PORT datab (942:942:942) (942:942:942)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + (IOPATH dataa cout0 (443:443:443) (443:443:443)) + (IOPATH datab cout0 (344:344:344) (344:344:344)) + (IOPATH cin0 cout0 (60:60:60) (60:60:60)) + (IOPATH dataa cout1 (451:451:451) (451:451:451)) + (IOPATH datab cout1 (341:341:341) (341:341:341)) + (IOPATH cin1 cout1 (62:62:62) (62:62:62)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (418:418:418) (418:418:418)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (955:955:955) (955:955:955)) + (PORT datad (432:432:432) (432:432:432)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datad regin (235:235:235) (235:235:235)) + (IOPATH cin regin (607:607:607) (607:607:607)) + (IOPATH cin0 regin (571:571:571) (571:571:571)) + (IOPATH cin1 regin (587:587:587) (587:587:587)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1326:1326:1326) (1326:1326:1326)) + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (947:947:947) (947:947:947)) + (PORT datab (629:629:629) (629:629:629)) + (PORT datac (629:629:629) (629:629:629)) + (PORT datad (609:609:609) (609:609:609)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_5\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (647:647:647) (647:647:647)) + (PORT datab (604:604:604) (604:604:604)) + (PORT datac (660:660:660) (660:660:660)) + (PORT datad (139:139:139) (139:139:139)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7_4\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (645:645:645) (645:645:645)) + (PORT datab (621:621:621) (621:621:621)) + (PORT datac (614:614:614) (614:614:614)) + (PORT datad (647:647:647) (647:647:647)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (679:679:679) (679:679:679)) + (PORT datab (661:661:661) (661:661:661)) + (PORT datac (367:367:367) (367:367:367)) + (PORT datad (631:631:631) (631:631:631)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto10\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (641:641:641) (641:641:641)) + (PORT datab (653:653:653) (653:653:653)) + (PORT datac (629:629:629) (629:629:629)) + (PORT datad (340:340:340) (340:340:340)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (644:644:644) (644:644:644)) + (PORT datab (616:616:616) (616:616:616)) + (PORT datac (368:368:368) (368:368:368)) + (PORT datad (357:357:357) (357:357:357)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_sig_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datad (139:139:139) (139:139:139)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (370:370:370) (370:370:370)) + (PORT datad (434:434:434) (434:434:434)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (4675:4675:4675) (4675:4675:4675)) + (PORT clk (2319:2319:2319) (2319:2319:2319)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1135:1135:1135) (1135:1135:1135)) + (PORT datab (444:444:444) (444:444:444)) + (PORT datac (375:375:375) (375:375:375)) + (PORT datad (454:454:454) (454:454:454)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto9\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (553:553:553) (553:553:553)) + (PORT datab (952:952:952) (952:952:952)) + (PORT datac (996:996:996) (996:996:996)) + (PORT datad (1068:1068:1068) (1068:1068:1068)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datab (2016:2016:2016) (2016:2016:2016)) + (PORT datac (2131:2131:2131) (2131:2131:2131)) + (PORT datad (2080:2080:2080) (2080:2080:2080)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (439:439:439) (439:439:439)) + (PORT datad (2077:2077:2077) (2077:2077:2077)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (2904:2904:2904) (2904:2904:2904)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (PORT ena (1088:1088:1088) (1088:1088:1088)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1777:1777:1777) (1777:1777:1777)) + (PORT datac (1224:1224:1224) (1224:1224:1224)) + (PORT datad (1624:1624:1624) (1624:1624:1624)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1718:1718:1718) (1718:1718:1718)) + (PORT datad (2112:2112:2112) (2112:2112:2112)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT sclr (1997:1997:1997) (1997:1997:1997)) + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2469:2469:2469) (2469:2469:2469)) + (PORT ena (1082:1082:1082) (1082:1082:1082)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (SETUP sclr (posedge clk) (10:10:10)) + (SETUP ena (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + (HOLD sclr (posedge clk) (100:100:100)) + (HOLD ena (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_g0_3_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1933:1933:1933) (1933:1933:1933)) + (PORT datab (952:952:952) (952:952:952)) + (PORT datac (1000:1000:1000) (1000:1000:1000)) + (PORT datad (2219:2219:2219) (2219:2219:2219)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_next_0_g0_5_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1995:1995:1995) (1995:1995:1995)) + (PORT datab (339:339:339) (339:339:339)) + (PORT datac (439:439:439) (439:439:439)) + (PORT datad (347:347:347) (347:347:347)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8_a\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (720:720:720) (720:720:720)) + (PORT datab (649:649:649) (649:649:649)) + (PORT datac (715:715:715) (715:715:715)) + (PORT datad (768:768:768) (768:768:768)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (2236:2236:2236) (2236:2236:2236)) + (PORT datab (1601:1601:1601) (1601:1601:1601)) + (PORT datac (2226:2226:2226) (2226:2226:2226)) + (PORT datad (2112:2112:2112) (2112:2112:2112)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_control_unit\|b_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (552:552:552) (552:552:552)) + (PORT datab (530:530:530) (530:530:530)) + (PORT datac (542:542:542) (542:542:542)) + (PORT datad (535:535:535) (535:535:535)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_control_unit\|b_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (4775:4775:4775) (4775:4775:4775)) + (PORT clk (2406:2406:2406) (2406:2406:2406)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_hsync_state_3_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT datac (1769:1769:1769) (1769:1769:1769)) + (PORT datad (2108:2108:2108) (2108:2108:2108)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1824:1824:1824) (1824:1824:1824)) + (PORT datab (414:414:414) (414:414:414)) + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (712:712:712) (712:712:712)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (475:475:475) (475:475:475)) + (PORT datab (971:971:971) (971:971:971)) + (PORT datac (5522:5522:5522) (5522:5522:5522)) + (PORT datad (361:361:361) (361:361:361)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|un1_vsync_state_2_0_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (1276:1276:1276) (1276:1276:1276)) + (PORT datac (1918:1918:1918) (1918:1918:1918)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datac combout (213:213:213) (213:213:213)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_1_0_0_0_g1_cZ\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (367:367:367) (367:367:367)) + (PORT datab (446:446:446) (446:446:446)) + (PORT datac (456:456:456) (456:456:456)) + (PORT datad (454:454:454) (454:454:454)) + (IOPATH dataa combout (459:459:459) (459:459:459)) + (IOPATH datab combout (332:332:332) (332:332:332)) + (IOPATH datac combout (213:213:213) (213:213:213)) + (IOPATH datad combout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_lcell") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lecomb) + (DELAY + (ABSOLUTE + (PORT dataa (361:361:361) (361:361:361)) + (PORT datab (454:454:454) (454:454:454)) + (PORT datac (649:649:649) (649:649:649)) + (PORT datad (5532:5532:5532) (5532:5532:5532)) + (IOPATH dataa regin (583:583:583) (583:583:583)) + (IOPATH datab regin (489:489:489) (489:489:489)) + (IOPATH datac regin (364:364:364) (364:364:364)) + (IOPATH datad regin (235:235:235) (235:235:235)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_lcell_register") + (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lereg) + (DELAY + (ABSOLUTE + (PORT aclr (668:668:668) (668:668:668)) + (PORT clk (2472:2472:2472) (2472:2472:2472)) + (IOPATH (posedge clk) regout (176:176:176) (176:176:176)) + (IOPATH (posedge aclr) regout (212:212:212) (212:212:212)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (10:10:10)) + (HOLD datain (posedge clk) (100:100:100)) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3105:3105:3105) (3105:3105:3105)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3154:3154:3154) (3154:3154:3154)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE r2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2738:2738:2738) (2738:2738:2738)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2818:2818:2818) (2818:2818:2818)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2483:2483:2483) (2483:2483:2483)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE g2_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2690:2690:2690) (2690:2690:2690)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b0_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2940:2940:2940) (2940:2940:2940)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE b1_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3041:3041:3041) (3041:3041:3041)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE hsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3330:3330:3330) (3330:3330:3330)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE vsync_pin_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3711:3711:3711) (3711:3711:3711)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3021:3021:3021) (3021:3021:3021)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2553:2553:2553) (2553:2553:2553)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3478:3478:3478) (3478:3478:3478)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2818:2818:2818) (2818:2818:2818)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2852:2852:2852) (2852:2852:2852)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3154:3154:3154) (3154:3154:3154)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2852:2852:2852) (2852:2852:2852)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3403:3403:3403) (3403:3403:3403)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3478:3478:3478) (3478:3478:3478)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2480:2480:2480) (2480:2480:2480)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_10_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3403:3403:3403) (3403:3403:3403)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_11_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2611:2611:2611) (2611:2611:2611)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_out_12_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2574:2574:2574) (2574:2574:2574)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\seven_seg_pin_tri_13_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2652:2652:2652) (2652:2652:2652)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_hsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2346:2346:2346) (2346:2346:2346)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_vsync_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3030:3030:3030) (3030:3030:3030)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3136:3136:3136) (3136:3136:3136)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3114:3114:3114) (3114:3114:3114)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2878:2878:2878) (2878:2878:2878)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2475:2475:2475) (2475:2475:2475)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2800:2800:2800) (2800:2800:2800)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2501:2501:2501) (2501:2501:2501)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2569:2569:2569) (2569:2569:2569)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3597:3597:3597) (3597:3597:3597)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3102:3102:3102) (3102:3102:3102)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_column_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2816:2816:2816) (2816:2816:2816)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1913:1913:1913) (1913:1913:1913)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2122:2122:2122) (2122:2122:2122)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2616:2616:2616) (2616:2616:2616)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2087:2087:2087) (2087:2087:2087)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3061:3061:3061) (3061:3061:3061)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3096:3096:3096) (3096:3096:3096)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2323:2323:2323) (2323:2323:2323)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3195:3195:3195) (3195:3195:3195)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_line_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2104:2104:2104) (2104:2104:2104)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_column_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2342:2342:2342) (2342:2342:2342)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_line_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3321:3321:3321) (3321:3321:3321)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1781:1781:1781) (1781:1781:1781)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1547:1547:1547) (1547:1547:1547)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2472:2472:2472) (2472:2472:2472)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2240:2240:2240) (2240:2240:2240)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3471:3471:3471) (3471:3471:3471)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2016:2016:2016) (2016:2016:2016)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1811:1811:1811) (1811:1811:1811)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2193:2193:2193) (2193:2193:2193)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2750:2750:2750) (2750:2750:2750)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2028:2028:2028) (2028:2028:2028)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2610:2610:2610) (2610:2610:2610)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2795:2795:2795) (2795:2795:2795)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2695:2695:2695) (2695:2695:2695)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2754:2754:2754) (2754:2754:2754)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2836:2836:2836) (2836:2836:2836)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3493:3493:3493) (3493:3493:3493)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2039:2039:2039) (2039:2039:2039)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2624:2624:2624) (2624:2624:2624)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2811:2811:2811) (2811:2811:2811)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2804:2804:2804) (2804:2804:2804)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_hsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3114:3114:3114) (3114:3114:3114)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_set_vsync_counter_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3239:3239:3239) (3239:3239:3239)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_h_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2843:2843:2843) (2843:2843:2843)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_v_enable_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2052:2052:2052) (2052:2052:2052)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_r_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2483:2483:2483) (2483:2483:2483)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_g_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3568:3568:3568) (3568:3568:3568)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_b_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2940:2940:2940) (2940:2940:2940)) + (IOPATH datain padio (2801:2801:2801) (2801:2801:2801)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2378:2378:2378) (2378:2378:2378)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (4501:4501:4501) (4501:4501:4501)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3999:3999:3999) (3999:3999:3999)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2214:2214:2214) (2214:2214:2214)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2501:2501:2501) (2501:2501:2501)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2474:2474:2474) (2474:2474:2474)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_hsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2066:2066:2066) (2066:2066:2066)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2805:2805:2805) (2805:2805:2805)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2996:2996:2996) (2996:2996:2996)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2527:2527:2527) (2527:2527:2527)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2287:2287:2287) (2287:2287:2287)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2516:2516:2516) (2516:2516:2516)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3341:3341:3341) (3341:3341:3341)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_vsync_state_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2287:2287:2287) (2287:2287:2287)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_state_clk_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2588:2588:2588) (2588:2588:2588)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE d_toggle_out.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1797:1797:1797) (1797:1797:1797)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_0_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2249:2249:2249) (2249:2249:2249)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_1_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2567:2567:2567) (2567:2567:2567)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_2_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2338:2338:2338) (2338:2338:2338)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_3_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3299:3299:3299) (3299:3299:3299)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_4_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2107:2107:2107) (2107:2107:2107)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_5_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2826:2826:2826) (2826:2826:2826)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_6_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2476:2476:2476) (2476:2476:2476)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_7_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3158:3158:3158) (3158:3158:3158)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_8_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2332:2332:2332) (2332:2332:2332)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_9_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2603:2603:2603) (2603:2603:2603)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_10_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1851:1851:1851) (1851:1851:1851)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_11_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2358:2358:2358) (2358:2358:2358)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_12_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1787:1787:1787) (1787:1787:1787)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_13_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2059:2059:2059) (2059:2059:2059)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_14_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2707:2707:2707) (2707:2707:2707)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_15_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3500:3500:3500) (3500:3500:3500)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_16_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3058:3058:3058) (3058:3058:3058)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_17_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (1848:1848:1848) (1848:1848:1848)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_18_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2070:2070:2070) (2070:2070:2070)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_19_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3453:3453:3453) (3453:3453:3453)) + (IOPATH datain padio (2504:2504:2504) (2504:2504:2504)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_20_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2624:2624:2624) (2624:2624:2624)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_21_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2151:2151:2151) (2151:2151:2151)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_22_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (2787:2787:2787) (2787:2787:2787)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_23_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3003:3003:3003) (3003:3003:3003)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) + (CELL + (CELLTYPE "stratix_asynch_io") + (INSTANCE \\d_toggle_counter_out_24_\\.inst1) + (DELAY + (ABSOLUTE + (PORT datain (3139:3139:3139) (3139:3139:3139)) + (IOPATH datain padio (2495:2495:2495) (2495:2495:2495)) + ) + ) + ) +) diff --git a/bsp4/Designflow/ppr/sim/vga.asm.rpt b/bsp4/Designflow/ppr/sim/vga.asm.rpt new file mode 100644 index 0000000..b9f8914 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for vga +Tue Nov 3 17:31:32 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: vga.sof + 6. Assembler Device Options: vga.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Tue Nov 3 17:31:32 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Auto-increment JTAG user code for multiple configuration devices ; On ; On ; +; Disable CONF_DONE and nSTATUS pull-ups on configuration device ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Use Checkered Pattern as Uninitialized RAM Content ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; vga.sof ; +; vga.pof ; ++---------------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.sof ; ++----------------+------------------+ +; Option ; Setting ; ++----------------+------------------+ +; Device ; EP1S25F672C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x002E603A ; ++----------------+------------------+ + + ++-----------------------------------+ +; Assembler Device Options: vga.pof ; ++--------------------+--------------+ +; Option ; Setting ; ++--------------------+--------------+ +; Device ; EPC8 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x0BFD2AB3 ; +; Compression Ratio ; 1 ; ++--------------------+--------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:31:13 2009 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Tue Nov 3 17:31:32 2009 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:18 + + diff --git a/bsp4/Designflow/ppr/sim/vga.done b/bsp4/Designflow/ppr/sim/vga.done new file mode 100644 index 0000000..282e3b6 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.done @@ -0,0 +1 @@ +Tue Nov 3 17:31:41 2009 diff --git a/bsp4/Designflow/ppr/sim/vga.eda.rpt b/bsp4/Designflow/ppr/sim/vga.eda.rpt new file mode 100644 index 0000000..1807e95 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for vga +Tue Nov 3 17:31:40 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Tue Nov 3 17:31:40 2009 ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+-----------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+-----------------+ +; Tool Name ; ModelSim (VHDL) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+-----------------+ + + ++--------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------------------------------------------+ +; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho ; +; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo ; ++--------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II EDA Netlist Writer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:31:39 2009 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga +Info: Generated files "vga.vho" and "vga_vhd.sdo" in directory "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/" for EDA simulation tool +Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 163 megabytes + Info: Processing ended: Tue Nov 3 17:31:41 2009 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp4/Designflow/ppr/sim/vga.fit.rpt b/bsp4/Designflow/ppr/sim/vga.fit.rpt new file mode 100644 index 0000000..f550b54 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.fit.rpt @@ -0,0 +1,1775 @@ +Fitter report for vga +Tue Nov 3 17:31:09 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Input Pins + 11. Output Pins + 12. I/O Bank Usage + 13. All Package Pins + 14. Output Pin Default Load For Reported TCO + 15. Fitter Resource Utilization by Entity + 16. Delay Chain Summary + 17. Pad To Core Delay Chain Fanout + 18. Control Signals + 19. Global & Other Fast Signals + 20. Non-Global High Fan-Out Signals + 21. Interconnect Usage Summary + 22. LAB Logic Elements + 23. LAB-wide Signals + 24. LAB Signals Sourced + 25. LAB Signals Sourced Out + 26. LAB Distinct Inputs + 27. Fitter Device Options + 28. Estimated Delay Added for Hold Timing + 29. Fitter Messages + 30. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Fitter Summary ; ++--------------------------+------------------------------------------+ +; Fitter Status ; Successful - Tue Nov 3 17:31:09 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP1S25F672C6 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Merge PLLs ; On ; On ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Stop After Congestion Map Generation ; Off ; Off ; +; Save Intermediate Fitting Results ; Off ; Off ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.06 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 3.2% ; ++----------------------------+-------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++-------------------------+--------------------+ +; Type ; Value ; ++-------------------------+--------------------+ +; Placement ; ; +; -- Requested ; 0 / 292 ( 0.00 % ) ; +; -- Achieved ; 0 / 292 ( 0.00 % ) ; +; ; ; +; Routing (by Connection) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++-------------------------+--------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ + + ++--------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++----------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 292 ; 0 ; N/A ; Source File ; ++----------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.pin. + + ++------------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+--------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------------------------------------------+ +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; -- Combinational with no register ; 92 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 81 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 61 ; +; -- 3 input functions ; 50 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 121 ; +; -- arithmetic mode ; 52 ; +; -- qfbk mode ; 3 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 69 ; +; -- asynchronous clear/load mode ; 22 ; +; ; ; +; Total registers ; 81 / 28,424 ( < 1 % ) ; +; Total LABs ; 23 / 2,566 ( < 1 % ) ; +; Logic elements in carry chains ; 60 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 117 / 474 ( 25 % ) ; +; -- Clock pins ; 2 / 16 ( 13 % ) ; +; Global signals ; 2 ; +; M512s ; 0 / 224 ( 0 % ) ; +; M4Ks ; 0 / 138 ( 0 % ) ; +; M-RAMs ; 0 / 2 ( 0 % ) ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; Total RAM block bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; PLLs ; 0 / 6 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; SERDES transmitters ; 0 / 78 ( 0 % ) ; +; SERDES receivers ; 0 / 78 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Remote update blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 1% / 0% / 2% ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 82 ; +; Highest non-global fan-out signal ; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; +; Highest non-global fan-out ; 21 ; +; Total fan-out ; 865 ; +; Average fan-out ; 2.97 ; ++---------------------------------------------+--------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clk_pin ; R3 ; 1 ; 0 ; 21 ; 0 ; 82 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset_pin ; P24 ; 6 ; 79 ; 21 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ +; b0_pin ; AB14 ; 11 ; 37 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; b1_pin ; W15 ; 7 ; 46 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_b ; AA13 ; 11 ; 37 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[0] ; M21 ; 5 ; 79 ; 29 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[1] ; M5 ; 2 ; 0 ; 30 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[2] ; M18 ; 5 ; 79 ; 29 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[3] ; B11 ; 3 ; 29 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[4] ; R9 ; 1 ; 0 ; 19 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[5] ; AC11 ; 8 ; 27 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[6] ; AA11 ; 8 ; 31 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[7] ; Y11 ; 8 ; 29 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[8] ; M7 ; 2 ; 0 ; 31 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_column_counter[9] ; N21 ; 5 ; 79 ; 28 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_g ; V6 ; 1 ; 0 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_h_enable ; M19 ; 5 ; 79 ; 29 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync ; M4 ; 2 ; 0 ; 30 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[0] ; U1 ; 1 ; 0 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[1] ; U7 ; 1 ; 0 ; 14 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[2] ; AE10 ; 8 ; 21 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[3] ; U3 ; 1 ; 0 ; 13 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[4] ; E9 ; 3 ; 17 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[5] ; T4 ; 1 ; 0 ; 15 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[6] ; U8 ; 1 ; 0 ; 14 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[7] ; AE8 ; 8 ; 14 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[8] ; U2 ; 1 ; 0 ; 14 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_counter[9] ; T5 ; 1 ; 0 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[0] ; R6 ; 1 ; 0 ; 19 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[1] ; AD9 ; 8 ; 17 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[2] ; W9 ; 8 ; 17 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[3] ; AC10 ; 8 ; 21 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[4] ; G7 ; 3 ; 17 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[5] ; A10 ; 3 ; 23 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_hsync_state[6] ; A8 ; 3 ; 17 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[0] ; R4 ; 1 ; 0 ; 18 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[1] ; AF12 ; 8 ; 33 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[2] ; AA12 ; 11 ; 37 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[3] ; AD12 ; 8 ; 33 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[4] ; AD15 ; 7 ; 52 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[5] ; W10 ; 8 ; 23 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[6] ; R23 ; 6 ; 79 ; 18 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[7] ; A12 ; 3 ; 33 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_line_counter[8] ; AB12 ; 11 ; 37 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_r ; Y18 ; 7 ; 58 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_column_counter ; AF9 ; 8 ; 21 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_hsync_counter ; T2 ; 1 ; 0 ; 17 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_line_counter ; M20 ; 5 ; 79 ; 29 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_set_vsync_counter ; L23 ; 5 ; 79 ; 31 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_state_clk ; N7 ; 2 ; 0 ; 29 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle ; D11 ; 3 ; 25 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[0] ; J5 ; 2 ; 0 ; 38 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[10] ; K3 ; 2 ; 0 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[11] ; E11 ; 3 ; 31 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[12] ; G9 ; 3 ; 23 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[13] ; J1 ; 2 ; 0 ; 38 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[14] ; J6 ; 2 ; 0 ; 38 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[15] ; AB10 ; 8 ; 23 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[16] ; H19 ; 5 ; 79 ; 38 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[17] ; K4 ; 2 ; 0 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[18] ; C11 ; 3 ; 25 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[19] ; AE11 ; 8 ; 25 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[1] ; E10 ; 3 ; 23 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[20] ; W21 ; 6 ; 79 ; 6 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[21] ; V26 ; 6 ; 79 ; 9 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[22] ; AA3 ; 1 ; 0 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[23] ; AA25 ; 6 ; 79 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[24] ; U22 ; 6 ; 79 ; 14 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[2] ; F10 ; 3 ; 23 ; 47 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[3] ; K26 ; 5 ; 79 ; 35 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[4] ; J2 ; 2 ; 0 ; 38 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[5] ; J26 ; 5 ; 79 ; 38 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[6] ; J22 ; 5 ; 79 ; 37 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[7] ; AB11 ; 8 ; 25 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[8] ; G10 ; 3 ; 23 ; 47 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_toggle_counter[9] ; J21 ; 5 ; 79 ; 37 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_v_enable ; R8 ; 1 ; 0 ; 19 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync ; P20 ; 6 ; 79 ; 19 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[0] ; N6 ; 2 ; 0 ; 29 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[1] ; B9 ; 3 ; 17 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[2] ; AC9 ; 8 ; 17 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[3] ; F9 ; 3 ; 21 ; 47 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[4] ; AF8 ; 8 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[5] ; N20 ; 5 ; 79 ; 28 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[6] ; P6 ; 1 ; 0 ; 20 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[7] ; M8 ; 2 ; 0 ; 29 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[8] ; D10 ; 3 ; 21 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_counter[9] ; AA8 ; 8 ; 17 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[0] ; P8 ; 2 ; 0 ; 28 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[1] ; M22 ; 5 ; 79 ; 30 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[2] ; AB9 ; 8 ; 17 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[3] ; P7 ; 1 ; 0 ; 20 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[4] ; R7 ; 1 ; 0 ; 19 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[5] ; P19 ; 6 ; 79 ; 20 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; d_vsync_state[6] ; N8 ; 2 ; 0 ; 28 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g0_pin ; W6 ; 1 ; 0 ; 9 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g1_pin ; AB17 ; 7 ; 58 ; 0 ; 4 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; g2_pin ; U25 ; 6 ; 79 ; 13 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; hsync_pin ; H3 ; 2 ; 0 ; 42 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r0_pin ; AA20 ; 7 ; 70 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r1_pin ; AB19 ; 7 ; 67 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; r2_pin ; AF7 ; 8 ; 9 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[0] ; AB23 ; 6 ; 79 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[10] ; C8 ; 3 ; 12 ; 47 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[11] ; K9 ; 2 ; 0 ; 35 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[12] ; L4 ; 2 ; 0 ; 33 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[13] ; U23 ; 6 ; 79 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[1] ; L6 ; 2 ; 0 ; 32 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[2] ; A9 ; 3 ; 21 ; 47 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[3] ; V2 ; 1 ; 0 ; 9 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[4] ; W7 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[5] ; AE19 ; 7 ; 67 ; 0 ; 5 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[6] ; V4 ; 1 ; 0 ; 8 ; 3 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[7] ; E7 ; 3 ; 12 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[8] ; B10 ; 3 ; 21 ; 47 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; seven_seg_pin[9] ; M9 ; 2 ; 0 ; 29 ; 1 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; +; vsync_pin ; N19 ; 6 ; 79 ; 20 ; 0 ; no ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 10 pF ; ++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 22 / 61 ( 36 % ) ; 3.3V ; -- ; +; 2 ; 19 / 59 ( 32 % ) ; 3.3V ; -- ; +; 3 ; 20 / 54 ( 37 % ) ; 3.3V ; -- ; +; 4 ; 1 / 56 ( 2 % ) ; 3.3V ; -- ; +; 5 ; 13 / 59 ( 22 % ) ; 3.3V ; -- ; +; 6 ; 12 / 61 ( 20 % ) ; 3.3V ; -- ; +; 7 ; 7 / 57 ( 12 % ) ; 3.3V ; -- ; +; 8 ; 20 / 54 ( 37 % ) ; 3.3V ; -- ; +; 9 ; 0 / 6 ( 0 % ) ; 3.3V ; -- ; +; 11 ; 4 / 6 ( 67 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +; A2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A3 ; 733 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A4 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A5 ; 725 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A6 ; 717 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A7 ; 703 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A8 ; 702 ; 3 ; d_hsync_state[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A9 ; 695 ; 3 ; seven_seg_pin[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A10 ; 684 ; 3 ; d_hsync_state[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A12 ; 656 ; 3 ; d_line_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; A13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; A15 ; 640 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; A16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A17 ; 602 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A18 ; 589 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A19 ; 579 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A20 ; 571 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A21 ; 564 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A22 ; 554 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A23 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; A24 ; 552 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; A25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AA1 ; 158 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA2 ; 157 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA3 ; 160 ; 1 ; d_toggle_counter[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; AA4 ; 159 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA5 ; 155 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA6 ; 154 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA7 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA8 ; 214 ; 8 ; d_vsync_counter[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA9 ; 223 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA10 ; 227 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA11 ; 251 ; 8 ; d_column_counter[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA12 ; 269 ; 11 ; d_line_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA13 ; 273 ; 11 ; d_b ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA14 ; 271 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA15 ; 283 ; 7 ; ^nIO_PULLUP ; ; ; ; -- ; -- ; ; -- ; -- ; +; AA16 ; 304 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA17 ; 316 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA18 ; 324 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA19 ; 334 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA20 ; 344 ; 7 ; r0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AA21 ; 350 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AA22 ; 386 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA23 ; 382 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA24 ; 381 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AA25 ; 384 ; 6 ; d_toggle_counter[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; AA26 ; 383 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB1 ; 162 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB2 ; 161 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB3 ; 164 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB4 ; 163 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB5 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB6 ; 184 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB7 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB8 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB9 ; 217 ; 8 ; d_vsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB10 ; 229 ; 8 ; d_toggle_counter[15] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB11 ; 231 ; 8 ; d_toggle_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB12 ; 268 ; 11 ; d_line_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB13 ; 272 ; 11 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB14 ; 270 ; 11 ; b0_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB15 ; 292 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB16 ; 309 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB17 ; 322 ; 7 ; g1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB18 ; 323 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AB19 ; 336 ; 7 ; r1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AB20 ; 346 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB21 ; 351 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB22 ; 365 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AB23 ; 378 ; 6 ; seven_seg_pin[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; AB24 ; 377 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB25 ; 380 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AB26 ; 379 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AC2 ; 165 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC3 ; 168 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC4 ; 167 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC5 ; 171 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC6 ; 185 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC7 ; 186 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC8 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC9 ; 215 ; 8 ; d_vsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC10 ; 224 ; 8 ; d_hsync_state[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC11 ; 239 ; 8 ; d_column_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AC12 ; 257 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC14 ; ; ; GNDA_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AC15 ; 293 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC16 ; 307 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC17 ; 328 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC18 ; 338 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC19 ; 339 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC20 ; 349 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC21 ; 355 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC22 ; 369 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC23 ; 368 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AC24 ; 374 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC25 ; 376 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AC26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AD1 ; 166 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD2 ; 172 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD3 ; 174 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD4 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD5 ; 170 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD6 ; 188 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD7 ; 192 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD8 ; 204 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD9 ; 216 ; 8 ; d_hsync_state[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD10 ; 220 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD11 ; 247 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD12 ; 256 ; 8 ; d_line_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD13 ; ; ; VCCG_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD14 ; ; ; VCCA_PLL6 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; AD15 ; 302 ; 7 ; d_line_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AD16 ; 310 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD17 ; 329 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD18 ; 335 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD19 ; 337 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD20 ; 353 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD21 ; 354 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AD22 ; 370 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD23 ; 364 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD24 ; 367 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AD25 ; 373 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AD26 ; 375 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; AE1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE2 ; 173 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE3 ; 179 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE4 ; 176 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE5 ; 187 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE6 ; 194 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE7 ; 189 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE8 ; 206 ; 8 ; d_hsync_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE9 ; 218 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; AE10 ; 222 ; 8 ; d_hsync_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE11 ; 232 ; 8 ; d_toggle_counter[19] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE12 ; 259 ; 8 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE13 ; ; 11 ; VCC_PLL6_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AE14 ; ; ; GNDG_PLL6 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AE15 ; 274 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AE16 ; 313 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE17 ; 319 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE18 ; 330 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE19 ; 340 ; 7 ; seven_seg_pin[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AE20 ; 343 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE21 ; 352 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE22 ; 363 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE23 ; 366 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE24 ; 371 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE25 ; 358 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AE26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF3 ; 183 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF4 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF5 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF6 ; 198 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF7 ; 197 ; 8 ; r2_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF8 ; 207 ; 8 ; d_vsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF9 ; 219 ; 8 ; d_set_column_counter ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF10 ; 230 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF12 ; 258 ; 8 ; d_line_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; AF13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; AF15 ; 276 ; 7 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; AF16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF17 ; 315 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF18 ; 327 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF19 ; 331 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF20 ; 342 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF21 ; 347 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF22 ; 360 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF23 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; AF24 ; 362 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; AF25 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B3 ; 740 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B4 ; 736 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B5 ; 730 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B6 ; 716 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B7 ; 709 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B8 ; 704 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B9 ; 698 ; 3 ; d_vsync_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B10 ; 694 ; 3 ; seven_seg_pin[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B11 ; 667 ; 3 ; d_column_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; B12 ; 655 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B13 ; ; ; GNDG_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B14 ; ; ; GNDA_PLL5 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; B15 ; 638 ; 4 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; B16 ; 610 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B17 ; 596 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B18 ; 582 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B19 ; 577 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B20 ; 567 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B21 ; 563 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B22 ; 551 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B23 ; 548 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B24 ; 543 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B25 ; 544 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; B26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C1 ; 0 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C2 ; 738 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C3 ; 731 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C4 ; 742 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C5 ; 743 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C6 ; 729 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C7 ; 728 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C8 ; 710 ; 3 ; seven_seg_pin[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C9 ; 699 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C10 ; 692 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C11 ; 682 ; 3 ; d_toggle_counter[18] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; C12 ; 658 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; C14 ; ; ; VCCG_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; C15 ; 617 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C16 ; 605 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C17 ; 592 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C18 ; 581 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C19 ; 573 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C20 ; 559 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C21 ; 566 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C22 ; 556 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C23 ; 550 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C24 ; 547 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; C25 ; 539 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; C26 ; 541 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D2 ; 1 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D3 ; 744 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D4 ; 741 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D5 ; 735 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D6 ; 722 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D7 ; 727 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D8 ; 712 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D9 ; 696 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; D10 ; 691 ; 3 ; d_vsync_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D11 ; 683 ; 3 ; d_toggle ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; D12 ; 657 ; 3 ; GND+ ; ; ; ; Column I/O ; -- ; ; -- ; -- ; +; D13 ; ; 9 ; VCC_PLL5_OUTA ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; D14 ; ; ; VCCA_PLL5 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; D15 ; 630 ; 4 ; #TRST ; input ; ; ; -- ; -- ; ; -- ; -- ; +; D16 ; 604 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D17 ; 600 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D18 ; 583 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D19 ; 575 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D20 ; 562 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D21 ; 561 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D22 ; 546 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D23 ; 545 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; D24 ; 538 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D25 ; 540 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; D26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; E1 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E2 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E5 ; 726 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E6 ; 723 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E7 ; 713 ; 3 ; seven_seg_pin[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E8 ; 706 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E9 ; 697 ; 3 ; d_hsync_counter[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E10 ; 685 ; 3 ; d_toggle_counter[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E11 ; 662 ; 3 ; d_toggle_counter[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; E12 ; 646 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E13 ; 642 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E14 ; 644 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E15 ; 629 ; 4 ; #TMS ; input ; ; ; -- ; -- ; ; -- ; -- ; +; E16 ; 607 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E17 ; 597 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E18 ; 586 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E19 ; 578 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E20 ; 576 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E21 ; 569 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E22 ; 549 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; E23 ; 534 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E24 ; 535 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E25 ; 536 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; E26 ; 537 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F5 ; 720 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F6 ; 719 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F7 ; 707 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; F9 ; 690 ; 3 ; d_vsync_counter[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F10 ; 687 ; 3 ; d_toggle_counter[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F11 ; 659 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F12 ; 645 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F13 ; 641 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F14 ; 643 ; 9 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F15 ; 632 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F16 ; 612 ; 4 ; ~DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; F17 ; 599 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F18 ; 591 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F19 ; 590 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F20 ; 584 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F21 ; 572 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; F22 ; 560 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; F23 ; 530 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F24 ; 531 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F25 ; 532 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; F26 ; 533 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G1 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G2 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G3 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G4 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G5 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G7 ; 700 ; 3 ; d_hsync_state[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G8 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; G9 ; 688 ; 3 ; d_toggle_counter[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G10 ; 686 ; 3 ; d_toggle_counter[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; G11 ; 670 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G12 ; 653 ; 3 ; ^DCLK ; ; ; ; -- ; -- ; ; -- ; -- ; +; G13 ; ; ; TEMPDIODEn ; ; ; ; -- ; -- ; ; -- ; -- ; +; G14 ; 636 ; 4 ; #TDO ; output ; ; ; -- ; -- ; ; -- ; -- ; +; G15 ; 631 ; 4 ; #TCK ; input ; ; ; -- ; -- ; ; -- ; -- ; +; G16 ; 622 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; G17 ; 601 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G18 ; 594 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G19 ; 585 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G20 ; 587 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; G21 ; 522 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G22 ; 523 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G23 ; 526 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G24 ; 527 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G25 ; 528 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; G26 ; 529 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H1 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H2 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H3 ; 18 ; 2 ; hsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H4 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H5 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H6 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H7 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H8 ; 20 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; H9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H10 ; 675 ; 3 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H11 ; 654 ; 3 ; ^CONF_DONE ; ; ; ; -- ; -- ; ; -- ; -- ; +; H12 ; 652 ; 3 ; ^nCONFIG ; ; ; ; -- ; -- ; ; -- ; -- ; +; H13 ; 651 ; 3 ; ^nSTATUS ; ; ; ; -- ; -- ; ; -- ; -- ; +; H14 ; ; ; TEMPDIODEp ; ; ; ; -- ; -- ; ; -- ; -- ; +; H15 ; 635 ; 4 ; #TDI ; input ; ; ; -- ; -- ; ; -- ; -- ; +; H16 ; 621 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; H18 ; 603 ; 4 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; H19 ; 506 ; 5 ; d_toggle_counter[16] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; H20 ; 505 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H21 ; 514 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H22 ; 513 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H23 ; 518 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H24 ; 517 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H25 ; 524 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; H26 ; 525 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J1 ; 34 ; 2 ; d_toggle_counter[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J2 ; 33 ; 2 ; d_toggle_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J3 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J4 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J5 ; 36 ; 2 ; d_toggle_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J6 ; 35 ; 2 ; d_toggle_counter[14] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J7 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J8 ; 48 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J12 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J15 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J16 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; J17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; J18 ; 521 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; J19 ; 494 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J20 ; 493 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J21 ; 504 ; 5 ; d_toggle_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J22 ; 503 ; 5 ; d_toggle_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; J23 ; 512 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J24 ; 511 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J25 ; 508 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; J26 ; 507 ; 5 ; d_toggle_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K1 ; 46 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K2 ; 45 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K3 ; 38 ; 2 ; d_toggle_counter[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K4 ; 37 ; 2 ; d_toggle_counter[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K5 ; 50 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K6 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K7 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K8 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K9 ; 47 ; 2 ; seven_seg_pin[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; K19 ; 486 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K20 ; 485 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K21 ; 490 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K22 ; 489 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K23 ; 492 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K24 ; 491 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K25 ; 496 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; K26 ; 495 ; 5 ; d_toggle_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L2 ; 54 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L3 ; 53 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L4 ; 56 ; 2 ; seven_seg_pin[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L5 ; 55 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L6 ; 60 ; 2 ; seven_seg_pin[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L7 ; 59 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L8 ; 61 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L9 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; L18 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; L19 ; 480 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; L20 ; 482 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L21 ; 481 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L22 ; 478 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L23 ; 479 ; 5 ; d_set_vsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; L24 ; 488 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L25 ; 487 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; L26 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; M1 ; 81 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M2 ; ; ; VCCG_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M3 ; ; ; VCCA_PLL1 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M4 ; 66 ; 2 ; d_hsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M5 ; 67 ; 2 ; d_column_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M6 ; 62 ; 2 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M7 ; 63 ; 2 ; d_column_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M8 ; 72 ; 2 ; d_vsync_counter[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M9 ; 73 ; 2 ; seven_seg_pin[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; M18 ; 468 ; 5 ; d_column_counter[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M19 ; 469 ; 5 ; d_h_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M20 ; 470 ; 5 ; d_set_line_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M21 ; 471 ; 5 ; d_column_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M22 ; 474 ; 5 ; d_vsync_state[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; M23 ; 475 ; 5 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; M24 ; 462 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M25 ; 463 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; M26 ; 460 ; 5 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N2 ; 78 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N3 ; 79 ; 2 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; N4 ; ; ; GNDG_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N5 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N6 ; 70 ; 2 ; d_vsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N7 ; 71 ; 2 ; d_state_clk ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N8 ; 77 ; 2 ; d_vsync_state[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N19 ; 453 ; 6 ; vsync_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N20 ; 464 ; 5 ; d_vsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N21 ; 465 ; 5 ; d_column_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; N22 ; ; ; GNDG_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N23 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; N24 ; ; ; VCCG_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N25 ; ; ; VCCA_PLL4 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; N26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P1 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P2 ; ; ; GNDG_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P3 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P4 ; ; ; VCCG_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P5 ; ; ; VCCA_PLL2 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P6 ; 88 ; 1 ; d_vsync_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P7 ; 89 ; 1 ; d_vsync_state[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P8 ; 76 ; 2 ; d_vsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; P19 ; 452 ; 6 ; d_vsync_state[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P20 ; 448 ; 6 ; d_vsync ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P21 ; 449 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; P22 ; ; ; VCCA_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P23 ; ; ; VCCG_PLL3 ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; P24 ; 457 ; 6 ; reset_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; P25 ; 458 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; P26 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R1 ; 82 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R2 ; 83 ; 1 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; R3 ; 84 ; 1 ; clk_pin ; input ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R4 ; 94 ; 1 ; d_line_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R5 ; 95 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R6 ; 90 ; 1 ; d_hsync_state[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R7 ; 91 ; 1 ; d_vsync_state[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R8 ; 92 ; 1 ; d_v_enable ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R9 ; 93 ; 1 ; d_column_counter[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R18 ; 443 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; R19 ; 436 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R20 ; 450 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R21 ; 451 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R22 ; 446 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; R23 ; 447 ; 6 ; d_line_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; R24 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R25 ; ; ; GNDG_PLL3 ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; R26 ; 459 ; 6 ; GND+ ; ; ; ; Row I/O ; -- ; ; -- ; -- ; +; T1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T2 ; 100 ; 1 ; d_set_hsync_counter ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T3 ; 99 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T4 ; 108 ; 1 ; d_hsync_counter[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T5 ; 107 ; 1 ; d_hsync_counter[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; T6 ; 106 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T7 ; 105 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T8 ; 98 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; T9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; T18 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; T19 ; 435 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T20 ; 432 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T21 ; 431 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T22 ; 442 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T23 ; 441 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T24 ; 434 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T25 ; 433 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; T26 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; U1 ; 112 ; 1 ; d_hsync_counter[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U2 ; 111 ; 1 ; d_hsync_counter[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U3 ; 116 ; 1 ; d_hsync_counter[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U4 ; 115 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U5 ; 110 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U6 ; 109 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U7 ; 114 ; 1 ; d_hsync_counter[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U8 ; 113 ; 1 ; d_hsync_counter[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U9 ; 117 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U10 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.5V ; -- ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; U18 ; 428 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U19 ; 427 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U20 ; 424 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U21 ; 430 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U22 ; 429 ; 6 ; d_toggle_counter[24] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U23 ; 418 ; 6 ; seven_seg_pin[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U24 ; 417 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; U25 ; 426 ; 6 ; g2_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; U26 ; 425 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V1 ; 132 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V2 ; 133 ; 1 ; seven_seg_pin[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; V3 ; 136 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V4 ; 137 ; 1 ; seven_seg_pin[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; V5 ; 124 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V6 ; 123 ; 1 ; d_g ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; V7 ; 127 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V8 ; 118 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V9 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V12 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V13 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V15 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; -- ; ; -- ; -- ; +; V19 ; 423 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V20 ; 414 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; V21 ; 406 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V22 ; 407 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V23 ; 404 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V24 ; 405 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V25 ; 408 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; V26 ; 409 ; 6 ; d_toggle_counter[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W1 ; 140 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W2 ; 141 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W3 ; 148 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W4 ; 149 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W5 ; 134 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W6 ; 135 ; 1 ; g0_pin ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W7 ; 138 ; 1 ; seven_seg_pin[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W8 ; 139 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W9 ; 212 ; 8 ; d_hsync_state[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; W10 ; 228 ; 8 ; d_line_counter[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; W11 ; 255 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; W12 ; 260 ; 8 ; PLL_ENA ; ; ; ; -- ; -- ; ; -- ; -- ; +; W13 ; 263 ; 8 ; ^MSEL2 ; ; ; ; -- ; -- ; ; -- ; -- ; +; W14 ; 279 ; 7 ; ^nCEO ; ; ; ; -- ; -- ; ; -- ; -- ; +; W15 ; 282 ; 7 ; b1_pin ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; W16 ; 285 ; 7 ; ^PORSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; W17 ; 311 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W18 ; 321 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; W19 ; 402 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W20 ; 403 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W21 ; 394 ; 6 ; d_toggle_counter[20] ; output ; 3.3-V LVTTL ; ; Row I/O ; Off ; N ; no ; Off ; +; W22 ; 395 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W23 ; 392 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W24 ; 393 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W25 ; 400 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; W26 ; 401 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y1 ; 153 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y2 ; 152 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y3 ; 146 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y4 ; 147 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y5 ; 151 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y6 ; 150 ; 1 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y7 ; 156 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y8 ; 210 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y9 ; 209 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y10 ; 226 ; 8 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y11 ; 244 ; 8 ; d_column_counter[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y12 ; 261 ; 8 ; ^MSEL0 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y13 ; 262 ; 8 ; ^MSEL1 ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y14 ; 278 ; 7 ; ^nCE ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y15 ; 284 ; 7 ; ^VCCSEL ; ; ; ; -- ; -- ; ; -- ; -- ; +; Y16 ; 297 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y17 ; 314 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y18 ; 317 ; 7 ; d_r ; output ; 3.3-V LVTTL ; ; Column I/O ; Off ; N ; no ; Off ; +; Y19 ; 325 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y20 ; 333 ; 7 ; GND* ; ; ; ; Column I/O ; -- ; ; no ; Off ; +; Y21 ; 385 ; ; GND ; gnd ; ; ; -- ; -- ; ; no ; Off ; +; Y22 ; 387 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y23 ; 391 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y24 ; 390 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y25 ; 389 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; +; Y26 ; 388 ; 6 ; GND* ; ; ; ; Row I/O ; -- ; ; no ; Off ; ++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; 2.5 V ; 10 pF ; Not Available ; +; 1.8 V ; 10 pF ; Not Available ; +; 1.5 V ; 10 pF ; Not Available ; +; GTL ; 30 pF ; 25 Ohm (Parallel) ; +; GTL+ ; 30 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 8 pF ; 25 Ohm (Parallel) ; +; Compact PCI ; 10 pF ; 25 Ohm (Parallel) ; +; AGP 1X ; 10 pF ; Not Available ; +; AGP 2X ; 10 pF ; Not Available ; +; CTT ; 30 pF ; 50 Ohm (Parallel) ; +; SSTL-3 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-3 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 20 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 20 pF ; 25 Ohm (Parallel) ; +; LVDS ; 4 pF ; 100 Ohm (Differential) ; +; Differential LVPECL ; 4 pF ; 100 Ohm (Differential) ; +; 3.3-V PCML ; 4 pF ; 50 Ohm (Parallel) ; +; HyperTransport ; 4 pF ; 100 Ohm (Differential) ; +; Differential 1.5-V HSTL Class I ; 20 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.8-V HSTL Class I ; 20 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II) ; +; Differential SSTL-2 ; 30 pF ; (See SSTL-2) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 173 (3) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 92 (1) ; 0 (0) ; 81 (2) ; 60 (0) ; 3 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 42 (42) ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 22 (22) ; 20 (20) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 128 (128) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 71 (71) ; 0 (0) ; 57 (57) ; 40 (40) ; 3 (3) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ +; r0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; r2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; g2_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b0_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; b1_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; hsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; vsync_pin ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; seven_seg_pin[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_column_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_line_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_column_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_line_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_hsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_set_vsync_counter ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_h_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_v_enable ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_r ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_g ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_b ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_hsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_vsync_state[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_state_clk ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[14] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[15] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[16] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[17] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[18] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[19] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[20] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[21] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[22] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[23] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; d_toggle_counter[24] ; Output ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; OFF ; OFF ; +; clk_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; reset_pin ; Input ; ON ; ON ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------------------------------------+-------------------+---------+ +; clk_pin_in ; ; ; +; reset_pin_in ; ; ; +; - vga_driver:vga_driver_unit|vsync_state_6_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|h_sync_Z ; 0 ; ON ; +; - vga_driver:vga_driver_unit|v_sync_Z ; 0 ; ON ; +; - dly_counter_0_ ; 0 ; ON ; +; - dly_counter_1_ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; +; - vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ ; 0 ; ON ; ++-----------------------------------------------------------------------+-------------------+---------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 82 ; Clock ; yes ; Global Clock ; GCLK3 ; +; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; LC_X24_Y37_N2 ; 21 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|G_16_i ; LC_X19_Y24_N8 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|G_2_i ; LC_X17_Y14_N3 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X18_Y22_N0 ; 10 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ; LC_X28_Y25_N2 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; LC_X17_Y14_N7 ; 6 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; LC_X18_Y22_N3 ; 9 ; Sync. clear ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X17_Y22_N4 ; 51 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK2 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; LC_X17_Y14_N2 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; LC_X19_Y24_N2 ; 11 ; Sync. load ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ; LC_X17_Y21_N2 ; 1 ; Clock enable ; no ; -- ; -- ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; LC_X17_Y24_N7 ; 5 ; Clock enable ; no ; -- ; -- ; ++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ +; clk_pin ; PIN_R3 ; 82 ; Global Clock ; GCLK3 ; +; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X17_Y22_N4 ; 51 ; Global Clock ; GCLK2 ; ++------------------------------------------------+---------------+---------+----------------------+------------------+ + + ++-----------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++-------------------------------------------------------------+---------+ +; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ; 21 ; +; ~STRATIX_FITTER_CREATED_GND~I ; 19 ; +; vga_driver:vga_driver_unit|un9_vsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|un9_hsync_counterlt9 ; 11 ; +; vga_driver:vga_driver_unit|G_16_i ; 10 ; +; vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|G_2_i ; 10 ; +; vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa ; 10 ; +; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10 ; +; vga_driver:vga_driver_unit|un10_column_counter_siglto9 ; 10 ; +; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ; 9 ; +; vga_driver:vga_driver_unit|un10_line_counter_siglto8 ; 9 ; +; dly_counter[1] ; 9 ; +; dly_counter[0] ; 9 ; +; reset_pin ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_9 ; 9 ; +; vga_driver:vga_driver_unit|vsync_counter_0 ; 9 ; +; vga_driver:vga_driver_unit|hsync_counter_7 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_6 ; 7 ; +; vga_driver:vga_driver_unit|hsync_counter_4 ; 7 ; +; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_9 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_8 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_5 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_3 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_2 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_counter_0 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|hsync_state_1 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_7 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_6 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_5 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_4 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_3 ; 6 ; +; vga_driver:vga_driver_unit|line_counter_sig_2 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_8 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_7 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_4 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_3 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_2 ; 6 ; +; vga_driver:vga_driver_unit|column_counter_sig_0 ; 6 ; +; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa ; 5 ; +; vga_control:vga_control_unit|toggle_counter_sig_cout[9] ; 5 ; +; vga_control:vga_control_unit|toggle_counter_sig_cout[8] ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_0 ; 5 ; +; vga_driver:vga_driver_unit|vsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|hsync_state_4 ; 5 ; +; vga_driver:vga_driver_unit|d_set_hsync_counter ; 5 ; +; vga_driver:vga_driver_unit|vsync_counter_8 ; 5 ; ++-------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Interconnect Usage Summary ; ++-----------------------------+-------------------------+ +; Interconnect Resource Type ; Usage ; ++-----------------------------+-------------------------+ +; C16 interconnects ; 37 / 4,620 ( < 1 % ) ; +; C4 interconnects ; 115 / 69,840 ( < 1 % ) ; +; C8 interconnects ; 82 / 15,568 ( < 1 % ) ; +; DIFFIOCLKs ; 0 / 16 ( 0 % ) ; +; DQS bus muxes ; 0 / 102 ( 0 % ) ; +; DQS-16 I/O buses ; 0 / 8 ( 0 % ) ; +; DQS-32 I/O buses ; 0 / 4 ( 0 % ) ; +; DQS-8 I/O buses ; 0 / 20 ( 0 % ) ; +; Direct links ; 100 / 104,060 ( < 1 % ) ; +; Fast regional clocks ; 0 / 8 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; I/O buses ; 18 / 320 ( 6 % ) ; +; LUT chains ; 12 / 23,094 ( < 1 % ) ; +; Local routing interconnects ; 109 / 25,660 ( < 1 % ) ; +; R24 interconnects ; 67 / 4,692 ( 1 % ) ; +; R4 interconnects ; 178 / 141,520 ( < 1 % ) ; +; R8 interconnects ; 53 / 22,956 ( < 1 % ) ; +; Regional clocks ; 0 / 16 ( 0 % ) ; ++-----------------------------+-------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+------------------------------+ +; Number of Logic Elements (Average = 7.52) ; Number of LABs (Total = 23) ; ++--------------------------------------------+------------------------------+ +; 1 ; 4 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 1 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 14 ; ++--------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+------------------------------+ +; LAB-wide Signals (Average = 1.74) ; Number of LABs (Total = 23) ; ++------------------------------------+------------------------------+ +; 1 Async. clear ; 4 ; +; 1 Clock ; 19 ; +; 1 Clock enable ; 3 ; +; 1 Sync. clear ; 12 ; +; 1 Sync. load ; 2 ; ++------------------------------------+------------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 7.57) ; Number of LABs (Total = 23) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 2 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 3 ; +; 10 ; 9 ; +; 11 ; 3 ; ++---------------------------------------------+------------------------------+ + + ++--------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+------------------------------+ +; Number of Signals Sourced Out (Average = 5.61) ; Number of LABs (Total = 23) ; ++-------------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 4 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 2 ; +; 5 ; 2 ; +; 6 ; 3 ; +; 7 ; 3 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 3 ; ++-------------------------------------------------+------------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 10.57) ; Number of LABs (Total = 23) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 2 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 3 ; +; 10 ; 2 ; +; 11 ; 2 ; +; 12 ; 0 ; +; 13 ; 4 ; +; 14 ; 0 ; +; 15 ; 1 ; +; 16 ; 0 ; +; 17 ; 1 ; +; 18 ; 0 ; +; 19 ; 1 ; +; 20 ; 0 ; +; 21 ; 1 ; +; 22 ; 1 ; ++----------------------------------------------+------------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Data[0] ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:30:37 2009 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Info: Selected device EP1S25F672C6 for design "vga" +Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info: Device EP1S10F672C6 is compatible + Info: Device EP1S20F672C6 is compatible + Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible +Info: Fitter converted 1 user pins into dedicated programming pins + Info: Pin ~DATA0~ is reserved at location F16 +Warning: No exact pin location assignment(s) for 117 pins of 117 total pins + Info: Pin r0_pin not assigned to an exact location on the device + Info: Pin r1_pin not assigned to an exact location on the device + Info: Pin r2_pin not assigned to an exact location on the device + Info: Pin g0_pin not assigned to an exact location on the device + Info: Pin g1_pin not assigned to an exact location on the device + Info: Pin g2_pin not assigned to an exact location on the device + Info: Pin b0_pin not assigned to an exact location on the device + Info: Pin b1_pin not assigned to an exact location on the device + Info: Pin hsync_pin not assigned to an exact location on the device + Info: Pin vsync_pin not assigned to an exact location on the device + Info: Pin seven_seg_pin[0] not assigned to an exact location on the device + Info: Pin seven_seg_pin[1] not assigned to an exact location on the device + Info: Pin seven_seg_pin[2] not assigned to an exact location on the device + Info: Pin seven_seg_pin[3] not assigned to an exact location on the device + Info: Pin seven_seg_pin[4] not assigned to an exact location on the device + Info: Pin seven_seg_pin[5] not assigned to an exact location on the device + Info: Pin seven_seg_pin[6] not assigned to an exact location on the device + Info: Pin seven_seg_pin[7] not assigned to an exact location on the device + Info: Pin seven_seg_pin[8] not assigned to an exact location on the device + Info: Pin seven_seg_pin[9] not assigned to an exact location on the device + Info: Pin seven_seg_pin[10] not assigned to an exact location on the device + Info: Pin seven_seg_pin[11] not assigned to an exact location on the device + Info: Pin seven_seg_pin[12] not assigned to an exact location on the device + Info: Pin seven_seg_pin[13] not assigned to an exact location on the device + Info: Pin d_hsync not assigned to an exact location on the device + Info: Pin d_vsync not assigned to an exact location on the device + Info: Pin d_column_counter[0] not assigned to an exact location on the device + Info: Pin d_column_counter[1] not assigned to an exact location on the device + Info: Pin d_column_counter[2] not assigned to an exact location on the device + Info: Pin d_column_counter[3] not assigned to an exact location on the device + Info: Pin d_column_counter[4] not assigned to an exact location on the device + Info: Pin d_column_counter[5] not assigned to an exact location on the device + Info: Pin d_column_counter[6] not assigned to an exact location on the device + Info: Pin d_column_counter[7] not assigned to an exact location on the device + Info: Pin d_column_counter[8] not assigned to an exact location on the device + Info: Pin d_column_counter[9] not assigned to an exact location on the device + Info: Pin d_line_counter[0] not assigned to an exact location on the device + Info: Pin d_line_counter[1] not assigned to an exact location on the device + Info: Pin d_line_counter[2] not assigned to an exact location on the device + Info: Pin d_line_counter[3] not assigned to an exact location on the device + Info: Pin d_line_counter[4] not assigned to an exact location on the device + Info: Pin d_line_counter[5] not assigned to an exact location on the device + Info: Pin d_line_counter[6] not assigned to an exact location on the device + Info: Pin d_line_counter[7] not assigned to an exact location on the device + Info: Pin d_line_counter[8] not assigned to an exact location on the device + Info: Pin d_set_column_counter not assigned to an exact location on the device + Info: Pin d_set_line_counter not assigned to an exact location on the device + Info: Pin d_hsync_counter[0] not assigned to an exact location on the device + Info: Pin d_hsync_counter[1] not assigned to an exact location on the device + Info: Pin d_hsync_counter[2] not assigned to an exact location on the device + Info: Pin d_hsync_counter[3] not assigned to an exact location on the device + Info: Pin d_hsync_counter[4] not assigned to an exact location on the device + Info: Pin d_hsync_counter[5] not assigned to an exact location on the device + Info: Pin d_hsync_counter[6] not assigned to an exact location on the device + Info: Pin d_hsync_counter[7] not assigned to an exact location on the device + Info: Pin d_hsync_counter[8] not assigned to an exact location on the device + Info: Pin d_hsync_counter[9] not assigned to an exact location on the device + Info: Pin d_vsync_counter[0] not assigned to an exact location on the device + Info: Pin d_vsync_counter[1] not assigned to an exact location on the device + Info: Pin d_vsync_counter[2] not assigned to an exact location on the device + Info: Pin d_vsync_counter[3] not assigned to an exact location on the device + Info: Pin d_vsync_counter[4] not assigned to an exact location on the device + Info: Pin d_vsync_counter[5] not assigned to an exact location on the device + Info: Pin d_vsync_counter[6] not assigned to an exact location on the device + Info: Pin d_vsync_counter[7] not assigned to an exact location on the device + Info: Pin d_vsync_counter[8] not assigned to an exact location on the device + Info: Pin d_vsync_counter[9] not assigned to an exact location on the device + Info: Pin d_set_hsync_counter not assigned to an exact location on the device + Info: Pin d_set_vsync_counter not assigned to an exact location on the device + Info: Pin d_h_enable not assigned to an exact location on the device + Info: Pin d_v_enable not assigned to an exact location on the device + Info: Pin d_r not assigned to an exact location on the device + Info: Pin d_g not assigned to an exact location on the device + Info: Pin d_b not assigned to an exact location on the device + Info: Pin d_hsync_state[6] not assigned to an exact location on the device + Info: Pin d_hsync_state[5] not assigned to an exact location on the device + Info: Pin d_hsync_state[4] not assigned to an exact location on the device + Info: Pin d_hsync_state[3] not assigned to an exact location on the device + Info: Pin d_hsync_state[2] not assigned to an exact location on the device + Info: Pin d_hsync_state[1] not assigned to an exact location on the device + Info: Pin d_hsync_state[0] not assigned to an exact location on the device + Info: Pin d_vsync_state[6] not assigned to an exact location on the device + Info: Pin d_vsync_state[5] not assigned to an exact location on the device + Info: Pin d_vsync_state[4] not assigned to an exact location on the device + Info: Pin d_vsync_state[3] not assigned to an exact location on the device + Info: Pin d_vsync_state[2] not assigned to an exact location on the device + Info: Pin d_vsync_state[1] not assigned to an exact location on the device + Info: Pin d_vsync_state[0] not assigned to an exact location on the device + Info: Pin d_state_clk not assigned to an exact location on the device + Info: Pin d_toggle not assigned to an exact location on the device + Info: Pin d_toggle_counter[0] not assigned to an exact location on the device + Info: Pin d_toggle_counter[1] not assigned to an exact location on the device + Info: Pin d_toggle_counter[2] not assigned to an exact location on the device + Info: Pin d_toggle_counter[3] not assigned to an exact location on the device + Info: Pin d_toggle_counter[4] not assigned to an exact location on the device + Info: Pin d_toggle_counter[5] not assigned to an exact location on the device + Info: Pin d_toggle_counter[6] not assigned to an exact location on the device + Info: Pin d_toggle_counter[7] not assigned to an exact location on the device + Info: Pin d_toggle_counter[8] not assigned to an exact location on the device + Info: Pin d_toggle_counter[9] not assigned to an exact location on the device + Info: Pin d_toggle_counter[10] not assigned to an exact location on the device + Info: Pin d_toggle_counter[11] not assigned to an exact location on the device + Info: Pin d_toggle_counter[12] not assigned to an exact location on the device + Info: Pin d_toggle_counter[13] not assigned to an exact location on the device + Info: Pin d_toggle_counter[14] not assigned to an exact location on the device + Info: Pin d_toggle_counter[15] not assigned to an exact location on the device + Info: Pin d_toggle_counter[16] not assigned to an exact location on the device + Info: Pin d_toggle_counter[17] not assigned to an exact location on the device + Info: Pin d_toggle_counter[18] not assigned to an exact location on the device + Info: Pin d_toggle_counter[19] not assigned to an exact location on the device + Info: Pin d_toggle_counter[20] not assigned to an exact location on the device + Info: Pin d_toggle_counter[21] not assigned to an exact location on the device + Info: Pin d_toggle_counter[22] not assigned to an exact location on the device + Info: Pin d_toggle_counter[23] not assigned to an exact location on the device + Info: Pin d_toggle_counter[24] not assigned to an exact location on the device + Info: Pin clk_pin not assigned to an exact location on the device + Info: Pin reset_pin not assigned to an exact location on the device +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time. +Info: Completed User Assigned Global Signals Promotion Operation +Info: Automatically promoted some destinations of signal "clk_pin" to use Global clock in PIN R3 + Info: Destination "d_state_clk_out" may be non-global or may not use global clock +Info: Automatically promoted some destinations of signal "vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock + Info: Destination "seven_seg_pin_out_12_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_11_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_10_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_9_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_8_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_7_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_2_" may be non-global or may not use global clock + Info: Destination "seven_seg_pin_out_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|hsync_state_1_" may be non-global or may not use global clock + Info: Destination "vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock + Info: Limited to 10 non-global destinations +Info: Completed Auto Global Promotion Operation +Info: Starting register packing +Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option +Info: Finished register packing +Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info: Number of I/O pins in group: 116 (unused VREF, 3.3V VCCIO, 1 input, 115 output, 0 bidirectional) + Info: I/O standards used: 3.3-V LVTTL. +Info: I/O bank details before I/O pin placement + Info: Statistics of I/O banks + Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 60 pins available + Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 55 pins available + Info: I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 59 pins available + Info: I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 61 pins available + Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 57 pins available + Info: I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 54 pins available + Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available + Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 6 pins available +Info: Fitter preparation operations ending: elapsed time is 00:00:03 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement was successful +Info: Fitter placement operations ending: elapsed time is 00:00:03 +Info: Slack time is -4.369 ns between source register "vga_driver:vga_driver_unit|hsync_state_0" and destination register "vga_driver:vga_driver_unit|line_counter_sig_2" + Info: + Largest register to register requirement is 0.814 ns + Info: Shortest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to destination register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Shortest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Longest clock path from clock "clk_pin" to source register is 3.707 ns + Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 1.701 ns ( 45.89 % ) + Info: Total interconnect delay = 2.006 ns ( 54.11 % ) + Info: Micro clock to output delay of source is 0.176 ns + Info: Micro setup delay of destination is 0.010 ns + Info: - Longest register to register delay is 5.183 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = Unassigned; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter' + Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9' + Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]' + Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.721 ns ( 33.20 % ) + Info: Total interconnect delay = 3.462 ns ( 66.80 % ) +Info: Estimated most critical path is register to register delay of 5.183 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X18_Y22; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = LAB_X18_Y26; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter' + Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = LAB_X35_Y18; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9' + Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = LAB_X35_Y18; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]' + Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = LAB_X33_Y18; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.721 ns ( 33.20 % ) + Info: Total interconnect delay = 3.462 ns ( 66.80 % ) +Info: Fitter routing operations beginning +Info: Average interconnect usage is 0% of the available device resources + Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X11_Y12 to location X21_Y23 +Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info: Optimizations that may affect the design's routability were skipped + Info: Optimizations that may affect the design's timing were skipped +Info: Completed Fixed Delay Chain Operation +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Info: Completed Auto Delay Chain Operation +Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results + Info: Pin r0_pin has GND driving its datain port + Info: Pin r1_pin has GND driving its datain port + Info: Pin r2_pin has GND driving its datain port + Info: Pin g0_pin has GND driving its datain port + Info: Pin g1_pin has GND driving its datain port + Info: Pin g2_pin has GND driving its datain port + Info: Pin seven_seg_pin[0] has GND driving its datain port + Info: Pin seven_seg_pin[3] has GND driving its datain port + Info: Pin seven_seg_pin[4] has GND driving its datain port + Info: Pin seven_seg_pin[5] has GND driving its datain port + Info: Pin seven_seg_pin[6] has GND driving its datain port + Info: Pin seven_seg_pin[13] has GND driving its datain port + Info: Pin d_r has GND driving its datain port + Info: Pin d_g has GND driving its datain port + Info: Pin d_toggle_counter[20] has GND driving its datain port + Info: Pin d_toggle_counter[21] has GND driving its datain port + Info: Pin d_toggle_counter[22] has GND driving its datain port + Info: Pin d_toggle_counter[23] has GND driving its datain port + Info: Pin d_toggle_counter[24] has GND driving its datain port +Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg +Info: Quartus II Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 320 megabytes + Info: Processing ended: Tue Nov 3 17:31:10 2009 + Info: Elapsed time: 00:00:33 + Info: Total CPU time (on all processors): 00:00:30 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg. + + diff --git a/bsp4/Designflow/ppr/sim/vga.fit.smsg b/bsp4/Designflow/ppr/sim/vga.fit.smsg new file mode 100644 index 0000000..38de4e4 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.fit.smsg @@ -0,0 +1,8 @@ +Extra Info: Performing register packing on registers with non-logic cell location assignments +Extra Info: Completed register packing on registers with non-logic cell location assignments +Extra Info: Started Fast Input/Output/OE register processing +Extra Info: Finished Fast Input/Output/OE register processing +Extra Info: Start inferring scan chains for DSP blocks +Extra Info: Inferring scan chains for DSP blocks is complete +Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density +Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks diff --git a/bsp4/Designflow/ppr/sim/vga.fit.summary b/bsp4/Designflow/ppr/sim/vga.fit.summary new file mode 100644 index 0000000..e9f2365 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.fit.summary @@ -0,0 +1,14 @@ +Fitter Status : Successful - Tue Nov 3 17:31:09 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Device : EP1S25F672C6 +Timing Models : Final +Total logic elements : 173 / 25,660 ( < 1 % ) +Total pins : 117 / 474 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 0 / 1,944,576 ( 0 % ) +DSP block 9-bit elements : 0 / 80 ( 0 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) diff --git a/bsp4/Designflow/ppr/sim/vga.flow.rpt b/bsp4/Designflow/ppr/sim/vga.flow.rpt new file mode 100644 index 0000000..6df79f8 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.flow.rpt @@ -0,0 +1,126 @@ +Flow report for vga +Tue Nov 3 17:31:40 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Tue Nov 3 17:31:40 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 11/03/2009 17:30:31 ; +; Main task ; Compilation ; +; Revision Name ; vga ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815334056.125726583131339 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim (VHDL) ; ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:03 ; 1.0 ; -- ; 00:00:02 ; +; Fitter ; 00:00:32 ; 1.1 ; -- ; 00:00:29 ; +; Assembler ; 00:00:19 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:55 ; -- ; -- ; 00:00:50 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti14 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti14 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga + + + diff --git a/bsp4/Designflow/ppr/sim/vga.map.rpt b/bsp4/Designflow/ppr/sim/vga.map.rpt new file mode 100644 index 0000000..4071852 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.map.rpt @@ -0,0 +1,249 @@ +Analysis & Synthesis report for vga +Tue Nov 3 17:30:34 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. Registers Removed During Synthesis + 8. General Register Statistics + 9. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Nov 3 17:30:34 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Total logic elements ; 175 ; +; Total pins ; 117 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; DSP block 9-bit elements ; 0 ; +; Total PLLs ; 0 ; +; Total DLLs ; 0 ; ++-----------------------------+------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP1S25F672C6 ; ; +; Top-level entity name ; vga ; vga ; +; Family name ; Stratix ; Stratix II ; +; Type of Retiming Performed During Resynthesis ; Full ; ; +; Resynthesis Optimization Effort ; Normal ; ; +; Physical Synthesis Level for Resynthesis ; Normal ; ; +; Use Generated Physical Constraints File ; On ; ; +; Use smart compilation ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Allows Asynchronous Clear Usage For Shift Register Replacement ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++----------------------------------------------------------------+--------------------+--------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ +; ../../syn/rev_1/vga.vqm ; yes ; User Verilog Quartus Mapping File ; /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Total logic elements ; 175 ; +; -- Combinational with no register ; 94 ; +; -- Register only ; 3 ; +; -- Combinational with a register ; 78 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 61 ; +; -- 3 input functions ; 50 ; +; -- 2 input functions ; 58 ; +; -- 1 input functions ; 2 ; +; -- 0 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 123 ; +; -- arithmetic mode ; 52 ; +; -- qfbk mode ; 0 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 68 ; +; -- asynchronous clear/load mode ; 22 ; +; ; ; +; Total registers ; 81 ; +; Total logic cells in carry chains ; 60 ; +; I/O pins ; 117 ; +; Maximum fan-out node ; clk_pin ; +; Maximum fan-out ; 82 ; +; Total fan-out ; 833 ; +; Average fan-out ; 2.85 ; ++---------------------------------------------+---------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +; |vga ; 175 (2) ; 81 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 ; 0 ; 94 (0) ; 3 (0) ; 78 (2) ; 60 (0) ; 0 (0) ; |vga ; work ; +; |vga_control:vga_control_unit| ; 42 (42) ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (20) ; 0 (0) ; 22 (22) ; 20 (20) ; 0 (0) ; |vga|vga_control:vga_control_unit ; work ; +; |vga_driver:vga_driver_unit| ; 131 (131) ; 57 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 74 (74) ; 3 (3) ; 54 (54) ; 40 (40) ; 0 (0) ; |vga|vga_driver:vga_driver_unit ; work ; ++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++---------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++----------------------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++----------------------------------------------------+----------------------------------------+ +; vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|toggle_counter_sig_20 ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|r ; Stuck at GND due to stuck port reg_out ; +; vga_control:vga_control_unit|g ; Stuck at GND due to stuck port reg_out ; +; Total Number of Removed Registers = 7 ; ; ++----------------------------------------------------+----------------------------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 81 ; +; Number of registers using Synchronous Clear ; 68 ; +; Number of registers using Synchronous Load ; 20 ; +; Number of registers using Asynchronous Clear ; 22 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 12 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:30:30 2009 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm + Info: Found entity 1: vga_driver + Info: Found entity 2: vga_control + Info: Found entity 3: vga +Info: Elaborating entity "vga" for the top level hierarchy +Info: Elaborating entity "vga_driver" for hierarchy "vga_driver:vga_driver_unit" +Info: Elaborating entity "vga_control" for hierarchy "vga_control:vga_control_unit" +Info: Found the following redundant logic cells in design + Info (17048): Logic cell "vga_control:vga_control_unit|toggle_sig_0_0_0_g1" +Info: Implemented 292 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins + Info: Implemented 115 output pins + Info: Implemented 175 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 185 megabytes + Info: Processing ended: Tue Nov 3 17:30:34 2009 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/bsp4/Designflow/ppr/sim/vga.map.summary b/bsp4/Designflow/ppr/sim/vga.map.summary new file mode 100644 index 0000000..309a2db --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.map.summary @@ -0,0 +1,12 @@ +Analysis & Synthesis Status : Successful - Tue Nov 3 17:30:34 2009 +Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version +Revision Name : vga +Top-level Entity Name : vga +Family : Stratix +Total logic elements : 175 +Total pins : 117 +Total virtual pins : 0 +Total memory bits : 0 +DSP block 9-bit elements : 0 +Total PLLs : 0 +Total DLLs : 0 diff --git a/bsp4/Designflow/ppr/sim/vga.pin b/bsp4/Designflow/ppr/sim/vga.pin new file mode 100644 index 0000000..10748b8 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.pin @@ -0,0 +1,748 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.5V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- Bank 9: 3.3V + -- Bank 11: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. For transceiver I/O banks (Bank 13, 14, 15, 16 and 17), + -- connect each pin marked GND* either individually through a 10k Ohm resistor + -- to GND or tie all pins together and connect through a single 10k Ohm resistor + -- to GND. + -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version +CHIP "vga" ASSIGNED TO AN: EP1S25F672C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A2 : gnd : : : : +GND* : A3 : : : : 3 : +VCCIO3 : A4 : power : : 3.3V : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +d_hsync_state[6] : A8 : output : 3.3-V LVTTL : : 3 : N +seven_seg_pin[2] : A9 : output : 3.3-V LVTTL : : 3 : N +d_hsync_state[5] : A10 : output : 3.3-V LVTTL : : 3 : N +VCCIO3 : A11 : power : : 3.3V : 3 : +d_line_counter[7] : A12 : output : 3.3-V LVTTL : : 3 : N +GND : A13 : gnd : : : : +GND : A14 : gnd : : : : +GND+ : A15 : : : : 4 : +VCCIO4 : A16 : power : : 3.3V : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +GND* : A21 : : : : 4 : +GND* : A22 : : : : 4 : +VCCIO4 : A23 : power : : 3.3V : 4 : +GND* : A24 : : : : 4 : +GND : A25 : gnd : : : : +GND* : AA1 : : : : 1 : +GND* : AA2 : : : : 1 : +d_toggle_counter[22] : AA3 : output : 3.3-V LVTTL : : 1 : N +GND* : AA4 : : : : 1 : +GND* : AA5 : : : : 1 : +GND* : AA6 : : : : 1 : +GND* : AA7 : : : : 8 : +d_vsync_counter[9] : AA8 : output : 3.3-V LVTTL : : 8 : N +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +d_column_counter[6] : AA11 : output : 3.3-V LVTTL : : 8 : N +d_line_counter[2] : AA12 : output : 3.3-V LVTTL : : 11 : N +d_b : AA13 : output : 3.3-V LVTTL : : 11 : N +GND* : AA14 : : : : 11 : +nIO_PULLUP : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +r0_pin : AA20 : output : 3.3-V LVTTL : : 7 : N +GND* : AA21 : : : : 7 : +GND* : AA22 : : : : 6 : +GND* : AA23 : : : : 6 : +GND* : AA24 : : : : 6 : +d_toggle_counter[23] : AA25 : output : 3.3-V LVTTL : : 6 : N +GND* : AA26 : : : : 6 : +GND* : AB1 : : : : 1 : +GND* : AB2 : : : : 1 : +GND* : AB3 : : : : 1 : +GND* : AB4 : : : : 1 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +d_vsync_state[2] : AB9 : output : 3.3-V LVTTL : : 8 : N +d_toggle_counter[15] : AB10 : output : 3.3-V LVTTL : : 8 : N +d_toggle_counter[7] : AB11 : output : 3.3-V LVTTL : : 8 : N +d_line_counter[8] : AB12 : output : 3.3-V LVTTL : : 11 : N +GND* : AB13 : : : : 11 : +b0_pin : AB14 : output : 3.3-V LVTTL : : 11 : N +GND : AB15 : gnd : : : : +GND* : AB16 : : : : 7 : +g1_pin : AB17 : output : 3.3-V LVTTL : : 7 : N +GND : AB18 : gnd : : : : +r1_pin : AB19 : output : 3.3-V LVTTL : : 7 : N +GND* : AB20 : : : : 7 : +GND* : AB21 : : : : 7 : +GND* : AB22 : : : : 7 : +seven_seg_pin[0] : AB23 : output : 3.3-V LVTTL : : 6 : N +GND* : AB24 : : : : 6 : +GND* : AB25 : : : : 6 : +GND* : AB26 : : : : 6 : +VCCIO1 : AC1 : power : : 3.3V : 1 : +GND* : AC2 : : : : 1 : +GND* : AC3 : : : : 1 : +GND* : AC4 : : : : 1 : +GND* : AC5 : : : : 8 : +GND* : AC6 : : : : 8 : +GND* : AC7 : : : : 8 : +GND* : AC8 : : : : 8 : +d_vsync_counter[2] : AC9 : output : 3.3-V LVTTL : : 8 : N +d_hsync_state[3] : AC10 : output : 3.3-V LVTTL : : 8 : N +d_column_counter[5] : AC11 : output : 3.3-V LVTTL : : 8 : N +GND+ : AC12 : : : : 8 : +GND : AC13 : gnd : : : : +GNDA_PLL6 : AC14 : gnd : : : : +GND* : AC15 : : : : 7 : +GND* : AC16 : : : : 7 : +GND* : AC17 : : : : 7 : +GND* : AC18 : : : : 7 : +GND* : AC19 : : : : 7 : +GND* : AC20 : : : : 7 : +GND* : AC21 : : : : 7 : +GND* : AC22 : : : : 7 : +GND* : AC23 : : : : 7 : +GND* : AC24 : : : : 6 : +GND* : AC25 : : : : 6 : +VCCIO6 : AC26 : power : : 3.3V : 6 : +GND* : AD1 : : : : 1 : +GND* : AD2 : : : : 8 : +GND* : AD3 : : : : 8 : +GND* : AD4 : : : : 8 : +GND* : AD5 : : : : 8 : +GND* : AD6 : : : : 8 : +GND* : AD7 : : : : 8 : +GND* : AD8 : : : : 8 : +d_hsync_state[1] : AD9 : output : 3.3-V LVTTL : : 8 : N +GND* : AD10 : : : : 8 : +GND* : AD11 : : : : 8 : +d_line_counter[3] : AD12 : output : 3.3-V LVTTL : : 8 : N +VCCG_PLL6 : AD13 : power : : 1.5V : : +VCCA_PLL6 : AD14 : power : : 1.5V : : +d_line_counter[4] : AD15 : output : 3.3-V LVTTL : : 7 : N +GND* : AD16 : : : : 7 : +GND* : AD17 : : : : 7 : +GND* : AD18 : : : : 7 : +GND* : AD19 : : : : 7 : +GND* : AD20 : : : : 7 : +GND : AD21 : gnd : : : : +GND* : AD22 : : : : 7 : +GND* : AD23 : : : : 7 : +GND* : AD24 : : : : 7 : +GND* : AD25 : : : : 6 : +GND* : AD26 : : : : 6 : +GND : AE1 : gnd : : : : +GND* : AE2 : : : : 8 : +GND* : AE3 : : : : 8 : +GND* : AE4 : : : : 8 : +GND : AE5 : gnd : : : : +GND* : AE6 : : : : 8 : +GND* : AE7 : : : : 8 : +d_hsync_counter[7] : AE8 : output : 3.3-V LVTTL : : 8 : N +GND : AE9 : gnd : : : : +d_hsync_counter[2] : AE10 : output : 3.3-V LVTTL : : 8 : N +d_toggle_counter[19] : AE11 : output : 3.3-V LVTTL : : 8 : N +GND+ : AE12 : : : : 8 : +VCC_PLL6_OUTA : AE13 : power : : 3.3V : 11 : +GNDG_PLL6 : AE14 : gnd : : : : +GND+ : AE15 : : : : 7 : +GND* : AE16 : : : : 7 : +GND* : AE17 : : : : 7 : +GND* : AE18 : : : : 7 : +seven_seg_pin[5] : AE19 : output : 3.3-V LVTTL : : 7 : N +GND* : AE20 : : : : 7 : +GND* : AE21 : : : : 7 : +GND* : AE22 : : : : 7 : +GND* : AE23 : : : : 7 : +GND* : AE24 : : : : 7 : +GND* : AE25 : : : : 7 : +GND : AE26 : gnd : : : : +GND : AF2 : gnd : : : : +GND* : AF3 : : : : 8 : +VCCIO8 : AF4 : power : : 3.3V : 8 : +GND* : AF5 : : : : 8 : +GND* : AF6 : : : : 8 : +r2_pin : AF7 : output : 3.3-V LVTTL : : 8 : N +d_vsync_counter[4] : AF8 : output : 3.3-V LVTTL : : 8 : N +d_set_column_counter : AF9 : output : 3.3-V LVTTL : : 8 : N +GND* : AF10 : : : : 8 : +VCCIO8 : AF11 : power : : 3.3V : 8 : +d_line_counter[1] : AF12 : output : 3.3-V LVTTL : : 8 : N +GND : AF13 : gnd : : : : +GND : AF14 : gnd : : : : +GND+ : AF15 : : : : 7 : +VCCIO7 : AF16 : power : : 3.3V : 7 : +GND* : AF17 : : : : 7 : +GND* : AF18 : : : : 7 : +GND* : AF19 : : : : 7 : +GND* : AF20 : : : : 7 : +GND* : AF21 : : : : 7 : +GND* : AF22 : : : : 7 : +VCCIO7 : AF23 : power : : 3.3V : 7 : +GND* : AF24 : : : : 7 : +GND : AF25 : gnd : : : : +GND : B1 : gnd : : : : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +d_vsync_counter[1] : B9 : output : 3.3-V LVTTL : : 3 : N +seven_seg_pin[8] : B10 : output : 3.3-V LVTTL : : 3 : N +d_column_counter[3] : B11 : output : 3.3-V LVTTL : : 3 : N +GND+ : B12 : : : : 3 : +GNDG_PLL5 : B13 : gnd : : : : +GNDA_PLL5 : B14 : gnd : : : : +GND+ : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND* : B21 : : : : 4 : +GND* : B22 : : : : 4 : +GND* : B23 : : : : 4 : +GND* : B24 : : : : 4 : +GND* : B25 : : : : 4 : +GND : B26 : gnd : : : : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 3 : +GND* : C3 : : : : 3 : +GND* : C4 : : : : 3 : +GND* : C5 : : : : 3 : +GND* : C6 : : : : 3 : +GND* : C7 : : : : 3 : +seven_seg_pin[10] : C8 : output : 3.3-V LVTTL : : 3 : N +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +d_toggle_counter[18] : C11 : output : 3.3-V LVTTL : : 3 : N +GND* : C12 : : : : 3 : +GND : C13 : gnd : : : : +VCCG_PLL5 : C14 : power : : 1.5V : : +GND* : C15 : : : : 4 : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 4 : +GND* : C20 : : : : 4 : +GND* : C21 : : : : 4 : +GND* : C22 : : : : 4 : +GND* : C23 : : : : 4 : +GND* : C24 : : : : 4 : +GND* : C25 : : : : 5 : +GND* : C26 : : : : 5 : +VCCIO2 : D1 : power : : 3.3V : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 3 : +GND* : D4 : : : : 3 : +GND* : D5 : : : : 3 : +GND* : D6 : : : : 3 : +GND : D7 : gnd : : : : +GND* : D8 : : : : 3 : +GND : D9 : gnd : : : : +d_vsync_counter[8] : D10 : output : 3.3-V LVTTL : : 3 : N +d_toggle : D11 : output : 3.3-V LVTTL : : 3 : N +GND+ : D12 : : : : 3 : +VCC_PLL5_OUTA : D13 : power : : 3.3V : 9 : +VCCA_PLL5 : D14 : power : : 1.5V : : +TRST : D15 : input : : : 4 : +GND* : D16 : : : : 4 : +GND* : D17 : : : : 4 : +GND* : D18 : : : : 4 : +GND* : D19 : : : : 4 : +GND* : D20 : : : : 4 : +GND* : D21 : : : : 4 : +GND* : D22 : : : : 4 : +GND* : D23 : : : : 4 : +GND* : D24 : : : : 5 : +GND* : D25 : : : : 5 : +VCCIO5 : D26 : power : : 3.3V : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +GND* : E5 : : : : 3 : +GND* : E6 : : : : 3 : +seven_seg_pin[7] : E7 : output : 3.3-V LVTTL : : 3 : N +GND* : E8 : : : : 3 : +d_hsync_counter[4] : E9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[1] : E10 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[11] : E11 : output : 3.3-V LVTTL : : 3 : N +GND* : E12 : : : : 9 : +GND* : E13 : : : : 9 : +GND* : E14 : : : : 9 : +TMS : E15 : input : : : 4 : +GND* : E16 : : : : 4 : +GND* : E17 : : : : 4 : +GND* : E18 : : : : 4 : +GND* : E19 : : : : 4 : +GND* : E20 : : : : 4 : +GND* : E21 : : : : 4 : +GND* : E22 : : : : 4 : +GND* : E23 : : : : 5 : +GND* : E24 : : : : 5 : +GND* : E25 : : : : 5 : +GND* : E26 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND* : F5 : : : : 3 : +GND* : F6 : : : : 3 : +GND* : F7 : : : : 3 : +GND : F8 : gnd : : : : +d_vsync_counter[3] : F9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[2] : F10 : output : 3.3-V LVTTL : : 3 : N +GND : F11 : gnd : : : : +GND* : F12 : : : : 9 : +GND* : F13 : : : : 9 : +GND* : F14 : : : : 9 : +GND* : F15 : : : : 4 : +~DATA0~ / RESERVED_INPUT : F16 : input : 3.3-V LVTTL : : 4 : N +GND* : F17 : : : : 4 : +GND : F18 : gnd : : : : +GND* : F19 : : : : 4 : +GND* : F20 : : : : 4 : +GND* : F21 : : : : 4 : +GND : F22 : gnd : : : : +GND* : F23 : : : : 5 : +GND* : F24 : : : : 5 : +GND* : F25 : : : : 5 : +GND* : F26 : : : : 5 : +GND* : G1 : : : : 2 : +GND* : G2 : : : : 2 : +GND* : G3 : : : : 2 : +GND* : G4 : : : : 2 : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +d_hsync_state[4] : G7 : output : 3.3-V LVTTL : : 3 : N +GND : G8 : gnd : : : : +d_toggle_counter[12] : G9 : output : 3.3-V LVTTL : : 3 : N +d_toggle_counter[8] : G10 : output : 3.3-V LVTTL : : 3 : N +GND* : G11 : : : : 3 : +DCLK : G12 : : : : 3 : +TEMPDIODEn : G13 : : : : : +TDO : G14 : output : : : 4 : +TCK : G15 : input : : : 4 : +GND : G16 : gnd : : : : +GND* : G17 : : : : 4 : +GND* : G18 : : : : 4 : +GND* : G19 : : : : 4 : +GND* : G20 : : : : 4 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : G23 : : : : 5 : +GND* : G24 : : : : 5 : +GND* : G25 : : : : 5 : +GND* : G26 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +hsync_pin : H3 : output : 3.3-V LVTTL : : 2 : N +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 2 : +GND : H8 : gnd : : : : +GND : H9 : gnd : : : : +GND* : H10 : : : : 3 : +CONF_DONE : H11 : : : : 3 : +nCONFIG : H12 : : : : 3 : +nSTATUS : H13 : : : : 3 : +TEMPDIODEp : H14 : : : : : +TDI : H15 : input : : : 4 : +GND* : H16 : : : : 4 : +GND : H17 : gnd : : : : +GND* : H18 : : : : 4 : +d_toggle_counter[16] : H19 : output : 3.3-V LVTTL : : 5 : N +GND* : H20 : : : : 5 : +GND* : H21 : : : : 5 : +GND* : H22 : : : : 5 : +GND* : H23 : : : : 5 : +GND* : H24 : : : : 5 : +GND* : H25 : : : : 5 : +GND* : H26 : : : : 5 : +d_toggle_counter[13] : J1 : output : 3.3-V LVTTL : : 2 : N +d_toggle_counter[4] : J2 : output : 3.3-V LVTTL : : 2 : N +GND* : J3 : : : : 2 : +GND* : J4 : : : : 2 : +d_toggle_counter[0] : J5 : output : 3.3-V LVTTL : : 2 : N +d_toggle_counter[14] : J6 : output : 3.3-V LVTTL : : 2 : N +GND* : J7 : : : : 2 : +GND* : J8 : : : : 2 : +GND : J9 : gnd : : : : +GND : J10 : gnd : : : : +VCCIO3 : J11 : power : : 3.3V : 3 : +VCCIO3 : J12 : power : : 3.3V : 3 : +GND : J13 : gnd : : : : +GND : J14 : gnd : : : : +VCCIO4 : J15 : power : : 3.3V : 4 : +VCCIO4 : J16 : power : : 3.3V : 4 : +GND : J17 : gnd : : : : +GND : J18 : gnd : : : : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +d_toggle_counter[9] : J21 : output : 3.3-V LVTTL : : 5 : N +d_toggle_counter[6] : J22 : output : 3.3-V LVTTL : : 5 : N +GND* : J23 : : : : 5 : +GND* : J24 : : : : 5 : +GND* : J25 : : : : 5 : +d_toggle_counter[5] : J26 : output : 3.3-V LVTTL : : 5 : N +GND* : K1 : : : : 2 : +GND* : K2 : : : : 2 : +d_toggle_counter[10] : K3 : output : 3.3-V LVTTL : : 2 : N +d_toggle_counter[17] : K4 : output : 3.3-V LVTTL : : 2 : N +GND* : K5 : : : : 2 : +GND* : K6 : : : : 2 : +GND* : K7 : : : : 2 : +GND* : K8 : : : : 2 : +seven_seg_pin[11] : K9 : output : 3.3-V LVTTL : : 2 : N +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.5V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.5V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.5V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.5V : : +GND : K18 : gnd : : : : +GND* : K19 : : : : 5 : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND* : K23 : : : : 5 : +GND* : K24 : : : : 5 : +GND* : K25 : : : : 5 : +d_toggle_counter[3] : K26 : output : 3.3-V LVTTL : : 5 : N +VCCIO2 : L1 : power : : 3.3V : 2 : +GND* : L2 : : : : 2 : +GND* : L3 : : : : 2 : +seven_seg_pin[12] : L4 : output : 3.3-V LVTTL : : 2 : N +GND* : L5 : : : : 2 : +seven_seg_pin[1] : L6 : output : 3.3-V LVTTL : : 2 : N +GND* : L7 : : : : 2 : +GND : L8 : gnd : : : : +VCCIO2 : L9 : power : : 3.3V : 2 : +VCCINT : L10 : power : : 1.5V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.5V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.5V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.5V : : +GND : L17 : gnd : : : : +VCCIO5 : L18 : power : : 3.3V : 5 : +GND : L19 : gnd : : : : +GND* : L20 : : : : 5 : +GND* : L21 : : : : 5 : +GND* : L22 : : : : 5 : +d_set_vsync_counter : L23 : output : 3.3-V LVTTL : : 5 : N +GND* : L24 : : : : 5 : +GND* : L25 : : : : 5 : +VCCIO5 : L26 : power : : 3.3V : 5 : +GND+ : M1 : : : : 2 : +VCCG_PLL1 : M2 : power : : 1.5V : : +VCCA_PLL1 : M3 : power : : 1.5V : : +d_hsync : M4 : output : 3.3-V LVTTL : : 2 : N +d_column_counter[1] : M5 : output : 3.3-V LVTTL : : 2 : N +GND* : M6 : : : : 2 : +d_column_counter[8] : M7 : output : 3.3-V LVTTL : : 2 : N +d_vsync_counter[7] : M8 : output : 3.3-V LVTTL : : 2 : N +seven_seg_pin[9] : M9 : output : 3.3-V LVTTL : : 2 : N +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.5V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.5V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.5V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.5V : : +d_column_counter[2] : M18 : output : 3.3-V LVTTL : : 5 : N +d_h_enable : M19 : output : 3.3-V LVTTL : : 5 : N +d_set_line_counter : M20 : output : 3.3-V LVTTL : : 5 : N +d_column_counter[0] : M21 : output : 3.3-V LVTTL : : 5 : N +d_vsync_state[1] : M22 : output : 3.3-V LVTTL : : 5 : N +GND* : M23 : : : : 5 : +GND+ : M24 : : : : 5 : +GND+ : M25 : : : : 5 : +GND+ : M26 : : : : 5 : +GND : N1 : gnd : : : : +GND+ : N2 : : : : 2 : +GND+ : N3 : : : : 2 : +GNDG_PLL1 : N4 : gnd : : : : +GNDA_PLL1 : N5 : gnd : : : : +d_vsync_counter[0] : N6 : output : 3.3-V LVTTL : : 2 : N +d_state_clk : N7 : output : 3.3-V LVTTL : : 2 : N +d_vsync_state[6] : N8 : output : 3.3-V LVTTL : : 2 : N +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.5V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.5V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.5V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.5V : : +GND : N17 : gnd : : : : +GND : N18 : gnd : : : : +vsync_pin : N19 : output : 3.3-V LVTTL : : 6 : N +d_vsync_counter[5] : N20 : output : 3.3-V LVTTL : : 5 : N +d_column_counter[9] : N21 : output : 3.3-V LVTTL : : 5 : N +GNDG_PLL4 : N22 : gnd : : : : +GNDA_PLL4 : N23 : gnd : : : : +VCCG_PLL4 : N24 : power : : 1.5V : : +VCCA_PLL4 : N25 : power : : 1.5V : : +GND : N26 : gnd : : : : +GND : P1 : gnd : : : : +GNDG_PLL2 : P2 : gnd : : : : +GNDA_PLL2 : P3 : gnd : : : : +VCCG_PLL2 : P4 : power : : 1.5V : : +VCCA_PLL2 : P5 : power : : 1.5V : : +d_vsync_counter[6] : P6 : output : 3.3-V LVTTL : : 1 : N +d_vsync_state[3] : P7 : output : 3.3-V LVTTL : : 1 : N +d_vsync_state[0] : P8 : output : 3.3-V LVTTL : : 2 : N +GND : P9 : gnd : : : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.5V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.5V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.5V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.5V : : +GND : P18 : gnd : : : : +d_vsync_state[5] : P19 : output : 3.3-V LVTTL : : 6 : N +d_vsync : P20 : output : 3.3-V LVTTL : : 6 : N +GND* : P21 : : : : 6 : +VCCA_PLL3 : P22 : power : : 1.5V : : +VCCG_PLL3 : P23 : power : : 1.5V : : +reset_pin : P24 : input : 3.3-V LVTTL : : 6 : N +GND+ : P25 : : : : 6 : +GND : P26 : gnd : : : : +GND+ : R1 : : : : 1 : +GND+ : R2 : : : : 1 : +clk_pin : R3 : input : 3.3-V LVTTL : : 1 : N +d_line_counter[0] : R4 : output : 3.3-V LVTTL : : 1 : N +GND* : R5 : : : : 1 : +d_hsync_state[0] : R6 : output : 3.3-V LVTTL : : 1 : N +d_vsync_state[4] : R7 : output : 3.3-V LVTTL : : 1 : N +d_v_enable : R8 : output : 3.3-V LVTTL : : 1 : N +d_column_counter[4] : R9 : output : 3.3-V LVTTL : : 1 : N +VCCINT : R10 : power : : 1.5V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.5V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.5V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.5V : : +GND : R17 : gnd : : : : +GND : R18 : gnd : : : : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +d_line_counter[6] : R23 : output : 3.3-V LVTTL : : 6 : N +GNDA_PLL3 : R24 : gnd : : : : +GNDG_PLL3 : R25 : gnd : : : : +GND+ : R26 : : : : 6 : +VCCIO1 : T1 : power : : 3.3V : 1 : +d_set_hsync_counter : T2 : output : 3.3-V LVTTL : : 1 : N +GND* : T3 : : : : 1 : +d_hsync_counter[5] : T4 : output : 3.3-V LVTTL : : 1 : N +d_hsync_counter[9] : T5 : output : 3.3-V LVTTL : : 1 : N +GND* : T6 : : : : 1 : +GND* : T7 : : : : 1 : +GND : T8 : gnd : : : : +VCCIO1 : T9 : power : : 3.3V : 1 : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.5V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.5V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.5V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.5V : : +VCCIO6 : T18 : power : : 3.3V : 6 : +GND* : T19 : : : : 6 : +GND* : T20 : : : : 6 : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : T23 : : : : 6 : +GND* : T24 : : : : 6 : +GND* : T25 : : : : 6 : +VCCIO6 : T26 : power : : 3.3V : 6 : +d_hsync_counter[0] : U1 : output : 3.3-V LVTTL : : 1 : N +d_hsync_counter[8] : U2 : output : 3.3-V LVTTL : : 1 : N +d_hsync_counter[3] : U3 : output : 3.3-V LVTTL : : 1 : N +GND* : U4 : : : : 1 : +GND* : U5 : : : : 1 : +GND* : U6 : : : : 1 : +d_hsync_counter[1] : U7 : output : 3.3-V LVTTL : : 1 : N +d_hsync_counter[6] : U8 : output : 3.3-V LVTTL : : 1 : N +GND* : U9 : : : : 1 : +VCCINT : U10 : power : : 1.5V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.5V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.5V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.5V : : +GND : U17 : gnd : : : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +d_toggle_counter[24] : U22 : output : 3.3-V LVTTL : : 6 : N +seven_seg_pin[13] : U23 : output : 3.3-V LVTTL : : 6 : N +GND* : U24 : : : : 6 : +g2_pin : U25 : output : 3.3-V LVTTL : : 6 : N +GND* : U26 : : : : 6 : +GND* : V1 : : : : 1 : +seven_seg_pin[3] : V2 : output : 3.3-V LVTTL : : 1 : N +GND* : V3 : : : : 1 : +seven_seg_pin[6] : V4 : output : 3.3-V LVTTL : : 1 : N +GND* : V5 : : : : 1 : +d_g : V6 : output : 3.3-V LVTTL : : 1 : N +GND : V7 : gnd : : : : +GND* : V8 : : : : 1 : +GND : V9 : gnd : : : : +GND : V10 : gnd : : : : +VCCIO8 : V11 : power : : 3.3V : 8 : +VCCIO8 : V12 : power : : 3.3V : 8 : +GND : V13 : gnd : : : : +GND : V14 : gnd : : : : +VCCIO7 : V15 : power : : 3.3V : 7 : +VCCIO7 : V16 : power : : 3.3V : 7 : +GND : V17 : gnd : : : : +GND : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND : V20 : gnd : : : : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : V23 : : : : 6 : +GND* : V24 : : : : 6 : +GND* : V25 : : : : 6 : +d_toggle_counter[21] : V26 : output : 3.3-V LVTTL : : 6 : N +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +g0_pin : W6 : output : 3.3-V LVTTL : : 1 : N +seven_seg_pin[4] : W7 : output : 3.3-V LVTTL : : 1 : N +GND* : W8 : : : : 1 : +d_hsync_state[2] : W9 : output : 3.3-V LVTTL : : 8 : N +d_line_counter[5] : W10 : output : 3.3-V LVTTL : : 8 : N +GND : W11 : gnd : : : : +PLL_ENA : W12 : : : : 8 : +MSEL2 : W13 : : : : 8 : +nCEO : W14 : : : : 7 : +b1_pin : W15 : output : 3.3-V LVTTL : : 7 : N +PORSEL : W16 : : : : 7 : +GND* : W17 : : : : 7 : +GND* : W18 : : : : 7 : +GND* : W19 : : : : 6 : +GND* : W20 : : : : 6 : +d_toggle_counter[20] : W21 : output : 3.3-V LVTTL : : 6 : N +GND* : W22 : : : : 6 : +GND* : W23 : : : : 6 : +GND* : W24 : : : : 6 : +GND* : W25 : : : : 6 : +GND* : W26 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 1 : +GND* : Y6 : : : : 1 : +GND : Y7 : gnd : : : : +GND* : Y8 : : : : 8 : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +d_column_counter[7] : Y11 : output : 3.3-V LVTTL : : 8 : N +MSEL0 : Y12 : : : : 8 : +MSEL1 : Y13 : : : : 8 : +nCE : Y14 : : : : 7 : +VCCSEL : Y15 : : : : 7 : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +d_r : Y18 : output : 3.3-V LVTTL : : 7 : N +GND* : Y19 : : : : 7 : +GND* : Y20 : : : : 7 : +GND : Y21 : gnd : : : : +GND* : Y22 : : : : 6 : +GND* : Y23 : : : : 6 : +GND* : Y24 : : : : 6 : +GND* : Y25 : : : : 6 : +GND* : Y26 : : : : 6 : diff --git a/bsp4/Designflow/ppr/sim/vga.pof b/bsp4/Designflow/ppr/sim/vga.pof new file mode 100644 index 0000000000000000000000000000000000000000..3689c14fa4b48c59dde1748e82a97f87af66c6a4 GIT binary patch literal 1048717 zcmeFa3t&{$oj-nOjFMU^naL1_7|6_IfH6fdBtU?W%nXw_V&qMwEtRL1cJYB=8@Ewr zCKEDZ-R6Y z`|dmMcYNv2`&WPC-g_LA#@yuaKk$u}%N-S!;~Y1Q8#`|N*l{=AG|4e{x})KNl`DCV zjG2(EpEa$Txr+H5(4BwJns2OGdFOJ*!VF{zz=C~35cwtgPy{Ff6ak6=MSvne5ugZA z1SkR&0g3=cfFeK0xX*F*eebLV z!$uYkM=12tqCD1YBy{kT$Z5e#|{8^aG z_z7*d6mPd!6;c4MN=^zPlGgDT)_&*X7yjw(KTi6mq$Owa&I{Db{rS8k+m#RnS0$&k zD9{3;@yR-*Sf+sx+8LNG_vgoe1Qa$1LYLW;5>whMAx3Oj6Zeh`5bSw(!L^7-NU$L} zl=fEi%vNO;CHvDV7*vwjrZHUx-@Pp)90@?eHAR3b zv8$Rnt+FakzM1%QGjIL)Ir7C?K=dp}$+(j=sv~T4K4hUcA|V7X=d1Xy$||;m0Ef2` zul!eaM3M+h07?d;Oa6SAS!}6mm>0NZclU3}-vP7*6MXNAZCEq&<`>ogjPN^g4dMxg z|6N1A9$0ky|32U=wLRx!$^2ygSBPOoXI;(3PX23ur(jvr$)Z(rw6n4%PQDrZIbXwn zRo0-~fQSqykZkzybngcEs@@<Zx3X#YWV&wyC!bzN*_u zK2^7{*3}zZDy!q7`+gL zuQfq@C9Df5GNIkw2jKsRgxlt$hyS;kd=YCDqM=yr#0gx7*EU}~3|}>ei3Q+AtR)om zrWaCqzMB84tWI*kC$_gGHO0Lx1R5SOJ}@D}Qm~Lbd#!Hj-(KVMy{1!?hUQKkm|Z-2 z^wLH0Rs78Mv&EC2d8%?UCq1MLvSo-w{>n@HFTMg_HLr*wzt|}O5MCq#M`&Q-(FXum z6YxZ^1|c}IA}8S>DFh;sMWH8YkQIXF&V}6_UifF?-AB7GcEeY5H@uNtaxvXx&N6SbR7ne=GA~BvJ zk_jAuvPnt;C@*?ktC3CYAindpZ1aW~`ne85dD89TawjTbVkzhjb%eJ9?D?8` zGwc5{5BeijKGLgzzC=7VdXPK;05ut(3IK$Mmj5y3Y*)+ziTDe3y=?N;(1299vehF= z7=@Y-gf0SUz_OaL*d{v}l4?TWTN`#f4aD9h&`{G>OX|M!Q^Te9>M#>}s&X8**F1Qn zuPNznq#&52Qg`Y6`8u|H10{*{pwHKVB~MC1C5c~p#Ru^xJIwM`Us84?u>$wC%5kP} zo}>YlAD4bCgn*+a1d?>Pytr}rhdpP9|MI0@PWdISs~0pREeU6;I4YLuq?V99 z3)P5|l34RuqAl$JD%%#!t(*k4BBbI!csa@{lF1Aas9=kdIa4zrm|YxGtHP`;Sc)hn zu>+2wZiVneK@7R}h)=kr0HsinNi()+LYmd&V3LNUK!~Wwa*{+YE^dv}JAxMvE-5Yg zXvvWe&(s_t)nvK~mjr_EkU)tP8fMw(Q-Daz3Q>4%HLDy4YG6#Jfz3>85_tVXHhfk9 zd99?TTfR2T6r-9nC&SC7Sq5|7SDezoQ zK|b-r1+qDnB5O(9psHS7M+P^r1!dcyY!%-5nx4|(pY;6c?LSTZ6Ev7fVk|RUQ^eH) z+Sm~~1)?sQEIYa(OmfY~N$NhKBdCUNnT*#}^I<29)gIKuo}L0Mu)GQ=EBqqV()!Ri z?l*%UyQ&J3F^ID!fv8k?5bXm=*vSW8KzAg@0BKB zp}x^TK{n$R0px|B6CE;ekSl=6ESP!e!iAaeRW(zT1xWzYn_JMI9)VF&nei(9DVI=y z7jBSz!oAB@Pathj^w)ix6$_&zDtT>J=gBUxv!A@nc+8~+AU@el|5|%+&uyY3H=8ie8 z8;PAHJW-#?muz?lPy?fnUw!HMzkK!Xw|_t3ZY0Gf9PH^MAb@jCX9s_O?SqrPf17Wq zXF}9z$qRgAxqH%G)}du4d%#z8F5<3vvE!`U=PzFBw-;HXO_9eBHf&ioa&v_>PkA=Xo8B|Rwe;bl<)hrAti*W>=iCP~to0U~;21^6pnpdB$Q54+-1QaWT4G&qkJ=&|V$oATc-`pbJI6d) z_8rT?rN?ZA(b)}QOT#sHT{R&b*i|ttw#R?g9j!d!yIA2Kz0-1=(|5z{hRFD(jf*G5 zCWP~fE2Go*G;EJt-*Dr)>&r$54pjJVuq+L-?nT>|T0OUVrdKqSzqsV}r7t=U_}wMe zsAXxF<EwXCp|7sd8Q&C8@Wv1JEir5mml#3pw`eBQomatv)3hpYR+%;$U!JH~CZc=PI? z4vcte~7Vpkj{nL%CBV(N_u5TmU-A!`ws^@c3+!D>R#dDnToQffK>o_S zjn(FxOQNIJ-RCR`Mi!J1H!HaLgKw+=M{CnTU(?e3AqT?lSO+*d*P9#i$3&x#`2$B+ zO#QxCOma2Pd_Gqx6~`zNb|Sp4kcsh$J-C^W8P`zYDgO#yNCaWx7G>f?3I~Bv!VkOyJ6>}L$g)q! z9?5g@FEYO&;5y1AezifyO@)=0OC0Mp-gNRRE|4AZumCp&d2C|5W(P8bh^5nwrdu&X z=Ab~;41U^O+LnHVIqv*74leO(Q!7r zJ!+X1aC-x$7*iZIuZN&+f52r4nhHP;BS6p^3x+p-St@Q4o>aexsxj4JWIXm;L4%^~ zFhRj$AFn^p1Jwe+C|H4mi+ARKG5?|VVhiKJ^FrbGF_536Sfdzh@-qx}Q5cjomm?ou znE+5vd%!LlV2OcS-L3hIBVVXvGd))ZzO(o+%4^eTI>NPRl6@LI*5h*@ZL8$8k zj0YGa)R3ag6LhikqQvd(uZfyPj4oN9LIR+&MwTJ~4W!s5Lk;Z<@>yE(SIhFiOKg2U ze2dZsZ%!W{7O18rQ>ZhEr&&0+%_K(uA{L9Rk0C910t}=aABPDj3ltmzVo4M6=FLww zkxapCi_J3ZkK>4o&aauzugj0bbP{0-e5PTQ`w%U?k8x!X#e&U9fu}IYnSiihD;U`B zjPS}De*Fkg5sNJUl7UiE=tRWkwt04e2#9R58%3GUdG=Z`^KbuS_ORJ~7}g@LOG}wM z8M{YX9Fh)cCYxoj@3?RLV^~f+TtP|m#PvaW^rhtzd_x|y4~x{y-V~)!%vnl*RyNx> zYVofg#w*247x9LCZQLTpQAH$jK20~MgBE7kSZug zCbA>}xET94gVdCw!%Y?46MbjOPF!#<`6&FYA#0in?{D55KG{-Jdireds`k1TaNbbs zI#OzVw{*o?Ut68?@Q|U^wO!}K!#9>5?yc-lrYk&OfGfY0=o*n_6zKdZlf|p4yT{Z7lrJ)15aYa2B6Vj}!-WJcYAF{LMp#x81V zS=2WKPL-WG;k>8kTV;%VV{N zqrQOCZ2`3wMmIdhcmq9Y{{Rg_tmO4d*Kd{5t-_u{qX^R97c@>q9ON9%j90Cd$OY%^ zE|0#4Naf)f&+r@nd8cR$C4`%Heh|#sW(vZaCnT-hEf#~uZel-tuLs? zOW7kJdD&|okzo(NbD`|iIppb9Mav>LQI0_MxLEz; z%Utyc>1gbTR3}4qi)t1Z7$UYDUo}o!mqvl+l{@#LkYG*LhV^aJX9qO#!FtBI z0n6Df_v8>XEr?;AB|}n1<|&5t6h_h}Q6!AJByUPD?4-xNFY73-0_n>}e^dtzo34bX zT}Yq~gJB|ML>Kw8$tW#=8&>@ofpEW5X0;%x;Fn5`0x*}6;=%Nlaztl5=!{1$6C-rS zL$Oj}n7`8|O|s%d0iMLZmla$zS*z%lecIdvG$laJbHcYm&Kj30W_hH`$KISIzf@R( zh!Xk4mY*twkh4}ye*DC;&&wvkCr3uvE-~#jWyg#z5=n?pS$$nb-|8IuT}P1&=l4x7 zft?I_v*k*k3<(B39$3vD4Fq846Rr;k#bVKDBog)ogMonGPnHqHV#auzLuQzwEm_O9 z3V9^L2peufrl>d14TH(RdOmE#v3v6aUNfeIiRJ3dFmH~>g*$o%29W_KG5&e-tMdz| zFJcb8!yaCf#a3s?I%d$WM&fc`y)!&E9QZmr$_=|M{417Lk87{6AM9AZq^iOO>##ze z*y#3=>&iOqExwY*qK3k0I71bSbR96#tJTcBS#ht<{2{>&>;UP-6?Ug3?tagRM5*X= zsENivY}7=T*-yV~#xk?3%9W0HD8khLpwZo|z@*dBca3O7jm0LWmW>-yMo`Y*9XH@7e9o8ZI4cWmgr^I-3Y1I_P1*+Gf7q`E}2* z+Cv?sCoY^@(=xO2V#$jS$DXTe-&?w2^QGuRy?sRB{Z7yOJ@)rzzOioR>j%E>IaAg> z{KVS#;S`hLHupB`;_$5CEcYxc_QXT^>+`0Y%S}c3t$Fq45hmOg7u#lxHz%BCxzC7= zLsXAftOh7F6dFYY6dkD4{JlYA$Qn<6^=g)rEflepI3Tg(mRhI8GRGIgRCq&S$}usL zV20`%x`rdMXAmE~&!CQA%)y@_BuTEO7mMv!g)zH^UAiJY@18jYG})|3o+ z&!md(vmQM=>nR_z23st5F@vUJQ#2(w-Yfq8YewuE0wgbUwPRm%u;St1$J0C?FR}mi z<~Q1I{*2U$tcp!-``+OjHh&VmyEkwnYDTDcTzc_yf5&jvT&d}! zE3$6ti4|!_4O!2DD9t>56A-BhQtFzqGOGfrCw-&1^=Nxs>-U zr~e#V)X=`j)ja@aV$PU8DBkLu*i_Yd^W~^@9H>2T#`(z$a7tRJ&t^7c=1Jfr*P5E| zXpj#@uZ9g~eTp3+{v6w6qC&V%JNaC!`d#uRchlvFO}5l#AL4^$)7hWI*)iEYrTIuZ zEI9t%7K4WP(&Ea&LKz!P(O-=N7qpeb5WNQtrEN^!tPwpVb> z7HB*EDE{{OltZc|iB|h!+}xBA(-iDU6l32rWMY6nBg3%qVYQel`-1{zBuqv$ImULY z^=zggL(&VYUs8roy-PnlrKcaBWCZflSN(beb&pW2k9MP3nEkbIr>_3z@>f1yX}c_! zS`8!G$3{3P?ef+>bZkr`U5I$=`7hErkIV(x*oH21T-jZfyV}{qihMxd_R1a1*O9X1 zAqMP$xp&s*yu(ARTC+xU?*n^ z7_grfd3_6C?%Qv~h(SMvMpt(Ky`Gh$2DJWHpe~2iR(-}J-Qyv*$D{qSS!_k7J$}6i z#yO4Iydg8iA-7|M?(xV_*JW?gTp2oRnaPV z%AFetL4$|#FRK}klzWCHxTL(q-+QXXldtgx+Y}n`%I<~PfR}|UJ|VNBlhmPo$}bt+ z7x4hejR6z#lYu2^yfSZO^sag0$1+Zut@`Dh$9kjodAv9`U!UI0;(7ZNxOY-Bw5b8& zf0zr~dv!lp73ta~L^!Z8Z%#1eP_oauJ(b{TTs18ro8t?GMiDrKMt702G4Yir^AzJy z?_iAB6!^yf4CB;Owc~WQ_w4`t*r<8m*_L4=r}4FpwCkCKM^($5_|BgGwjt1nl?;}7 z`wUnS;lWzh zj8f}er7OPeYn$jiJY;BfZP)qm@QtO1dn>z|3s0RcJ8{vub-ru}Ae6ppc z^z_-@Rqb^x-4lHqYF$T4t?!nuSnF%6>yzMToJ&3ke^j)l*E+5_29Xax{mf?5Lrupz z2V5?fXO^CD-qUlgbwY3b-e&vWTDUy3ruJrraYWq_SJ)D?2W);TIdDIc%k?xC?8!S~ zo-EYpEjAen@s-uyvkBE(ag(t>SfsKg18%CF^fJRmMDs}zaSF|415M6r{lqEc553m0 z3NcBJKY1Z(le5VEnw=j)WkoS%}m%|h;$a4~f+L3QeAK!0c-kER2 z!KkFPy_g6;9GOvfU`*+W zqOpsbS{C&Ufm3B?M2ZJ;@a*s-8~4EhT>hZTW3`8)zJSxMQhmtXo0&;;!d7_wv!n3| zm1IIYrT)_NiejDE|8F?yu}d#U>;Zpoy_R{kz09=>9k9p?~t1Sy@>K zS9yg3^NkVDR&_y~CJOWcs z9|f7>&xlopaTZUxsF05IlJ?Fiev&dvd>NgM>*Z#QMx|pe(8!RcDx?f3tQCdk?-Lq* z6<}iW2u0X5IFRBkbj1x-K43!O?fC|1NbFv=KI1X*Dt8K(_Lf_WbA0k#>*1yfn6@}m zcH)9_$w%RD4O!FlnapZrn^PHXeRueZdwp$Votf)hW%tqV8`-S*GEAD6C&r&*Q=XPxp6rMSK0^74Ke0QPL;3pJBzs}DeLt%B`rpF> zZ*qo^y2X;-TAaL0Lq?8bCcFFIGOkbW&DS+D3v4EKTws|^D^)@oWCjHUH^ERcWrkpu zGx+5!)9n&tznE^R-GA&MGoa1h;h? z=Fts3ls5*DS&qKxUa+^*e`ncG$x7J1Im?GV<>8r8upcwH!c%Q;_XQgR4gP5a!C0(4 zx-QbvVUHdREO%Ggut>yWU50rC1RHA}gsh52BayH#7z_mbezM*m77GSF9=kmn4Fue7 z5^*RNGsYXNH+c0b$hLg#q9zGJpz}?-q<;}Nl+1^2V2s#WbFt?CaQ-HgACR!hFwT_s z46mdcpV&P(gBNsCRT+D0=L%Ce1$iQh=_hfD9Mg=bW{paP2dqzZ?@RESn76-|CBszk(r=F< zQN_EWr~cNTY@Z!#T^OkUl550V3(NyEp zirD&HUDJ0iFW)h)xF_7V0ZC_{kMG2mYpj8=+h=2EIfD_8-|mWvtYRT|%oTO|Jw98| z8nEC@VJJT*Z;(nZN|VtmM7=km_A(aNBHMf6h6~E zsMR*l?HpmT;E4FPpntk+ht*nSUl8=wTjy9UMB*ar-8C0_=Q3vMnhtz&`97A zzffqzXh_Ty8VMZY7YdCS4T+gTBY{KwLZK0(Au&^EByfmdC^TX;BxVYY1P<{Fg+`2q z#7v=)z#)F2(1_8Hm?<<8IK(d$8ZjCYGlfP1hxmm;BSu4FrqD>>5Wi4p#Ary&6dDN} z;ui{y7!8S;LL-4g{6e7-qaiUuq@ zV){2Fml?zU2mX=n7LvR@)WUnx^K0Ou7Trr}jXdHT_UOd)u2{C%3OW{bj)@UcF&!ww z(mq>(?`&BatnkD?9i%YmEDNkp9ejLtUFOXxa8iz{h<@RVIeq}Lh+;=XPb7appbQth zd4e*e3JHDjcB=xPY1l1uad%T#>c1ce#FJNUN*x)=B`$*7*pJNyoW}u~7`8?ElN7x6 z{3K_|bb_6zFakRk23O=FUm>T*#8^d8vIkF;_HID2@Cn>v&t-_|RBv#Upa&KaZK=;I$S93eSJB2NR{IHYLHh5l)al2Yr$x+Q5fuCoY&B&kq zfCt;Enhc?k!rT*6|Hc#6@@r28>!k~1QK>vH9x-WgsYxTlFlTCS5&LG?vMserd2@&* z!^^!So`HrYlrIPr5h6AqN;LbRu)_n15xC;;Nv03B+r*1n#6rvl5`BAsB1t35i9idy z06C5#>;LUnfRZ=AAm5P>q8?7ci}2$xd)Ttb$G{V?LhiCkFR|kTJ$vIdQD#;QUm@M12XX*KjyxPO&NT!?ik+A%L{M0A zTz-VAsv*7T@e#}w364O85ar0g4=_U>R)k4{t-Kv^c&5k<@*4&Qqnlz()N6+a8(;46 zcpR8u7d!waB%0%wu>*WAvUeI}#tt-*NxRibqJSm~pZI^T{#*gHpltDg+z~VDfy_1| zijd`=a`QHUGQ&7rK+6hQZDqDu>x^I3V91b(lDC7_nKL7;MAUxY# zOnC(P4gp>G21&pNIQ0D|~}xF)Ied1Acj{jc>!-bsxbcgGkW@hpZ*Q2h2yf3=S_c}3d;x%G|L%^T4Zinp}kQ8gN z=||fwU)gS%Y+}MU*{sfIE!z<)5mAQuLoft?Wj#=6~te@;j#VCSzH65aJY=<o!ZI zc)P85JHj2_WP@EgzyQRKClw}-Nbf3-GT|tj&Q^PVh$I+ZNzz$yCmdrUNz(a*d)R~n z3d9{!%!-NK>L95Gc_iXPM3vOF;4eOV_4RP7NaIA`N;p+yN%*co-}-*^qr!h|-u%eP zt$3FD(TsW1b!GQ2+~ZVt8hdf+e}&;V_7{9T z{BiA{3`w5H{;@@RUVHl4BEKtS&lY)4{#?TuKge=!;g~I1JfxkB_`zA{qNYd3ijPLL zKGbXF&qjO|&PE(w`hUlpD)98gH>`VVpGY}AvG%~2;U|j5ELzdB$o)#2lN@e%+gxat z8)C~No}wSX@rE6N?e5LCFn0e_Pgcj))U(YKo4&*E@{A)#A(n{`VK0V**}Lq%K$(v{ znSFiOv(x7S+X{Qs*H~e7TS`zeknG4Xe~3GrbU5`E2I>&}gW4}2|5!mH-!5o$;g7+V z4y4-!RTk;BU<=?N5{LDjoqR;W;;*jGbi^Qp1Ug6x9(dvFR1pQpHb90# zQvnf)lEpSZ!~*Jb3DxE*TeANo>0YEXmy%HM;z&Uz@u8dZBJJ@2TaY{eN%289F;ly% zT9baG(PTOZJmgg%N2+h+BuOA7X6(}Qa8N9OK^_bbypRXU;LdM{KOfsDfkAQC5UlOu ztc`#BEZaSd$G|Dt4#CL-G((Z1A0SDG+syaX;B6?EYF>$Rm>pu~n8d2TaQ#h!ycjs3 zDI}7Og?xwj@R;6Ynn8aUfiB+av|$uED&SMh$Tkc2X7dvAFO|bR*icph+yENbCkPRS zK*SJ2Oe#Dfo*R4t8b17mN6gq0o!F^om2 zHT9qw=wo<*4EGB$X=bFr)_OWQHgHSt~UF z++lKrQ8$%hPmXhcIO8z|D61qtMF}Euj!=mOo{3xcHISAJ^NMfW_)sA9~~kUz=MvNvQ5e->Jw0L zhfz(l+CiMRVOz*Q@l}OCjjcZ&^LT(FR4C9r5Ldk<`H(Z%v67NSGFCg%DmE2|QJWSX z8x*?PmZPof?MRfZJHvu2Lo$brBqh&Lp9ZmEGg_+f4RfBqWku|fe8;?)>pswq@_(}+ z<}8>U3x@$>L74%qB@_V_quiDMO;CHbm6cobedXg^uAv0*+LP{aUm{QbpMFIz-0g%R}kw+kfM4QE=mqYhk^w=RjRHfmEORY0Z(ko8v z{>j~XugY5csOT!K#age*8qVFs^^}!dwRL)}Wle9TRrac`^vkj?-FVv@ZMVm!Hn+af zb9-z?U0ZZaY0J0lV{h+mxqbgDZ9n<9+J%pN+|!y-!+XN{aZmY{OXaV&J>!~s@lh9? zZ~xyP!TI)OADnkx@{DS^#sx$DPErmn!1w{`J!5?0Vr{v#O_)6Q27Ca{Z ztoWFGIX!RtGz;ihp2vf(M_k8ac!O4nzu5OV6!kPk52~7*JdtwG&ZThXeRa6qdeH40 zZS8P^6v$ngwLZMd7DPpl+{<;qn$+`>N9M!PR<(IJJjhj>q7ue;C4o9PiXEWB;Ja+n zK|-c+;_s5(MUik~70kbiD<-fbRS^7&#S~tDc3$WL@&QwRr4>Dngz_H5q~ebhV$4uy zX?!>$2rhXN&vo)fncr{_EG6X;-sM^=hI!LUCMF1^60JH}H+y7akioTX0l5VeYj#N! z!iG+@O2J(eMf}gp*n^isrj(p!Qxr{083-JQc1ju(KR?M5j#_z<;vynx3grQ*q$qGs zNXwfq&Nbrj`dp&yNJNhd!73%<6iHH>a#q-)v1Aefyu-*Sw&%%!EYkR%l6gsK;#0~- z9bdRFk7OOZV(Tq1#2NrU7{7%^N&JYLf3f|dDc5prOSoqkht*?dJz9hC%Q7hriFOja z;+{@Wn)rkz(?>$Pn09tlCCL__ZJ12_mk?wAvkk%(kRBCqCZQ(fBFo$Ex);HROn4Oa z7NRjhFG@lLv2p-IsZXNxQLvIB#cTq2K%}tGZb}LlpFpI8W=R4^SA0=KNdo>hf{}~y zV?enl#FbvwEwTBZ!61l%h$<#g{S$YR86XS*?8sMEgtKfUKYW}QN#I46jylE`R*uA| zNP-HmBb1Cd#HUOhrNqwrp_7Zlh>RD=gp+7tzQIf-jva=~(4B#PHBUMjTe_*FvIUz* zHgS?9Vh@lor3xybJa+4K(79xz;C_Vopl1(e9J*KY?5rGsV8I`Z(Mlu=R1c&?SRyk4 zS;-~tdISBSS|mso8wh77+1h{~X3awEOobCjKn6norKy2P30PzQvJe92w}%R%&tt|0 z3PJL)9IGY7?4HsIAtfkr(F03Rc_J|+n*E7C4?X6B{8`X>W{7x+f&i^;tZwE2xgz+B zTxE?l6MjhKis)r9^C$M?FyoAp3uP2~<50<~5{(bv$3Z5dNy7B0xER5h5h^hF*@l`f z4z*=}s#>mw8CZX7wFmC+ngtU>>s#$62qsc0EZcATx zrX>j)mQpg8h7+)uA{C+-_n#b+dLIAsoG&3h1eXv`yB{toUf2hh6sOIZeej_9Z|o(+ ziJ)*7SX&#J(E6}N-DO~|wGq4xY&&-w*nclQd+xDOEpJ!t?UVb$r+I@#Dz9G)#e!7Tva3qi zHVFb|d*ai#`tyk=xwu2u#+Zwjbmi*Y@Y-p9CO2Upg2-5Z}6+aGRxY{t4@U%XE@(URQ zJmp+ivh+^pSFJ6#kvP6G#&dqW0$-nBc3bt=qjQ&ewk{d{sq^JpTS|sebV|EwVJFk2 z(#XWhYGU?Ok)szXQpHDH!-~x$K)mhb=Y)`;eWhp;q)l2X)~Fql`dSDIUK0OQDSFjn zlvGji?lM7vz&^1oA!6%QVucNoD4r)9R->hK%Z3wmH}SL0<=|^YJ{g!#=`cY9`_4k% ztC)gTvuY8_Oqeuogm?bvXXpb%Hi~Zh#m<2?M}~<%)RJlKPG+8xuKlp-4F-Wd$+Z!A zpi4^cmH?GOdb5NEFJ>n0EHmP0dxBjEMJ?bplX@hEZ&7can-m#1f*jiNd~J@9v&Sph zUS~3waxwM8oXsT}k}yUV$)G>zhn({*Us6?J1Kkwwn`w9FdF{cH#-fJ8X?UJFTsLz7 zd_}_tJ9K%onwd9?u*dBntEDAr()hA=BdLvX=2F-51gwBE;K|%sRvUql`KmTfWq;C^ zNZDT&7YdCcKopu!0~*~0+`=SQX5Ngcm<*`{DNzxro#rDkWor-TW-~V ziG3%{Lb#+=22=b$0k5>23qyxhITh*Tye6;d=+ZA}TbY+m2KNXvgFEIf86Hm35uK2icjpI z%BZ{wq{(v6mkwVoM@^d?K?H=@%IX^x;)1TSNzp0Q;l2iTBVww(ws%9j9g^BskVK*$ zWakPB84SI~|Mk2%yWdPcfDSw}n{dfCbZNCo9|-cYwXi15sd^Jr%rO$#wD{r3if6hA%guxOCvWlLc-rKWmB&QXV73L&($f%go}QH z4JQx%ug^;A7Hy*oqzovHOBgWpz%?zSKgST}8uN9Ggb2JAm~co1vSH<9xNKDaGxPa| z0S#BpwB;=cu7b}4(S>D=^DOJGIWz!28*B29+M@OiB^wuR?0Vo}*Z2dCgO{9Md=Wm) ziLRDJqc7Ieb++p-v4uCcFD&bZfY%)w1RnzeZdkaX>&}B+BMvk!KE3$#JF%MjHU6q; zfr^IUgL&|Y{@~`o)WEtqOAh%)HI8bW*j3fp9ezI={OdsYG``W+_|LNFtWYdx8-Ccnj8`t^A1jjVp z4ufhz2Xrt3v)r?+SWkxX*XQ|R2&^@)-aNvDjZEuxAYE6d9_sXih_F0LZA^&Onk9V$UoZ``$YKKr5-Uwm0@XrJllm z)&&iDBo&6XG!fr0_)+%~oX?d*P-zet|GIweM!;HXt^Lhr@mkJ~{{T*<)2Eshm@EaR zf{rhDvNg4KMz|2HiudHV9>GJ*bUt|KTcuIUo5%@yF}(r+BaODf$1Rm#*Pl8V1hTy} zdr-`QbCj^)$cTPAJJ)GpEGsA1>ja+!$96wvGnrIxuTF+Jpmm;&?4saL{3GX{5Gs>=rc8fQ%0Ojd~W3+{WU365=YBh zuw3h*h1Hhr*q*8n;SkMOL=PntXPXVr(L6inx4Fx9G9)zc@-q@_Rh#sf*@P1k|NpHi ze%QV@C_1RytA66swx@N(yk<=#FfNNNv0=KxNdZbq0Xw>y!YOn&3eVL1BrVt688tZh zF?dVWhf}YE3(aFGao{U3B_Bw}FV2(1PfSZ`O}S*bnT(vJkz4dTeFLT}LFl(W4Z^)1 z%Zi?d9BFa3$B8pHDa4#W*Q-WxPR38Dbu<{&%qI!6CO{knQ=@E#rpVtmY-zB4Z#bbsA{W;n8eLLlO!zp;&eK>>Md!P!E~nft#nt+Gi^`e zA3jJ4;3Y{aFc-j*FAY!Jc09#*fq8`4i$t8vESDCT5;xo?CFOLpc@I*cGKE~*9^X(X zEh0{i4=1)DS_EL`k74m!5ZDqa8Y8UcS*{WJ%bg?4RU_uO821SCrbq}1fY$Ys46}Rk z4U!}SU8HSh$R85Ne>D#`HkY{L+Z?~qAh)tJ?gxf}%!vFEMSiobzz;^Vd&H|QRG_pk z@?^Se*GL)S*qjB7Vl-?5q+aCTxYpvnS8tZiYRu~=vl>r!NcE8m%j!o3Dg(A<(Z_Gz zL_Vpr8gE*AXGCszeQe3A__r|f&~F37VDjSRp}FS{&4IuB+eY{G)W;FadjsEVd}CeX z>j##5PN7<|7q%r3wPam#GQ+(-;0l=CQFm)#&PeA7Qw5Z0SrOfocQH{DjU{=@hO#IKN(x2 z%mglwBJEt#S9Vk4Hd5iovinr@L1611r*pajs31-oGLsR&QQ(FL{)y@p3O+Phv-4#U zX&A9ME69>K06F2Zz2>;sjx(bSX?kQd5l!J)&$1IA*^rfqr}W?Cx4z1F&0q!G7&2J_ zN5%OpXSduVH;W?9vJ9*wo!FU=eXpe`S_OV+J0RDv`=_awoH%z&k~o^Y&@0i*6@)8s zwR`eo5{VT0qT1+{{&+xAiQt&yx}{oV!D8FgGc7M=_y-mj5KT!7A%>m0TD0rx^btU$ z1D!^W%d9_83&3!qWpv#W60eAlHjLQd4^5Q0W(Il@SlKEymXe717r4b`&*T(AnB@Wm z2YT1oQi6E#URC_QGqxoLw*^V(Lfnko>2^DTn2M??83~Sa&bAD*ExT6Q5;!q^q|pQ^ z1G1_g9HxBl4miCd2th=;3cO68v()MK7DBh*>4yHfGpDUyaBGim+>tbPh^{ir1sV`g z)`Y-^KmB~_6v^m$sF--a;u`TKFxUXt5mvv|oD;v$8Cf}$J@$)d8r2jKYXBIyp5sDw zMZ@#q%-hr{DUV5(UcKoyVtJL8Nt3OeGqX;bfRZ9wd)2YrGoGxyDl=qxmBv|bONDy(a^#`jhFcMae9|2 zgZ^7!ir36FZbOG}RVj4pbU)BX7zwwmiReT*Er+#YH6D?(f)NkFt=j{O>(ZWZf!&&~ zskvfmrod@dVxD-SVcwAT8%VQ3)#7N~AnAUNOnijI;vy!86BS#xUM1!z$|`|jZ1woc zFr7IWra+t)-93;yWmz1FW;684I(}2hE~1wNhNIKCTWuuP8CO3n`lEECHnhPkR9a`P zE><6s+lZ0ENy@8?KE_U={Q5%r6bdSK1acg2NFk*7O33-9{7KP+28Yz8exzC<-5=63 zQ%@-#-I{WY1SZMJik2W&YUs^;6h<0iaz5oD;)dhW0_?6C%%oOzoOsIadk;3@YRxB^yzkqzci*>vNo8u><<~MfU7Gio=fqI5{*M> z+W27-wxSe5IlZQ?(nR%%44nZrw-%NXZ#1MZ;3eaNx~EZqpAi5N@`BwGb!fA!tX-L^ zMUx5*xu72&^%l)>c?+Ru4obwP zh%*S3wI4gNu@cCO9yfIIi9Uj}C{~=3aDq0g1;d%Q4P^I$1o-nY;(oW&Il@0DGHal|yrRgh)Qm{Z^8 z5h!MFPTVXKXj0zDm^E5@wUoWJuS*&xwgUkXXl*awoIFXw5P8v3RkESe=AENpuTV1a z@+Nrv+%I{7FRo3wSoc0}BmNDGUsRYA&&%{PRfJ3NlG>v1(+cico)n*1UN6m*j#^~# zuHc+LDlwgvwF*Hp@FNb-nG<(B zC1cCOE$1X_7q6*Qi8DXNkxGUY!^Fd>+5?m=QoK}_Ql5N!&92lKa(PcxLy!h!Jv{R0 z6Q}gAc+WIbx-Cyi&PCqt`&>UX>B=&beCJF&3~3I;qGsYcc?WH_a^PsAfWG+&l=WCH zg#JMY-n6d&AnkT?c+&!l`?)I^2L%fz4*M;yG1JB;to!CmiJwv=445LIM#7yy-gv56=7_Gh=ZaUEa&;t?_F{IE?XcQ4pbRbfrr!hgw&tA?x3B&#Pic)xRX(D4OoC(v|%sK%}-^aAZTu7Z-Gs9 zA*+YeELn?0?LVe3br7Gt73KqCuS5C49iK0hNhfR2H&H~CgUPwzA|rj7MyNJVeI6Q9 zxd^n`Z48()c5J-r;)pNm+?cwKM;jx=H@oI(gUpD5TQjxz=6yyi=YoPSw*pIv9YrwN z6JqXs)tT;sRSl@4eF8+;j2nf+{(4}UW)YQ+>~ zC<13HhNRKS!NM?QG;JAh4$S;LbvlGPRV7aM{wYtT&rIIZN92fa=}k_V2ykwR1Fxy@ zmDNkycqJP`Q+a|QiL!sq8kGtU0ym_d>| z;e6etmQu|)z?iiEX=4zx;C5jklhc?4+}L`EYk;Jc9bS>FmN(Ylsiy`hFbMZNBZqKL z7}nWo{F5e=_}#uW9)^H$#2S3`2RyU* zO!!dInl9_O##j%0KKz~e!Mv$~V@vWHMg_=cUe&G95t|QL9vt}Kz=yhybq*{&?Os8S zNjuOwv8#Sxkm28G{(vGzaoZ6iT&xkbOF==BLHnl9QdIf?WvEX_M84>Angc%5Psj`{*lw*^N$@ggl4 z;8W|x%p_cg#hH!e2kUUWyHVTo#4u!0?_+FR>E$In%JJov<1fVfl5s4(U9Q%X@tDy{ zp?wm~8_2YqJkJ7U@RRtH%tWE!LA60>5-@}VbDCtg3=;|@@iJNeinh!$CnP#2Q zqP^zFoO?jL3{j+F@o0#3H4(ocxA+FW5-@ujb&mPjO}wY_5!y+0FC<%}fD(ycSt#DBzIx5Fn3y<%geIbj>;uqcO8 zQb-*!AM!7WFW-{79+Rbl z0uTG^G%!UD+Af*&Ug~1eDCs7{5>{YROCrev&z|o}XL^wcq+}Lc_o}VH#n-{zyyAx> z4Zk#s)RPOYk*3gVw?n#Er0{NI^$$U8^tf_yh%nq?8%0rvL z#kd-tlA4X^o9|j;V62hx{$`-4jn=(yeNGay{6c1l7r7U2?H^N41Ohf7iCQEI>Ed3S zuRbY>0lLhsaCvYv3b}`O2)Am=M25LIWqkxhBDshZ?rcUn*vCQkG^N;v!&GN)tq^mF zpcBa=vY}D#hn<8K$!0wl1c{ohUAe3kj1@+QE#Lu#O%1U53lq9INZii~b zf=Zh0nWx)hILw7 ze%7R<_YpENQr87@AK&g;@EpvH9Nz%?N8=AZi;0uqR*-&wkYOCcG)XiZ0sSKiC5Tl( zV5S5z4(*^O(=&H6{Trezg-WA<3^PTsUc-O&Py6^AB%Yyga^+JSox~$<2_5pHjRXb> zRe93MXt&|#XhT9(1F*xRP>p%>6rymyS@rK}PM{}99tENjKR4bXe$`e3CPT)bkgl1* zM6npN6f-6=Nd`=HW5h}3_;E|TsCkJuR9?r`eZ)IU2*1VSiY!|kJ@L4`IEp7b#T=mv zn=;l9XI24qcNN!-iSg8Vja*ERca!~PH(n;5OP^tq^{!ya@fx2uSJKa$CGdse5Gn^G zTmq2-!AqN^O96%SU`#wRf~5ixu#SJ}wG@p-+i*U{Mb^qCj(m%aCBj9};4^pxsg&MpPf~%@hy6Rjip+8E7 zv{0^yRW|lOs=hNjJ(4iNN`MXrzJ-@`-@MICml;OQp=9t6nbIKv`3r2Zr<VuB1sCGUW}YQNDCUYzbN)D1n$5q{-G)gqRw} z;-0b*AoJ@*;sC)|V$iKh3IW*Sd*+9oLuvm<@pvl`gI+%gD%69mIB*J0Sk_X+Fr&wf zf?mByKVe#%VlWv2DU5~-=Eb&$EznDci6iX!!-NmaOI(XK`D&L>G0g3|#i;@<3T7gZ zGgMLXqo}5ELWxc=WyQLR!^oJsCv;0^P-ex}*;fET_yCs$b7F&mR1j#B=@YbTwa5Q2buW508e8Uj#$dPS~OKkT-WqpJZ$;UN_td2@?~_XE6pNbHxlijGClHWn0;91ey0BDeQ-%JWBa-GFN ze~H2f`XMx=Wzx1agD8|ri=`mrj&nyA=&Ly5`(dC!tS>3Wn30_nN8oP4^I5W5l{1vM zRl$nJD&O+OYw+W1D7-(gIlQE66@L15-$=$emsG9djvG;{$Q#zMc#WU^)b9%{uzsUt z^Wja0`+oHmZdOs=aWbY6J_}d0EOfsTb>h-2Ul|NE`Gb%JD%Ao_drEMfAJS!*7k;ZM zm$P^hs@*L9vN&I{bxW3Xt8k{!q=Q7NG^ogSOV-_``%`C=BEw#;f0a}f)RAE}q%8we z8BpRag&T>RhL^q(8&09%yY{O2Nz`vw?KgwRevM5AzB4&NCr@eOBU7+6H+Cb$qVx#?RM_C;Mh=5#GL)gvOSgu|_)%fy^}&@hzO%)rRQS#2#TK8B z;{rx^%?nKL+-TUERLMk(5sHJ?#QbeyHe}SeLxx^Xii6U&4kNadXVwieZe$nlMrSv5 zJh&PLnfgp~;5MwoZuGh5VGFz7K`5^&{#>UCR{iN3TmzYeGawhemA?%Sw^Ac~8T`N` z#9-6@N-N_4s*=Ykc}sioq}f2`oGyXqhfC@xq;Ik?CL4xD`Z zF{eDz5IAcP|3l@~nP^EvD87+BFJ)bd?iw6&>d-sVvNZ7kDWMVp(!d4pH8lQT80G3H zDSf+ z!;}lg;yB~Cw_L@bD1!9pqILKYF_cWYMvl5mx6G;Yet^E~DU=?o%tw&$7 zJn=3NX#x(wqX(hH?><5Pkc3?iop)ZH)C}2`H#zJyJ!&b)`=X_ooDvQlc!$|4IYG+h zFo}=h2IpskI|HJ5jC2nqJhRQUXf(H#BNEzf$;NJQx?5;>F^$saV(ncFGu`AWcACP) z714qnmO{*IRs0t03fvk<9xJZIFH?SQ6@TU%@GFf!?Jcx3;7_vz3$9K*Q~SkL?L#`7 zueY5kJ8{9e>FKk*tJ>>Yx+nTJ)Vhw8THh^QvDVjC=R7=Q zXmxGZ`S9?KrH6YfyP6A6oi00Z(YfT4@JB<|^kxh`EsMJ%`7_QXAA~Paf^WC$~+nZYcP_?Idf%W}P%f~&NE*)zdH1%R4{BUGO z-GMQsCyK@{YHC?j^-9}_J+&o!O0WCtpoe;obq*>$J?iv@vNPwL_nbY~Izw_DQAKYIH^A6i=#qJ#yR(rVJA~`0@MkwwNK7ZynZz_T)H2^O}1(vdo1y9p1d~4 z4v&QRlczq9b+X=8;+=DKZ%XK@c#)Hg#PmyY)|sfu`oCDUGgBCqZlQDY_Yrh-!s9p) z+}CbmDXENF-rKW}rS3?O_s4f+62j}_h^wH2s>os%GC#Q{6R*y7Ljyak*T$*yHHVQ2fC9eVvW@Mvf`SQ%<@l7JuueXT+9_$N z0k51XF|I_(t(a%fnFg?PX9-f`odBa{4!83vYr!tdG^Yjn|4tNhbqav^L|morf4RBt z#{;)ntkw|F1uTnA*_8lgh@s}H`8U|kaW!gK;bO~9%MDJ;b_*(PhOz85m7B+Q4T1rM z5EOm8)o!)gi=DZ{9C964)H3{VQ$_a#-Vo6VJuZzA1@bX_k@>0zD?Lq$hpq9klV=~ zo(_Z2d>12US`2J28+LsUmz)BjSyN13i0ko11s^`al=#1DoJYb(R*6Z55rW7XY{xc_ zU&gv5hu*@nUb9#x+%UcgPl$s*i+3gGP)!G)Acs0iy!VudfBq;N1>~2N8wU~MlojK$8;j9Ee?a00N0C76ZYk$V$Or~13@sac$ zcda%%SeQXQVl||ss|J(9{N#f5$zq`)WQy0_lm3Pb5vEd{G`%i51pu*`9@4>A{F~=_ zpfyD=_3SWTdLF0RfFJfx>a5`{{^c?gfP?U0RUoMjG>`#pukPC{$a+Rkp?I1fKmW7^ zDtt~O%AFxNE%UFi-Ua&C@CE7t12YhsQY7;1I8Z(}4En$}n{}Ms`mEh_s|6-9uD6i7 z4YqG9s3t_IQ|-(+T<2oHKt%?X0m3Y39l6gIIs8?Vti{0gPb|nEsxr<3GCg9LBEvW$ zub$0E)deQobl}TE?Ktpy;pQPY`BHeW)-|J)s4>2_iFzhia#mBaOzp_bz1;oS@wT?( zoo&Z^+IlXOog%X@e`u;`x~=e)wi_+)cMkour&qE~@SG|;bHaH~&$-qKz4d#W?R!Cu z=?QMVnz3)Q1A6t~{<2uZO2CfaRQ@!>^296z zlFmS?=bl{D|0D_4WbA&ERO(vaaoOd zCH)(W7-r#=|KRqiC`1KWs_IF6w>7gEsU#F%x(rUsf+!uLo^tWz`}L z;pDs;*75%|?i`UzfU+G6)0TUbeW-Ua45sA#i~7h@eHz!ph4EOGMIe=jlrYEUBdND+hwDGkc}rt{&)`hU7vyep*I?^#C_jgUNP-AwsVZiO#JkeV{+eGY zIcc%KVmlyOK#=zf&=_$v7JIwMmXlAX+%6&?X%<^T>}-!Si#Juy#ucv+8KY1Yse&gK zq|=)t!u9709@SXi`1ZD#5vQJ?u?|Fjbk!QT;wMo%SPk3R{6Kz5uMx=>K-S%Bve@bj zspX+ve>%24woCb_5m^S(E!mqxzP~!A4{DaM`}`Lm)B0@w@gF2_MgG&P`^srIU`YYrn5K&iZaoKp6p!o@(9UPWx#yck}jvPGE5oj?GH2|Ra@IF!ID6czt9K8HspzoHq0aJ{(I~p;HA&QrbH1!3e9B$%^`d1g0A{`%SX%^H+VLVkHAU1UDJ0iFW)h) zxFAQJ0Y`F27Ojt;G_O};j1%36_IaYEq0kht{)9osEE(wQQZS&mD5f(f-5l$Bh z`lq{gSgl3&1tQ#o<}OS?*a@l<81@PTn9+E@a1VJ4;oZ+QPD_c1j-8gtz{>5^Ie* zVV;oG`9-xWMF1%@6dFYY6dkD4P-qkpP;{VDL!nVbK+%Cp4TVM#0YwKYH53{}1QZ>p z)KF*?5m0oXQbVCpL_pDjN)3fZ5dlR9Dm4@uMFbQbsMJts6cJE#pi)DjQA9w|fl3X9 zMiBu;2P!ob8bt&Y9jMe$XcQ4pbf8j0p;1IY(Sb@0g+>tpMF%Q1pCU9NT*{Z@^HQ-9 zM=a1CIEwJ|(CEn|Bz|co+!mqx;knUCh^}u}1du{Qp;1IY(Sb@0g+>tpMF%6LM(tYn z)HCq1tdtiWk*AmpH4dcV>N6gNBl6U+qU;B@x~#ff?+1Ejv+1FxW1Ryo$0NGg6Y^fb z%WV4Y4j`GEP}wU^mE}RT3%5r~&k=BB3-r;wa44AWM^h)!p{#sdvU7)H>f%TfH_9;? zSyw8@qSi&dew+bch8bNMRvTj`f9ko(MCI>1qbM|)5KwfZQbVCpL_pDjO3md2O`rAK zh2yss(NN<+gsIPXq`Jjvs#|D3P{3&2LQO%){djd zYOo(}A4-3`S~Dy0oj;~i(QaehAtKu2P785mi%nW`wOCMS6w#v4Twc&zj)QTE2w%bH z*!9&3lLlTc+GnR=GGqT{(10(!TeS4_sMA@m2F-SMc2)xY>TXfx>O!px*Qn{gl;FY$ zwNYmB_i1z!gP9nRxUHF=UcD&zXH0r+^QAL4x;^5Jf_hfC#LVBVc-Go3}x?@(#;Q`6N-8CUKi{2!A%YK{75%9K!9XdpRGhPaJ5ulU1@4# z67T=XAS5sMtr(-;=ov7h&OfGfY0>3#UFZVq`<>4YKeBP(=RT9Y7`iJLxc2c6b3c#u zrS3X&=C{7E4Q?dX+gMr#`%D+>rWjEuU?#z#n;*tvi$C_75sDqQaRy}=T(PbDNd#?1 z-1i-Sz5;IT(V6Qw3BX)hZB(PH8yj}w2QHx3nJxyWB$_KGG5Sdni0UF&@UHlfF0d1? z{K|lAXS*c&2^lUSl|^cWwq#*Psv1Yb1qP}?i?Omw`?SL zHs;vctE3U-^agTX)Mo1p6b7zo7yzFk%d4C>+lJcLm9)X#1R&a)BZ<`m3-! z-H;gx%kfp?+&PJKN}!p~ugj0hLFfzLFoavzprz0Vce2VDp2KVrI@g#Xvx-2gXn zB?umGJ04JW60l@p1Tol>1t=VW81pq5uq`1Qg*adcJE3NnU=xRB=g2oRLncnJWZ70& z59Dk2re^nMNXSy`7PT{hq&9cUT#QYKZ-!0CM{RLexda0^nxd?ipMAirvA({tyBj4(rne>7XLRO-#x0YI(KbDI zU1m@JIQ@#L5QavYxuE$P(uk6QW~!VJo2|B|grRBqD@--2_M8LbN~o7&l$IJa?ZLYg zwfK*zQp2g5tcp2)e?R z28>B3W^UW=ZJy_B4?Ffa_H^HK%hRh)t#qGG`%;5w!?1kZ@z|rHi?ZIdp!FL&ZK`D< z-XAHp710~%u21CWHu;d~uR^_@d*|M83@zvqN8v&FT+I%CdC6;}sw=M_;h z{NYrQnk%T%w(x{}DJ)cXU#d4TnH?u!RLENwe5~SjKwY)9iX+oUKzQG=mN->xEIpZ0 z$aT`F>h4aZyGTAjT@FfqpYoW{NNu2jMk*i;FmjFh+I)pQlr+_)2GJR0$*WS36as|Z zSSmGSrGEz{r(S6A7K)yLW314SLas?1byV+%vr{e7Y`#hFGiCPmwYhaj*;mu*ifYaB z>g$hcwn;UC>WWdR?m1C>XcDOy%hHWTpYGRm`+(@5x6V56TMwwHk=Klk<XIZ_BvnvcxXyBXDPU5&4&kalTQaaR{oGEtMgR7tN~k$-0E9auxs6eky8*DGHx z&r#BIIsHowjB7}FKm_9&1~o18PVE{S`k;7RgNlou{^MzSW+T0O`<9ohYpZj>4I8H&$SL}zrIt^&>t0D zW>o(`n(GGk(9yxu_lf3)RC+-htrOS2ZS=<-`g{%_nwB?K!zX*l7?NB(7P6?|Kj#F(gRK*Al+=zu>m}tesHz%S_7)0Gf(u!{MmEqRXL#I$l~3URBS9g znNqlDNu%<^4vkbm8bGdrMk*i;FmjEkRM1RSF$}1j(6qc2N@o1kQ>VN-MANnxD;ZC- z_Ej;>?{#bKSv7%&#lIhhHxyQGur^INF;V}wce&+lyBGzZw|CRtjvpTHSlm`QrN)^5 zx4N#YqoJ$P8_}o#{bOX)s+LWKC&h~T{J)b`thi^>o{syDcg$+5tiDiv;mn1_x9spO zTInyU2t1Z${PVxRveq+YYu=7F@vot>_+;K|Ya-8!DSV%PJN!h4e??`}`py$xeafw+ z9gEse1}}yK`s}}dsyy9X`F^`t^?^Kn`d=lhzV)>D7rwv4e@kVPZ+>8YxA&~5EXfje zCuLdXnX@wVxxXX(0tH!GxGGTPuCnQ!XX`DyMT{~_;|QaO2A}1Nl?=ik8<*Way=eW? zYe8?orIO9PA4dLeY}llBe2I&iWts7%G%ET} z#^zU0I>~hJ*IKGDY=F8Pq>@QR?ZP;%#Ywwa?$fZxyvROjU22FZPn}i!^if3}T6a`0 z>hgQDo9xZ@<_)U?i`)EDYPMC^>HkK#WH3}&q_gAqkxdmXn_MTenkF?(+H=o)zB&FQ z{y_Q{8`gJ*&WC>aV=;G^UQE#Zs!9oi%NkCt^{!$S&k0fYqmYIZ`=#CFhr|9xClMjt z3Nzz(bgk0qSH~}tiaR)Um!TxSLBmE#x3Ac+2~HwH;`)LlR_q@In*JVrK0(t5qyO9V zqp8txJ?VCPbue3>6xR{q}pq&#!vg z_~&2YZ(3h-!aJvO&X>@dFk~CX$2W+7m8Y93-*2n+oEK$=e7C5C{Th}TM)VCCu#)WB z(x)ms+IH8wURk0q>d}(%JA}6P&`0zx@x4P|;G{O&_4xlvDxNWxuusfjpZEIPlS{rk zV9AI+$}&!B8ylx}k1B5Jp^;`KL8GL7K%bjPf4ruO8u|Fd57Jbp5TNYKrIaa!1CT~d zd@+asJ+N|ih)WNdX&x+6RW$_-QW zK1z>?XOe$eeUw77OIgy(yO!kWWB>MyBdmNg=yUKQls~{L=n^|Bq<0 zG5r$1>1o*DpysS;rl%Rzv}?=%pZTG9V({A&H|urjW>1$fQ|a@cq*oi7itz{xZb&L? zq}%;hQi&cW(m1^}9piTCL}9lmw-+I8ANzLm1vicA%F^ z-jW5Svb^tI-cX4#F3$1|x8AifQInB;g~TDTGiCCMsNJj2!DIFnON@z=q$i>EHYLBb z@zj_*tn|E>F?USrX!KTsg8`8mm4hs!O%VU`uj97~VuD`Z;PVMLuh;3c>h(_r9*GnnTo!sZw zsv=bj*L4TncL=sy7O-y3YUu85W?rD9nb!p^iu=1yCn(k=dXg@h=`{bh&KltXQ8?Q~M zYv{PC3a3r4FumjWw2HrZ**)X*sbgZ_hbDfo zc;lsS#CCo`-+B3{?Ob+vhk})VS5rIfj(3AM&d?A1Cuf`!&VA#P36B;oyQ%G+vo(i{ z8m1Ioy7jYLAHVfCw|;o*gSRdX9dYK}k~{mR;?vglN%u}ZGV#4{p1U!&!WMmn8D>}5 z@7$EP4Yz=oBoBD~PaLGOKea{s8-Z*OemLA>owl|#L$vr%)=(Jrw?)?)#Y8*VZ z`=I;mq3q(sm_BNdPakZYik3P=OeFxM1hxe7A+R+SZG+OjQuD$+(4tZ5(pxr$l{ zLu}O2*!8ZG8MnmkYfRnYgnFt;d1xGEu{@7mwNYSudC5y_`SkPm`bMKGi-s|H7OLpB7%a z;(XxpmByvrqqKpNw)r#9=FZ=|tzonKcg@a=?Z2M+)<2&U&js=YTppVv820*|?)U>* z-62=d8gTgSzTQW)s)&}Ub+tb({gS5;psd`XqFa38i&H_$m2SVBs_cuO0;MFXy5=Ow z4pLg&w}G~2#ngQ@?Smo)?@8Rpz&A>ga{1!4&%^ShOG6T0Y^2d;0no@~cx;MP2AVI2 zX4G!mDO!xBqQ#ukofFrT`sU|v$z5>w&W5|cqN2lag_rY%m(DsL=)BUnq`UmEQTh>O zi@kb(&M{rKFf(+>nDpiC!;NV;I5ph{@Qz*qOn$AFgs8hGQqjpRHbHQD0jW5$_zVIn zHkO`Dk{p)OVoGFEE@+^U3P=OUHAB--?2!5-9U$@;EgH0FB%NR45!3eK6pSZPj11`W zKJi;y|HZ#Zaw@m}YYqIAwl)J3n!@LJVIy1bn19SvT^otCYhCw$JalrC3mw;Y zZpjhIVkx6J;b`30QNE#e*7}80j9Kp@yAO7(eyMiuiwmcB2G>OzH~GuIf!7|e`K`u4<9c_*6?XbOy^HECfsn`NaD{FD zpxbMA>W@v0j4YbiZFJ4cTYoCkPi48XGc_SLuv!ed9$D|u0rwX=l9(({+`P=Zy0W6T zzh1p3JX&4&Y{o7l#ttt3_F7fITW%Ah(v5`ecE99y&2`oUgN^nLZs#nkKIFaLb1>jr z?RwE>%XMt*6I)dNn~bifB({~#bxS_!?Zc==MzQHp&pMt{lVa_=L3wcS~(Gv{XH z_J7LnKKDLbb+G@VfJYEb>{EY;uvqihZ`}8qs!si&7skl z+9Ec1EL-n6n(C`7>|<^VDVn+r6q~fcA>CIJ0nw*Hed}1FsLn(ShgjD7_;ZS$j~6?% zA$B%=kbY?ISWBELHpb)@!+0wkG%?Jck_cA!9ZOwyH`8?|xwgBvC?)W%pc_2Bi?dNEs-$?2o2OdVW!C-Xel@V9&@Be<_4oC7?|K1gNR1Zdv7LCL_B(Hy{qGnD= z=DxYJNId7~QsLQ8oi(2Ye>8Q+&adP#K*K$RXr}ECGq*kHZC>DPZx)X*Iv4zW`m|Hc z6IaxQ#^Pgw#Dj`%xY&7Z+24vu21ai}0b_2Uo&ohe4hqI^(|-i#d~`FEjV13l{CMgv_Q$%l2l>C_WN4 zdFeEc=A(5tDmrV89!tdZ?~C@({1!{})SF=z&kZVhOf!AI6s^o}{zdG}oB6BkeyPcG zP}~ceNs3t4IIo>>pcyC<{|rr>V&EHS28zT#k5d|@vplP4 z8i-D}oj+4u4RQPwj`WSVdsWIb(scA)Ph~;OTs5HH>e2P7lE*%a?--L|_dUxA-lyMn z$aFcL$*>cg=h&k0TXc>c;fVh#q%m27HAZc`{Rb7bNO~ov>C_0)UElv^fv6RWj99m4 zB+3WNi}hBSklAm!U9Wb&{92=l^u$+9!`}&2=d9jr3%fvS4Xn&CbKYalcYk|d#C)ra z@d%Jh%Z$zuu`Q4Eud=%yrTufp_s!u*&sA(ag;(j=CQbZRmV;C@SV1E_lhAxUX-wYw zyeS^aRG+2fxnH7pmHtSG_(R1+CxyjIx`gSMpq@0k1DVE?>?4ZOEK+=^ShV<+) z&4nlLw(co8b1mZ!lRuldx770X)bML1p`-c1d-it!@Oby=tbWmY;rEfv6)l@xCnt)X zU&{FR>CbtW?p)Nqs_4nU)s>#BHI6^6I^DeL{r11`%qTf=EdT7MS9Ub4Exwlb+LMu2 zN?Q)+?|J5n@Dtts6?IMTcHWzJ&ADd5+NIr#+TRXdYz|y)_gw9C{Aum!=Cz}=fs&TP zGxt36dHDWr|1EV*Z6$4&oS*;m|IoAX2%ci1-(^Mi1yX({iWm^SeEH+%vyDc(vmB9o z52=k(8nZ=J?e#^b`jhk=rONI|dQslotM$`o%xxgLw$c-2HbLX`CQ(V3!B{Up6|*F0 z`o{Dr7tEe8eSKv)NGX$wBU4&6Z|X)^zYS=ecO=?7$K0Wb?^wFc`qDHSQ{$LXGOk04 zZsA9@*b#}BX4DotvNKvQzNca&ijds#(9Y}Lo|<*BFmTnn?T?YWSGC;zm6R)nE7i;l z-m|CszT@4q+Um}TGSSR4|5P+>N5iTkb%C*1?s&Je@Z`+1U%Ym`c+_;trH3k;K7^y^ z@rkKDpV6tcwjYU))iCiT?70^(bMI$-^(sqerQtxgv>Oa);!MR?Rdy$!s4jN=*5lLM z5zlneH!6#3(w!t7p!8lc>AgxKLL-3@ciE!3UK*HuK+msN@+%~cNv=ukB9@L@nz2ys z7_~IEzWa%yLhcwZb`;my&o#eN8a|xg^vtgC6Fa}E){NoWQ!ci9E_OOTUVFM}?fY$i z;kl%jI(A(YQ)Z0mQit@alVrfSy*MR#{6j>8Mv4OsG*SU+K)U4`rPcVfc1X{=9uR93 z8ZuInfoYSEjH>JnkBXX0(=SmBqp@QcMWd)XYB%%>`&iM~p{@@WjUDcNw(4M2pvs*_ zjUB^$Q-=)}mvGuThEYnJpE*69>S`Nl>lpI9$EIE#zf9CxC(Z!szG8{DpW4!Kdi6;S zA#rSI61#|{2NdDAHW3GL*N!i5tD(v-!9XpKrGbZgq`tRGCc?Yh0ej5lt$Z^Gj1rihHVFS76CzODO;yBvFcG}_4%Y} zj^0nHY_ZJKJZ>MwqO<_P6Ki@i*x+}Aa`oUS$t+PYTWy)HgqWOYVZZcQ)L;=y%QHQIvTv=TG|6 z^1I~z79Ou_lWOJ|)AbY5v((p`SI&T&|OSZ3X~#oTCL z!NW3>${IsQ;@4j4GnmFHjXOA?rxi&5^Th|FST&YvYm>J5rbMNbls9v!q;SANk3c6Z z9@F4HIAA*?`lzh%Jc_3()dS-eS}K-yG%6l5V|4I5_Ut*OGh;{zQwUJ^1y>@278MDhZQqBNIfXs>^$Vs^Cg zQ1S@Pc=F7rh3Bt0w_bjvap}(TBXt{X7u&5@JD>jIRP*E&*SdmFhSm&MF!;3a(iP_e zm#;J~?H;8Kl(fyCc{X?c=4}m|-A3U^6g{pj``a;I^oW>w#(K8V+2UWUhm4Mg$iON1W}pxeI-wWF@EJoD~+^x1V5iX?Nsx`6?L?Dc>PYd zRi7-SAmRzTL$07T;PBghHm_bs9g6q@E|1L-4C~P}t@NmhNtwsq#i@SyPHJ5X|53jd zn0=*ud!Mk`Alp)UyO=%C^hjtb)Mj1448Pq^!eO$oLx76lV8mMm?(Lv*}0{~FYm@7BtUK^FbeJ}4K4 z2JQLeqCfUKfRU!|$G7)`@QCENqdV)n`S{6-rDJf9(AK%1^zT|1i<;Wsv268PVM2>$8&nw)a$uMERqE#&cN@ zEuUs=&!NSVSCFCSq%vRhn^o`3)cWoqx@!Ob#m=IcSG1|*Y_x7ZlBwC^o6|&+G|kzw zhQ8_HHW$CD6m&dXe7(1KU-g;def;zE49y+t4b?*DtA~fls=x<5=!N{;{7^fp)tSV#R)I)bFs;FKP z`dN5tq|9%#h+B?;M{|UIF2CCrv}fBhMfJK*bJ^tdHS3_L;|ytizrelW$-XP|>SM>g_<^2l&Aw#6&M9tJ;k9+}^*_b5lx1ii z{Z0Qnh4>dmJdIGi`dujE-^<>ww}|4`@0Gup?y zK!k|wB6|hJx>+KyzWc)avN)+MANuyLFJHYS(RRZ<3%j2C--&{UUnW?3UqLOe^mFmi zxXQ=-ygN1Hn(EeEy)mQj0pYn$q;c1FsBc}=0%)7UxnuWtTONq??(EB{#jF2Mdpio;BFDv z@egMU*SzALF!#FY8UCu;)f*Q6V7>LW3|p1|)ND(sW8TZ&rWaiMtYt4n$`4e|+CO{B z3poWD)P^@+#Nf7kGR&^S2>-|X^v)l=;y(W0nhHUffwu*t}Wm7 zSLiDj*_|&;b2R3Kei7W=cwVgCb>^bn!=8ToRi)y zo`sIw%-eT{ohq0u!n!@=XRHMd5#_<~j8 zr^Kq;-8aAJ+g2S8`pW}LLJv;;{0m+PAb_f!ntCo?{g85!S<%FL?%cGvvcKls%Ka!LQrnqMLOhd=zy-~WRj{)_PU z|9<%7pa1v&!|(jyw|_tUqu>7hfAKs2{CC6O{m);An;-tp4}L3r=Wl;Ey#DUr`tC3O zt?#~m{ddE!eJ}j#4}b83u3>ZD{0zDJl{eq{weSAoul}9?^1Gk>o#t!J`$YY>zxxNj z^9Mip?cZuXYA#6q>%VUP`foH>RD%Ti4`KW@$%oA!{h$8{NRsq#en@Q7#4}*ioPR@W zP4f-J-ZWQl+*yx!kMl;!eUH^O8m(!2<+|GE zFS;7VpU&koTzvE4+fw`VztEbxp?UoknVZl5Sn~Es|_TGyL`#1 zOLPrrtw|rS?Z2T=oLUoKu=ziu%pYsa^x?NyJ@hiyG=I+Q1ARiTmU&d)TI&x`rD-%; zQwPemwac?cxh8y#oBoX^UP|qgORcFFny)LFk9y{9!{dZ@c@PJdG_$8g_xwdSwnQfhMF8eP7B z(fqHM+;`}N==QgC{u^@TrQUv;joZcgAx<|dIj#NA;{|iy?(h0PlI#1^(0H}xkN+Nb z8rn}~l*}r`G-@0JP;nndrPHRm%F47-4wX=BK>9khH*?fAucg>BTAMe$?TB9Ck)^gutb&W=ngtixa zkBz%)wR*KCbo=RF9BXfmwZF)RJx2Yn&vIJo>if4o6!ZBvntz?dc=n!G^AjXJjyG>- zTGLyd%i~Q?UOVn|7g}0?WZ4HpC5PDu0~vMJc;$?jb0&YKE@F4jw2uMvx8gH{j`@L@~Jm(TJv{NUAX>E zrvbLLY=_Nkdavfy8rQeCv$mW!LD^_pb)!ja@7-N%JFPX{ewy#B%IP3f>*D%1JysO; z_|5-`85+6icG!wLZpZabRnI`I?y+}xycy^pVsg`Eqj}#FPhFRvLpe)UHD+O#_U4#w?PL(XgAOE|7uFIo)MB=H#(HZap^hDkj3t z6E_{47z#YSq$b@52;98#meP#OE)-H%?Iw%$E}|~X&e#Rgb!yA5nW04u$M9XVPmTwD z>@)6r+~je>>t2ri+J(2D|w*Gf>_UrqR>x zDxI}mF5|h^@|M2&o*^;KXtXzRXJF`&+G`w-q}GnqUU^0{;4Q{y^zrtO@@~$+{kwAA z?Sa%@AirGOVXgMhI*^1}Q-DUotHuK{^F5S;kOc-XN5FSnkT?Y3Nz`q9O*|ABz$^rG zCE=SI57_#`M$K#q0h9tX`UUhMU~86}Bn06#*H~9zZk5!1)Vhu+JpfNqpD^tq9tsR# z76Q7Gcv)i(#<$2J1)wrvPn1>eAJ<^xW}j&A?R?KArvZgQxY;o`1A~PqOw`EI5l< zDkDC>2jKH1A6Op%-N>kxy6wd~LEBj1C%grqt0%ocDz{|uH+~)+Y}8&5;7lOWj3>qe zF>7wJ1hL7rM3_7PUreu%CH4Xh92_-zhN-)KoYa*wzBBs_>@%>^3|zC{1XO@VGO?-k zc0%dlU;w88jU)~McoO5gN)-XM^VEhC3#p0yL66 zbu*7!v1YtHW@N4n4cw&bX`^S3{P!;N3uK{9TU!>>|dQ&K3l6v7pb) zXhxdlzk@&0Y<<)74dgRSo&qV~pbZq+_5h7(&%rYMh%|Y?R^tKDk%raWaIN&K%th4t zThzQisE&Nt4Ldy#!?wj1FOVu{-Cr0Cbh=Cf220lmlH}(l)={U^8sml5f_!`N2BZhz zo90y?m@^RNYUN8-fEole;!og#e6eDDSE+DsB^H5T6=iXatJD%6v9erfZ^liSXl*4H zgGvjmEM8s~h((JcK_FSsu~8865`_n1=EGA#$O0o61!yE`2*8u5+xnV#C@_Lq2(Xg)k@Ph(ZC{*79QO0k<13apJZcZ{v{?Zz&rOy3uf9 zWG*8S>(q!Ur zDid`1zFaDVtDrAW~fI{1#E>aWtxLSrFjK)x36Au&DoBex_nSpS#1oQwr zNtvLlKjDud<_++$!5EJPcoO5gN)-lah6bc03Kq(6tX}wve+k*r5v^#Y~!&ueyqD&Gj+~Snr}CrP8rS#WQ90pJl35ukn0=y4Bi@czo^SL zaAytoCxkb}2u=YSNg4w1B*u4@Djst50*Poa7tof3{dgc|8+FTOg%^mJ0}2nolavX% z7DGg#0FA^8k~uM#-h@9QH!$Z?YYZqo08e6kSE=G5M=uZ)*SIRq5(*E%Lrj=L=I8|? z_K9OOqLl))6(#-Haem4j!*TCYZXj;Uw;~hgC3BHo<-%?hNEX7vYKUdW#5-TfugZ9V ztT14(sVm0VD3D^@Y9h@zwyT{k>97Yn}c;=;uH8I z9H2qWfv&2UjqfT|JQSF~ECh5V;oaT?w!XA?YP6jzg zp&+F0Vx}f$v%3gcU;?864Ptg+RmE(4SE=HmzyxL?pexD65U{n_gIm@X34{W)Vh4^# z4iys34cCb2e)YMukkKSeRrq?VK=;%o%pe0QOHy|&9e1pnfr!=&s63LS?>y4L`>=00 z1L^np6lntdC_p381IX0ant%$>Jn0`(&*-r(M0{KZRJMMs>wfh)U~C0wBqm|1vNZt} zpn1|uUAkzS=(%ZXVoxX*0=jzA3#2%y$F1ToqVNFx){k{p=RE2T`wTq$8Q`5~*72C$+vG5p zd^hNU^!geK1tI%*b(X#9?{#a#b#Or4gLZb+ZG8={t(1XqG;=|2P7)@?1EP7yGO^kq zpqGJ2EARb;?e9_tYVm+p3ebimr6Efdk1Q2(s@K~Ujz@ye?NJ+H0cyG32j_mXj zE_GX9!+3?1foMoGYUFR?C53OOiHWrc0z?^zH2VaF2Sn3b)XD@&HwUleKEkn7QT!@2 z+v8NPx3hl7bT^Q(4%T)NEz{G39CkgB$)5bEA!7UXKsw!{P=Hnl zc_`i{m(Yqofd_IMcaJs;0bL6z12J)pt8yEHl{N5^LO#OoVOM}wNEwJUp_S#osZegl ziv%4VU!bNSd_ds=_~Ow)$Py{m{86B7ppTHICU%~Qh0u;r6ef@=Rh;33Xgp}gG6A=2 zQJ9h0o`4>JC(+z+O-M%1n;RqtE**!xWsaK&MFAQ~8UpYn#&?w}9two|r(YRPh&3cmSRx_5qqFW@Kq{ zj=}>mmkEl$wCx6+__~y6o*N#v{Xnm`%^ZD?yzS@Pa&;#%!WrA*Q#G;P4Cgj9z7J)KBcbRps?lZ8@z=ksrF_r=}l8ODHT*K0> zkL6u0>|qGNlNjGss(2{S1X884v$KQ(Aphqc)L2EyV#4fbQ<{;*I&nBfv{Hc9it8=G z=mGfLQDl7pF2F0at?D9;=rtG6))S4Pz6O66lh<2BGX-d#nBk?##4>Rb6xfe9z$#qg z>v9#>kM8uaZ3I`}zK~noHHLM5(i|Qobxt5FeBSJ_j+c-##OVS#Z@Skhx@$OKa1X%G zc&y`1(Vkk;x2fA7%yu!Zwj*SP8wg)Sb3vRWOiEQ-F@Dan=6(y zQX}46JP-!~#X>+=PkMo5w;X!&FWu zKV&~*-tj5T!2UYLr2)xm8lvJ=R4G;Q@G(s$7}I z;-SC*W+9*}$!O}*g^gM|6&S!MK&wujblP#Bfqe!xoq>&?4UV5};usBRxDe3Q6SJ*W zsp3x$AX96H3n&$!d16MECZl_C@wY|Pr~B3C@~MH05l%e-pT`(j9{@MdsXHL{xDW>7 zum_QeYg`qdCSj_!Lz;kR1|rR>Q+GGye&^r$8TiRNAK@OLKLbCxWY*N?qjJ6G_yL`wZ+eu;mPF^=y#% z7MMQS#9kcm;THnBdSZ^|DpmaH!+@!=`CtR~f&gbmmS#LL9*EgZ5Sv^}gvkT&#mov> zVlU9Z!BL}Un7WO>t?Oo*e-lALrk3vpJ&;}>pit;s8R9-u3b66s$;3OyhixmLIJ zHR@hS8OQ_L%mr$SfM3u*7up|om{`%;N*Rc>IH2%=rU@p^4c9;o$vH2UA?sW|dJRMH zSmoxv-cEZ=*Wz@O@fInK+b?(<8E*q?oNi)U#07nz0 zp?@xbZ_;%Sn6p4L=Dbag-o*797pVtCzbSm1p~h7k1M6iVCa!Une7vIV^@>Ulh>oOdH{0qj4T%nC?4~ z#~6EqF>f~*Al5P&B!zN=L6P+$bJ5YUxm zVwbm3OQ!-O7zJqlxfIwUP$a1PKWIhR6`+w!L|S;0UIzXBKcJNd;7N?{DpfobXacEH z#aTk(0eFZBQ^*3%$YP&3Mk87&K>N#$H)#GLAO?PZk~ndjeXfU%GnaVh+w9|QI#Ad) zbxoAB%U#0>pWFlR`SOjd4}dwQ+E#V@0}n742I8;>k%?r~F1w493CVt>!86^mMZv36f$a(C0eF%!LHqwLQf6kyeFnCl z0bRaalK>{pqjC*z>^r?RjPO>&;(MYinD~m1MmOwemoGfjj(02g8MdRc(I?O@IcIE zg1Tn|*IPg@55NzkTTFtop#A;d3zE64s|k0mnum~ivj>rhYg`pS6W5!+0P=8#XC$GS z2jEHE1c_+{{6qeveaDBsx{c-qvckyIKT_N%khlMA-s>Q5-`;J#2QQF%FOxl{zpdLS zkjY3V8@%E@XtLS*4b~21_UBwXkdsa2&z{WFTRM8yfdovB0yL7rlbfvxr~u8A{?Xn& z)(zfrh>L_`A)u=#{XnuG9nUa`zlg#E@bu>siHjqk;)xkgnwZ-VRmB`oC_rn!@==m& zu#lWSb%{eF*UvC@VcXP&Px%tyMD4I}2I9hgZ`W;qo#NZg-y`dHSh~Stj{YeY9cfQa6u#xzE5p1KZ8O#?J=F4>qwECp26L=<10%5vx@37g2Zs zo+R}FnkQytY2uxr%!xUmP#~rI)n_HSMi$8Ud6dvZ0h%XnpwIEKE}x9#%|yq!Gg6(p z?kk_p>s~&c8F)GQ8i%LzS-x74zWlL#-mRVu4&C&Q@5S~m+iWr8YBz)_6ZD0Et|a4| z+QyG{-LF1xmAYG*gQ;WmNv3Yg$2ywE>uxId^6h7UH-oo#vwQf8%s{~BRDeb@ejX(> zQGn)2|6F=b-`4#-eB5~a%v*n3*A5&|DnKJSed-b)^yK;(K9Ps_6DCaonkW5>xot6i z2bAR|7?;J{Cz`j<61L0-~li~red#Jya&Jk62I>(&gAk|B&b_w{zB+qmilGTy^jCtgx` zb!FNQsS5%*ThM1{_}P5qXO7v;*K7EE11aAomuS+*-V;Z&{269i!JBuQu>+Q`0$RQD z-)#5LUbvAiGId*Dn-_?NG^0i?@9EzsaP0xn@6kUKD_UFeXT*O$A-}Vb9K4~e^qNWq z>$Rt!&D{Wcy{v*P6mqK9+ZB#S2se0?69!=&#(*!if%5tH-88>mE zwUsguY4HYy2XZrBBjD3-AhUD=$dq#2!&B1a$R8 zW2mo*zktF6@FcMh&^$52OA~K}Fehd=L7wx~xWw1xYVIG^@!?TNUw!cKj8k{J`t0=w zXul+P*Kj~n55VW=7q4a^OXOrJv<>R^2eVy_t7QnnXbkl=@i4K=+aK=D41}8{pajVx=KotmJpV?Z%mFrvK`5AkcT8PP{d280R&Q6@d!SNGA4& zat%wjKALl>H3pO(fG07&t5oq&pb4Z(6=w;B2jC$lOd$(2Ba40FaEfT9KuY&NMs~d= zYA_OMgjoTaC+3)Ho76=b(Q7WCttTdsDpmXi6dr&liG6_Pi5Xd%oTKnS%w>WiPoY?M z+-G2)fh}jiUBdwpcmRHXtmB`e3&@0Q`&frDvBA3M>%@8Frn~(RT0~S8ppm2@08e6k zSE=HmzzAj`peu=Y6fq}e8+FUtB7#tW=C6>z76Fr>MC?sw-k`ca)}3sUuUAZ6;wz;e z>Z3f?d4cr9I)#>aYZ&!(%0Rl;DMpN_0FC5)2-w<~H7sO?iR2>KW@$xD8RT(dk z6$U&^`rIgx$v7q(T=JFDZx$XSbzUI-uuh`gPI}oWkjXeE8{G58FxhPV274g2bNtJ- z9oB09tOH4yH3euS<~UVV%;sPfvcLf52;E~{1nU8K663o{6%PdlFbe@)NqD#SfUPfV z)XbI;Kq){geln$ZC1Oq}6rh}4gUiUox`5`&)WmG&GwYaF1C%3lk985O2jEGJ?$#Y;f35YW|=ULciQviQ>j$kf<;umO8PfHQ$eGoBa^ z#B6?oAta+~i7fiiZLNn1z6@B)oxnAZ8nN%i1DfiiZLNn1z6@Bwp5-6SIxFWo;2aC_t-EUAo}YPde}Tv}WMIFLZcX zG4Ii0`5(T;Takb388Js5fX|nFV0{33dtK_b7qbJaDqh&*LKuj{?qDyd^YHQ^|`cAkBD9#fM1rn@QhP;lE*r_CQSZhvQ2_Wy%WQZ z`wZ+eu=NZi45$E&L=PZS6SFxige)+CIl}&JT{amqEtsGBX9MX)m{3!IMq(1Cs+gCg zZvVD!B6U~(FZv}+xdOCSUZYfyo0Ej|(gW%AfI+K51BZM1B zgKKl9OuVEp#+iQnKt?;S@{+>Q5n+6Pvc*OXo^K$ZVFndQp>GuUop2t=bic$|ry!)k z_p=wt@hjzEzo&nnpyC11^b#(0TVI3cLW)2*nz=yk5`EgSmlWbvY9`hq2oOae(&_=v z_#O})X>-ura7{Y-coBWRlI2&SnLe=@-!#sH>2M0w3#7{L#7hb<(@bymfu!Ew?-j!o zZtdR#6t$xJoVIapWb1 zd^MU_(ZxzE29*|AS?-$(iA9SdK_FT9yjBqM5`_n1=4cg!EHHvmfJTyr06dAht*?oP z0wb7(fUYFSwhQ1%Osql{7{Mq&liO;!s6$_cMxwdlnvlTQ2WTV{kq&y3-YsGe&mL7; zHlXwXJc;pLrHY3FO(0N{!)39mRh%Uh9)O3KFoi5o-EvLr-U?w(%n^kGw5{dE)&p)g zUgE@UH{Ql0CEijt9(1GO!p5nKvMAj(obZ`F0G}`4$oc^I`+wd3zyr*MfjAlyNR=u+ z0}2nolf*ti^TdoSO(qVfGC|${LCf_P;M4=~`T3P?*<#9(uSJE{R2QiUd|b^3&+8gP zeNFsKTyOT2%m>fwW_UIwpa2g3HuTA26)(DjK>An-$YV121$Xmni6P+B5+^Jl{UeFPw0yL5|1mH=G?r1Mnoqca0PELX!wGSC06t&7k@W#E$5h*@Zhznb=E6W6 z_8>BGjjQ4_pzr`ZNo*lW4VourC}}crIN1cT5ANxD3ov;Aejwdq%F*Bd>moIQkE{9M zdEEq3rHY@4>&;&Pc?eQBBQu$R9)Krt5_HLa#Jm9>HW=fvhS>PA?rzQ0IX`K>eUy21 z0$CxB8IN_R45WLVqPK?M`mv5T#fV8#fJTyr06dA=AF5RGkfRq!M1#42wj}Jw12NmE zTQ)1aK*StScmSTHOwhF$A_@g)BwmoriMjM9{1LfBo-qQ|=g!dzW$paa+C>nK&n}b!z0uz`c9H2qWfv&2UjqfT|JQSF~ECh5V;oaT?w!XA?Y1QCczd+hF0nQbmk(jMaRkkLe0yIzh zdtLWfr<<>-iHn3{A)u=#{Xp#IHf|Mv5d|OsxF!IN{t7X1aRgL6F+)j{3ltuRIiOI0 z)=EIB0F6X*Wom3qKm}-?^a4#B95piuQ&n6f6bk`eJ?RDF|2BTtr2XrRPVKx!R6GFR zgvUu8kG;b_1N#hYF#~rp$T)?NtmkQ&CI8e1tu^G&>)&CQxmiC zU8RbL0uz{pfUYF(RO+rJrX8zhAfhz`Dvu=TJC8K*yFF}tx5Gnp>GyamY627mXe4GU zQ&Lq8SDypMR)9vLxiU4jCZGZ|PkO0Kd|FU5JvU8F zTqG0=0bM=m1ybBnj9bNDMBxGWtsm>I&Uw@w_8Hh`VDlN^#itX>15`!^<;|#;t03U zAet*v6SMJMrHY3F6PSg7t|VU8m}Be4-`4S%`HSQpS_Tu!cY_{Co3Eiz5VDU~XW5(n zUbi+}2M5$WXlGa5*4N^TWn_NPxiPziRqs>A<*FwrbOkCrt+=gIf z4ZNg~kE46o6`&PT1|m&pW%+L^l$-G)K}W|Is3`~^P^u_-p&g+pOdwUNIK%bac+iez0&dx&Fe9@)0X+auqPgLkkc^%;H%Ja#Iu3iw95)e) z0yL5|1mH=G?Zv0oD>wlnZ zgcn);8CKtwKOb3PY7=h_D>xo zo|r(YRPh&3cmSRx_5qqFW@Kq{j=}>mmkEl$wCx6+__~y6o*N#v{Xnm`%^ZD?yzS@P zaZPYDmiwHsin!iE5E6wyinS}U%%1fvJwb4QW&0Wil@+o~>79$+pE#9~y2K=Z^5 zB~2!liIbqfe!Kxz;Syh$tGIr2r-yALxcc^m+~Te=tn-uR@F=Ns0$Jhn&UmalT_ER8 z_c}#)4F`Na55UiOtm93w;bbXD->GBN>~tfoMoGYT|5Sm!E#Da|2=D3FrZM zk}^SeV({m8BGS@Z>#QrdL4>9NjU){LcoO5gN)-<|dVxeVm3e_dmxol{J_7%UD;`k=GzA1oD$uKS|t#3Ln32E6dr&t z&Qu{w>>cfiPg`m33Y`|U+;LHHh%-n{kDrP4^ z?N>gI#m`z4Pr%RzXrA-}4IC0RdxojIT{I)zlxeO^O&XL5y8Mu5w(Gx_PiF@9*C{@o zT$xC3NT&swKr28anb_2NJE8P&Fn~Kk_gELfdH|lp_^wjLLxBOzLO@p%vz4jJ))zKv zW=ja56rdG9nbK2L%n5~pOdYt4WHWQCq^^6cix|QK@FZ2aGL6MUfdR}yKvxpYm8rp) zE^O3b9SPtRpjD?%I_TqfvF3_Cu- z8TiQ)jCl_kGw_pZo`(cffJQR0srfshbX5$f9$|m1%O*pn1@p7y+2H1eJ0_q4G?Eok zw?EdMDs_=hKS|V%`wZ+eu;mPtx1Kb5L;Ois%$>kfW08VnLwl&PmBj*b`!)V*Aij!0DLjCLYCMIG;na#=ozMN<8SM_ zndaX_P>`wRyFm}6*9RyRI#+oaFPaDF3Zz8(mykjah(@l}ZGDZp7g7fDfHrf1nj+vA z^v{L%MJgs%w6;t!G&u5p!oyrS*(ib@ZNjGU60fH;@{~6E7)DbN}LIK_HiDEYN!x6`Cf17+=PfQ?Hs`v{iJOED;`vA=o zGqN76MKueQX7Sq^@3zh>?YDGwH=`-G=}<`IP)$w z=Gw7Lm_-CFVTNZm0X+au;wDH;8{uK2aUNrs?mLmk7<+>;Z#Nj@v4-fr6WMC~I~mAZ z!|qX(?GN+TaKr!#&`8n{fG07&t5oq&U<9)e(3NCjm$y+%rvf7w1!(@c6xbqAB&hp8 zXhql+ppi^OT6mLQ2L1g%pp^&UNsR9*RXh}E0;y8PSwi6fc!&v8$O6sCVxKrhBU&jy z`^$|tX#OD}27Z2$IB}bOu7`~?mw4yf?Bi`ZP}nwgO_a0CUBd~V+yn6W@{OzyfH|hx zR(1OW4=@)7;;;viiECUHp8VRp1BBXk95{<##`BH$(nrq~B)Bm<3eeJ(5U6h<`(xc{W|`9V`RJ|C z+YNIlyC>dKN`76&31o!<1FyMJ$EOSguTzA$YdFE;0r-6RM%D`m%&;&Pc{sx}lF-Zp@FZ@6#IyqbA%D`o<3nHFM)LwW z>By3i=^rVs9>}Ra)j3}&eQ&pYbsNnKWQCEZzpdLSkhlMAuXqo7dp~dOVGpEs3V*h? z!&>d1bszz=rT~p(@Z@G|0xCfBq<^$`k9C8$9O5FOSP1CqNk5S6N5?Y^;xD4`06hKq zMB?HIsCZ(=lP2aiL{%{d6bjJVuY8o`8Z0EIPhH}W$n`T!UD!5t;Zwc@I8i%1sTtT` zr}(7Ol?Qvtdt&hvFHI&kHFwL-opU__k8pqn8Q+WR4x%N2Xh?Hv5_7}5y$5W6X`^m? z*&=~Zfaaf|ku3t|Cm5{-tZP{zb+=tK&oFf#ZJ)YsHurL$fqe$Hn1PL-4UQjaVlPf; zxDe3Q6LTU~sp2o9@BlnX>H{=S%*fKjJ3*Nfb3ma$O82YJN^*@Xkn!^dcCZI zEEIC8*V`42M+i5Nk@kftHr)+YVPfJu_`GEo1QMFDy$fqBd!-!JG33a5;$Ws1TIK-F z3g!PEoB}CWhWrUUAQPFbZ!^@mivCv0K1CbVQPuJpfOlZtH8}p+FNzl`75>3J<_TOsql{ zXhs%0-xYcw=7>T8S}U%%1fvJwb4QW&0pNW`p>5>_$(-0DiiLo#o@fm9HSrfvcmSRx z_5qqFW_W4htq|tK>?X)_z8aVKx?IiuqdGo3>gcNv9-eXPZdaeZ{s8TlC20^Se#EX^u4!GSobItT$eGUZr?$e34h7IGq=CLAB0UF7~{!p%A>DEVcF15yh z(gW}$#&?w}9tt#pRH@=Dq3{4a#Dpnifo5c}PaIAWtrSS<{>R9!w?qv_B8@OBK=Z^L zQ*D#FNF#d91+?|V1X87nzktF6@FcMh&^$3COOtaH9*DV2P~<5T>yG;j>@%?C47h7J zAOa7-?~ir-Q*;5DkZm99FeWxw_k5i=kKA;(A3}?Wssc2UGz8#DjPEK{JQNtgECh5V z@s1+q#B8H(SzAO93efx&64)YO5|oI&$;=y6_s6=EP4e}MsY`sN^h14=$2u>Nepsi_ z5^oKoo=zD^_d3Oh5fz}3oDTt88?%Om%rJ4iysHHrh5$T?@m-~ghYS>#q+9*KlOJvm?Q*D#FNZHd|Xh+Bj?r9Gq z6W6#Z&H@S#z>~y2K55j1;RV$`jav`!Y_|nH#9!S zKq$cvJcS9U0FA^Pr>ctC9IQeX7{DB%d#sCKJpfN)d{?RBp}+uUA)qS>@Ae+B^@WX^ z*%AUM1!%=jru42v%n5}8l<#~8Tt+6=1vFQtCT26AS;xE@pd6ultczeh08e6kSE=Hm zzyM|;peu=&HRfPU7dC3Jjs$QD&=#fcS|(8X@Ay<^;HueQr}$KIRm2&1Cmi@A%ZTP4 zfX|nFV0{33dtK_b7qbJaDqh&*LKuj{?qPnHK_wo$jNEdmGyXtmD<^gqzL zY|ih{G4%l&iAk8MVm9+BWPt&U0yL611mH=G?Gmg@CS}RBgJZ zvG_9&bE>lWU<3Ao0O$JViSaRB}Wom5R^s%mK?!3>qbNdYJGqC;)Bn+VdjYM}bQxmh&`ey^_MVL@ifJR~xrmC2iq;CJV?$oJ!jbtdu%}K&7g$I(k2NVj> z3i;G~n;b3yUVR?OCwqXWg@CSwl!2JH+>NWUDh_Z9xCC%bpuOxLz$!p1qzpuwyg&gs zJJwhw2Dgx!8?F&EC?O1*Y7EFyA*Xu1UEz3ya03}>UzjFdQW#^=!zUI5vdT*e{pd3G z{jC0|)#n??XP8$7Qs_-r{7yIzBndBZ)+q>S@cryXa_PD?-c$Jr>K+hHFX2+R^)+}d zqzHtgnG57D(Wf1INg-aPW@0UZ08s=YtsbtZ^nmC{2bboCYtqTbi|F%}EWZlP^oer& zV^~$sgVs-0^8%@IKJk*m%QVwleITj#_j|=K#oOetqTZmH2h#l&3I!qi80Bj1z3#6G zXv3et1NrH^x~;Fly_Hx5f>o5oHLg-icpQ02As=BTR&=ovi$SFYR+jswLSoUPNDxRC zbZiuayhP!Fm^oSnAq$LP6rho$AplRJZtH8}p}+`cA)qS>vh4zR5)-SC1x7Fm(B!sS zF6z)%p^<2AxF#ep_5m8nM5Ke>q<4$h!?V9hYT1C&1MnoqcaHW=fvhUmT%*=YPPkU7riHUr*nGt8rm(tRhg#o$O=l7YN6>^{-S z(a4?3H7vgR7@;aaBS}L5p2YaBQpH1o5zInBSCWZc-bO8*3XEVBp!w%gV2eOML1g)` zxw-%uVOM}gG7)Ltn{+ABoJ(zkfYJl-B*u4@Djo_nfmEsDETQlKJj8@4WPxU6u}>VM z5v>&HM&ly!XyA8wS~GC?KPX%VuljK#NlKU#6GyE>n*_K0r-J*iz!Ec z|F4VG1U|0jgXeVjW~|ewlH`W8J9&NfhBV|AxW9UG2pF;H=^PXXZ^Yf>VG- zl7;|0iSb>fiiaG%Kq4B<1+*n$KOTtLM%}Vm;RPb*fWiatB;_Xi{jaeh0u`WK*T<=Od?t-kn*tN z{+xb{;f$PW$1rY3RX32)9*c~L^OAMVu5w{F3M30*VKv0EW8$5!aM#wQEp{xTv=97~IS z-SA|AAPV`#|Kf&(P4)p(4{|Y^gH^}^6PP0$ph3)muBw=g?E+QhD>&6X2!&qJ^4xl0Ygz%kMy9Uxh}(=cWQN51+BYu@JAhGBxRA=2OT56PP31 zLW5|oOij$jcaD)fH`Ghs4YLIR}#ZAt3>>`r>_ zc$@*gl#W_nN&oU=QeU4nA^puq{{x0}buIbNBi!plGw_e;&jp&-KO*xNLrpf~Lz8$X zY?^=(6`+yu_2&Uw6Hoz~C;d&Nd#p1%u&UxBp;!p$>PbHkySa^9#b5k7^@`tm*<5}4 z^_%7*f@MI3{t7X1aRgL6F+)j{3ltuRIiOI0)=EIB0FA`U8gpz-Km}-?^a4#B95vHi znVPsrC>8>`deRHT|84xiCgSg>U#Cpn{!{vQ(p!bwus-UewB*>{$kAVm9@ zAf2+8V>58s{NoqRWq80(4u7M)yOZ{5tlMg~UtG=elXo1=C%9_vX}fIxU+g>KJ>D!# zixa5x-KHN!-XzX3s)EE|wlY=4Y<3qR3rt`Xph3p>;<|%qQ6LFXj6zZ?+LBz*7i4F$ zmC=~zQWF#7qClj7MBxE=k}fy&Dn22;c`0*vw6tx+s<|>XF~3EiS?c)L(KrgJvF~7f zSE&+RfeFk)Kv$BVqkoAnx}U>g{RpXxnjnq?QSCB413&s#=c#G_=#n1m{?$iKnC`Hk zMZ2cPd-Bjp{s#x+Ex*cEo+X)p(EKl0Y5#;4TcF3f$Gqz458Bi3@m3^P5J#DXD#3At z2Kb{SpaL{c`g`4rwu#w+RTXUI%$Hw$C%cI1vQ`X`?xFMitgNq0Q z11eiT)}=3b(l$$7qR-BvxiU2|Clm_-T|GHwV=!yR5VVK*!^2$j?|>u>x3}4R2Cn#&$c8Z!z~5=zvHnSwTAaI2DWefZJh+A4}AL6Ko-rFsYx$0pPegHCg?}NCuY%H znVOi5?<%z&!4?U`LO@rN-)%l<(m$dN^XtFc&^xQ3@)U8L)RL;)I!E-S7H34G`S zX_!#H8}tCJn}&Z0u!F#q1=@37gHs>{*TI4QFE#9B2B#a6Slvr7pTPpS}8yql9YxlRs5CL`c$vC z^L!6+ZXj-By{LInb!rG%Ypow^XGrS|nIFi}+0}wR$Igo@A205C;*KW?Bp@gSXw`C( zK=M(`x5>St^@(hIAUzK_fE03f$2tZ2859Ll5?#p?ueVdT^|j;Rd8G_QLz+<|S9EK5 zbU+ef=*K@Pi49WECHavp}=<*(MG)ds$lT&eqF_2eCEwLUUxijYItW=J@-Iu6Yi$F*YCRA; zw(8+$lW}W1LQ$ANs#JFN@PA{;{D0r3nL-VZ=W>m+mB7T+(Y7=rvpE4h08gU1;hK<) zo;O~3E=b2=Z<*sJLQ#N5l7;|0iSb>fiiZLtn3-_ZZ+C~TB>!Wgz<&QXDR=)J)bk^t zLSn)cvcL#N0ovp;$*z4)m2}z^SNyA@Q+|$Uobhy>(|l95{)m3~Oi$*QwoUWGbb4m$ zyYlBFc3P7qNUpl<=`! zDz3Lg4J0&E1D`vJtPcPWpwPB5@u@2Ih+-k2t0x*meNFs@m|_$DQ`$K~q=*Ap22@C5 zAE0?+hLvHW;*76_UYv|VsE-7`9{&5Dfp$=JngX(ME zv$xA#c+#o+g?~v;;t?tPh4(3mMsAJ1y2@)*t{`2=GHO8K0rfiiZLtn1#@G{jX4-pP!{KrAAus_d`sWXzfS@rvS}gA%QIdMS?!Mpbax+E%xfz z41DwK?2>LQ&zg%r?dNQ)(^|HFi!~Qd;hh)eDI6{HvBmH|{o;L^syiC$;fqKAvqiZy)fm{-iX)S3cH55SWc-&Lx3D9{8_rNUWi9`Iw7FX?;F%YR3+ zl9I4MNGzgex&$+_G%!b!s$z~P6rijQ8BUZHKJp_!W4BZ`H9 zuAXQNGiyQHiNBC2JK-wGMJM0HkaCoWngTRW)GgPf$vFxS#C%MUKFLXVV%`Ahn0UH! z@$f!23jBuOr!TTgy!}LR+fR1&ZG73Aefh_0;6yPvKWPq+k~$}lB_HwfgRjvqfyGO@ zv-^ej_<6WpW8&=1w9gX-Lisvx?(jzkG^D$R17h(2{EWvsxePd23ewlz8hE=9yV)PA z)OLida0AhhX4J$PKi2)%O_L5>)2*J(7t@b*ZXnz_0X+auQYPr`XT)Nn7g9qrH8Gdo zgg+uTh)@-vk)$C2Phxymsp26=FOY}^a{+Bh_+jpUlN!yKo=j{lqHfu&@B$HYK;Z#+ zk}^RD=GTFr&sI@4!ijc_&fUDy3uIo;eyjKgP4jv40p|ZtWiESm&P$K6%BccTznoE3 zPgG0Jr=yqoY%gvgOTzen?rvg;IOHCFA(RgIcS}lInUe*gE?263ZzaFgZudYcr|bbg zrhOOWc?aU&hD6M}2jClfrV3f2p5;_a-QLXSf(POtpjZg#>Pat<{2pV5LHrHg=d$@= z1NMRdXU3CeJTV@K*-23Qb8pAuXDx~+VCVxhPkMm{4vw06S<8<308a}6T|MaqQcU2u zRs4;o?$!qE1p&?sCCzwZJP>o4pvw>0kC=CSN;7bC_mraDW6fsZhU&CR6DS2}B)oxn zAZB;niz&l^`VqRvx(L<-@Fd1}l`0+z3}6-lx{`SN8FOrXVWVcYgaAqbTJe)9-I~Rm zP$JuZZSIP5`W;u=@Qr%9Nq?T{wmnSn^N z>eSs0x!?JBeg=N>&PTWh=+D4Uu9;6j1!yD_=aJcBq)&kX%n|m-x@Q>Y(Ch4y&%Auk);_=j0a+N6T~Lh5@GTHd@-{^me>n4aB$S<8K!RIZ|l05=HEn6 zkg4UnK@X(Y2PhOeS9uvPng{3#q(u6ckU|fLMy}OueT}*oQU>yXHgkcRBH$PF&xQ7f z9VS+^wo(QnEeBB?&;qr^v?zGO}g#@a~5dEoVUr*>$voN zcBV!i-W0ygP~)nNf%P&F6W6#(K3>uGdPSuNL`T|COowP7GjjvT zJC$|HLMa!qGXjv~v=EQCyty0?&ib7+kuZc77 zQe&gX*J{PEm1oM7?*e7<}m z>jPkpskT+!{=fswg@HKiL1f|@SH)*Q;Q@G(*av8yn4zS}#NkvX=< zKh|Y;aWWyPvQbN?0wWj&X#Tkr*dpL22&UKvXe1Mn4tkT` zEtcmi3}FbslNjGss(2{S1X87nvxLF}@DLNGkOi8N#Xhl2B3daxOIJdmzJ=_Mb*GtS zO55jr37p2A^>VNTT`9ctmHfJl6UYh!23~Wcj!zi~UZ)6g*KmTx1MvCsjjR_CnBf5w z+E#V@LqxB+fVO=JtZEGPHSx!OJP@;uuw}D?`!;5Hv7e*xK+I)=x@QB|TR<-lzz?Kb zOoFnY{r%qylDVv_33slVhmd)*2a$Byi-ck!psOeSK(Zel&oGF; zh{6N#^yd?aizA@oi5X9tnA;Fl#T-y5kaB3h@==m&WPzMMb%{eF*UvC@VdK<&%9j8q zYKJE^1N-X~pH#Z?U@v)3ES}<}$;77SZrQnWt|#CT4$vUudvV=Cv?LG>X--XIZg{u% zfbB1B)NLpo1BqAL(~EnL zxOv$4+2A+*g$LkCQXimsVn&uG-U-T_m;(w0XkYRiW+a3qlaaic=s0&qYEyS{nm_dKV%p0sXW-@JYaF(WW*=+*47~iY zwB732;LwFOz8BlOY_r9TtKAT$Owbnsx{{1HSyyPSr%zqt z2+933d?MfY+qyPK_8oXKwFg)|08g)p>H~8YKJ?xuhbw|tp9gYL8sAl_je+$t5Pm3{ z3$iNs7rs1bcPta;%s{wV!lZaWG;SehyzY0?69!=&#(*!if%5tH7jxuqf zwUsguY4HYy2Xe<)BjD3-AhUD=$dq#2!&B1a$R8 zW2mo*zktF6@FcMh&^$52OA~K}Fehd=L7wx~xWw1xYVIG^@!?TNUw!cKj8k{J`t0=w zX#XzKUBdxQJpiAdU%Z-yERmC?&^D;sAIx?!u9hJPqcPOi#KXicZ-2NqGZ1c;fF6J+ zDHC*aBNp>vgq(=9^d{Z<7@;aaBS}L5p2YaBQpH1o5zInBSCWbA%|^r?RjPO>&;(MYinD~m1Mm_H z)Lm`QEvw zFaxiiLXdlmBQxNx;eZYvfZreM_^0RsG9lYO)?rNSvhMjhaUQwpZa;(;5mg0fBxwl1 zlNjGss(2_cf>{XYN-}XC*{G#cff0-XG=GHzwg@;0y2;ENRQJcalTGq9r7mzrPv-LI zC^>!~<+08Sp+ybY{ypW@DeD?t!#%)^OIj-4qA*|A3YrfG07& zt5orjVdA>Ey{=Nl8T;`-%th24eJr`JKO+-|Q-qHm#6Jf$aL18g@c_JWBvc~y2K(ccp zcZ|~e0OJKR(DZHS!(``;0-20svcaY7#l3cccfKCAzTyS4!l<{CK2I0O%F}qy8^h%1 zS--&^NbMZ|a&3pT+7Abk9VTlHp8FjRByUw$PiU?Hjl>+Ms*2ehtU?wTz#O4_tczeh z08e6kSE=HmzyM|;peqS)Qy#GOg^ilo5&|d%XvKY^-j#?sp-_Oj^%?_-pcnK&L0=jzA3#4*O z7JqsGnHrl9HefFZa3&CG#uMX#n9WZxgk*Fr5hf477c(nliM>Dr2S<%GSEeQo0*Zxz zuAcM)DJF2-D*jBuRAuw64cH3;oEb`*d0Fcwi(0q|lAb&6GqBIVRx@zT10|pWG!nCw zsVZi7-HR#1fVu)Sk~jq5NsR9*RXh|Jz$^rGCE*Rs12NmEThjTi+>r%J9m>pPE@xmS#!ay8$ zH|xbUwL^C=EWF>O zYvL5p;GX_{f{F)3(@VJ2ZG8=%3n>E8kY?1#U7}Ar_L4%pHqFFZ1OcK5L|Q#uQRxBE zkq$1+4cDZTj~CJBD_MROn&}gp@lE4Am=32GR^c>A4nSAsqH)P8p%YY)|+&)h&?>}o21qp zPaWtxS9{1*ENRvn)uNR z%+%UpOkDZkdEE@prUdi=JV}|Lt3P2sV%`7`8;tQ-Lv-JXY%=~?^ToEAYi>9BT#qwI z_npXAqu^r?RjPO>&;(MYinD~m1Mm~xllGLDiVn&uG=O{c7vrQ2DV3X@Dz~lk=fpm*0M}PnKf@Ds* znnfwW@I)cpaQmH^9RNV?5Rnn?BYx z&E1-*bAHl%`zZ731hPULGaloF>#Hn;w+)?06fHmDP)dbAYwO%r>bI(C={TrDCx(J^Hc5^j(eAK194kU zkhpB$47N#}7nu1naN3DEsN@Nmw%l1IbdXjQY$O03XBOIVX%z>_|n2ql$RXh}! zz$^rGCE?xP1Gc`jcjU~LkU%Lw%kMy9Uxh~EBE>%{;v%6~2L(N=72&0S}Osi0yGlMm8r2c0TrNm(hD?kaMa8sOjU7_P%H#=^`sZb$gkv6yY{a$ zw1@bMC_Dg9|96&zLIIj5>XvKLgeS%WF$WY1&~|wWGr(8&QwVgAan=mnNh{~6VRBP* z*L^W)0;2#8Vs>Cv#cX_6sp6r)1ZE+iD~Y$CF(+nwe73AD5(ouo{x%%hB2Xr%`_<>t zLOt{VGBxpL<}({7uO=u8&>)&CQxmiCU8RbL0uz{pfUYE*CJ)5CZR)PuLHFXx%|QCj zlM8u^fa4Z}yxkb-_joI60^BG-BQaZa&tuBMU@xWom3qKm}-?^ir3)Xw2cMs<=of76Q6@(hH=Rz;Ubiizqw*zvg2d{hug! zgdQA?6S|mJ_Fm%z*f%&hwfvU9avRqFur|_Te~4lnV>HObS2^4-UGI7{cRl& z>VA*6%46MaJKn@GY9Elsb7idE5T;Df7XrGHcv)kPts8$^$7AL%l6z{1p$Wp~4ONG$u?Zza=G3!E`8%T|>5XM`d@s>Z{2G#|ETrKD` z9mrIRkEa@JWq-bbly8%JMe7sU_CV(QfW@QWCV^(`GZ+*|Nwm34yxvaT*4JhRq9M(w zkj6uzM*Cf1pONV88+ctAA0MXgMbbaU`Z?jsya6~(VYvpr7rdOPcPOm_nr>tJmc z(K0jTSO2F(EJq=*dpL2 zD6_9$-T1FQ*Z)A-2rshwGpxQVe?GFp)F$2o|r(YRPh&3cmSRx_5qqFW@Kq{j=}>mmkEl$wCx6+__~y6o*N#v z{Xnm`%^ZD?yzS@Pa8byE*Z_E#Teno8u$SAiQOpa8r$tLqOSrpl8ODHT*K0>kL6u0>|qGNlNjGs zs(2{S1X87nvxLF}@DLNGkOi8N#XfO3MYK|Y){5&b!RP__+)-qG0L(GfwyKMi2bc>3 zaoB^%#5Jyp&w#=M@FcMh&^$3iNt20X;v^`rA8&wFxWw1xDy|>h>0#RluD*RCcf4y1 z>-?lSJWA@EKvwv?GalVL$D3lq$x@KMQ^%;;AF9-LgsgA_ z(U4};#M#6yKmAze2Ex7*&;#%!CPAcrAqIbbCn7c8T2EQQ4I&5yXe4O}z>^r?RjPQ% z(F-J^!CXLFl8N)kMlGFk^a2rkK;Z#+5_5SG!@oAjw$sDU^C#{5J>8Dc_dBY2fsA%o zW}NRUQ%P8P8SFtAY((lrR{rf+5cqS_dqJA z@?p)*xF(j(0&xzAUYx50Vs1!ez=*;F@Wq)bWQo0_J@IKvjRx;K#6du@5YW|=ULYg4 z99}!|H+Y}R=7SB`3j&-OK$@A`5LLzOB&hw$$FcZXi{c3w`T)(7UZ8`tumyv8{Zk5z^ zk9844cmSTHDp#hlcqlM{SqSJ#qPa3P7}JG~8muD$oC37!)Jdlu_Ziq{VAC1c_}Sq2 z*(Q$BfQAbJT|F_|YLzPf^Z+uocDR620h%XfWNBh{U{%FjJ{xqu`dnJ5M?^0Vz~?ar z)(5~1v~f~*#hiFyj|*WS4to%pxW-lSX%ePtJERGCW+2i`b7g8`E)#S&J6XBW`B zJqbT~x5L|`8=QfkT(c|^0xCcw z@v_F8n3tq(f2=!I>LQziM=?$ z!$Lq;PkMn={-jO(>BE4jvH4&F_JROs0+D7sF&>E7O%R)0ON7Y-@WspuSz<5Hz`;?Y zXPCN;zpd+Lntu~PL8g}P20f5oAD~d^T;*lFXda*|kP_)%LJIp3StS~|R=4#v>Rw10 z$OGCq5F8Fo5%3FCJZN8}Vq!&WD`gfnkJYuH(UcXB=rs(%W0jlx zdOPhgU5nFA##^K`ZojyYDIRatZeml7`t}(6`Zn5^+YB>3%JU86fU{14lzaO33H@^c ze3Pzwz?=n|G3RY^^d_#?IO{wh`c2{63^lIW7+5a@F>#HnuUAxhKy;)H)x^aE zTPOpO7IiNvv|e*PHkjMD7Ro{?7qR3rCh%dG5vQoSfz&vjcu8TJ`xiG00=Z0Mf!@QY z&@=(W$a~_*ns6E_phF>)f4W+8AO-#S6L=s$5mLAHHH=qC8HkB%T$S4ptgL~T6h@3_ zVg*%58HhBgdr4vD_6l_cu_P28fTz#U2WXy9tofrr+vF0Z2V##X76Q6@VgjjB#a}?- z0eF(w2WXy{k);W)=f(puYi_tEB%|vsz~lk=Jk!>j*jvPv+9L^BBW)--$fN*c*&_yTKTbHAMHF z$X4Uu$w1y3c8{WLf0(z1BL+}_Mv{gAJc;pLrHY3FBbbGNt|Sw?yp38q6&S%NK=aR~ zz!rfbLEZmBE5fb-jbtLy!khFm=<+A8*rv!nUbvqMTjs8cz7+9)Qo6 zZ)AM{%rVurs@orUfVnUbhdqc)T;r}^S)dvuD1ZE z9)RB;>+~)z@O-@0j3l`506dA4AWpVecYIPa@Qm*oo>U$d1j|2PrhdF_Du}0v^T=7l zP6QF00yL5|1mH=G?<3eeL3 z&))ln+LC4WVRcX6esg>NFt=NZ^f2;h-==LnE5UklqGTAx((czS=g!259^()jVw5A4 z7zIp_zJx*8VNcIYP=z_S&av*Qu)er_Y+{-`?xD)?Rzn-nDmCopWxzOCeBSLmu%4op|Eb7(|*x znzoPl6p#mmxqIE_0TXX2IlnC9B(gwB0I_}jXb5;^|Z zp6ySK<6|8a;gm@2@O`;cQJn1!$i1FYUX>y5fz7gh(hR z0-Ac#PeeaD9#fNe5rqff>CYz;7kfa(6O)LznA;Fl#T-y5K-+Sh4#{R%Namlq#3@ne zM>ut1-Kh)j%hd5qo!}vj!0C004{5e~xnA&|m_5ad&%mbUZrQ!)P*M-J&>)BR;xU&W z)4i(FL52y;L_kv#y#SdSTc6sjnav@AQh?@PK_goP%qJMF1*~gXVCt@S**wCjduzR^ z3$JJ#cVE}-hV)cEm=PHK)7A%bB#$}K_OjML#EW;Khdw|fIlQSQxF|sLM6W?yYh4ER z;sm#efTo_9M5AS(J)facdQZP6mbIP@w!1_Q@5S~m+iWq1 z)m;~+OwcC+nv!^zBIek-@?+ga`_t#OrtX>#lHd_e-HMNO7{#mIP@c-u2%JV>-4O`r zP5~Op;Y}^UMFE;8{p-@{+q&$X6*6dtFQc8g31*O4S7@#CPhHww)F0s!`O4qc?Pf50 zn;eFA3#$j<=?zhRV9vsu-rMAGM)2zMKu$^%yGpe=u%0Kv4@F}^ZZ7!;UmmnOmPvDD zBJ7qhC>{`vTgYsJxRnbRywAC&8j{zoX^@g3j7qP!n_s*{s(KlCNn!h;)NsfNiJVPn zGn~kPN91RY0jD+0k2jJ0ZE}eQ{m^^jXuLnfm@9ZQKqI!r@>PJ>GylzYx0ezk(n+Ra z>uVCFk9i`R(uf)byP^NyLr@Qh#&>5XS9n{=6A=&Z{e;Ys`6X?oS5zw4s6G9x9mgJ7 ztzZ^1nd|j-S>OYNo5%tG%oLl$+xRAAO6?_JGm@@FW_xz9tbeG>KHH5-g$c06fIx zDrAPHXR-5Lp$B4)C={Tz;zmm_dH}u~D6(Dv-e(kAFE2^v#2!&h1T^(TbEvOLynw<3 z@FcMh&^$5Si;K5Hm=m)*L0<61xWwn>V&U&J@b*r7U%d11h^Ov)@zLvd(0)nouHgVz z55RY?U%Z-y%#kNcp>?R+AIx@fSj|%qMsujINrZu2-u@JSWFqXAfF6J+$tUQ=3$d7k z5pp2YJeoA?V}z;zjU<%-Jc)^2rAmYhBbbSRrX&OBo6TApWf;LIK=W5fV2eOLL9c$b zV_u;;{8yhVB(YEzPZo+>2#c8u1=|wz@Lzo{(d#NP6K@TxbS0f!aS?$E&`1XMhkOl7 zvp$;ZQfmw-JpfN)VppjWAw!c$l`6p!3J<_TOqxPwXnGd=z{M%TOM#RS!V58+@Bxm% zYY#BzQ_2{D#7i{07ni{aC_DgvdaUD5(FJ5c)_tr)pO`)O`Z{nua<}aEAhd|6DnKJi zB>+!iVppjWA;Sn}BA_V=pXVNk*=F6cwum4Up!q8#utmU3P$Kq4$Gk%I^jJ6BAYUyw zb&1cEeyVr!Sm!0uPiqb>@z!uK(wvF3uT$(S-`MGUgSrReW7cq|p;QwW*hl?WY7TsMhSsS=Ficp&C1>m9u>xvhUi9Jn||#880JZeZp(;5T?Zittf@)|bet zxlFY#b(wOcvC#I=DY&J*5ShFVs}d}r@BlnX>;tsEL{?2#;^M6k=EUqw(1IVkeR3te zc5%)fqZ{{N-Am+PgK5U?V6&AH8O&qQ;eyYUzFXj(ug@*6d5J90>GfdDl@b}uW6mpbWz>}ERRjNeD zFo2l|XiCDny$5W4VzXv8hX6_eTK375-j#?sp-_PK{0i716YByxSEeRrGoGD>-D-fc zhuvdc1nU8K5)->hl?WLIFcSeyNzO_DKAqdF!8#JaDL|Vrb%~}fa?=w$s1dkqPOnpZ zP!rZoHtlLW(QVPq68EZ0Zl#WB~rO1OFX>*nHrn7 zHeoLba3&FP}u_$Rm|=LiRpw7Z3H4u@k7h{lrN3I_KJrg0TrN; zn5|4zF}v$tOdSlUD?lTOB>+!iVppjWA;SP>BA_V=pXVNk*=F6cwg?~;piOu-cw_T| z8z!IvG!mUFQxmfpPa!i5U=*N{#1eofF|n&uiI8CcGZD~~#G5td#B8%}Sz81U3eYA@ zUF2pbxHlt^#%Ho!@*iRvpT^0v9f3G*BxtE`e}cE7&M%wzCREyU7!Sl8P$)q2q_@|l z-S%R3U{xhVKrs=})RSH!m0PmJ(}w|5WAoM~>?HxtBqDA+Fdm3Ge>Mp3@Sv?Wb%B$k zY;>+nO)?EACIXszVn(b=m3U^tRAuu#k9FAvRk}7)N9rr@7*E`31WqGhM<7|^Xq_V} zwDHVVrmEP@j?*@>MF6pf)3@tHDppN((p+VAZ; zL)oncC<@SY>Ms2s`X%@%Kx^eWN(FghPG>}VAdPNOC_u~PeeZ2@I1hOBc_0UMi%1gz zO*6?8F>kpmsb}rO6K+Yi2z>!es&%9jZXbetB*I44>7I^q|lqL4!tLiJf7lO zr$9M*^C8hjfe+c zQpjhc$rVkk#4HiW=Baw2iVT-8G!x>jC)g@{Oz)z+9_Zue$w# zI~WUvJa!i%GMkhRs+Vk)m;r?c;7MX1pm}2Y6PJODQ$9hve?iNQ77)|}@ZIYd#}zV1 zJ{J{Qhq_En;)m5d1z|LY`kF)-INv%~^y(2w=)nW4ng{w+QXF|UBz75ccVE_UCE ztknN+Vdi)RtkdE3I^En!FT3wV*6KV-M`jBVa$tYR*RYp#1gQXxB$WU>iHTjMN`wp} zn2CU;2|bWAu}{Ri+y03M0hELCTzY#y#>%Gc}OD=DB1t|AtijuwL1dz zp(fU^yM_}SJpkWbzLE6;n9Ee_RkuHI2VUA0iklrYkWI zT%7C#aSU$hMhh@`0KPEYV(KF8$lZ%TYpToCBz{=!cHXUiHTjMN`x+Yi9|Sz1^AM191p~7vu@c=;UyyG zfWiatB>5)$E3dI30u`W5Ym0$^l z2jC$lO(DDJB_j5LWfI|~0BynjIeiZu7@2d&Frka8n@G`nvLW%jbeeb0a$#3Wq?5wL zYKVEm#5-TkFUoj{EYM-FQZ2y zNT3v;b?-o8Uxh}ZbHg=ae((b}I2Pg;n7V7}?om$NTPsi9tKC?h%7--q>GDdJ?!!v^ zlx=7d?}^QMz+fmqBhd?xsj)Qy6`*<2zfA2O>jw7Xgh&$sO+D!+VmG(LR*4r;cmSUM z3Ndl92UI*UU5U#%3J=5_P$)oyTr?q|RDeceCQMbfCZGZ|PkMRy3s+VK0t%$=4)zVHnFQz ziI8CeGZD~~gm-%n#B490Eo+MeLIIk81&wSG$R>zBsk-1)G7B2q-PDDuxiZe31MXH6 zl!<_*B;KqsC+0P$uD!o(J3bW;Y6Q~HKB#o>ak6RU+|qCGW+OK$fjXB5yfzDS6;hS$ z38({{a=f26+CILN9M7+HB6SlwA3*>PNF9m2NNvUM1;xS8^ zbnn?RBMnk?$YUutk(!_(9QHor&Ev2StP>KsoX}=Cks*(Fh8)(iKi)+0x5+)D^@-W` zK*oEED~^IY2{d9K!k|D(qRnOC^>!MzzBV!uO=(1pV$yeGhh9?nl3Yx#BNGv~_fU91 z^y}kZ_a}j9U60%VTK_`9uR^o7xn6H);~vu8L=H8uw)1G2o*v|4*W+AeLL!@xMLJi8 z)>!}jdRS2rv*!Vvo93nu&@!P2+1un2yarxxcLSe^fTo$`iI}_&t8yEHl~s62AzzMe zU{`>aNuG$f(8}`PR48AMWfQay%(JKVu_5}0*Jc-T?*Ek8Wc+uP>J8euf36x<3qX3O0l>j`6 ziCv{igbX8?Y6#o{O-b|wGc_^WtXtL=5rhIXe}x3L2=pf?b}VaE7yPTDwGN@!&y%9% zQ5f#apO1_lOkQ52yc2H?qg>~4y5cYqr~r+`>m%hBKe0~|d7Phw(MsS+VW zlSq{+!4e7&z(Y)$LS|@s7Q1%^?OdE9yc9^;{R>)dv_uXEGL0}RK=Z_0rdpS}Oe0#2 z1^9Ym5~)%pUO?dic#_x$Xr7p!#btxS12N|l6u-Le2A%l4lx*%Xc24*4S#HO>9_>kU z{2VhkDbZ=YhX*lrNe`}5Rno6r`}86#FT%)!>8{~`UOfPRdaUa_JIR2^C(F9EwQN@K zh%2x?0X+auVkamCni}3;H4xX>yOWx^GKtwn6V_q`p#Y5}l>j`6iCv{igbX8?iGZdg zJX9Wt*=F6cwum4Up!q8#utmU55KY|=+F|T?ovIIE1kykF)8CY^mUu}WiZp*Byh9)A za-!{<6Wh(+>=7rj%&NP6ZT2~s7VxnqBLBv{xgn7uiYPn)pIuXh%&~WAPrI|Ec;6ub z0*Z-%rk?Z?IdIG2`AIx;1F0&Tw>Duf32>$ZapQsUK+O3BJ-w8rkz1%mL@N)#XCo_Q zj=e+!Cr3_?aO$p)oI3gw)sa)jv-R{%9@%`rPt1KEffujtdxod{3M24>cv__iW(8;@ z1DjfJCzKWr25@`WJ=R6A9)Kq?v8z;xkYNBb5zv&x+s~L|>l2$bvpED%3ed7orp#ru zGdGFdBO@U70UF5yQ@4Api-_+5c#^8QGR_hq!vJO?pef10sY~ZJYiX2W0HXk{dg{>R z8Q>%jY6SQkxmofbr+84qaj%o*?ayTRy!{|$t!IOM_XB%zfa63!Q%}scTBS-ny#Se7 z+g(7Z0L>HAv$!1Gi-Y}r7uvj&xXo$m%y=4AhSyA(s$%ZUT4))!aAO~wy6n%w&YO>u z8~a2)gb|=$@}1LNW8^X&=G(+N%^a2kPCM_{dI19=jmLmSwO1CD+ops6S3(p;rV zJbf52H8yW;!d?>KOwZzWhQb3eyA#AF*Aij!0DLyGLgv^@G;ng{^a!W!*l+7-RHjTF zf8DAeL(6xA9?1Ogx9bY|q|v;eH@DywNQv}cLXv>6uL(yq=32wn*Qj|Wc_O#ua|!4q zS5(Oh2|uC#`jA9zpO{Uq@V1gCA|6{59?&qsq;tbHP$k*$Vkue2^3ih)!DE#h`+7TV zFayS*LhHBE}jxFSgh)3N^3T@P` z9-EOHK(|p|f0i#0iO9q(fnEU{s*H2M`C| z6GzsBtDyoKWJ2>#XKNQoK|4MI59AXe4O?GBf0^Won7j_FavOq`Rd`8ZM2{v{P?_Y3 zh)dl|3OivhQCAR4Lg4{;I)*+#^MrEkJ_@uh7bratdqgo2(9{!?NR=w_0tyenlf*ti z^ThNlE;yeX55%lBJQa^Xm&F(vqyXbp`KCf5kW7RHrjY*HY z??l$>|CMy)tzq|4)Y%`#t>K6c6rho$5`ZT$v8z;xkYNNf5zv%mV3)U9OQQ@U7zJql zbt$k#Ae*4wzn~RiSAa$`kZBf8S{c->zjJ~%0bU+}Co!?BREdzGNu;Endj<)XPH{COtfF6J+aVBUp_~nl@J>h*DfhQ5Cz|9?|#i!F9_WuyO=K12!mnnO14WAzC zFs%{L%D^t~?P|NGoid`X0L{NH1-1yd69iN212mF>OpDQ^b&KY@)UpAk2jEFe>?&0v zWM~qpQYBbI;Q@GvNmIxSP0wNl)IueMF}K zPk3F+QQq6!E8WSJ%}FFr?Pw+pPu-k}T)r*Kz+J-$N8*&>h_0- zR$~FaeF>~;4)ryO$8kImv(2z&I|a9GO!s0RxH#n#^nAlp_l6sdJMus}%cBXuM|LRe z|8<#~t`4jF{`VC(5p!KStV*bX^KJOC&P{}4C!h!5N%9HepACv}cKo)p5pQSjWkf(P zkrB;mU4}nWTq%*-#B=`*pDBI*y05OId5J90^YFKIDxwrT5+b3P2x#g_Kauh$MV_C;izqw* zPk%3wxYz?Ko|q2A#oUIdD&~Mf0b2V^rzF>4Aen#a5~oC=AK}!6b*C;Y_BhUSe}d&k z;G*H@tK~X4MP6zIc-y7m<^fr{8`#v`Ejvpzf!V_r8szX^Tz4Uwl8B}>rY1QzyxV)g z_NO-Mwv{as2nA^V6*RI%z?~qTHrKMi)Lrkgd4yB<){0Y?cH?*|Pa|*|ffYwUE_MMu zDnKJSys0I)C_wYXzYq=V#R-un0-Aba5~)%pUPR#mc#_lyXr7p!#pN7@2VxE=6i7+( zeAOY@Ocuy#>Sz#npm{jlS&L#~Oh5%_p3F0KeDZtYPu#s9fv2Zm^4l1S zHrVdcIJ_6zyKJ+?99DN-m@+}12xv;;U5da380jUi2J~;#FRv@ciB9usgr|R^RbS4yxI-rshnv9czMhe>l9|q5eRE`hQ7E%1yCf1 zH?;&81!$i1x7E|Pb?wuJ4vP$0=`z|5X+Ws}t(AaM0UF8tQZaTnFzZj42lOtTh zdPSvzjoQ=C#%=(;R#w3*WHQ(5?XtiJ2se=f{+TH@yBn;`#Mi-1aCnEBkVt3_?Oj-7 zoma|H9laiSPaMpj?*lX|H2*i?6iC4`$=j2?jR28yf~fcF`N*2_zhIk86+ z69G*<(H!b)5-*_e06axW+8Lr$x>(?>h=e-T^v^P6okT42VV3)Ta?u|@@ z-4f6P@Fe*Jy?7xOb1*^f*^laSLHrp1EfDuRaTj-hVpr*06e>5j`qE zBN^Bq@--~Y`e?38tudhV06d9_U8PEd3{4_cssu|YJOB?dX$qO4=~?Up7pDj>1!%3f z(GrXvfbRy1tQWvsrdqGMOu2)xP{_kxh)iCGRf!o;cmSRx_5qqFrYmt7SSIcSdBIQI zX#`Fqu=ohLYdD}=55S)u>-bZ20U3~WAM4O3c3JoOI&eL5r@K7}Eh4H4&`44Vz>}ER zRjNeDFoKx~Xi740J+fI#qYNV$1!(>X32YH?C#YjzzIuABTYijZ=ppf$(hqbek9A%m z{j}!L5^oI$BQ23gM2`y4NCx(Yp*5U1h<{fbVDkVxiHTjMN`ww3uA4-vR0+m$JP>o1 z^^V?`+}6J$4qTifVkkgs#T83=7IQ>|BBrW|Q3v^{hRZfP$>Ca=S) z1PdrU08bM80Ie^PRnwKY3@j6Og8IQ{)IPb&gDtURZiOT@U$p>79*tdz)L9)k`S ze5Ul>0`Gi%ZgI^^WPwhv2V<_3$Y36W4mZ3p47x4vP?JcaZ7=cWwLo4qi7e3l_>f;8 z@4BpKWg_9YY!2)zm5GeXBf(1n8i~1_sw!r4VHGmN0A>%n$GQmC1Mnm!c9kj-G7MnG zz&Sm@7(X;6;aTy3txs&$%;pe4DL~6UnbNxwF((uX&{|0-6`+ymT$!4f&3Fo#VF0s- z-D6z@>j8KY6T3>42pI-2BjCpI14ZJ^8gnqFbDK3-M*=tnXcMO{a?=yY2*l*dwmp}*FgMe8gv^#WvSFvsnF6TFn#$V9}A2gU<2yA#AF*Aij!0Q|J6 z3!EG^*10k@4lRcsP_GBH@}xLd#_;oW*^{~rOzbLEB4ilAjDbWOq47gg5 zQ!N6jd)S@P5v&K`Nlff2RU%{I(!Ox)h@oNL5DU~vIFz46SX$!rb*lmfIPo(&RO zD?lSL6Q-(|rzH9SzODP@oL&_ZaEN>0BPW8UE&#Im1C{vOx`6p(0Ov{os{rkYse6vr z3bG&)lJo%1^qQzXK+EKP?`?7z2wr_2$U)sA(nLVhO!7p`Tka04GPGNS1zZ5QCP2dk z;w)`{!Ypiu2%)1)uVR)mnd|j-S>OYNo5%tG%rx+l!Wi3y!wvb- zAq&C8ghUp3Nui%zhkc_{ztigDP2@w2s{$$XrYr9iam>jiJjJz6K}dsdbY3LKuatxR zhW>jG6%UA}mvCv=`WhlO-EQC|g%`<>ma*d#*)>hQzu()j`!ai* z99Gmzm_3kY&rm1`*~chnE1$9gWDoG+Bk(|O-ZX4|4dJcCED@}tPF||syhZPlRh4Vx ziHHYYQpjf`=NfPXTtgEpG3!)3u(I4Y6%q@NY=S_tpdt0R161VBrt@c;7kiGa4QHz$ zWQGxp0yL6T0`Mdnw!S72GK^qGz$f1bXP14yL@gnhZ5P0km|TU-FoIEl)}GJ7sevNV zx#60Sz}N?9BmU?CX+iF9tF$}1`kFWk|uS>--&2btxbwHx{7zJqlbt$k#z)sM9)kWA9ppgt@YNJUbi~aq7XAP)$0G`Ce zu2LmJh9;3JRe~iH9)O4J)4brCkQthu#m-B@12IPw3eZlHjlib4>})NWd4M=HX9G=7 z<~tpMuzK(A8cs;V1MuDD8(A-azyEt%L+4!Qh!dfZhrJL{UdiEb!3u?|7V!sn`3UtKhf^bjn75=ebA9m=DQ1Cnu~d>(i4-$j!I0X^_6l7c?xHS{^ztp5zF$Ge?Ir&p z`f&8VRqk;@pWJD6iR@b4LHX$&^yzk4naF=em#p|aP^f=6@*f%J%VxLhx1oeC!}ZEU zvi2^q0jnTBoRJ4&HWyYQGfZIiu!RP}zT^V<1HVKwoqDQ7$S{E!gm9K{#2*Lp{OFf= zEvSU>M(O|`4E+rSWfK7Wv&qCw9AJZjctjK)fG5$p;hKJtAr zv*Y)fu7)pMgxAwsG(#VK`On<%kx2JDy#=!iZtQQp8R-Y#e}Zh!FL{OSygovOVaK$k z#~GKo)vybA$u)(RKfI|Wcqu^hWVeaP^=tQ7H?S8cM4AX_>PbHlY;NcK9Zr%H_5Z|X z{{43-#7B6+HaC-ak&0JN*p4rsMfX&3S76F&=Eb`ij6zoz7aPMs75GcQbX|_X%hO&cZjp!>26Ox0iGi z@JBD(6YT*F58u-~pnR)S-ERTimYe3cq4Em)b*#9rf2V8q>Z!9ZJ^Qj8Pm=<7CXb`u z&L+9&7IP@|1ZM?kki&bi>{6zKv8r^CVFEJ>$mxUMf%EUs-$kXrO|@2Nw~rSD*#kmr zHyO69EfNR?Xq}5^XB&=e5zx8enqx^#$KuTzbJB2Jq94FH?Ew|Y;i(Jz*jgJsozg*u z3Csw%!3d;(fe!TdYTCDk;HL0opNBC++D{yQ_S5%YZ*Q z{}`v%(-7q4h@aiTcpyifB+vK-DsMvwR3yOtmVopWppoFra{+v3$tTteu-`;@JGobn zb)6j!$+b^;|5u42pJ|YMfgCipQp6aS7|WP z&wufA(gH>jOs5Or<=Z;Fd?qFxV1t5qL=+x?C(*g#nviA70#kRr>(iSx=ER|Du8i}p z3sWZOJxG1Ewch-R&|JKFO38doPjvFJB$|@Y)FptKKW3b7>)_}}0;d4YpS8#qf!@@m zj^P${1yV4Kd^hL;*+^dN19Jv0&n}*WQXmCq#FqYZfe$L}V{0wz&vdUULR+~!JJ%dW z);cX@XcGp-1EP6oH3!r>XQHNadDa;WPDE_p`w83MQU~(5g_i=flB86!RPmUlOh&xk zE^Biv;U?k+ZI{r_wo^lB!jALGGk2nL&+&=u+m8Hs%-(;V$~*IfIX=pyL=yVl&E4@i zaD+*T9O^rNo7^*6pEyH2kVsoxVier)l<0>rD3Fq9a~XKOorbNijZ8#S@@uq;o?zNO zpq(#JN#Fh)dP(6+axu9QlZ!hdaCrxxRQ7axHKvP0d#XCk0!CV3(zuf3YS8B;?)vjV)4kUxRkhG1nCUQ)=Hup8JF zpkcmO{8C`-s3DcAO9i)*bz?_Rh2)FO5siHXqm zkQFA8lA3<%>^!EQqjNX@627|WWR3F~D=-64Bqm`Yb2L4(LjrmLoQVGD5nAlaSM946L83VZBTz{SpG~$aoZb1xWQv$YTS+}e$A_xU& z{t5|f5pXA{V_&}7KB+9<(bOIy%@)60k1k&6r#dI7BN^Bq#;sw0{||`o0eBJbHM1HO-H`PwoHcZ_onloNUCmx1L;601q)~3YnqlS?s*NJrHw5p#ZHFH(G+x1MuBI zk@W(Y%T(*-CCQxFBZ`TDrk~y2K=Z^T zA}#~V#GN27_+nh*^K!B9^8~)co#KWv{r0;xe_OZh_uiTEzTtst;l58@#FJdQXfS6l zf8t%a-5)pD*W=vg_Hx&7KrbGEKk6Z7@G@%lhbmRF%kZ4fnhYp(pG&`h*Rt`?pZ^Q& zVr-RY1H1g`u@1vzPNgbUhB?CsW*9c{C*g;0>;BTu{N1(%n$o#Ca6PhFOREec7zJql z3JGix;1fupV>wm#Bft-H&psyo=HHSf_i}GLRqpW!(E9%F$8R>9XO!dZ7aqW*MGU3_ zG?Ib+L6VJ3EymkH*SH?fQpeF?OlVAzO zcL1pm&@gQ3~!%5J)##g2e;y-9VA`0+`EG>s6O2cQ6(TdDsh) z$&1vDxcuQ6J=gKS759huo`?M;W`PNvRDq;T{gzhaMarbRh679OZ&5Idji z6QP^JfkbvGoi)3fT%OYR&YKk9rIYNPeipF*AD$lB9it!jpynk~ZgAFIH5V87 zwZYAoc)-f8eGAV^pE6oqBBt?*YLYXh-(%+sl)hm>%}u1#U;9^D{0_ET{l z_;JK92CBSwLttf*MDz}%bFxHq?E(1gnkrbP+CwU+v5RfN5 z``m6e7tN<{uaY$_%Y=>;ppl%HfUS+Y?#0x>fchThd8{)%8xb!M)ND#>RUz!U+- z<0PHqWZpEtKw)nGo6T7$g=2$)xanM(nwS#`1!yOkaRfr7V1Mvu5b-~6Hs^BcLp#ZR zAAxxJ5vBM>p!M-3-U-%7*uC|P=-C7C+1?{$j(iLXxkEh@(4+`3dZIaaHLpc27ygsy z^jP;R)a>S`-seu-2&Q}SIYZ%rnB56tlWU1Ec>rF-Uh{Km8XEd_(K@?9Cc;2$y|_BjgEZ8-Y(=+gxA#FZg56PriGeW(#`?wEPJC z-|vLxY)dzp%^$s}`C-H{nI$wNtZSY$zxghW+Sjlj^4sAshK>Yq3ecuJ z*6}k$ch(XI5?EmB9`|G2*YLMN_~rENpT3fn&>78rHK3*d?TD$9<|jOI1io^<`Q{&d z3oGF(M@Bj|Uv~uJPvQ>q4f^ys{bbX*J6tr2sm})4y3e!3&W{T>Lz?A)0-_ZGMo)G* zXg1xN*P@QwHa9;`5pVtqHM{xFfwm?Q@#KN=K+Nt0vB|YWm^=WVjh&D=_7V-8T<%oI z1h^LgMo)T)bZ3nXUW+>Jy7?dQEcfg9X}}j>3;02qFD4Oj@@B0wSndRIOa3K-g4|s4 z-Jl238UAu`!+-Kw{JYP19&X{R0PSeePWQFyL~fCV0<@zA*_{3P4+v1>n>-sco?qUA zQ-Ibq+Y+?5kUBS9gLg?bvz&^t6n<@fy+qoTQd)8o5{VPq96!DvA0J|#2&*SjI`d~w zWQ&tTfs`Bi?>+j@1@KL}>H%{WDAD|Nf7Yp5H$5BG4VNdfTwa$nHl3?fKc74ivDdw% zuphIpMSrL6I}K|uhh5h3STB*=h$Euu^JPLJ7s(GL>{upWTt7g~0JISX{9 zeg;m#dQ8z1cB3M=5%WYeC9b)xDoIZ1=JPaf;YI03MR_99iT8d&=Hzzg23`u#TH%vK zLD+=C1Mt~XgOE8=uI_aypbL3rLA$O=}%yAgC6i;*TYNb{+Jing#@<*D~{O~_L zJBy{Jrh9fvKo7u^xD(WHBym_Aw~P01cOB3J@CWo)dE4eZHlM!nvv-%sGCf}}6ZT$2 zeX9w7_o8Y3WqeNaDgB&prKG$yEFa`hGKDYK{WWY8jvy4E9WAD3qZYzP@I`>}X!%k4 zM(gs&D9p$ITPg>87pAxeRQ&5wV2eO+f+Dye8!Xoq$N<@tfUUC8YDgh79C;zi+8rXa zQ?FKNX%aDNp-~kb|I}-jO?(3%RQ+`tS{^pFvUJVBiWRIt^Rb2se|R~YsaawYL3IGgo zewOe+;&3>HHaHV)eevQ^Kh`x7WBKPI{qti#zs`oa)O>C8!0Aqo$Vntm?Pw;PH7_X0 zui^JZ&EIPh3L{&iPgQjkFKC66C`ibJ!UOQ7O;&7-fswmW=-4M$BU%;##=ZnrH3zTO zZ!txSP5hH*srlq{)cE?}a3cg}P50tCaBy!xe}oeFA(FWK3+_QkeqPTB{vLoQ(YfhPo7m>ZZ#$pjZRhW1 zIHH%xaI0#Mi{=X$;SXLTNZ<8799|$1UaZxr4mF^^fzRYRV^$!%L>A~4qnPO1IxMYE z-S{gd;`UudKI4s{s>w3Wr$lPU^~<#lmhykpi3E(A0yGkHIaQUd38(#!re^W*z`_*rx7@fz+59>9_1x0KRB!4xLO%-7hCdo|C#V7ITD)0fjys!_=(RLG63tv0wGcdk zF9M85%3G60r0q1K^Z-1GnV`hv2~Hz$8iBhQfq+gGpplp-0#(_XfC|t&8Mm8xEsI=& zr3f&3ViGZ`*P@n7XrKVi6SwDcczPfa0ty9atpt<`&`5NyOpUDxr~u6qH&M@VJeS~F z1Qb>SNO7tp94IQF%2jbptTZEDnKLAxiU4jCZGZ|PkK|AI62R) zhD&fR0*s#Y5-ALz*KRC#N8i@*Z$1^|XL?&C?E(0vdEN)+EYKe-;ZMyvU_GYjre~wN z5%WZr&ue5N?3S=NJRlmkkUBS9<2D7j;B&NK98dFV9q+!J=R;29>@Jdz{LHc3gp7{- zcoWHAYnN!y54|Uj2JJ%(oPsxuG-6vUUj@&rn$u3bninolL{s9L*QlB^*?8^qM8xYk zZUQ_Y`Xz0pS5zuUn*yF)77SH%yo;soW%!U23C*FsE5WEhbsYbJ_r$@>|5&#IC@VDo zH{cYY9WC0aSM$Q>iQK?V0ou`Gvhm{OiHO%r6duSOqs|T2I*}vl3eZS+vON$puP6l} zGo)Olclnc!%sz$CPQ6+oqDjQ4g+_I>$)?cQ?Ya2mB0(Yw1!%3f(GrXvfbRy1tQP=# zc%xA8s-h#jivXi1nuAyKTGVn84HTexV!9U>Z-p=?W_Ns^O<4!aXFQ z2jEHE31W+T90OO*ax@OvLPV(m?PxJQ8?_KVf-eG$N6Vx{q}PDL1Mnp71c_-Soaf)I zTPfMfZk|;7KQQ-s{@uEJdC-a1-0G=C^r!%hgzrr~5c9Y-JaYe+kR#FbY}7&!lZa6Z zjq2!0iAWa%7pDj>1!%3f$B|(40DL!4WW506_Wwd-pN^o50HY@+5ujC)FW1Wmb7f0@D-W4$+jtAgL+zD#1qk7Sr zfh(sUjXUZye(_`JL!#%aL#Aiys z^_@J{d5QGXnnN4$ShqwX5xFZsBf(DU0{CnV3)#WM^^yC3r`>SX>>ftV+9zgAB1X*` zO(7;FA{`A}oFcpwpta&2M}pA<@ZCU>^$dg_xBq924-p)=2zg6(wHNy3^BS3mxD6~5 zcY^W`W@0|M$^$L2W8}RU#!JMw%%N@vgRGRuU><`G-oKX^cuN^~VAub;iTvUD z8SO0}>^kq3OC*xefdVuVb0Jq%%;v%>WQGCE9_D$hGd&v>9Xb-g6#>R0hl8z&Km@MYKH03?o1%cxd9+F4KAZ+ZS)l5U?ELGMqou ze*mw1P5k5GE0E;eqi$%yxn_ytS}@1bP5I8#^I$ z>?ImFx!kFa32-k0jGpuo$v)rnTGVmZNGUYG{#x_Z&8J^DaG)j;^s;Hl{|r$0c+s68 zcz;G^JO6Q~<`s>9NattG+lA=5U}HqGs!$Jc;<6rfd4UE)S3m~#YT^UL9^ ziFBuVHfPvVtQAHe{Ss|VXFnnT+QDM|@eLfchTF4?A!hE2d|o7NP|3MS$^0;cx5CoB!a9@_F+4 z@>{$D`cZ&Z{cIqOfT<7ANW58NPRvtA?9k(mL>+^sXQLJ(1n@fCTm+V~iP&sVaYHCownX7@D{35RkYN+jlu&xhLN zO=e)0{i3)c!4(q;NQ?JyFTdFn`4GJ*kU~F-@m>+foTT&==_v?l@Qu!k4zEM8s~h=oTsK_FSskb2w!D%mToFZLW;8@_ld2$^97 zqX6w_(N4WuA$$a11Q?GN&gP#cD*mIZa+#NbHg55uSIfOe9(N8qvvbhGkoBLh8m^i#YAM}Rj?-1PF| zTCgJujV|0ZoY1ca;JeE=vR;637otLApN^o50HY@+5uI;hVf5?d1h)yD{OF-!XcoJS^3PJ*7AE1$NM;?e-1945rE;2pxLey~bP= z^la2Z z_yoQPFdir8PwgFfAzlKn04=*ujC&3h5}g~a30b!2T$!3QoHAn9oj#{mP0vOx#7*Ff z0OOJJ*4<3qky)Rb-^mE1JL&aNcgowqqDL6^(YdD3@_c*i0oxN$0h%ZM-E5Y}x`cj; z0HY`UL`H6IUZ4V(cV9@)Koj=nz(wi))}k-F9m2PS!M+OjO(Npv&01%$0=yJRxh!8y;d_#aBXAkw#Fk^4wU<#{MPnMBdzi6`)OhHVD*mt*N_4nn#P7E29>| zC-6mp@i>XyZ|nZ@`{iH>CIx7fQ`cS4w`i?E3aorL=mFVC`11mSC^aGbNUtz>4o0DG zpQ!D6)*QH&Y7)_uxHd79ezSgF-2vYFl}3brH|>GkoZKRS0<@B(RI*g@msQ>iIzQw@ zF7G7ycNUzDs?cjE!h5)v;p~Z+ciT~)&uD#Owmp!Pwn$GwNQ0Ewh2SO; zqZS&~(UTI9E^yU4aB+$-E07Z2kyFJzjzkWP2jIJbBI^YhxBnL!`*Z|d1Q8tczgWqppRYS}O?bRhcX8dD zpVZS9QFx*HPYnSbdI0|PSSO><#e7%mTK9GDikM*a06a;)$!;R}!c)V*l{1efvHBRH zDnL70OwUFwgpc5h0OQfJPb6}!5HEsPfab4|z!rggx({{HX?UtukHEti*%hwI)g$+r zX%KOw3eZS4C17iluVLZ)ICB5*HyY4P&qggYHi;Ou(5Q}{l!$aOaB+(8Qh?TqdmITy z55RW=Mb-0Ine<$Tf{?quqmL>3t4>wV0Z`4$bai)I7GEh^EA~yj3l8>A%Id8^%q99TLz3@Fe*J?Y2wzIJhGZO3{ShBL|OA z6`&n0<~WR+hxZbRa8rPGq+kalQOM(YiHKJ~;Q@FOcY?&U0Dgt9y#2uQ?HK*I2Q@E| zgAJw`ox?SOR!YS5TCb*dFYdLxi*M`PM0#1xqNKqnDxIflJ_#dOUU(U(^Mid@^&#tLL=Ezro9i&-q<^p;t0*s#Qa_~PS@>J z+NM-$ZW0kUohwrlb3Q>&-{d%@PEP#&9f23G?r(0V%npvg3$oGzO>k0xM#9gJ9*Egp z_hRZ`Kz$GMJl2_>jfxH(3E+wVkm|GJ@;$Y_s}Zb0ol0vael^Th4hn>FSnf|(_HIdSqrpSbBGz|%6l zc}>e7)Ht7fYepc{IM@6B;ELNMpaL|K1)dG&xhXO`j!`A~395)GVO?o`JFxEBFNPkMXz}mxrMF(?P$?Xy_y$3PvjPE3eb)glZ_WIPei=7C_HFyPIPX#2Jey_ctL-u ziNi;SyA$dT67jqA*@I&eC$u>jaFp@mQC=%JJ>EpNxYj9UNB_A1zDZX-V9o-K zSjF4qZ0nM4dN!)tDoZePSrfCog=Tj_LexaNj#$=LAY_#u}uwwGg^*6?}A ziCm;ZBXk3!LVNpm;5~8Vo^Z#eKuRt&|IffGcA{rC{Mo&y4M)g|MauE#_ zpn2lAQ5zKxYh~lMhkH80DO0*Z8WjBh&{Yf=-DPJ<~WR63aUAHwNk4Zep({j zLjrmLp2VFXF|CB#mHN4hZsz$;9PfA3(@Ky+OVvZwgf{5JwRPahI^EnwFNr5^jh+)a zxn23vns`fDVHY zw3wcaS_mJ(7XikjWl|#2Ye3-vcoKJlV2FKyMnY5T1NZ~c#N6V@{lC{{NHND@)Iw{M zh*1lT>gY*{NEbDabu!24Ql_mg#p&Ls$GYib*KJAD_7R_aU2?wqHV>6}OUe0V87Gki zIt;w#4hEhxk;}Jb8MwPgLK+@`FKx17V+@Sr_Ww?^;cA3S5n${~VAUjI)P9R;T0}Di zXnhH+x;+nEobm~JzLBYOqj5(bZ~~q0WeY1;=yChMgdB1?(=T^fdI2Qp%PkM=DKilwH)Nx_t)CH4>^y1B0XRrdi6iC@k9SkRVP$O`0dY$3| z^VL}`3q)^2UhqzseZ(wQ^Q5b)nB8@srJBI(VGHeOF+Cf#5I%t~0*pt>)BWW$NUabr zfmeXm{Rk%ZRcIvUvlbzlbuBtqrX~%ijM#PC=W&JU*{Frs349S?JW}4;PhEQvtYLuXjb6M?GilYk1)JQ=r}c`b`vf~5#B zdSVhWs@I~HOK6|~%@eohb9j0n5dsPY`bF1G(XK&V+lL!HY!dde%h?6|b5qLWI8i$8@T#rBNr{9xfT8+`BKKk%7{IaTEtr;OP3k;CKmJS7o3i8JK2=3^br z+SLpxpF*rZ0j3W>bO92`QPpt=RO+W=`p7eLK)3n0 zp}@B$pt@vWUBH_)=GdHo3eY^!9I2K#InS+zOK>g%jGpuoDGZ?3ZY($U+dBV)PH)ya zgB6g40<>1Lx5?p_WDBDQ;G5=oADFZ7X4CM)2SJjW^_ZfYo{j28>?9KRDQR+Un`&}Y zBJDWrhNbN!VM%yEG?rL4L2WyyxZrctG1O7IM(f(m?){JxIlGJGV`opEeKu+WKHfy~ z*V-i-bng{$%*mjAh(f^|NgA;&mal^6Rn2LqUd;=aC!#5F&1+Q6nQXlFc_QNV9M?4u zh<-_1=@pd<(x!lCmjy!=9q(ePdl^3DL_%|D?@BN#P#wqby&{e|7@OwvePGT2&HoK3 z1!zZ$>Dj1W_&kvtxG6w8S|%kTz0%6!<&}Z_y}r&3*E*R-)D@tS@ML=+W?oSWLT1RT zS0S@cA+%GkR*0xMc(p>KI@)AYXl%L{ui}%7m?H`WXsx)>5{w>z?*@vj7XW*Bqfqdw zq9eSE0HY_GgIDuf)N&CG6rg!xx)&F3g)k>(cY?g&i*fwmvRL%H4ZOYE?w9U8@RqW4 zFLx9FdgX2}Wl{Fma67&Mxp)Bn^tKxrg)WZV)x0ZWLL3jklk_JD%!?Pm>~m%z2dFuelY|IQsyd#XdkI*_42-W(^CM_90Y*jx!HK)yBk=UK*Y9_NOJv7;(-MK#i%Ey@+q_P_rv^$RAQcb5 z7dBZjbS zw4=rJY}7*d2)+m~9xam+kzNA|55SX{2}(r0Xy+Q{73B0-H`^dzEjV?F&y;?uck)>0 zCDKo84lVJTI~ZxsMB+!X3?h0|fJU+@0bA`F7P5nh>m&F7PP^f%**%P!wNK2LM2wm> znnFxUL^>L{I7N6VB>V#hGIj28kp0k=Gs3I@tuK+)ar=M2<$%V4i;y>FS9_sfKCh99 zh}*z2$tURff*-qma+T*k=Z=v_FECyr1=nNf?O@~i65)v+>~FHe1)nMX=7G1AKDW5$ zC9*)L*Ml)vN@OsPL5G{+%l2T5SsdI%;?pLcH))m*#s+Z{8QXYGzpt;^*`dDtM1Bjm zVewGA*=>FzQ@fFCT|#pOXe8!BuBw>Lg;mH51DHL`^H^tkHYz%FB!KGxZt21~{o^;x zk#ej&v3KN!fC0P$wCs~9y(joLYaZZ$wr zcz#ZE_q*WUnP>9S`KnWwo?kT)=|3Xj(`@*7&N1P?Hqv=G+{zx8O?aQ51Xu3&K6FPr z(s{G}pX5Ev;XY(knL}vLI-WoUi4swG0KU8T1l9}C+s)Eh!K;c6@Gb(3p7auFnr}7q zHx*wC^pzJIycTub)}~ZyZW0kU9vBbAtaHOPc62R5Mid@^&&E#39D9j|PM^8!SSG-+ z2rzomOC&b`)n&YDe!e;1L^4FLK_z!>Q!2IZC9-qkf$=~b%+%p7rt_yCyC9#9k{;_q zxcS!m^c#sgaqs6|9855P~Gx*%t{1elBgEsFr7C;bt-_~&1H(Y&C? zy4j{~&Br=AzE|EcekQmW=r9wW=wfPSIiY0O7W~nHbZ|o4RbQqrMl8Gb*8D*ZNn#0}?LB0BR5Od~RWSHYz%FB!KI{>vR)-b4CXlei4@AW#w<{+JqBI1!yGR ztT89%DI<1&zODPcZ_!%&VdiHO%NmaPXwN7@o}Zn)-#Zprg_$U53~Z~o{qOC;{MIIWF7tRoz=LvT0zJQ6ds6~FP;iQW*EUJKs#ErQ?FJCAHgg9 z5QCl0h}eD!)j7muQwSNsD?n?SPy+BIIyYPs5*Ygcjf9WL12Gq)iMd5Sp%r?zsfy{@ zsD-GS1J_=@O@5c3`$p4zjM^+RDG}+}TOrJeIigU2wzeF9i!xWnH&`Oq8y@XhVy5{* z&63Z|K@x8%GY6d(?zi8flloKaDfC0kI4wr6RaklIqAW_YnMg_rg$Llf%Qv!KfN}eO zp|MX#&_#gJ6O+hahtI1(@cP@R&L9yn6rg!x5)qdT3J=7bPtfjP&~l>%wDJIa`&qk& z6f#FX7Zq}cdPY0-YNdFZ1K0jI-ByOPGdg$A-escHZai$tez%fF8onEs$o*d%jHsEOjamqRMC2ncNhy+kc}b@t z)I|RsFS|s}WTXoL-4Wp>;eiB+C={Sw(SHGz&zyXMar)@`B7NqL{J|gas|{@`@1FBQ ziSr&!nm1fI8rHA7hB*TdBvwSB0L_ze`@h$+$VFI+0HY_ANRpdrq!j)dY0dA3=Jol$ z$BgJ!0h%Z1UK43O=sY@fak3M{F}SH4Ex_af_`-CHsf&DCD|Ag$>*hF&T8gSUoHg_? z_s0X>hQ0nVef-RZQmYz%LL$5H2^9~(lQ?@l8ZA=->o1VW%cE0js z-K!<1&iSPI`pDs#*4#;?iEqt_14E_vf?a`6%omw{yx;iUj= zK}q!2hMCN{V`RY#gquinvq|*Ily5ZOp;p^M%Q~9pD#(@>5d$)ESfv=rhc@CwkncObE^LLQjNIJ3Km{)0)0u8w1wX&% zb9j3oQ347DXsra43eZTrS!0f^38(Eg~+I0i#l%Q$2xf_WL=6U@6!mJMqrK+SnJtf zJ4dqiGr)0MUqaVKfbmFK``fxU-LjQHJ=wDBG4obl|OjAwIM)hLmiD*h(^BPrilM<0$_;=GD$bIE4ycD37 zB&Cw2iodM#UeNg=Co;oplqQVqI}_S0(&X_blD|#v8Ldy8svgMTZgGiGa7Ua*>_Zq7 zT(?S6JN0UAusjh>iED1FO44NGw$2j~xA#zZK=kX~cOUXpNgE$8<#F6Mj%V-9KhX7% z6WN4A15RtK|9(BJsEAt?58&K1H+_JX2}LNsO)m2uOWeS*2ry=nC$fBASrQ=fM8u6& z7B8<1be_r;`7owo`=tE*FA*i>&_g#dUD<4TeG+8 zH9Moe+Aqo7H5`zO2jEYSbutQF%y+e}bzk?chzV8?z?0+?w0R*GFPi&A$5&HnlSdQ2 zj}fW@w4=rJY}7*d2)+m~9xam+kzNA|55SYy35u88nHW#_utwnd!%Fv*t&YGmsYVnE z&`35VU~9I9g|#?x|F_K!>Sp&aYN4q~#HfWvb@Ze}q>Jjux?N`OaU@th0N_90Y*w zc@Q^|1B`X5TPnSJ6cT7M$N-}iA1<5Ks#C{ zB_h2B6dr&l$tP&b{4($rzVh~C&$eUq!yeYWL=Lx@WF7uUaiv5iW#4U--CD z*13uF)0#s|yygx?S}Bo3DU7szbEMzmZl^>lhxnF%!(G{E3HQgHh`Aw=0gNa-0H0k` zh0KvJcsoe#T~Y2sN=3}N~Pu|5pm;z@j%S^1YNwzaY~(> z`1?Bor`IXo-?;>6rl_t0;KqD~^n5v4|UH4+@U_gBj^E}p>o{fqQ9SPuy0OOJJ zq?we6^lCm3QdP_eg+dddvm~GbG!mUFQxo%)MCW;|Gd&wsvdb`lDFTegNjk^WF|-%b zLc9Q80b2H<;?UGdqZ7_P0%`V$r+_Ps0N)NS-Pg*`2J;+FK$s%H=!w}@jq0_iDnKLAxiU2|YmQtKGQ$9750Cz_uKUp_j@*#|P6674 zsf(-J#Y%D~JdMBtBfy_sED(2vkom1AbsEvJ2jII)KCoVZ-nN>~3SL!ofOipK^rV+a zcVA~{8aTP!sg4P7F9M97^b+Y$ zUGn^Exs|`I+oi|9L{N}B6uukuKw5nfg~BdWw~Xh_Ep!EFM~imq)x7X|BDZi;fOfQ) zY`l1RBI310;XxyJk2*J8gLg^jx3x1!oFT?6A%+r}<64)-WIB|H6C+M&D5uvc+j@1@KL}>H%~AKYMS`W66@8hh^0i+x6%nSTp9LEzm^Ncp$ZH z3hc23m)m1MK&|k#)PQ;1tM%;|dy!TeN1)8z) zaeuZ^vu{Q=uA8nDa(P_~g-Ek+;v&EUqUou0x;9*MQ}<--_$GX+$&%RnC5^Ud^PGj; zrl}cvL{Oo>e4BVq95qik8!C`f8m<2uunJ|Hc18#FYHq$#h)Uv`+pCc@YTVwX5NXEG zd-OoA7$4C}0bXx+C>4ZFC_Dh)b#V;vJR`07Cu&}kRxYAL6%h2y2r;hLqm_&3pa9P^ zcVrv~od=RZ*M@6ZS~puj0}sIGD{Zq$yhrTeox&)#(lM{YxV5CJ!K>AJweUwFv3W)k z#_<3=nY%y|+6WIDjng~~Pfc^LQHS$JM|ce0t0jax|{!B+vnlWmm{88x8r06bZ-K*Rr_6%kc{N9LV0nUiplO=yG1 z{a>zvOmv-VMmBCGrx9Y@N~bn@l@J-iJ3^R~a73X1?=LsrpkHComuZO?ZnM|+apRRs zyrpdR@-`hPY`b(_l!MaUJrZ8I2jKI=H?m)WW&3}nvrk9RRY1@)BgD8~k5(?Cg91Fy z+>!Z2(apC?y>NDq({BO&4e_}V5bF~-0+?En4s zZ5Cf$jp$hg1jiQGHA0L#?y=5`=%xT~Y=K>O9_43#ZQPsjzvpZ`d4 zBO#~1wrhT;jPpE<-EBNi$OT58|F&);A*a8#H@qdCp6BEYPa`Cix}Qc!sbiM;<=GY+ zHFz2!seE;p5E3wq0z5KvB3GBA38(vW)d-QM-l}Dj70^lnPQP>r?Dni?V1JzAvs$iP>@Dwv#ple@#N1%2D`EGz z&y#aK0grHice0t0jax~dz*hmm6YcfF(`Ujs3h?reVB*+?M`k{25t3OqqHASp(s9j< zJ@jLqR+y2ETgjckR{_Bj?c2wtyOmlcUjnZHuX*VbciQ3nGZ4QD{dRW#+(X9qLuD_| zIRo(r;hgE*T))qoO?K6p56N#F4>(l*>2|bta1sFDj?{Y5n^1gM=O`mL4Xmi zWA4Z|Xy}0?2q+Y&{(-(|9g`ep?h?0kec}^~g#J}P&@(e*#`Su%atR#-rv3+2cVutX zm_yEd)dKtdw{(4dFP_&7yq-)-OXAVw=zTmUj+nDG`+3sKOe2+OW+mYHQ&}zk9+R7!*iPfKGdF@ z=x3le$W{C{N&|*bfJb&!1CA!30zA*gyV=*|+q&e<#K4Vbq-SqXdLZ$DLLocol;j#U zgPnir5{r}U>oK8g6%h2y%$RY#9&Oyl-_{M{il@opM*V=O2jIKz?HHJ|@U5=nn-2xs zVvTP`Hm;ko6rz&2=JskNk3w#^=JqayaI=KN!~>#nh!qROyDeDA^Es%>2RsG z&soUTQ;3h*o_wiAS(NwX3Mn6JmuS+xN5nBFv-Kqk1#b#z#tt}q6}(o>oDS;MymX}y zmBcl#Q!{7Oc>PNu(&{ZP0z4r4J#D4;R4PcH3SQk+0!?&$ibLH~_?(4=ZffsJ2r5t= zuitw_9CHYE-7k)TIRmu*kDwIboor@g<9g{!A&+RL0Pkd5B}7K0Ba08O0^}P4T^p`t zN+aqD@W^%iBMOBF zxMFU$gqR25^F)#T3SbZK6e_Kn=!n);K+rSQ;MKeytz1M01$dsB;iZXpgfJ&zcY(a* z7vuQG@iDid z#Cskq0u|tqUDtr4&M_?f7#HsU<4!Z4**%O~>1>1;x6-MNUL{0^FsCGSB^*&GkQ0Ku zRovH+s6poe_&iZ$zXHql|4L_{j-ac6pl3#ialIa`Tto*2c%Hc<&m1Pj0(}*|)I0Yq zX5egJGCqq}`;tT^K2E*626~kNuhawZ`)!@fLXO8>?V4+OD6e~G#DoSOfG2Y|*$%7c zW$W}*X=zu?j(ey5CI#FO0Cc|yjr&fz5-{BbhVIfV?5Q{)j9`th$(dLVIg z4ELS1NL)Sc|MDa_4WCdmBOA9!oR~2}j9YYCLmY+t3fGDhi5Yifb4pTIl0*~=aQY3* z&6cP^=K=UUQDnaWp_iSAiq2C6C$2)-lbhO8^F?+2PALM6D@2-lM+kEgb{6P@AG`hR zUPjIFoI6IIce3sYne4DGc*wf(L6iAX-AG6=ZZmPq@04-3;BO@3M(6fCA?F^0@;%Jv z+(^i*j@br}yd}*xyL^Mqg!~M*1pf-}&VTu5zwW%Q&4f5(H&MUU)cS&rHxu$d@Pn9d z=p^({f8f0y2ywO|ujyhgp``*mGIJtVSHkARDrA8H%n{CWTW3Z#E(UZgfU5$6C)%s- zPyTC4@rU?5-=AD%KY1MIy$bLZ;1!=t=~an@6AA@5-@6Bwk%@f)T`NaoAZPEM1h~jwjDR z{9kEBKEApRUH1pczs5Xy3`uZv*M%=GI>MQRKASYZ@z7m&zaD58`wiX+O5xRQv}`)V z1l|cNPxOrF>;d@fke7pB$P)QA43MtM2JK8hmntCW*`Q(S^C7R_Qtr?_{@t#COhEH0An4ghNU?ri zk0vfXT)+QDcyse}`U3Rk_r4M9osAG~c$Gt>y z3~&_Soor@g<5toK@Kr$YWc$M_y14u{J{7+HK|NVONC95!Z5{2G-|D|;P3WxvkIY*& z<|Mpo#-!_sXht?}C3yf}1q4sF|A_ADx_=WB`Sl+6*Q1SlNdFoS zn)x|i;=h>sEuRr0qj;;9O_o_8`h@dCo4URAK=do!!lZDPFaeCvteXgb|GJ400naBmoeTW;+PXPukjXB z5Ypgh*@NWLy$P;%bx^P7rY(f98U?pgEBU&kDG^DixmE~~Rv)qI9uOVr#HDM)H8*un z-o&Zs;@H$5DlJZOj)vO^!OkWRoa8%bPk6hKB!4OAB?DJHO%89G_lS4^zU$tMfjI+_ zE_0Ac!8BOsnvsp`<&3!oRiibpQ#1GPkuK;bnnXv?vw` zBpW)?hy$Qf{9J|KNDLC@lc$1^1x7Fm@J==z)T>p}NAOiZ@MQZ}n4SNIX8DJIcj6`} zVjKl{T^DKqo=n#UwFpWqqELWGc3lIGnryw{5sYNQAu1|p`z^aKfNMl z-@6K3_s_DoxNYZ-tjC3^N$-e40p8a3YU=^F8!ug@Ke(c|(W^**HS!NX^zZyd`72ex|*C$#VYe17;w_A9`PrBG?r zL`Sr)0)n2Y2CwGzXyyLmuhMKDu$%wC|J6iaGrY9qrS?F= z5n|j*r#AYZy$#phze93v{>xbJ>5gm;4s|6Dr&FLq?k3SVq1){&Gm!q^16{m*Gg7ku zfZy?F$y!Nr_&+LvonA8of1UnH{P0ukZvPn{HfwS$>J#asWjdMS_;rurge)F_uWhmt z%W&C=DC;m^jc8H@1U)lCjO+Dio9IDs}W+{TCW!VFa8aZa`&FP{KfyMb6aHa zMowtu0eCWJfo?gEgg3y$24g(c5U;y``HlaXHcb2);b(s@Rb^zyQnk&3z=umNo%2ca z!vam`Z{i3!{~+`j`TytJ*Vi4L>hSw#I@g6_kaG&T|6J00$MDIwb^P{<7(fBu$!2yB z3f zGMn&6Wu+z`u7iTAdW zzbNAgxxj#->;6{P{o}(ou5Q2m=>C2FMnX=1ZE51i8K-A&$gm4(m@IDk^VSZRYX3ro z^yj*3Oq+sCqB)VPD`EF^DxnM$>PI-hJK4<0#;v4J;H!Y(iT2uFkyr91@CxwqE08#L z;gRXua1GR#05?*6T5^u1dywHyM6*`Ltt3z2tAOB%_U&z#?%jtI_3quDXa>^Vy}S{h zX#9QHl`|0N`4G}IpiqEEX6|&=^<6S@x1$bn|C49xB6ro+moRh{5IoVg{hU!tfnC381JsKC;gr8BR3t?tBoay zR|;V@3SOsX&ZzPFmqMf!|5m{Rxvu<(RtoTHmQpR!#LMMtQPqlSn}6%VA} zBMJq0g;9q3)8vZQONmD`tOA0Cl|nABs}KRA6e7*&$l}AR0J&l;7D###-k_!+d_ds= z_~IKOAxk8!`6p^+gLZ~b+f}ILGeV48>(#;=Nwu!t$O(--08gfC!!;opy=)!MWiCj^ zdNzp*MidJ0PBt^LaVzN~_$nZHvaJ##qXrZnfG2YoNJ1Oo1^=pOqgPNL=2bm(?npd$ z!Ug$0l|LU@Ahoqg;$yD0*9qZ4F7|c`#KUe=sWVA=j(>Fm=HbQKWv%m^{A*Q1q-=%4`4 zGk0V?E=)}_lnWGpbHfdqL?nJ+davjCbBAqT!dtA|?RNiTWO%_#_pss8;UMh4BzKSD z04*MX-*4+=7IG|iwLE&PduPOis0ZN5$_2W9ClN23dq>A-Q|VJ?6Ml>lt^&N1&5Uf^ zO8N-C3J9KTtAxm?0fh(P$?O89fWaa{yFIrVc>CN0?|O$Bcq5gFLIEDxbqzRL9K*s| zT)6++Zj-**J&arFYJ?cK(y5IeghZK}N@sWE=G(dH+wCr6cfdWXh7d|JKQ?zx*Hy+=pMrXSv>&1;I>ZgBZmFIzrK~er=i(B zj9cb*g~s;jZ^%Qf5Y9_=@QLfwh(hcA`=)u!WdGjkcDu7sTh>c8@FBL1pH z)e}%vfalprXkbZRvlcF(aTO5sY$T*uKd(m{_h2Q}x*H+Vj3>qe36~3W`z_8>8)WA{ z-5J;)r}*iv&5W{l6sMy!p_u|aGIN8eu7us=UP2iL)Q@nU+d4C{aWSA{0bCUjJkeft ztAxm?<^v&hC7e(wbP+yV0xG~G)3q`+39k`)p4&PzvT;RSfdNbv5IoJ&&4x>Nn$*4b zJ_Gv(R;ubWnijnLF|oT6!QE%6o(1r_W`CdPMZ{ z0DP`7uwMaJXr=8!I-p$@5cJFlF|OC6l?&*g0M9dbWN+1&lMLkoeehE6+_RX0pFRtf zyU20|etOSb0xG~GGj{~)O4vQ_C6r-6{RsPQT{amqEtsF;2M2Svb=2eCJMNf(3h>Bu ztxQeAYnE=mtvlD!MLzq1m%DkRwt7JG zDj?|DNXP}g@;O*Zo(OP8h&1Dg@j$}v0&&QVM1(v5U(B75C60s!7FRZEV*;920YT43 zLMjvJ^;^nq{B7MJi+_orAXg}SHRyr#;y7ZWCOJ554h-M1# zPBx>)%U24KRtFRwbaM5mYr{3Pt{MHm+GntMh8$-}@tlRkClUYES?2u=NnWmy1I~2{ z9&*`5F;Jb7`0_H5xj5Rz>&i1bPW@O{Ky-FdM*R@cHH2Wql0z4p^o=T@{!!&9^>&9+LD+=C1MpoJ#{kbW(wcvw<~3>M zB05w7LC=g3<9a<>xrh!5@H}%z#&OVjAQ^ORxR#}LvjsHp0DQjEHk-tI#2(%$jAAPt z^E!-MOR5^YTCG>qj8>MnDcxm@)XJ!jrp*s)$?q0p6^7K zzxEH()NCO_-lFh8!pn}~h{g)=PBt^LaVzN~_$nZHvaJ##qXrZnfF~;!X!swrBBBcL z$h?y#a}qAH32kuU{%?9syJlqLR{9zt#;tT}qgM%$A-p4mISEG;3h@4N;|=;127Q^9 zc;PmCZ67yYxx`z_W-o8kfx@;+*F`xf-Q6SMm3sg_KYSzm6-A{mB04C*^UNKYPedL_hH`;!Ka;nLn=PQ12jKVHI+=wW%lXZt$2w2B0`m#z0eG@< zf%g9`Qf6kyeFnCl0X=-VCIV)zSIcAA(;Y!7z&qK@$i}UtkKn6-;K{a1h>RLgcmSTv zT_6PF7~qk4Cr#!gT+Jro9vANaqds$v8QHj%-bRRVE1lZtRYGKlnZqQal>)qUCj{zS z*nV4go>``4`!T=y&Xdk&Iaq?;DZHhW{AC$O$OQ&ma9ejyA$Xi3%-uZ_A|8Ox58uds z0fCui`+w1SiiikS0l~2ac8w6@j(e>0BDyKS8(U!49r+p|54el7K*PO(n=PQ12jDBq zEg?ZUpqK6cdB54Zd7~M(?CuJ=ysm{pxLE>v0G`ZUAPHRn|2X^bbVz>~DqEJGkPD1I z|B>QGLQa2e*ZfWy=Xn^r+jyRk3yeJfZQVvfPJeB0cuP7x&&e5fA+1yR%dH(Q)&6A) zNpfTa%$fo`GIJtVm!k=&0ME1Wt>$oBXRa*Nl@tlZN3SL z5*J56#WORCG%=SU>Pk4EP=NQ}bDoUkFd}5SR;I?$1XO_M*+^(&Nz_c&%G4x9La`Fi z)w7Wh|7RQ3CXz3r@Bn-lwq3gLd$M#q_72Z!2KL7(KBv_hX}#qirWbed(#C9M>Ppz` zE~C8aBvg-ZfCn=>u(}d9xtm#qT`NzkMz zSY3%H6e|H;Ju^a@T*((vcmSR(jRBr#W@Kq{gTey|2NVi)bM*tczsg7sqaB&9m8o$w z0TtkR<_dj@w{`hsByT1L&Ycl&)tHlB0fhoN{B8%k+q0X2*ZaBd*{zufe*GgUx4Jht z4D)1mV0EQ|*;AU_VF*(u_*MAfWE4TN=}m3p+q&VW&s#0sgUrF)Y1G~zU4H2jgjE4S zvgxJU^0tn|+_R(|_8EAFGr;@JGt@mu4yY)=BhwR*sc|#`72tU`-s?V@Z|lNX*USzr zFD#<)0Q~0P*72bEs)e3GVHQkXZj*ot@H`t$QR1@&HPc&gQH+w!dpic^EPSi$_~t`_THr0fN1q2WrzUrkYc;T63Qn3i zM|zWK+V&cTuvQ9DNegO}?veg|j{YBA%)Zh9NP4l@b*@CqTJo7%e)f(lf}NZupj zm_xAZesK)U8KCul1f@U@R)$}J2Na^P&25I7cG2HjDdZ7NmO|GBG{=$ULVq!CbfLAi zQi!y8kHQ1F7}vGoT9!4Ut^kjW7uy2~^Rc5KWPzkLzZK}?0i_4v$uw(>7O+5;Ymlo_~79MFWtk%XKy?} z|96q@F&xm;1MvCzi;rd@OXS5;=o_@{4`#cVc1sDusD}2MWSH6I?T32{g>bV3^Z-0r zxj^sUNyNMuA!n49*`(VTBU}Y|WT^(=$xQAhS27eB!K?&yC7XG_S=G|1zz9YGo_~Y{ zjtGW@L%aoSY(BDUdV# z$H;EBL=7gCMuZjMdB*QWg}zCf(uiJ50c|}~4ed3_7f^Tro-B?5o@ZuwX>yIi0|}Q4 z6fuRIN?PprJZ2y~51G5l$r*5uVP0AfBvp9~yF>WVZC%+}A%N z&YVUgawx#z9+eN06E$#8O5pQEk^KUMHm9k+Rhv@Iv=sUgvVwc^iRjL#S?Wr%fWiat zWN{4e#unK%gG!T`!^FJN#%a&){p`L>nfUN2`HM21kP8fWn9aG7kXaqG4Q~0JGHw>0 zV(B~~V_9eM9%i#_BxF{{Y=cMM7-pMYzQHb}b&h|lwZo;_zi1%|v!(!#%$!cum9ROn z3Rz$PbA;ixE`s#{JekSeMSoxSTqN&0hZzXG6Bd6XDB+001MvAFAK0(JXs=7WBc4ZxF`+)b`zC@_Fo3Fu138<+rn{-tL`8xO$ehkRha0;9by z4cm*^fz_2PoN*;oblBZ&q-$=6mYjMsU}_vbWSbyMUnoSH@x*u_;qu;K_~~;Qp&k*v zJOICL>B0+Mx{Yt^KFBKUxX-{o16$8P!hj0!$n*qcY7#al3n2>(V2-eVTbE6SObg~` z#l3-a%U3N86qRPd)Ri`CmTv#H?%YfF7K@=E7bgjq6duUReMF%Eudpw?r^(?hz(=14 z^2$CU(@H?s!b&0LDRw$dmtZT!yB5g*5kU8tC zSf#?w^?17?@dV)tnP^{x=pluC6#tc}StvRrsG3%o7nWSIC!`Q3Z17 zNmt$@;+T`^e~ojUf{+G3%N`_`?)%^)m7k;T0nzjjE=}8BL*~K?A*^O8P`X5~cIqL8 zcx;-{T8IEq2$5Fa^9h-waHJELt_|0ulaDvi=Nnmm6q@N1Rtz}pRMKzX-Yox zkiy%vFk8EjG`UmTXW+@lLhqr*15x<~g@TY{jPheChnoWB5c!yG}HWO|E1pP&KsIBw0e?0eF~^DP)0Wc!}$AVQLbNC=}pr zZLhW-aJ%smFWh$HZ9G!qEoI|DHySQ%ymbAS=>H+xt{Ka{NERo-f3Vnk% zrAGL)TS^c{HMG|xgTBE`tsm0pS}25@C7=i3$;t(~`*VI765aq08;o(WA;NU#;X9G( zR%eU;WZRYN9?qux(@QjbC$g2Y4>FM1LWDHCg1Qnek6}-A1gQXzEY$!!naSPcN`?X> zn3aI8WHYBM z4ZxF`+)b`z$k7uL(O@Z{Eg9$WK*Cma&t`=uM8W}u2jI!d1-h3&M4%)3h*vy=|@iT zbM6>UdY5vAxGhg26R*ouij9O!Nmv#Ov22%k=PUV(GMapYZX+S5zqYjCw0-(0 z3o`6N7EIEp$xSDp-F!_=QX~{B0bM;C3$dHqF&d9GZo+Pqd|Y_I z;dGTyD!}t>JV4*#2BilQ4=5Di^%hVnz$4SOGBu7SpaMM4MnV&dqh@Bo)Rhzo#Y#X| z&qhM@C;9!~%vGX&JINPOcmTc&8!z2^9`)-DfBZaO{@dp%`>d*eyFZl9n)LF?l5FkH zUBm3AX117$)&yPw9+`a8WVT^;`#3jR2a=({1jdD5s|AidP$A>p-UA8qxiN#DwM7D< z0MFlsBS!?v1-iYG`6?sSLr*}aCfQC|x|!2x_WI2z3*77l=hXzqgYlYl0-*qJ&C=aV#~q*F45aTpKh3*h%s~1h-hjFQM*$ug@1-7aGyxUhc{bkR zKKIF(3OmLdk;9e^|y6A=>Bcp%=-1SVR8-x>QdfOztKZ{jHTkpyrk(EdaSV zNthH5h~{m}$h0EhmqMf!|5m{Ry8NLQAJIwyo){)`Y$=WXm0p>c64B%B^sQPZj`Md`$Vv20o_V~Trfsh+6rz$A)F|i7Lkizh6QgyZ5NY-~3J-{;r>K<+ zB;6dm9Q*oRvL5;0Dix%%kG_ILhNrgdbTL|?W@4?1oQwrnO&fC*S(X7CgPfqGv_0lO*&bOAQa${ zr5b=IGr5~w$xvVfvl7si%sUk^Ct<6)XKfKdD8TcNkiZcEyFhUW^3-Gv_v$A<1M(Zp z_~r?PW=HuGs(5}w$A3>WM-&S1$Y%Bjdkjk_i{(`9peD&U zj|cr&MrNjim@)zT?PPl&_=3J<`Ol?(KJu&jt1oE3h}$$PdPqeZDFq^1ADw(}nb zpIb;=sI0YFl6T6u+f#g7=L#9iI)|6|kebT0k&r0~3uC{#u-(O*?Lr!-_~SPkJ6x*y z%NAlTNMsTt3J<^+=Tsp}9G%(|pKYm8^}0h61QaU)T|FBKnYiTe+DSfh0jVyB4_2@z z0-PB@nwiTGbtPOb(ChvypE5!{B6@iMzL;4dOB@LeEQuPuyroMISh@)4=LQweMl&)r z(6ur(X;3cE>u+(Mq5ob!pBea?KTh$Y3&s2CW>$Q`7W-C*-A1K_RPupC8w^HsUb`g7f>p| z^UR$QZ`GKS48_v@PV!Rk+_RX0pFRtfyU20|etOSb0xG~Gn>io3$G!B-Fra>f{kATf z44D?pPxEaZ^?3J=J0_q4JhBTcUBhji-XJyBM0|k(%t}C4va1?^Pq?k4Nz->USjPf5 z1$Zl#E@l@clH%)vVe~?IO-9V_Jj)qK&q;N0=?U?%2H^8UKCoW_-9M<7hPU_3UW|+h zkZmkWUG(y7BxKbdZ0-ou<>3xit0w}S5hBfaVmy$ryFeUrBM~7Fz!#G$WQil8fyGgy z1xsgVA|ST|UldqVdW!ZF`Nn7gh@Sh&D@snnLg^ z`UgnITF>=UYqX-ZwNi+*IH2$Vqmk*_a1B(=uKBG|i!SA(*DwT+Rc`6y?X<^yEzUQY zZjsV-{D!xY={AVQ`6jkST+zqoX!pNr?{b+QFIUI`=Q;&)9_iob^v?zGUAi9ua~5dE zoTtgrlepgEP3i&B?~B}KsA<<~V80Y%bWOXI;|*=EcT{>nbfgW{=;DDbltQFM+d~R% z*4&TX!VMtrRMu$~O1p_Amob4)b{TPwsw<==@ytUC6Fz)iVk?B)rm4h_2r6`40Pzbo z0M8G!%z!xywEpyN&4C=8MfnwYARj9vv{?z%v$Rr((KYSLWeAR}%0mhxhBSgf6;=w7 zCT$NX%+lVWt{{uIi z|ASUUTmc^0jM5^T^fGwd|K&{3M*~U^z>}HWO|E1p&<;EK{e+YqKF`V$qJpi8{ zzLEV3nA23>s%?MZ0hU5VhdmJ)UDK}Q3@AJRPZq}j&oeWWG?_U$*#)|#|5r!eDsHxb zq#l6JZem6!F^Of!11R*7Hl;@Rw404Rs;Y+enk1Tezv*pV`-~)v-~o8DVu5~#CqaTc zKCc=0_`KxqYIO!4`>NSOgq_(Riep&QX0?dA0z9%*1Mp-fcatj_3XEV@0=kkNclq0) z7QnGO#0QyCDbU6ud1+Ap?26-4NTb7=X3yeSiZQVvfPJeB0F8j_{E^rsJ ze6FPBFSmBMRQne#Bw*GQ;E`3&+#F3n1$dr~Pwm5PUGQBb(mT5}GK$^UOaH&FsYqnN|Y2dS-+)xsorU@Blnn8UsAf z%*fK@289O_4k#4J8Gib#B-bbc+n0_efhU@$!(Fu~A;tt$falqHmacygrZ>ROed06l zdiF66pZE*-6y@>d`lW@v{*jEZ)xE)CctO*9@$o8q;>&ci?Wj8pVaf!5C7>&rcPe6z zqZ{AW-G0V%xZl<#%zhORB%5A3y{#LaAf;=D33UZ{{>4w^h(LL4-DlCgy3fEq0~^jj zz*q|K$fnOn2~8B>d8X$e)b?-dinF&&+VozWtxYhK%)UY&J^#`rR!Hez;2rtK-`4eO zWS@a2Q+q(v1Mu{Os4*~S;alF*uw&O(4zK0f(;wTD|d~Z1=H$ z<3@UuY1;PMG9fByL5)&A(!bA<+5@8TC^e%Mt*!Yp;y<5|pIJx=-qTikPo;v*I`XfU zZUCcR*1;+icCN?U6^SPZSI9*BA{4vf0;>oyaUFc#vMYpyZfftsF_t}2j_Me4;yG~$ z(*rGYfM<=?{}G%5IXDdY6?i})3ftUfsA(7dt(8I^(PSxfT|jdjSuXVVQAQV9TPuY~ zi}xrzkSoSwfsT12Y6|ekc(FZ@FdtD0LKa9`^IL&F9#DD!o=nrW*Ca!MMo5z@$r1_= zz{8AIAqzAkOPsF?J&JE`d1i)}Cf*UkoP^y4@{(VSOZ>jPSo$YTe9+O8R$ojlyx^sK*m#xtFUj3w zI6#XB;PdkrAI(CR$cv@WH)z`*%yu#DmJ)OmlQyLhy_N#ndS-+)xsorS@Blnn90NSh%*fK@8ifZEE*B{B6iRf*eFpX! z*m4HkV>m#82jKVHI{p-0Kqf?Q>!!bT@y>_|DLepA<}MI#uwJ%InmIE~Ws{gqbbEE6F&I2NEt+5A?C*zIsNKnncYhNnHs?6bf+qdjs=2&~Em86wyWj z-q<3$<}}qeQE8?oaqhYj`Vq2%6WJ4y(KYQ#vVg(^@MLie@WvL|HA6|0nZv|gpmFju zdOy1_lO;ZUO8%mZC*%SH9%gfHBxF{{Y=c{Vr;MA0r&u~q$XM1{yocE=8wr`!G27sg zH-_0}mv68OX`SQWYVB~T_AgpU!mKI4BQvK{btP<0tU?wTz#L(?t&3ni08eIeH@T9b zzyM|?peq^g_8xHbl~v7b2?3M>yyBB7y(*D#LZJY!yJt*51$bn-R;DIlGoL~h7{DB1 zxUGv|JpfN;ayPk>p}+uUC7>(WRSm$W8>o4Wd`oL{c(!V zWvvRGfp@~nA6Z5;_W*o;$OrZ-Fxu-9Z#mS`?7-?umVjaBc4ZxF`+)b`zC@_Fo3Fu138<+rn{-tL`8xO$ehkRha0;9by4cm*^fz_2PoN*;oblBZ&q-$=6mYjMs zU}_vbWSbyMUnoSH@x*u_;qu;K_~~;Qp&k*vJOICL>B0+Mx{Yt^KFBKUxX-{o16$8P z!hj0!$n*qcY7#al3n2>(V2-eVTbE6SObg~`#l3-a%U3N86qRPd)Ri`CmTv#H?%YfF z7K@=E7bgjq6duUReMF%Eudpw?r^(?hz(=14^2$CU(@H?s!b&0LDRw$dmtZT!yB5g*5kU8tCSf#?w^?17?@dV)tnP^{xW*$-)W6{GW zRtUMsLkfL$8T(mQf70s974jwKRe>CO(iJ}w&I4J5*ErWH2x;)M>_Kwrz7IZ9`8nzy z5KRx^(zNY0WG<`_!fKWRrAzc`ryf#>N2wXDg$NLZ5NY-Cj!F-Rj&$PEwc(m{^6@76 zd?U+`LNk4$T>cnV-Rq$Bv(-EyP06SKT&}xK3$wKgN%B!wEr0PeIUG^%(b@ya@&<*1 zkYkMUZXG@DuM23yufPNObY9c8*O1;?EQH`F%5+V;)Djsd9#Y7sFryV+ti@tbX@Mil zeNrK@Xi+Q>NH%or6okA*;emu%t%8sRMlcHS$Wjf!lWE%anq(+2f>{aZN`}>T0X&(} zDrA8Xi~>Bltd=)*7`yPubZxjMBruKv9@&i2YBuTJBlhs@Z<2a8p!5JdnaSPcN`?ZB zkS14>B@`ZjhZ&hdT8w67iF-!~a}tgy6yR-bueKg=yYUh)+;-z_JW}Ew1b|hVMkS8vEwP+dX9Tnf;+WhCR^{ zqyjv$R0Hs2CU=u7848SGRsy<`&Fu14wR9>lf>D6ypO*qh1k5VY41Ohnh(ZA#*^JU6 zoAfeh&P#nXp!5JdnaSPcN`?ZBkS14>B@`ZjhZ&hd7HCG6_{?Dv(Mkc{{o8&9;q3OT zW`I7G3(rdME>>p%$FF+~C$#YZe17;w_A6jcQ+=zp{ecHq3KbppL}YYLyOJ}Y@Blnn z90R=4q7@=dW=>9afmkm0G{PlYK;Z%S%5qC6M}PlsQ)+}yyZPXG-3V!NCC|+J%|8Hg z2~syBGns%MfG2Yn=$7+Hcmq6aFve32vGHx)hf6M<^GWl=lgz6l;&quyv5}A|3Cm(3mhBSn zd?kNT#uIXZ0mJOlZ6xIM*OnHXwoe~rL57osoa_B|vXBKY_AE_)ewHj}Nb<6UTw{h5 zWWK6rZV8(ctB?gIFh@ARgP9Y$x)L_In_S6IU;?ue(3OmjJ`Xth+Fp?}TS5Y*0587+ ziDMTYnXV1jKz#tXk>b-5T}kO<=2OT56PP1Bz=P>pnVN)6?j~0<6qvxQ1au|4sR2j7 zz3tMy>z9tl-r*U|03N59o{`>NYCi*^OS}noT>zs1kIZak>T)yz72tU`-s^_jI^BFt zO;RKjD*;_S8w;_U+jLa&MHC)@r@ul>TpR%v&&*KL{!PJ!$3B^i4SI{(kR5DM`8Z8&m7 zpj@Egr_W`Cdguwr)Fhjk&upB$n&2qFgXvnCnuJa6CRZ{Pn82(AbS3jvjX4Q#yL9*c zpnLJ`W*~j<*-737^9-av;;pC)@D$*YnXOD+jwYZ2JkQ2^-F{nF+?B|r&FsYitrW;f zU-4NiBRNbFn68znaWnxH;CVJ$x-^^_%*j(-Ns&;j1a$RmB&3+Y>8Rw3C_Dha^=;i9 zU!L&DJM1&C&%mZLu+_c6VR%($2Ub@aOmAP)(P0QvCip7>UCDU2_kg2Ye_O|c?%&qU ztZ6?>-l{Rj@r}Q&>ot8usXz`61HKycfMR6d7z1+#?yj;Y{k`pjxFk8C?m>TGnA}Y+ zQd=v9u$rYH7bgjm;sMdTZ5f$X1pHEnwDO)$*#DL~P>YXfr2wyHDb*rPJXWc&b3NX! zNIXHfLMGZ5p%4mcL|pNfKcZY`g^;@yecZ8|$nQ3_zF^~*E2Mmy+#5PRvDzNU6d!Q0 zpy19s&DfU+D3FtAbD4R(ou+NCEfk`X7St%`%tH#_Qxl_gp%7{IISLPmrl+Wt3nbkf zyyKId6KJFOQE0ZyxgKw4^G^A$kf{zfb`vc#@`If0Mx5)c5ON*dOg%}**!cN+IHDr9 zZx7J8>mJ7duQ19`JWVd46~6)x-6lZC~G(qdjan7>(6`N$wuQ z0a`o&zu(qn_f9e)_NR|MTNM2ERp596dH|lRT%dRFB%+D9CgjZdsLUqa#u(u$z#~gF z08eIeH@T9bzzAj~pevboDq>E;R&~$XB7#tW=N}<~BLd|DeaNDFb)SKK1~#05h_Mvl zkOY153m#}I_!za=$dvVXF%Zrc(OPKc%GS|q{+--;w(_$Jl+5o z;S#?uFOvGnlODEJaQB@Xxx~H1u+AsV;YpUx5psdoyWqC&d_u0f;c<%YF&yyvJOIDo zwvIQ&ju%To`p%t3&Hm8j_9JA4D?}wNs7bP!U4H(y&K1JB6VLVkbpnGGfK;B zeX^n81`(bDJhD^+@MI=;lPei=^n^q-SPE!MHgi6*s-;too)C!#6dr&lGjA^;FNVLy zuXLRLd^^TC@1*Amne4DGIRBC2Mncxf&w3?!r(_IOpW@p(SIAh_IlRP&)KsR8giJ|T z82jCY?JnMI7t%PzANe=jjh!yh{ACL<7bG%)5rqffi*u@wC5}$*iO;swsCwNY2?C0h zfUcg6giKs=cl?(+2Fe?FF$;?)!E=ONk)y$R8TjcvM-os09@)&M=I?~kRWYD?g#ETIn+%y2%+H#8gLm(^V*)C`BfG%TeT{DG zWDXq+;1uAkSh~n(Kk2;VJ_Gv9^csfXvC1udyq)%#uf_Q$(=Ae(j^FSZ zV7d*WalVOd5m)rFIokcN+Phq)$IBIRz`0I=oJaciIsJ11e3$M=z?=n|G3RM=^s(Dp zyh%ME`hAhx3^naq4eXafjIL>ya=fAK^^Qsph>oIg}%uxN)IF+QLF@X^-MLi*Cby+;Q@HEI0ks0 znc<}g-p`!}64tfhnvjfcwt$ca;PaKX*(Ba0-l?5J&w3)6lekgZBM4ZxF`+)b`zC@_Lq3Ft~Tv&&o6(y71*Mgg9GUJ4u$C>Ch= zAG9Lk3h>Bglor{fmqCC34`}59cruf_$(0NR8X--tBugkf01q=Vg)GpFEb*DsXhbUo zcz?O^2F)J=V&Io&i5G6O*Y$DZl}o(yZT9ju9Vl$ObX}BlmwOB+ymAk~=Z9}(zXIko z)wgQfA9#SJP|;yeL`K)ND>(xS55SYfF~IZ83?)rwPEO?l4gWE+n=K%z2jKVHy6h@W zCM5evgJ-&Di-M0%1&$}62jI!d1=|0&NST=(_ZirJ2K4adnh2OVACsk}{P2zJ7Z8}?0TlXHZTmw+ zucd&tV+-u6hW47|<2)Wn*edMVtl++#8D8SoC_IpGxj@6cftxL$mj~c0%Pk>6IiUUh z-xJAP*44;e>gE!%Z1zNCbWOXGXXgFp9{{-Njbnq9utIfcYipdvVK&sQ3l73{YSKvl7sijCXqvIQrVEX10U`N&%j~4M&a$T%%CvBOsLI znnW(JbPxTQpU~3TGgN{^1E9#fRb!5$-`;TP5{>u^Jn9b5a0dAATc4rsU2=EJpWXz_ zgaSOW>GM%S69ssl`DetLy*MG$Nw$y=3I%e~ z9nWD#a+o5ped%Ztc%pea+*OMbVoX2G&(3VQvdtDV?G8hjGQnR7=t}0DikRc*#2soiw_3Ufevt$(aOt+Z zt)m&dAEt6I_Ziq{VA~l87)}8m+4QEC&_n^AXXEqIv;4Mhcv%q@55RB!Z5=zUOG`bCK3BS4jCZxkQsb^_)1G;4d-B z3f`2{j2&?JDxlRH|H*bA?<7T}H<_kwuSu3MmO@n0f*K`zq<^0ysRu;kyECH|t*w

+yC);t9ePGSR*W#csI3Dnd+L2cNg> z3L&AJ+Pe~h3RK6C6VHi5nExLc1yI&#{U5<8kb}dJUx5b{qOi@aqb#VQzqL}xBbqFQ zt_x_6Bg=*UV%&&BYip$tY4IL~2lCT7T^p`tStIHS@W^d{yXygd++Cc)hvV5`rFp&l5%VE5Q4V zLf^_0$(+O^ij{z_o~efRn&b;8JOED?#{kbWGrTnMju7S~>@JX({9;_<_vOXXKWXB_ zla7Az!NUt)x`&I;-gtoi?;_n}IH0Kq;PdkrAI(CR$cv@WH)z`*%yu#DmJ)-a24Q@r5b=IGr5~w$xvVfvl7si zZ07xDRZFJ=BNzpE{t*&5B2X^Shwo?M4XX2h^?6Yd>5|!BT{3x@d*hYs=Kt!m)c8!8 z#9PCLV()pZ2vmSaHnTsJ$FOu8qd712!GO{O@MI=;lPehtG(wtONtRG}03K#!3R$2T zS>iJ%r-)Vx^s&a(cjc|(W=m-90r)&oWWNGDfI{D@O(_qs6e>FGiAW_as7cO%!UOPR zaSZT0Gvi56u|fT>&0hssVU1le@{43l zf>D6yA0dGw0%m~{vG20*2Gy6mL2r0l7ig*OFSvAx-znqw!;{?Bc|yjr&fz8A8YVNH zO-Q1{wtt*r#E1&;$gXR^(as#hLS~q_?p)Op9}x2ZJekSe>9@B8LJwANzX)^E%LO_Iwo4MgiW~BD>}^)i-HV%9)lzKSEY;PkSOV zx~5%87EpKqo-B?5-q<3$W+-VgbC{G1^md&3tlrP=%XEnkpOU{Q;|aOIfQQ+f8wr`! zG27sl-zno};VG8R6Ec={7Vlv;%SJ+Gb<8$+F!>7ew~ot2j&HPoN4ljx%=Z3Kd}W_E+^c`+s)h{OM-~P z1MvAFAK0$|4=_L)wimMlt1DSJ<4UOLu)EnHY0>5^IrRi&Y8*afn;=VHC`6j^#CRZK zcY!$MMj}EUfM2(C;S*T8G+xAOxP~j1Q(m!IFm*Znu<_C*e)Ea49iP<<9Cxs1rFs`j zGawsS!dxi8BhwR*sY%$JEQBmDfKh-)7Ha^W%;auzB}0J$%t}C4GM+3CBy3gptStfv z1$Zm&4Z3&N-$lnX26$vppm|ap(3K*k|DKGmtQZ0z5L^#Y|1Y zW{VNBzyRh5`?q!3WXQB&epcKYNVj~|(m+vZ7EE1fvu5e`Z|lyzbZ@a33UYCha7p2T ztlUQw3h)a1!h4z=-U58|c_6RsBQmW7bS+kir;?9zL-`$VDDf=&Q@v&$9ZH zR$s1=FEOtQ|}4=Kc-x*4s72oQx3X~hpD^nk*VPF%V+T$4^d-b9~oWcg8Orcad1AH%A9 z9khP7nkS?w`OHHKZ_~nT?Lv}#UQ)|nJWUQq)O)n{K(f3+p&;ZKqr6*3kNcA=Vtxf4 z$fxs~w!Jo%AYLg1M^UD0+NGArGx3l@K7|>r=wdAvgGvh=S?-exiA9TIfk3jMW2YeG zEea1L%xV>cEHHvmfJc^U0G>?Kw$~&>ff39~Kvy!XwhQ3Nj8-8Fj9?Vt$z`>?sl(WX zN2Y7TH6ej<4DiTilvcAz?;h_^D)ekX=>d2$le@{43OUfX@%# z$bJR<{l9I0-~pCGMTb2R8C}z^p< zl`Xe~a^wLN`cRuvBYfJ;2hZ!Op}i(~X5Mf90gy|Ox*49y1oQwrS-C)Wf6jR%ya66I z7~`pi7`_wPX#AgSyK>#bMz8BBh8eyS*=p<$GLUx+yLWWi^=f$xd!i#q1$bns2H?p| z?j~0<6d1v*1au{v+2yTj=~Q3@qX5r8F9nVW6bqETlG9W~Tmc^0jM5^T^fGA9OMNt; z^Z-1W$=&2ih60U{CRdUr6dr(w8JR*BXhxR!%xN^Dl>)qbz;62t>@%?a47kT|f({SB z=Z9}(zXIko)wgQfA9#SJP|;yeL`K)ND>(xS55SYfF~IZ83?)rwPEPIuaZfi}K*$5| z`)yrz6(?z0#n>io3n`}NMynRP73h>BM4ZxF`+)b`z$k7uL(O@Z{Eg9$WK*Cma&t`=uM8W}u z2jIzcZMa6vJ@fV@2sx*;%+~iC3U1(^Ujy2B0G`a`ZgM3glGrLPgZ33?V4`y~? zbtP1VRCxzYRx@2$Tyn{PekuP!Bx;nVMuX^O=p4 zR}&lscraZnQT)yz72tU`-s|?;y2=@GW-ktyS_N{3pFS(eHHyG=txS!h38(@%>>z@{^>)xE)CcvWTxR#zHK zZ(q~VVF*(u_$vWj$#}Q-fTLS~TgQX$-`35nX+KNesxim$jlZqyHGM>>Kn@NAz8ds^ zVr1VK19JxMuCgcnz3qdzBsrk&L4RPF+)XZ0TPuaInx!BYCkd0{0nxl|8JSiD{8EUt z@}5uF|CTyXi;rlf0Iy~#)gnziR;jRaJ>ITJJVCfZCfXOF5DIEUT=AAaqFiT%kh>Lq z+_9U;?>4o*VB?o7qkdtV0nR&dOrfsh+6rz$A z)F|i7Lkizh6QgyZ5NY-~3J-{;r>K<+B;6dm3HgPiO}oa?L*avj`EJxRyd`1yJ`q9V3$574;l9>)N$Fv?IoO)jC;%;W7I(Pkx} zYhk4jqifog%Mct{m4_7a>F5!01$c#(LZk^DS$udEAXkjV0v!|Ipr#;vK;Z%S;-f4f zOC+uNCu)5Ib04X$#CaxGLO()L7$HrrB*Xi;^PnHg2=3XUFe7t30X+aurfb7BAsM}F zu1FRx9qZY$#9f4=0FNxy06dw=-Q-G!0wb7}fUacv1~WAYTh%>liwHsio_~Y{jtIC5 zl*KP!-T1FQFaLtF5ng5W7g+sN{(R&DQ=52exIp4s%6kq(paMKHvn!}8;bq6LzyAlM z@BloS$=&2ih60U{CRdUr6dr(w8JR*BXhxQ}cLvQ)P7$pX$l?D%i%K_JqQHdGh_C`Y z&&+A6Z_=hTqSsPDThEM;CRg$W6dr&li(`Q2nHgD{T%+(n!sP2;)akD=( zx%uFET{X1VB+tw)@1J&Z2~s!1Gns%MfF~;#=-oSsc-i*bI?PR!31Xaizd7@pbv{-j zY6|fDBP4J{pj@C2S#+=NGcZ2`eE82d`vh9>f%XaLs7i>4D8M6|*&oVdSh|hToTmC< zKl?(+MAx*9%ODH@54>K}_EYOTB@tMOY153m#}I_!x^B`v5)&Va%L@MLie@H{i)Nt2nw#95%gdAtEG!XguL?tb#NwS$;e*U)36~eg_&;#&ffIq)8O3Q40vZ3Gx5uO4(vQz``WF~i$ zD;aY1ghVu03TR6QGLe{>3W4|Qtl%vm;r}(zc6*87}4lnT`HI->2AyX3e*ggYW&j4TTY(3~c-gpLP zH>Yv)-YZYOa>?g5e#zw3y7|MD&|geEiCveAw@^nIwTr2DgL@#S!w?_!dRk}xTzn3F zH`510^e1e|$hXeg-5#fZsOfw1*8MLa;*jMlxgRhi$E*Iow=z7t?n_Iti*CTna5{Iw z58JQ0AI^;*=NhbvKX|{GzdkPAG3R|>%OkP-#dK^Sw_xPoxqpNcbn&$d*Y3LeA-Rcs z;_j2&)-}&b@%}FeFXi#JE+M+8iBOa?VRkARw$q{wh)?{5xtf(s zT78K%ygdBd?PbltrF%H8PCC{uTp6x^pK=d$H;@{3roUQC;ltayj(00xDrur|Ey~w{M8-Za3>|vE zTErpZX&Pdqa@g00AtX4+AKo*gF1{0bI=SKLsM}>At-`^V_~4E8A7^lQ0ddIIQdV*g zDTX;7CX4w&N9bD(!9mE-*_0{w%eFBOSL=LRHzRsF_jI&6FapDDXrr5+Dt#WO+ts4|Z6R*0d8j*nN7;3{1Gad7A$elIy<+pWfvd{I2MT3t8vzj>G)(!I; zSEt%L7Bf(Lv+5C5X+cNjuvJjfP$b@o=Q#tzQT9C5FIAoVlgiTWPv34r|KaJ?EmzR~ zzbc1`m%e=5Q(7i(OAJdhPQBuXQIe;Br6V~naFgfFE{$L1gy-#zmWxCGi@!}%l(D~a zr!~4R{tgSc`+-~QuD`|M+rN3$*M58yJP4;U$XDU%cJ%6EkTd>2e>2p+b*nYH9+2FW zaZyb#F|v`p>YG;7#f{{h|Gc(wFR4uA9hy086Seg)Pi?z;br zYaegIkwN7vnXdaUhT3N_t96=jQSF=V$fE(px%)r4{CFqtN;skWc;t>+hjyg;$aU#X z?%KY*yNXi#tKCo|jdi4uh>+XI* z4wTzBUak9a{|k>V{>D(d8NHPyV|o*~665Hl{q4wX`thrwmaesS%zg7KntQUw+2$er zx-41z;?Ita>D|h8;*MH>Ftm$5IC59r-}#ojhVT59FZzdGUjO1y`_5lECZx)s^^3oe zvr^AAXzutca{3LAUahh~oNw_#IvlP){M()GZ(1vbW_xWOy#0c`aDC;~y7%9d{WRZ2 z%TaW<9lb5`acq4!2mIuEsQm!P9qdjyt?9YqC)e5DKkRw8H(KK)Jq-H)`J0^W>pHU5 z(jUswRn8EdyFij}I7qwW;Y$2)XgameZ;yN4XL-$0I_$OM(0|D8TbgsP7C!J|q*3mE zk0nkl-QNs*EVmx`$x_t3ey3*xdc-96P)=+AfFj!CxW9G7o_CYg@?i)4q|h;)5v|?J z+2{8kX7s}eVeyMvOIh@k9)}+G-kGt-O{Y6@Ovb*=4 zSL=TN3l5KR7o*T_J8;~33G`}y{NHw&t{d*DoYrpN4DE>PbbmYUr&n70|0}tA-X?+| zy0$NlWkrz@0bLOZD+NjlB#R0}fsF!46p^L;0T&dMPJY2Dj*tZu6fRS^b4yQSOVFfC zPoy+y^A8}rnc3M7du=6KoZrsQyqTTdn_WkdL-!j0P>#fK2)~sm{yaC(bVtfewpG}3 zC`9<_Nv)>=64ul;F8PxB7uRT|%hwc@6PsTv>`wGmO7Cj^h87{my`-MTskldPoJ-o` zo3s-6SW_r<6i2)8}w+8JZIP% zIh0tru-1wze(GKAsWI-Z7NC&PdI7gb0n`0pyJm0F>9|&$5D&?buWzo3zL9E6l{ILm zE$*~V1DS&c)87;Q7-}@BxV$Wd5|wWFsd$?)BWhmQzS{UNLZQV;Zms;`>EmTf7RZ=K<}v?h zE>V9#`mw>Md!}Eno|Vl+pgB7!O?oV47)Y1Gyk9q#0kA<34DE=QT?j7}vWc1PiJ3x? zvKd2RP$;|^@d7FtL&Pg&^HR}m(1b8wghN5vR<%-e1=<<=JgDaK&#Nao)>bh0$?h5^ z8os&tck7DQ#*4Mef$b5T6^BB>uywV?3M6G{NFk64tPKq-7|Q@DpgAb1G%%Z&f>(7H z%n+Gp02FT#gD42SN3&6aw8SzslVMeQo zmf9sypsevGUdC+>;S7!;Rp?wq(kacC62(&95ZDA{CIfeSPG75Dp>wu;{$(iSf0E*sQF80hV?*^HHTrX1#g1LIEz=Ovnr|Sl-dVSO^6TCnqUf zh*<;?ndxpagFw~=8KlhM6qr|ZIkE*;N=z-Lr!wYA$p0Lyl zj%)PDtf!}&!YY}G6bv9kV%hB1o;l)6fHlkMP%LJaLCv6Boj?jRC_oA`xIkmFqdJip zk_xmzvYB`@){J7nAkG4*CtA@%-F09L!A=I3>pF5)H$?O^L1>5``A68|932H6@haqva_P l-oTq(0Jm7+*X0&(SXf?TUTz12b(3)X<_dz8f7yHY`9CrQi*o<~ literal 0 HcmV?d00001 diff --git a/bsp4/Designflow/ppr/sim/vga.tan.rpt b/bsp4/Designflow/ppr/sim/vga.tan.rpt new file mode 100644 index 0000000..66d43b8 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.tan.rpt @@ -0,0 +1,671 @@ +Classic Timing Analyzer report for vga +Tue Nov 3 17:31:35 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'clk_pin' + 7. tsu + 8. tco + 9. tpd + 10. th + 11. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+ +; Worst-case tsu ; N/A ; None ; 7.334 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; -- ; clk_pin ; 0 ; +; Worst-case tco ; N/A ; None ; 10.905 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; clk_pin ; -- ; 0 ; +; Worst-case tpd ; N/A ; None ; 12.465 ns ; reset_pin ; seven_seg_pin[2] ; -- ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -3.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; -- ; clk_pin ; 0 ; +; Clock Setup: 'clk_pin' ; N/A ; None ; 182.42 MHz ( period = 5.482 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; clk_pin ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EP1S25F672C6 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; clk_pin ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; 1 processor ; 100.0% ; +; 2 processors ; 0.0% ; ++----------------------------+-------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'clk_pin' ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; N/A ; 182.42 MHz ( period = 5.482 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 5.270 ns ; +; N/A ; 188.08 MHz ( period = 5.317 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 5.127 ns ; +; N/A ; 188.08 MHz ( period = 5.317 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 5.127 ns ; +; N/A ; 188.08 MHz ( period = 5.317 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.127 ns ; +; N/A ; 188.57 MHz ( period = 5.303 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.176 ns ; +; N/A ; 188.57 MHz ( period = 5.303 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.176 ns ; +; N/A ; 189.25 MHz ( period = 5.284 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 5.072 ns ; +; N/A ; 190.84 MHz ( period = 5.240 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.113 ns ; +; N/A ; 190.84 MHz ( period = 5.240 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.113 ns ; +; N/A ; 191.13 MHz ( period = 5.232 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.046 ns ; +; N/A ; 191.13 MHz ( period = 5.232 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.046 ns ; +; N/A ; 191.24 MHz ( period = 5.229 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 5.017 ns ; +; N/A ; 191.75 MHz ( period = 5.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.088 ns ; +; N/A ; 191.75 MHz ( period = 5.215 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.088 ns ; +; N/A ; 192.27 MHz ( period = 5.201 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.989 ns ; +; N/A ; 192.49 MHz ( period = 5.195 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.983 ns ; +; N/A ; 192.94 MHz ( period = 5.183 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.993 ns ; +; N/A ; 192.94 MHz ( period = 5.183 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.993 ns ; +; N/A ; 192.94 MHz ( period = 5.183 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.993 ns ; +; N/A ; 193.42 MHz ( period = 5.170 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 5.043 ns ; +; N/A ; 193.42 MHz ( period = 5.170 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 5.043 ns ; +; N/A ; 194.17 MHz ( period = 5.150 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.964 ns ; +; N/A ; 194.17 MHz ( period = 5.150 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.964 ns ; +; N/A ; 194.55 MHz ( period = 5.140 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.928 ns ; +; N/A ; 194.70 MHz ( period = 5.136 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.924 ns ; +; N/A ; 195.85 MHz ( period = 5.106 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.916 ns ; +; N/A ; 195.85 MHz ( period = 5.106 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.916 ns ; +; N/A ; 195.85 MHz ( period = 5.106 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.916 ns ; +; N/A ; 196.66 MHz ( period = 5.085 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.899 ns ; +; N/A ; 196.66 MHz ( period = 5.085 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.899 ns ; +; N/A ; 196.66 MHz ( period = 5.085 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.899 ns ; +; N/A ; 196.66 MHz ( period = 5.085 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.958 ns ; +; N/A ; 196.66 MHz ( period = 5.085 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.958 ns ; +; N/A ; 197.04 MHz ( period = 5.075 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.863 ns ; +; N/A ; 197.20 MHz ( period = 5.071 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.944 ns ; +; N/A ; 197.20 MHz ( period = 5.071 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.944 ns ; +; N/A ; 199.12 MHz ( period = 5.022 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.836 ns ; +; N/A ; 199.12 MHz ( period = 5.022 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.836 ns ; +; N/A ; 199.12 MHz ( period = 5.022 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.836 ns ; +; N/A ; 199.44 MHz ( period = 5.014 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.769 ns ; +; N/A ; 199.44 MHz ( period = 5.014 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.769 ns ; +; N/A ; 199.44 MHz ( period = 5.014 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.769 ns ; +; N/A ; 200.12 MHz ( period = 4.997 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.811 ns ; +; N/A ; 200.12 MHz ( period = 4.997 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.811 ns ; +; N/A ; 200.12 MHz ( period = 4.997 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.811 ns ; +; N/A ; 201.01 MHz ( period = 4.975 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.848 ns ; +; N/A ; 201.01 MHz ( period = 4.975 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.848 ns ; +; N/A ; 201.29 MHz ( period = 4.968 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.841 ns ; +; N/A ; 201.29 MHz ( period = 4.968 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.841 ns ; +; N/A ; 201.33 MHz ( period = 4.967 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.777 ns ; +; N/A ; 201.33 MHz ( period = 4.967 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.777 ns ; +; N/A ; 201.33 MHz ( period = 4.967 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.777 ns ; +; N/A ; 201.94 MHz ( period = 4.952 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.766 ns ; +; N/A ; 201.94 MHz ( period = 4.952 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.766 ns ; +; N/A ; 201.94 MHz ( period = 4.952 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.766 ns ; +; N/A ; 202.76 MHz ( period = 4.932 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.687 ns ; +; N/A ; 202.76 MHz ( period = 4.932 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.687 ns ; +; N/A ; 202.76 MHz ( period = 4.932 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.687 ns ; +; N/A ; 204.12 MHz ( period = 4.899 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; clk_pin ; None ; None ; 4.753 ns ; +; N/A ; 204.12 MHz ( period = 4.899 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.753 ns ; +; N/A ; 204.12 MHz ( period = 4.899 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; clk_pin ; None ; None ; 4.753 ns ; +; N/A ; 204.50 MHz ( period = 4.890 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.645 ns ; +; N/A ; 204.54 MHz ( period = 4.889 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.644 ns ; +; N/A ; 204.62 MHz ( period = 4.887 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.642 ns ; +; N/A ; 204.67 MHz ( period = 4.886 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.641 ns ; +; N/A ; 204.71 MHz ( period = 4.885 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.640 ns ; +; N/A ; 204.79 MHz ( period = 4.883 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.638 ns ; +; N/A ; 204.88 MHz ( period = 4.881 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.636 ns ; +; N/A ; 205.00 MHz ( period = 4.878 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.633 ns ; +; N/A ; 205.13 MHz ( period = 4.875 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.630 ns ; +; N/A ; 205.25 MHz ( period = 4.872 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.627 ns ; +; N/A ; 205.47 MHz ( period = 4.867 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.681 ns ; +; N/A ; 205.47 MHz ( period = 4.867 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.681 ns ; +; N/A ; 205.47 MHz ( period = 4.867 ns ) ; vga_driver:vga_driver_unit|hsync_counter_4 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.681 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.06 MHz ( period = 4.853 ns ) ; vga_driver:vga_driver_unit|hsync_counter_0 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.667 ns ; +; N/A ; 206.14 MHz ( period = 4.851 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.724 ns ; +; N/A ; 206.14 MHz ( period = 4.851 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.724 ns ; +; N/A ; 206.27 MHz ( period = 4.848 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.658 ns ; +; N/A ; 206.27 MHz ( period = 4.848 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.658 ns ; +; N/A ; 206.27 MHz ( period = 4.848 ns ) ; vga_driver:vga_driver_unit|vsync_counter_7 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.658 ns ; +; N/A ; 207.38 MHz ( period = 4.822 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.695 ns ; +; N/A ; 207.38 MHz ( period = 4.822 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.695 ns ; +; N/A ; 207.47 MHz ( period = 4.820 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.630 ns ; +; N/A ; 209.82 MHz ( period = 4.766 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.576 ns ; +; N/A ; 209.82 MHz ( period = 4.766 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.576 ns ; +; N/A ; 209.82 MHz ( period = 4.766 ns ) ; vga_driver:vga_driver_unit|vsync_counter_8 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.576 ns ; +; N/A ; 210.22 MHz ( period = 4.757 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.571 ns ; +; N/A ; 210.22 MHz ( period = 4.757 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.571 ns ; +; N/A ; 210.22 MHz ( period = 4.757 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.571 ns ; +; N/A ; 210.39 MHz ( period = 4.753 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.563 ns ; +; N/A ; 210.39 MHz ( period = 4.753 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.563 ns ; +; N/A ; 210.39 MHz ( period = 4.753 ns ) ; vga_driver:vga_driver_unit|vsync_counter_0 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.563 ns ; +; N/A ; 210.53 MHz ( period = 4.750 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.564 ns ; +; N/A ; 210.53 MHz ( period = 4.750 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.564 ns ; +; N/A ; 210.53 MHz ( period = 4.750 ns ) ; vga_driver:vga_driver_unit|hsync_counter_9 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.564 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.590 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.590 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; clk_pin ; None ; None ; 4.590 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; clk_pin ; None ; None ; 4.590 ns ; +; N/A ; 211.15 MHz ( period = 4.736 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; clk_pin ; None ; None ; 4.590 ns ; +; N/A ; 211.46 MHz ( period = 4.729 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; clk_pin ; None ; None ; 4.517 ns ; +; N/A ; 213.40 MHz ( period = 4.686 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.496 ns ; +; N/A ; 213.68 MHz ( period = 4.680 ns ) ; vga_driver:vga_driver_unit|vsync_state_1 ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; clk_pin ; None ; None ; 4.534 ns ; +; N/A ; 213.95 MHz ( period = 4.674 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.492 ns ; +; N/A ; 213.95 MHz ( period = 4.674 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.492 ns ; +; N/A ; 213.95 MHz ( period = 4.674 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.492 ns ; +; N/A ; 213.95 MHz ( period = 4.674 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.492 ns ; +; N/A ; 213.95 MHz ( period = 4.674 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.492 ns ; +; N/A ; 215.84 MHz ( period = 4.633 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.447 ns ; +; N/A ; 215.84 MHz ( period = 4.633 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.447 ns ; +; N/A ; 215.84 MHz ( period = 4.633 ns ) ; vga_driver:vga_driver_unit|hsync_counter_8 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.447 ns ; +; N/A ; 216.26 MHz ( period = 4.624 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_6 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.435 ns ; +; N/A ; 216.31 MHz ( period = 4.623 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.433 ns ; +; N/A ; 216.31 MHz ( period = 4.623 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.433 ns ; +; N/A ; 216.31 MHz ( period = 4.623 ns ) ; vga_driver:vga_driver_unit|vsync_counter_9 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.433 ns ; +; N/A ; 216.68 MHz ( period = 4.615 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.68 MHz ( period = 4.615 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.68 MHz ( period = 4.615 ns ) ; vga_driver:vga_driver_unit|vsync_counter_6 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.425 ns ; +; N/A ; 216.97 MHz ( period = 4.609 ns ) ; vga_driver:vga_driver_unit|vsync_counter_4 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.419 ns ; +; N/A ; 217.16 MHz ( period = 4.605 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.419 ns ; +; N/A ; 217.16 MHz ( period = 4.605 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.419 ns ; +; N/A ; 217.16 MHz ( period = 4.605 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.419 ns ; +; N/A ; 217.20 MHz ( period = 4.604 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; clk_pin ; None ; None ; 4.418 ns ; +; N/A ; 217.20 MHz ( period = 4.604 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.418 ns ; +; N/A ; 217.20 MHz ( period = 4.604 ns ) ; vga_driver:vga_driver_unit|hsync_counter_6 ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.418 ns ; +; N/A ; 218.29 MHz ( period = 4.581 ns ) ; vga_driver:vga_driver_unit|vsync_counter_1 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.395 ns ; +; N/A ; 219.83 MHz ( period = 4.549 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; clk_pin ; None ; None ; 4.297 ns ; +; N/A ; 220.41 MHz ( period = 4.537 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_5 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.348 ns ; +; N/A ; 220.70 MHz ( period = 4.531 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.349 ns ; +; N/A ; 220.90 MHz ( period = 4.527 ns ) ; vga_driver:vga_driver_unit|hsync_counter_3 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.341 ns ; +; N/A ; 221.09 MHz ( period = 4.523 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.337 ns ; +; N/A ; 221.09 MHz ( period = 4.523 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.337 ns ; +; N/A ; 221.09 MHz ( period = 4.523 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.337 ns ; +; N/A ; 221.58 MHz ( period = 4.513 ns ) ; vga_driver:vga_driver_unit|line_counter_sig_5 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.287 ns ; +; N/A ; 222.12 MHz ( period = 4.502 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_6 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.316 ns ; +; N/A ; 222.92 MHz ( period = 4.486 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_4 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; clk_pin ; None ; None ; 4.300 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.51 MHz ( period = 4.474 ns ) ; vga_driver:vga_driver_unit|hsync_counter_5 ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.288 ns ; +; N/A ; 223.71 MHz ( period = 4.470 ns ) ; vga_driver:vga_driver_unit|vsync_counter_3 ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; clk_pin ; None ; None ; 4.280 ns ; +; N/A ; 223.76 MHz ( period = 4.469 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.287 ns ; +; N/A ; 223.86 MHz ( period = 4.467 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; clk_pin ; None ; None ; 4.215 ns ; +; N/A ; 224.01 MHz ( period = 4.464 ns ) ; vga_driver:vga_driver_unit|hsync_counter_1 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.278 ns ; +; N/A ; 224.42 MHz ( period = 4.456 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.211 ns ; +; N/A ; 224.87 MHz ( period = 4.447 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.261 ns ; +; N/A ; 224.92 MHz ( period = 4.446 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; clk_pin ; None ; None ; 4.256 ns ; +; N/A ; 224.92 MHz ( period = 4.446 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; clk_pin ; None ; None ; 4.256 ns ; +; N/A ; 224.92 MHz ( period = 4.446 ns ) ; vga_driver:vga_driver_unit|vsync_counter_5 ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; clk_pin ; None ; None ; 4.256 ns ; +; N/A ; 225.28 MHz ( period = 4.439 ns ) ; vga_driver:vga_driver_unit|hsync_counter_7 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.253 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 225.73 MHz ( period = 4.430 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.185 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.45 MHz ( period = 4.416 ns ) ; vga_driver:vga_driver_unit|vsync_counter_2 ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.230 ns ; +; N/A ; 226.50 MHz ( period = 4.415 ns ) ; vga_driver:vga_driver_unit|column_counter_sig_5 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.229 ns ; +; N/A ; 226.60 MHz ( period = 4.413 ns ) ; vga_driver:vga_driver_unit|line_counter_sig_2 ; vga_control:vga_control_unit|b ; clk_pin ; clk_pin ; None ; None ; 4.187 ns ; +; N/A ; 226.91 MHz ( period = 4.407 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.225 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 226.96 MHz ( period = 4.406 ns ) ; dly_counter[1] ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.224 ns ; +; N/A ; 227.58 MHz ( period = 4.394 ns ) ; vga_driver:vga_driver_unit|hsync_counter_2 ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.208 ns ; +; N/A ; 227.95 MHz ( period = 4.387 ns ) ; vga_driver:vga_driver_unit|hsync_state_6 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; clk_pin ; None ; None ; 4.199 ns ; +; N/A ; 228.26 MHz ( period = 4.381 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; clk_pin ; None ; None ; 4.199 ns ; +; N/A ; 228.36 MHz ( period = 4.379 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; clk_pin ; None ; None ; 4.197 ns ; +; N/A ; 228.41 MHz ( period = 4.378 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; clk_pin ; None ; None ; 4.196 ns ; +; N/A ; 228.47 MHz ( period = 4.377 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; clk_pin ; None ; None ; 4.195 ns ; +; N/A ; 228.52 MHz ( period = 4.376 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; clk_pin ; None ; None ; 4.194 ns ; +; N/A ; 228.62 MHz ( period = 4.374 ns ) ; vga_driver:vga_driver_unit|vsync_state_0 ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; clk_pin ; None ; None ; 4.192 ns ; +; N/A ; 228.62 MHz ( period = 4.374 ns ) ; dly_counter[0] ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; clk_pin ; None ; None ; 4.129 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; 7.334 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; 7.334 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; 7.116 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; 7.116 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; 7.116 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; 6.707 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; 6.707 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; 6.707 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; 6.651 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; 6.558 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; 6.532 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; 6.508 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; 6.251 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; 6.251 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; 6.251 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; +; N/A ; None ; 6.210 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; 6.088 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; 6.088 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; 6.088 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; 6.088 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; 6.088 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; 6.032 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; 6.018 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; 6.018 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; 6.018 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; 5.982 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; 5.973 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; 5.973 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; 5.973 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; 5.973 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; 5.971 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; 5.685 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; +; N/A ; None ; 5.675 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; 5.612 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; 5.612 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; 5.307 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; +; N/A ; None ; 3.646 ns ; reset_pin ; dly_counter[1] ; clk_pin ; +; N/A ; None ; 3.424 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; +; N/A ; None ; 3.305 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; 3.303 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; 3.301 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; ++-------+--------------+------------+-----------+-------------------------------------------------+----------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ +; N/A ; None ; 10.905 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 10.784 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_set_vsync_counter ; clk_pin ; +; N/A ; None ; 10.487 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 10.462 ns ; vga_driver:vga_driver_unit|hsync_state_5 ; d_hsync_state[5] ; clk_pin ; +; N/A ; None ; 10.363 ns ; dly_counter[1] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 10.363 ns ; dly_counter[1] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 10.288 ns ; dly_counter[1] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 10.288 ns ; dly_counter[1] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 10.281 ns ; dly_counter[0] ; seven_seg_pin[8] ; clk_pin ; +; N/A ; None ; 10.281 ns ; dly_counter[0] ; seven_seg_pin[2] ; clk_pin ; +; N/A ; None ; 10.206 ns ; dly_counter[0] ; seven_seg_pin[10] ; clk_pin ; +; N/A ; None ; 10.206 ns ; dly_counter[0] ; seven_seg_pin[7] ; clk_pin ; +; N/A ; None ; 9.960 ns ; vga_driver:vga_driver_unit|hsync_state_4 ; d_hsync_state[4] ; clk_pin ; +; N/A ; None ; 9.722 ns ; vga_driver:vga_driver_unit|v_sync ; vsync_pin ; clk_pin ; +; N/A ; None ; 9.551 ns ; vga_driver:vga_driver_unit|column_counter_sig_7 ; d_column_counter[7] ; clk_pin ; +; N/A ; None ; 9.508 ns ; vga_driver:vga_driver_unit|vsync_counter_5 ; d_vsync_counter[5] ; clk_pin ; +; N/A ; None ; 9.487 ns ; dly_counter[1] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 9.450 ns ; dly_counter[1] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 9.432 ns ; vga_driver:vga_driver_unit|hsync_counter_4 ; d_hsync_counter[4] ; clk_pin ; +; N/A ; None ; 9.429 ns ; dly_counter[1] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 9.405 ns ; dly_counter[0] ; seven_seg_pin[11] ; clk_pin ; +; N/A ; None ; 9.392 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_set_hsync_counter ; clk_pin ; +; N/A ; None ; 9.368 ns ; dly_counter[0] ; seven_seg_pin[12] ; clk_pin ; +; N/A ; None ; 9.367 ns ; vga_control:vga_control_unit|toggle_counter_sig_15 ; d_toggle_counter[15] ; clk_pin ; +; N/A ; None ; 9.356 ns ; dly_counter[1] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 9.347 ns ; dly_counter[0] ; seven_seg_pin[1] ; clk_pin ; +; N/A ; None ; 9.341 ns ; vga_driver:vga_driver_unit|h_sync ; hsync_pin ; clk_pin ; +; N/A ; None ; 9.320 ns ; vga_control:vga_control_unit|toggle_counter_sig_19 ; d_toggle_counter[19] ; clk_pin ; +; N/A ; None ; 9.286 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_vsync_state[1] ; clk_pin ; +; N/A ; None ; 9.274 ns ; dly_counter[0] ; seven_seg_pin[9] ; clk_pin ; +; N/A ; None ; 9.266 ns ; vga_driver:vga_driver_unit|vsync_state_1 ; d_set_line_counter ; clk_pin ; +; N/A ; None ; 9.191 ns ; vga_control:vga_control_unit|b ; d_b ; clk_pin ; +; N/A ; None ; 9.191 ns ; vga_control:vga_control_unit|b ; b0_pin ; clk_pin ; +; N/A ; None ; 9.189 ns ; vga_driver:vga_driver_unit|line_counter_sig_7 ; d_line_counter[7] ; clk_pin ; +; N/A ; None ; 9.157 ns ; vga_control:vga_control_unit|toggle_counter_sig_3 ; d_toggle_counter[3] ; clk_pin ; +; N/A ; None ; 9.090 ns ; vga_driver:vga_driver_unit|line_counter_sig_5 ; d_line_counter[5] ; clk_pin ; +; N/A ; None ; 9.081 ns ; vga_driver:vga_driver_unit|column_counter_sig_0 ; d_column_counter[0] ; clk_pin ; +; N/A ; None ; 9.059 ns ; vga_driver:vga_driver_unit|column_counter_sig_1 ; d_column_counter[1] ; clk_pin ; +; N/A ; None ; 9.055 ns ; vga_driver:vga_driver_unit|line_counter_sig_4 ; d_line_counter[4] ; clk_pin ; +; N/A ; None ; 9.047 ns ; vga_driver:vga_driver_unit|column_counter_sig_8 ; d_column_counter[8] ; clk_pin ; +; N/A ; None ; 9.041 ns ; vga_driver:vga_driver_unit|v_sync ; d_vsync ; clk_pin ; +; N/A ; None ; 9.025 ns ; vga_control:vga_control_unit|toggle_counter_sig_7 ; d_toggle_counter[7] ; clk_pin ; +; N/A ; None ; 9.007 ns ; vga_driver:vga_driver_unit|vsync_state_5 ; d_vsync_state[5] ; clk_pin ; +; N/A ; None ; 8.995 ns ; vga_control:vga_control_unit|b ; b1_pin ; clk_pin ; +; N/A ; None ; 8.916 ns ; vga_control:vga_control_unit|toggle_counter_sig_16 ; d_toggle_counter[16] ; clk_pin ; +; N/A ; None ; 8.860 ns ; vga_driver:vga_driver_unit|vsync_counter_4 ; d_vsync_counter[4] ; clk_pin ; +; N/A ; None ; 8.835 ns ; vga_driver:vga_driver_unit|vsync_counter_8 ; d_vsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.828 ns ; vga_driver:vga_driver_unit|vsync_counter_9 ; d_vsync_counter[9] ; clk_pin ; +; N/A ; None ; 8.823 ns ; vga_driver:vga_driver_unit|column_counter_sig_2 ; d_column_counter[2] ; clk_pin ; +; N/A ; None ; 8.819 ns ; vga_driver:vga_driver_unit|vsync_counter_1 ; d_vsync_counter[1] ; clk_pin ; +; N/A ; None ; 8.816 ns ; vga_driver:vga_driver_unit|vsync_state_6 ; d_vsync_state[6] ; clk_pin ; +; N/A ; None ; 8.788 ns ; vga_driver:vga_driver_unit|h_enable_sig ; d_h_enable ; clk_pin ; +; N/A ; None ; 8.778 ns ; vga_driver:vga_driver_unit|vsync_counter_3 ; d_vsync_counter[3] ; clk_pin ; +; N/A ; None ; 8.761 ns ; vga_driver:vga_driver_unit|column_counter_sig_9 ; d_column_counter[9] ; clk_pin ; +; N/A ; None ; 8.742 ns ; vga_driver:vga_driver_unit|column_counter_sig_4 ; d_column_counter[4] ; clk_pin ; +; N/A ; None ; 8.719 ns ; vga_driver:vga_driver_unit|vsync_counter_2 ; d_vsync_counter[2] ; clk_pin ; +; N/A ; None ; 8.702 ns ; vga_driver:vga_driver_unit|hsync_counter_8 ; d_hsync_counter[8] ; clk_pin ; +; N/A ; None ; 8.684 ns ; vga_control:vga_control_unit|toggle_counter_sig_5 ; d_toggle_counter[5] ; clk_pin ; +; N/A ; None ; 8.639 ns ; vga_driver:vga_driver_unit|vsync_counter_7 ; d_vsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.625 ns ; vga_driver:vga_driver_unit|vsync_counter_0 ; d_vsync_counter[0] ; clk_pin ; +; N/A ; None ; 8.610 ns ; vga_driver:vga_driver_unit|line_counter_sig_2 ; d_line_counter[2] ; clk_pin ; +; N/A ; None ; 8.565 ns ; vga_control:vga_control_unit|toggle_counter_sig_14 ; d_toggle_counter[14] ; clk_pin ; +; N/A ; None ; 8.538 ns ; vga_driver:vga_driver_unit|vsync_state_4 ; d_vsync_state[4] ; clk_pin ; +; N/A ; None ; 8.536 ns ; vga_driver:vga_driver_unit|vsync_state_2 ; d_vsync_state[2] ; clk_pin ; +; N/A ; None ; 8.523 ns ; vga_driver:vga_driver_unit|column_counter_sig_6 ; d_column_counter[6] ; clk_pin ; +; N/A ; None ; 8.521 ns ; vga_driver:vga_driver_unit|hsync_state_2 ; d_hsync_state[2] ; clk_pin ; +; N/A ; None ; 8.461 ns ; vga_control:vga_control_unit|toggle_counter_sig_9 ; d_toggle_counter[9] ; clk_pin ; +; N/A ; None ; 8.455 ns ; vga_driver:vga_driver_unit|column_counter_sig_5 ; d_column_counter[5] ; clk_pin ; +; N/A ; None ; 8.435 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_hsync_state[1] ; clk_pin ; +; N/A ; None ; 8.434 ns ; vga_control:vga_control_unit|toggle_counter_sig_1 ; d_toggle_counter[1] ; clk_pin ; +; N/A ; None ; 8.433 ns ; vga_driver:vga_driver_unit|hsync_counter_2 ; d_hsync_counter[2] ; clk_pin ; +; N/A ; None ; 8.429 ns ; vga_driver:vga_driver_unit|column_counter_sig_3 ; d_column_counter[3] ; clk_pin ; +; N/A ; None ; 8.374 ns ; vga_driver:vga_driver_unit|hsync_state_6 ; d_hsync_state[6] ; clk_pin ; +; N/A ; None ; 8.357 ns ; vga_driver:vga_driver_unit|h_sync ; d_hsync ; clk_pin ; +; N/A ; None ; 8.334 ns ; vga_control:vga_control_unit|toggle_counter_sig_6 ; d_toggle_counter[6] ; clk_pin ; +; N/A ; None ; 8.308 ns ; vga_driver:vga_driver_unit|line_counter_sig_6 ; d_line_counter[6] ; clk_pin ; +; N/A ; None ; 8.303 ns ; vga_driver:vga_driver_unit|hsync_state_1 ; d_set_column_counter ; clk_pin ; +; N/A ; None ; 8.302 ns ; vga_driver:vga_driver_unit|vsync_state_3 ; d_vsync_state[3] ; clk_pin ; +; N/A ; None ; 8.298 ns ; vga_driver:vga_driver_unit|vsync_state_0 ; d_vsync_state[0] ; clk_pin ; +; N/A ; None ; 8.225 ns ; vga_control:vga_control_unit|toggle_counter_sig_11 ; d_toggle_counter[11] ; clk_pin ; +; N/A ; None ; 8.205 ns ; vga_control:vga_control_unit|toggle_counter_sig_2 ; d_toggle_counter[2] ; clk_pin ; +; N/A ; None ; 8.199 ns ; vga_control:vga_control_unit|toggle_counter_sig_8 ; d_toggle_counter[8] ; clk_pin ; +; N/A ; None ; 8.192 ns ; vga_driver:vga_driver_unit|hsync_counter_3 ; d_hsync_counter[3] ; clk_pin ; +; N/A ; None ; 8.175 ns ; vga_driver:vga_driver_unit|hsync_state_3 ; d_hsync_state[3] ; clk_pin ; +; N/A ; None ; 8.154 ns ; vga_driver:vga_driver_unit|hsync_counter_7 ; d_hsync_counter[7] ; clk_pin ; +; N/A ; None ; 8.116 ns ; vga_driver:vga_driver_unit|line_counter_sig_1 ; d_line_counter[1] ; clk_pin ; +; N/A ; None ; 8.107 ns ; vga_control:vga_control_unit|toggle_counter_sig_0 ; d_toggle_counter[0] ; clk_pin ; +; N/A ; None ; 8.098 ns ; vga_driver:vga_driver_unit|line_counter_sig_8 ; d_line_counter[8] ; clk_pin ; +; N/A ; None ; 8.081 ns ; vga_driver:vga_driver_unit|line_counter_sig_3 ; d_line_counter[3] ; clk_pin ; +; N/A ; None ; 8.077 ns ; vga_driver:vga_driver_unit|hsync_state_0 ; d_hsync_state[0] ; clk_pin ; +; N/A ; None ; 8.060 ns ; vga_driver:vga_driver_unit|v_enable_sig ; d_v_enable ; clk_pin ; +; N/A ; None ; 8.054 ns ; vga_driver:vga_driver_unit|vsync_counter_6 ; d_vsync_counter[6] ; clk_pin ; +; N/A ; None ; 7.980 ns ; vga_driver:vga_driver_unit|hsync_counter_9 ; d_hsync_counter[9] ; clk_pin ; +; N/A ; None ; 7.968 ns ; vga_driver:vga_driver_unit|hsync_counter_5 ; d_hsync_counter[5] ; clk_pin ; +; N/A ; None ; 7.965 ns ; vga_control:vga_control_unit|toggle_counter_sig_4 ; d_toggle_counter[4] ; clk_pin ; +; N/A ; None ; 7.937 ns ; vga_control:vga_control_unit|toggle_counter_sig_18 ; d_toggle_counter[18] ; clk_pin ; +; N/A ; None ; 7.917 ns ; vga_control:vga_control_unit|toggle_counter_sig_13 ; d_toggle_counter[13] ; clk_pin ; +; N/A ; None ; 7.898 ns ; vga_driver:vga_driver_unit|line_counter_sig_0 ; d_line_counter[0] ; clk_pin ; +; N/A ; None ; 7.763 ns ; vga_driver:vga_driver_unit|hsync_counter_6 ; d_hsync_counter[6] ; clk_pin ; +; N/A ; None ; 7.733 ns ; vga_driver:vga_driver_unit|hsync_counter_0 ; d_hsync_counter[0] ; clk_pin ; +; N/A ; None ; 7.709 ns ; vga_control:vga_control_unit|toggle_counter_sig_10 ; d_toggle_counter[10] ; clk_pin ; +; N/A ; None ; 7.706 ns ; vga_control:vga_control_unit|toggle_counter_sig_17 ; d_toggle_counter[17] ; clk_pin ; +; N/A ; None ; 7.664 ns ; vga_control:vga_control_unit|toggle_sig ; d_toggle ; clk_pin ; +; N/A ; None ; 7.654 ns ; vga_control:vga_control_unit|toggle_counter_sig_12 ; d_toggle_counter[12] ; clk_pin ; +; N/A ; None ; 7.499 ns ; vga_driver:vga_driver_unit|hsync_counter_1 ; d_hsync_counter[1] ; clk_pin ; ++-------+--------------+------------+----------------------------------------------------+----------------------+------------+ + + ++-----------------------------------------------------------------------------+ +; tpd ; ++-------+-------------------+-----------------+-----------+-------------------+ +; Slack ; Required P2P Time ; Actual P2P Time ; From ; To ; ++-------+-------------------+-----------------+-----------+-------------------+ +; N/A ; None ; 12.465 ns ; reset_pin ; seven_seg_pin[8] ; +; N/A ; None ; 12.465 ns ; reset_pin ; seven_seg_pin[2] ; +; N/A ; None ; 12.390 ns ; reset_pin ; seven_seg_pin[10] ; +; N/A ; None ; 12.390 ns ; reset_pin ; seven_seg_pin[7] ; +; N/A ; None ; 11.589 ns ; reset_pin ; seven_seg_pin[11] ; +; N/A ; None ; 11.552 ns ; reset_pin ; seven_seg_pin[12] ; +; N/A ; None ; 11.531 ns ; reset_pin ; seven_seg_pin[1] ; +; N/A ; None ; 11.458 ns ; reset_pin ; seven_seg_pin[9] ; +; N/A ; None ; 5.951 ns ; clk_pin ; d_state_clk ; ++-------+-------------------+-----------------+-----------+-------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ +; N/A ; None ; -3.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6 ; clk_pin ; +; N/A ; None ; -3.193 ns ; reset_pin ; dly_counter[0] ; clk_pin ; +; N/A ; None ; -3.195 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync ; clk_pin ; +; N/A ; None ; -3.314 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync ; clk_pin ; +; N/A ; None ; -3.536 ns ; reset_pin ; dly_counter[1] ; clk_pin ; +; N/A ; None ; -3.995 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0 ; clk_pin ; +; N/A ; None ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5 ; clk_pin ; +; N/A ; None ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4 ; clk_pin ; +; N/A ; None ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2 ; clk_pin ; +; N/A ; None ; -4.499 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5 ; clk_pin ; +; N/A ; None ; -4.501 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6 ; clk_pin ; +; N/A ; None ; -4.504 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7 ; clk_pin ; +; N/A ; None ; -4.507 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8 ; clk_pin ; +; N/A ; None ; -4.510 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9 ; clk_pin ; +; N/A ; None ; -4.512 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4 ; clk_pin ; +; N/A ; None ; -4.513 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3 ; clk_pin ; +; N/A ; None ; -4.514 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2 ; clk_pin ; +; N/A ; None ; -4.515 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1 ; clk_pin ; +; N/A ; None ; -4.517 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0 ; clk_pin ; +; N/A ; None ; -4.867 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2 ; clk_pin ; +; N/A ; None ; -4.867 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0 ; clk_pin ; +; N/A ; None ; -4.926 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5 ; clk_pin ; +; N/A ; None ; -4.929 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6 ; clk_pin ; +; N/A ; None ; -4.932 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7 ; clk_pin ; +; N/A ; None ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8 ; clk_pin ; +; N/A ; None ; -4.937 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9 ; clk_pin ; +; N/A ; None ; -4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4 ; clk_pin ; +; N/A ; None ; -4.940 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3 ; clk_pin ; +; N/A ; None ; -4.941 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2 ; clk_pin ; +; N/A ; None ; -4.943 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1 ; clk_pin ; +; N/A ; None ; -4.944 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0 ; clk_pin ; +; N/A ; None ; -5.043 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig ; clk_pin ; +; N/A ; None ; -5.197 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6 ; clk_pin ; +; N/A ; None ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1 ; clk_pin ; +; N/A ; None ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5 ; clk_pin ; +; N/A ; None ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4 ; clk_pin ; +; N/A ; None ; -5.502 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin ; +; N/A ; None ; -5.502 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin ; +; N/A ; None ; -5.575 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1 ; clk_pin ; +; N/A ; None ; -5.674 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3 ; clk_pin ; +; N/A ; None ; -5.819 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3 ; clk_pin ; +; N/A ; None ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin ; +; N/A ; None ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin ; +; N/A ; None ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin ; +; N/A ; None ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin ; +; N/A ; None ; -5.872 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin ; +; N/A ; None ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin ; +; N/A ; None ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin ; +; N/A ; None ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin ; +; N/A ; None ; -5.922 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5 ; clk_pin ; +; N/A ; None ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0 ; clk_pin ; +; N/A ; None ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4 ; clk_pin ; +; N/A ; None ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6 ; clk_pin ; +; N/A ; None ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7 ; clk_pin ; +; N/A ; None ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8 ; clk_pin ; +; N/A ; None ; -6.013 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig ; clk_pin ; +; N/A ; None ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1 ; clk_pin ; +; N/A ; None ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin ; +; N/A ; None ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3 ; clk_pin ; ++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 132 02/25/2009 SJ Full Version + Info: Processing started: Tue Nov 3 17:31:35 2009 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +Info: Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning: Found pins functioning as undefined clocks and/or memory enables + Info: Assuming node "clk_pin" is an undefined clock +Info: Clock "clk_pin" has Internal fmax of 182.42 MHz between source register "vga_driver:vga_driver_unit|hsync_state_0" and destination register "vga_driver:vga_driver_unit|line_counter_sig_2" (period= 5.482 ns) + Info: + Longest register to register delay is 5.270 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: 2: + IC(1.275 ns) + CELL(0.087 ns) = 1.362 ns; Loc. = LC_X18_Y26_N6; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter' + Info: 3: + IC(1.959 ns) + CELL(0.451 ns) = 3.772 ns; Loc. = LC_X35_Y18_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9' + Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.221 ns; Loc. = LC_X35_Y18_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]' + Info: 5: + IC(0.814 ns) + CELL(0.235 ns) = 5.270 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.222 ns ( 23.19 % ) + Info: Total interconnect delay = 4.048 ns ( 76.81 % ) + Info: - Smallest clock skew is -0.026 ns + Info: + Shortest clock path from clock "clk_pin" to destination register is 3.314 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(1.886 ns) + CELL(0.560 ns) = 3.314 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2' + Info: Total cell delay = 1.428 ns ( 43.09 % ) + Info: Total interconnect delay = 1.886 ns ( 56.91 % ) + Info: - Longest clock path from clock "clk_pin" to source register is 3.340 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0' + Info: Total cell delay = 1.428 ns ( 42.75 % ) + Info: Total interconnect delay = 1.912 ns ( 57.25 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Micro setup delay of destination is 0.010 ns +Info: tsu for register "vga_driver:vga_driver_unit|hsync_state_2" (data pin = "reset_pin", clock pin = "clk_pin") is 7.334 ns + Info: + Longest pin to register delay is 10.664 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(1.806 ns) + CELL(0.459 ns) = 8.748 ns; Loc. = LC_X17_Y14_N7; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0' + Info: 4: + IC(1.190 ns) + CELL(0.726 ns) = 10.664 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_2' + Info: Total cell delay = 2.140 ns ( 20.07 % ) + Info: Total interconnect delay = 8.524 ns ( 79.93 % ) + Info: + Micro setup delay of destination is 0.010 ns + Info: - Shortest clock path from clock "clk_pin" to destination register is 3.340 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_2' + Info: Total cell delay = 1.428 ns ( 42.75 % ) + Info: Total interconnect delay = 1.912 ns ( 57.25 % ) +Info: tco from clock "clk_pin" to destination pin "d_set_vsync_counter" through register "vga_driver:vga_driver_unit|vsync_state_0" is 10.905 ns + Info: + Longest clock path from clock "clk_pin" to source register is 3.340 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0' + Info: Total cell delay = 1.428 ns ( 42.75 % ) + Info: Total interconnect delay = 1.912 ns ( 57.25 % ) + Info: + Micro clock to output delay of source is 0.176 ns + Info: + Longest register to pin delay is 7.389 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0' + Info: 2: + IC(1.442 ns) + CELL(0.213 ns) = 1.655 ns; Loc. = LC_X19_Y24_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|d_set_vsync_counter' + Info: 3: + IC(3.239 ns) + CELL(2.495 ns) = 7.389 ns; Loc. = PIN_L23; Fanout = 0; PIN Node = 'd_set_vsync_counter' + Info: Total cell delay = 2.708 ns ( 36.65 % ) + Info: Total interconnect delay = 4.681 ns ( 63.35 % ) +Info: Longest tpd from source pin "reset_pin" to destination pin "seven_seg_pin[8]" is 12.465 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x' + Info: 3: + IC(3.478 ns) + CELL(2.504 ns) = 12.465 ns; Loc. = PIN_B10; Fanout = 0; PIN Node = 'seven_seg_pin[8]' + Info: Total cell delay = 3.459 ns ( 27.75 % ) + Info: Total interconnect delay = 9.006 ns ( 72.25 % ) +Info: th for register "vga_driver:vga_driver_unit|vsync_state_6" (data pin = "reset_pin", clock pin = "clk_pin") is -3.191 ns + Info: + Longest clock path from clock "clk_pin" to destination register is 3.340 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin' + Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|vsync_state_6' + Info: Total cell delay = 1.428 ns ( 42.75 % ) + Info: Total interconnect delay = 1.912 ns ( 57.25 % ) + Info: + Micro hold delay of destination is 0.100 ns + Info: - Shortest pin to register delay is 6.631 ns + Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin' + Info: 2: + IC(5.528 ns) + CELL(0.235 ns) = 6.631 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|vsync_state_6' + Info: Total cell delay = 1.103 ns ( 16.63 % ) + Info: Total interconnect delay = 5.528 ns ( 83.37 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 141 megabytes + Info: Processing ended: Tue Nov 3 17:31:36 2009 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/bsp4/Designflow/ppr/sim/vga.tan.summary b/bsp4/Designflow/ppr/sim/vga.tan.summary new file mode 100644 index 0000000..00a1e6c --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.tan.summary @@ -0,0 +1,66 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 7.334 ns +From : reset_pin +To : vga_driver:vga_driver_unit|hsync_state_0 +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 10.905 ns +From : vga_driver:vga_driver_unit|vsync_state_0 +To : d_set_vsync_counter +From Clock : clk_pin +To Clock : -- +Failed Paths : 0 + +Type : Worst-case tpd +Slack : N/A +Required Time : None +Actual Time : 12.465 ns +From : reset_pin +To : seven_seg_pin[2] +From Clock : -- +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -3.191 ns +From : reset_pin +To : vga_driver:vga_driver_unit|vsync_state_6 +From Clock : -- +To Clock : clk_pin +Failed Paths : 0 + +Type : Clock Setup: 'clk_pin' +Slack : N/A +Required Time : None +Actual Time : 182.42 MHz ( period = 5.482 ns ) +From : vga_driver:vga_driver_unit|hsync_state_0 +To : vga_driver:vga_driver_unit|line_counter_sig_2 +From Clock : clk_pin +To Clock : clk_pin +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/bsp4/Designflow/sim/beh/modelsim.ini b/bsp4/Designflow/sim/beh/modelsim.ini new file mode 100644 index 0000000..0a48df5 --- /dev/null +++ b/bsp4/Designflow/sim/beh/modelsim.ini @@ -0,0 +1,1305 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0-in compiler on the VHDL source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/report/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect ; +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal +; to or greater than 1M are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0-in compiler on the Verilog source files +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0-in compiler. +; Default is "". +; ZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a Verilog condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; This may make major reductions in coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. Allow VHDL +; subprogram inlining and VHDL FF recognition. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Change Verilog gates to continuous assignments. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "merge_instances" option for +; the Covergroup Type. This is a compile time option which forces +; "merge_instances" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupMergeInstancesDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiOvm mtiUPF + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn off detection of FSMs having single bit current state variable. +; FsmSingle = 0 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SVFileExtensions = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a vopt condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be indexed during +; simulation. If set to 0, the WLF file will not be indexed. +; The default is 1, indexed the WLF file. +; WLFIndex = 0 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block, or +; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active. +; For PSL, Default is on only when vsim switch "-assertdebug" is used +; and the vopt "+acc=a" flag is active. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that +; are included for toggle coverage. This leads to a longer simulation time with bigger +; arrays covered with toggle coverage. Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable is 1 +; SVCovergroup63Compatibility = 1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default is off. +; ZeroIn = 1 + +; Set the options to be passed to the 0in runtime tool. +; Default value set to "". +; ZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the memory +; requirements of the constraint scenario exceeds the specified limit. This +; value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Maximum number of evaluations that may be performed on the solution graph +; generated during randomize(). This value can be used to force randomize() to +; abort if the complexity of the constraint scenario (in time) exceeds the +; specified limit. This value is specified in 10000s of evaluations. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +; MvcHome = $MODEL_TECH/... + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; suppress = 3009,CNNODP,3043,TFMPC +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear in the transcript and recorded in the wlf +; file (messages that are recorded in the wlf file can be viewed +; in the MsgViewer). The other settings are to send messages +; only to the transcript or only to the wlf file. The valid +; values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both diff --git a/bsp4/Designflow/sim/beh/vsim.wlf b/bsp4/Designflow/sim/beh/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..82ca25c9ca55c7aa0aa89deef3bc8b157bb02aa8 GIT binary patch literal 5529600 zcmeFZc~}!?+c!L!$qFPSVG(3834^F0Xl-o)MT2OGAXG3Q0tu*yhy-P=%Hk3Q1=$f7 zkX8vOs|yISMXlAkfK@?6me?v%K%fOefGqP}^uC|x{l4RP|N8zm$1#B5Fq88-uk*K& z(BmL~Xg35wL~uag&c1X7pI~s{!LbS)WC)6zBE^crMUn9$d`#HNufaF}_p$kJpEdZ^ zw%_a|&Yyro{yhz1&Ho$$$A*s>rqn3oVb1*&P`hvj+;>A0i5l2w4lkbi0^{19m=;w(8fb+5i-tB z8=@@1t+9-@+yzyhy!a0t`l0m>bsSzma-t1km#^b5i1OeX6+0K6NR#HWNKJM z*x?XpH*0rn2rDKMW|0@h?hj!>Q6ak`BMyY^hk|3mB1N{gwx|{v`VH3#dr*#o!oe3; z=p0?IoAwh}Je{K_DK!X;vvq~3H98G1gYe&ejS#=Zn-RV}x&{9&Ugz&L%1H~H8MMxB zZ)8LW6m7RNHfm?EDAjIv7(PRKNJ~n7ag_BE)EI}7VZq$ zD~y45+Q#kOz4>n*`4=(~rx&xc17}Zg-w+mI2f41di;s*7$8+%%XnSy6m}_Kg6m*x7 z#v$BWj(?)Rn}9bWL%3=6S_rY?)2$Gz?GUnp0U;|P(hdfs?F;F;gMYa~q~)lU7W55e zJA@ z8Hb23;*cqyFx-2d@g=QEf)9&C+buLY0)+^7MaGI^Lb5656#Q%0ip(b@)5*|oVN7Ib z=>Cu`L~#&qj|)O38xi(oGU$Z0`uM%ZJjf3BEu19|W_*KNWB82&IXG&U-dEqxY{hMa zi5qo8L@{Ua8gGC$`YaoF&a5TZn*DAqk2iVuktyp0q77j8FjtYy}%Zdnz3EQ=z3<`+~ z7VWlS#lU-47DmVJk72Rm!}jlA@d#!u;VcP>Ug~PAWw_$1{oWkMo%TDvx{0ogL|=&A zYqi7NM<5b@Ro0?E*0Ui!gpI>?qNRGzqavs5{I3AiuFFAyuCCBTCsIX!^ z^qnh$Ly;Y>&<)%Q$Q`{w@pIhenw*tIKpEFKP*^kWB?Cv=LF5(Z#NrxYp8ga1Z^ek{ zH|Kt$NVK zeSS$mvv7;3I3}Enu8f0FmzgdEoi#YU9>O_I{6G-lwP7v}|1KLL5mrLZd)DIwT}ue4 zSO-Drckv|G8AumFvyz&BBs9Q3f^$s<t!pi;3vvpBY3E4KZDsV~r=-(-2Ws>nS3t zox#F=6M)z-zoBeHa{JNnpQu*GzHB2??geBk%KaVkL(o*s)+|CU0};`;q~S@waS14F z{vEE2qh-ZJP#3>-cv2z}b#*c~ZqafV>mjBgEAb?haRJVy!vf?xf=Hi%pa=0b2c6xC zqQt+5sEYx@OT@>K6FrD%Q5%EEGepqSIsSMO>7fjO11Y;z{^C0uM3U&ACQ(UkL8!?qkHJkm0I{ zM?}%!07xWmyNaM~eMBBTaEbYHQwGBA!{6&W?hWoo>mETQ#@`s)4lX^EPs}ZJ8NWx! zHAhTSVH~5}dAmRE7O~H@_bz3-)_Z4d7no{%m$UsS4L8b0 z`cyv|wz4e{*qHHs_IAm_mG_tzSa{PETp5G8jDv_4bA}O;l{wiJzh=L2AJg;rjvxqj zV0`bgecWNOb{0NhGwqz?0v5d471@g4e2RJwpI~#^_yqHUC0H#VUp$GS5+k{VOk4-b zY{i{m1o`Z=;KSmb{}6di3S6KI^Me(_OBMAYq)5s!G1Ax53-iQ08EM0&ItQNnR+l}v zy|2PP?=h?6iqVyB%N*AR@Rs3z_;Xj}{@94kq5wC)mg8S9fuL>frsc2j65igwj0QMl z-_`4v@$8|c%?DglfBZxH`5{I=Uax%W$n(<_wY}w1#FX4@vI%Z>4m2<%=zLY;g16ti z4D`&~lX%i2BAT^m7#9MgV(Z^wIq!}5fKN|vE93Nut3WUcz!CnrbCn((hul5u2m>tAiYdLcKCkrm}Qvzen{Ah;p*D;YG9ddXb^_c^aZ8oCScB=K7!?}exFT^B9*Ys6GMZM_JYUJ8S{|M?3Jb@?j$ z8yKbInLP+ek8=7Af=+Wm1FuF*?U;x0Bw_;*rQA1urFGExTLg7egRSRoPsk;j<*cI^ z!syD2N5RzD`vBSM8s_)fA&*5|(Q*$8;lVtdJ%pksDK0pY^B9rm;z&fD-Fop?tkq=v z6=WK+L=?XA^h$<9-Wsp~@4PAaca)VEkldsSu*uhgyE=Vn z4RlF?+bTvHa+dL!s1}Uu!4ve{E)w)A*~A9PH6qI1z#`aLFG2|`Rwih}u6GxwLTA7R zUJvII+>u-s!TnbvFZFrJ4FbwVP;!{|UxYADG*R^a%pr!>IcJ1-l8w(jSq17hS!lf6 zxxje?g8p0%w&O-L5YC2;@S@y#e=}g-W@JwSSMLKcp$WR@jEGJ? zpq%4umbxKam-B^q(g!fKE;w<6;an!K1`^frcsV%Irl>4ZWi$5=&?8$A-YXM&7V(ww z4zT_1IS_O4T-PyU2-=E{^WGx4F0b#J$9rmp(Mj5m5R%TZd@G1F_$|#U^#{asiS}g{ zX-Ntg6mxgpLf>h*yKDtl4IWqzK_r(+Dx5{8t>9L8Js^7_dxCZ|@FWRXkDO~n9`6jq zB`0}HNJ-8b} z4JTkjH$GM)x#ExGgg$a4^8?CUwxOF$#sz9=k#WwKiRg)iwpWhpWs}Xa0a%!I5P9d& z?DLTJj$d(GRe-hIE5X=xFpMF)mh1dTbw_T{$v(#rk*%%^f{eam3mBG2e z4EP3R-yz5qqI_eFX}!;)toeq$25vxqu7pgPeqq1hNLSfJp65+&A_MN*h42zMrFha1 zQRKR7`2h$_A7{O6%7th%SIPzBonXwzRoo+>A>g^zSx-@1>W&i|&e%O>+DAiaFWDw! z%1ib?Y^0&6497dY?-~Ba*fA>?s9{XR%%a>hA?7l!fHl<8aukrYv~53A9&#=abHm_V zS1qfXcoIpJ`qpT*|31*mF4|UFF3x4dhLLltSG$BGXwa(Fu3ABqGuj7H%vMCX)V?xlUKQDof9Ekv~H;jG{C%LPexFC zW(s>KYBCaxzgN-DTE|~`?AF?XH@}ZkR*V>hpYZVx(6%!Lmvhahcjc66w= zws9qx9x*s#F6CwegjywY;Y-?952#jIS}Do66--aD38cM&y+jOcb+IL)tc&WSguc^^ z*N|zD-Xpqp(Bc(ZF4aeDAIBnlQje$*QG$J+SljCUKkOlw!_#p{n(KKlJZUw9uGJYq z%#8=zzV-~B#B77U);{=G&InP&7C<-1FY$3N8?uS0O1Lk#impB8U!ct#V@$$4?Ht{x zEepNep3!wE(KmIN6x;xUa&q(lp+YYRK*e>adOy>3sFehfwyVV*SE%E7hd2T5)A4oe z%+m4Y-bQ%z^%7fJBB;vYG&ddQ;icdnw=+$gu5I{Q$2moBL?Vz7r~MaoT@!%8-V=;vIh)9*n4VNWb}pOhN8+Jlh@q$bpL7VKhU0nR z*(Bbqu$J5H0->qem&w%B)e;@5%Q{y=!)Zh$mYnFNN{s1vt%EwO7RKVCGf3`zZunyA z{b@o2OXq9HdsLWxiAx>i`thJkIqiTOc=#^TCPZTnZ~cUzFLELnsW2~h7mGG`5g8EA zy`x!m|6v|a&eei-P1x2abxpW$*@)=xPteWX2%+K}6S{8BCi!}*qsJ06vUA0L-8z#(SKT}8GDPI+@zl^$dl6l?%M`iP zMd6-9a(8~uuw8YDxr}}svCyL<4`?teIqYwwo=fO` zgtYhN{^JPx%k85C-p8$99sMy&EJe_T6)p&`E4V1<#I~(JLnt}dAED<`C;^B_*2-xh zI&lTQk;>3<#*>ai_wcZY zW^|Q86!EqJQo&es`#xjQb3lzPsVgOneQqTPH-LR4i4m|T$Cb8m$}ooA&4GA_9IxRS zheU2P{#%NVQjfzZI1Qw6-1#J%ELxb|74AJ3$h7u|pDUx55`r|K33gZXdc=(1wcQ!N z)7)9KELU7Lqmh3dY52^(?Zp_Sn6S0stG^Qa7}4*ToGoBNgPl8#psw{Ut5>f)z=2E` z>m{Jf#XtW7I_l{ILAO0V8{%%b8wDE5_$9(~?O*>Jc|+8B=Ak_h8pONV|1Un!{<(+i zh-wkmBDW=Ia(PZ4>dUe$?C5n~mh|#$7^qSw9(3K5Mz-jTsQ6=Ul0M8IUgYI3jxa z25iaHyU2|v#(6G~&C~l`&PeV6e>Fqgh;)A11!NNdC={LPqy%X-;Q%5*`p6zOjOruC zm0V^FUB|oocc#{R?@9gRi;zD3MGqiA@X$ps&F_<25bpOQ420zBbCd46CTV7#Q@OMv zroJX*vi^3%W%NzVUx>LD&HB!H;XmrbxGAP#! zmp#!s$1=FZ!IQY=%Nb^ixxaI?nw}!2&h2jB8?@Vg&SI=6!S!jqPkn}+I zLpQt)-JMS49nHD2nBRQ~>Z6BfkiI<>+&w_Z8H`QAuaUWU&bT)G<0d)EC|Vc-H*h+% z7iCqXqK4To3qeV%T~BSZKvE40ztVOu43hw`tt~Pnq`uJ(Yhlm1K$lvoQ(Xa>Uae&% z?$XZq?IS`WKk5IS@iOOYA2Z4jV3FOEQHI@2F9@Ji4~I;1?*?LUfsxyt1x7eOAZNyZ z_hX@2=a$y9AZUTZ2(SPgDVN~}rYli>4G4iI=M(1^@Ns*bAsc=+1PBFcbn@JjjROp*@1L}h1ylgulIAFdAq^=I^bT7uE{6s|kMJAar$gSFop z`Ld&ZjC_5Rsmv=fL(c(Z4>{(@C8HRt3%8M6^iCkIVWAi26KKL!PU%M3r#B!CSxlRqQe zO|P;M-uJk*2#@9TaK_-mF?Kfu8HaiI(~ZMg!}LWP^y(_1f7y73x+{)f%4L+;~6ngC2rwT9&Bk(pN zN;lB-`Z%=cyyXf?F<>wY&v@Tl$UMV6h@b{c6Gr$~j9E5vqihWjuwg|Ay5u6y$)2$r z;)!2gEnoQ39tA{fV+&9jO~DJ_Sq#w^z7s9hM>jtvqL&Wqn5<4)XTn^4a{$SWLLV+6 zJANhMKAD6dldpaRs_j@cSi^V|w)Sc+AlCi|DTDcZ0GH7No!%pJW01C9|$ zhfJ=gAaU2ZqDKAZ1cO#n+$C=l=NA>5OkUV-&(eS6jH`xo$#|sUodXX~3XauoBKI0> zd5t3g;y4|Cz~uP!qip@LGGi2l`>cP^F{PxfGv$^!>d)B{c@M0mrsla9*{0^)_gv;O z1F|ReJzRbVf=pS#Ch?}M3!P#-+Pt02eP|pHF3UFE?r867>SsmX0bs_i`Y3r1K(VVd z(^wt0)@9S!(x6m>4K`=L!i71yK%$>LdgWTZud$kBZY*n0ZNTS>8zPCK1HTx2 zFv4BTB15JRwYQl*r2L^k`r;3tCW`QTdQ85_GVT56tu~yMvWEuSF9ihLjIhg^Y(}t6 zG$`SC6Hz)dMr@{Ivcrt(bb@7Yjk{$x4cwiX9e35ZnVlQ4AyvvYBjc`qrkgqVBW9Z% ze?ACC`mcSA3&0w=y4_5`=5nA*0`9y&&~Lx?gfs5yW3%%n%U#XRugn5ObF3<`8)wl> zH>+xTYsRbsTme<3oH~RvMEb}Nt%J;dr7*f+o}qS5501wqDF33HJp-3vKBs`upu6Ez zIxcvC1Ks#%qzyIuhmimvb*V40!D5NnoN&a?oUn2eXtmW3{C-E7o~s7&=A4Qua}J+t zKrq~_M{|dbl@MgU^27;e^Od$&)*Ehv*3(z#FfXjkhfw;)Pw;>_a}(ITqF~Nd!wY5r zclalcne$hMgIyASAfizRmv+P4B?3^ki2Dh^COND!k9R(jYo79RBG{)Xy0B}y+fiVr zes+dTFQQt9T+J`ueGi`Ud54I6Tm^rF`2&0|`T*briq`58b9Z&1<}bLq&{q7560<_X zrayT0C$wOTC1mpt7JB9%oZX1%Z^MgMaPVnr z4CKZy){hs7e*xb4)*sA2ndT-hav2;)Z2k%xJg(KWAgq7}lb6G$EUjZ)5DK!i0GVEJ zbf0l2g?VAaD9eQH$Yq&|+)@{$-7@@Ohw#Yk4Qp6z%GUdcD8nH;kcC@$8rnnOREWfF zV#=UP2wH{`_Zr09JhBo>+ja9D2S;iFxO8`r*avtkD?06GR`i`ctOXCb6!&(dG3dr~ zmTn}3t2go1q)6R0T zaJ(x}Gr8S*hSkn(?OpKKv(*kRtF^7)e-OK}-d{MVeKB?F*v1eXZ@UYbIJu#Q%$gjd z8$mfGeHurG z(5sZ=D30`Kv8&O6Up93#&63%*J%6!lkJ&ymImZae_Imh{U~KyF=6tkg92 zrs9Cr*8y^kxCP3LZ|8?Rqd#JnA8R;v8Ry#1cE1WjNIdptDRX!HN8HY*w%KO1wG%oau&RVe$#e$y<5LpsP{dYV`>i{o`}W17OWy;96KSD~ zaw3-!fv}z0;t8s( zS_IQMzb5gGQQP~RuU&UDaVwWWqW2cZI&dT|4L0U3rX3Xjz!~LyqLhK(`RQC+mhpz$ zjBtmSv(_AFPe^VWYO#k4q8s$WNkIeSbvBe1i{s)*Vp7AE9zmaY71g2v zkCGc&BaH9v?5|44HQ`tmzuiZ2nNM*05Ya=9Be8Egas%!A3heGPS;jA2t^wsSE7lIP z_c@6Fi~89@|MNhDz*o5AAfumiF$K~6MnJ)Ecn^?Mp(j}P#+G0Pr4}N#~4_! z2KqN-1{pK-Z^#TXX8P`s{UBu)LjR`BAY}e;4!&pq`u`-&ur~za)%l2-f;)-Nfe&2A zl@N0FIk0prvvx;?#gP|KP>3D}GT~k8{}blwF6r1nTf)QpQ0+F7bRP_n3WxUM3$3r{ z(gQ#V`40D8r*V%S~bbs%6d%szOK*t>J*wtvw;Li?|=Kt1W zyBWOjD8vU3+_(R;C|^E*slb;Ce5t^f3Vf-+mkNBTz?TYqslb;Ce5t^f3Vf-+mkNBT zz?TYqslb;Ce5t^f3Vf-+mkNBTz?TYqslb;Ce5t^f3Vf-+|DOsFe*|aY@bmdU6$f6b z07Fy+qJmfJQwb222vL70K{hx%j8N$il~F`6BYd-iu!U-d;EuvDOBcriKWkjy2eU97 z44L6sIxq_-$HOQ35f%=CQOKL9jf2TkFiQiwnk+HH89?zUfe-_AQ}IWq5SCbj=zu>V zz%5@7v#b#48vPT(A~{=8Ab-RiLb73R4UP^yL17)d-bD<&RuqqRkgSIB?yf45JKi(4 z5np&!Nhs{V7fW^4v|gQ~t?ATR7fA0$SPL(_U21ZCQLb8FY&M&(FE)KV=4OKz7HtgQ zvF2Fv_cN%f2F0XKYIl|FrDBU}$Q_d&4lGg`bi2Z=#V>_3n9IPc&G#U@p3@{<_L*sPXx8hVYUm;Jn3j-SSh}Wy!k3@22$B$&cmJdUZdM zR+UncwU{tMwQcyBT)(SNcCL*!oa~PU z9xBeQkahf^koornI2Y4xr`Rq*h$;s~K^Jg;pWYcJ86>MFnjLo-{_^299; z>!eA2k#hQ2yGF2EY*E$PoS8nQI8vfBJAC-@OaR*Tvbf>+qW%vZuWw<3{Mw((UaR== z=j3{=&l*w&&n8qh%x=eoPfKY%z9A1S+WK>P6oLH`>abEFO{v!i>igCFr&wfPPR&zH z_$m%-8?K)+@a>anBs#-$cT~do?taJ-%S_u=bk?z}(PN6*7pD$t&*(keRd6;fd91a) zloC^*5#&F^w!Kl8PE%VeTTgvV;gltfh%c$*nsL&u1m1(C)bZ-+-aq03kBB$VN~23im~6IGN7*`Gf)~N^@^*!uZ{M4iRC@)+ z7i#J)$rTFuyG^Gr`-Xy)0WafMuev!d&qYUk6-i&e@D$Exy4EU31WRwRAe`#}^~AfXm% zuxXX>X~?PazPZF{y-ulGFxp&7Q^#vg?s!*9jZ7{sWsHx#E2)Z<9V(?Zjr3@OdP16y z-MwA8xL@X193iP`X-)YkMn@eZRX$kJ*>wKMSY%TvHP$ytBRKp_#V@M%kT)({_cm%e z#qyR)xH;KJqN{Oi%J25+d33NsStX%$DDqVSk|>Q==eUB_`cx&{QB+DT80l|Go|I;s zDI2@}@xrGJ@oS;K6sl{ClTJJ^uv_arlQlvVls8qM5MzHY@evgEvtXQ^ACFpx|Dtf$n zzM6FzH5IbD%+|mzuqt)?dhTSX$JN4tH7aj@U{Y_6Mmi~>hD%GSePd1a8eyE@oh;>? zyG9@oTZ}cU_^SB++N`@ZPtT@11S7PYUZ*QZE&yEZc^ zUaAqayu-G6CQF-NC^XXB66!jBpz>|h*+r7TWJm0;y$}9StQ{Uu1r=*P_idQyI;0j3 zHMigLRm^Jm6_`pwi%}G)gjn@t8v|@@up%v)={w}{mERtP%E4nEuZRo52N=RFg#kPu zEIfq3L=0h_!4c>x932Jk2mzZBmuZIZ%ZQ$?YLX|(eVu|wawlHFSHzF#xH|4o(p+`^ zN*vNZ>9}l8|74%ex;p&?O~$MZEYMFD7NaVSqr=P=jBkl0vbw=+x4%LMFg4&%K9L+;ftu_e!o z)@3ycB`m(|Zc4*$3G>v|Iew{D;H842?wMz0<7Ko~NA^sp6*9Bi6+oFPcrMPXVJP7H z*r6!{4WF+Sm@^Zw@Pf+k8mISsZ>3LHsKlsoS!|iEV%2mRWuW(hW>{WAQgo*qxyy~@ z^+j0ux|K72mc6B=>YaJ@w^ZAP8WYkpu;t);*cPKJx7EQNo^w5A%*6QBmG<7xZwkvL z%rVb^b@CADixFk_R2ijzkX2`+-8%PNq9bdCA&_?GH8qV~ewCq*ytZx3UwOKr- zjxSX#z>?xiv^op0fXK9#GwE9-?di-H@pt4*01-jCSC|IjVvEl4%Ho0XHNCl5yg;H; zu9VFPAD}Om461}pi5;Oucg{*Hdd^=e7F$SdFkwha;dPmUDVIe`w40n9D=pO#C6uP> zr>a2jA+>w5oKI4m!vdxs9*7N`OVAl_F40k8X8+ig=oFoFQ;*pRRXg)OnChy6>+9PO z#`S;d9J5>ZHukbANKvNi-Ki{c4qqpsVacJ`&hDunUw4az8`J2~!r>RQ9|*{YMAcY<>2eGEqYH?bK+5%f>I8Cd6w}CAUwaw^c&vzQpv*(Dd|r z4BQ9ROPCC-#>5cLCDM3bLr8FmgOKYm{ zt$A3|_~y?g^*pD8lD07#M8Jq<*oh&TwFB&U(3FQDeDEqSGlKRS7E+F6Uu!)gI;6Sbubg^nUT@&lX*M0}`Eqrt$=p@Si{1 zK0O7)dzrV!SN%qoJ1kQR`u)muug^VybXc8q(rs`osRrZ6f@wv&+jv`=+M{XRq6DMT zEpZ;i#Fi69=}Y8FM>n}aU+0lZx5lRCj5N38TQfeG@I}!%eAo5yga+}O6bpa`p2@1o zDT7zD(*`v)&&Fbsv4Rrn$gp*?N|@iAG~Rx$twNr74%>OBeSCVn&@8cW{8kCIN8Wh| zbhJNUIm+jZvhoRa5U6Gg)_yXtv96TXQeIw<2_l-e6gw)bJp$Bmt*H~IEEMCFOia^W zXjY+?9qP>;1#4GEohUee=^Lq97;~h0JinsnZFCw~U0L%?dr?MuY(=?KO^QZ7_*}QR zqF*KOtbemrIA$FVIMt&A*OMwbuBhXaN~smYYai~@)WNf|j5EHn$aeZbg(JYaq?VME zADVIbl^P+xv6L=tRtpQuX!Yg&D&aEu5eZdUab%rFP%y1G&@_Gd(vE+z;Gz1RtUEou z7?V!6)5Bu}=R0qt{oQn5_qFWDCoP_7RKoJAjP%z+h0pY@#%C&l&+L?*#u1y+?}oo1MvV`2#Fk5223PHfmrAJWyA_@@!lYiG zD!+lojcud*$|I)h>ZCn?g*m-Z36n-L(mMca(U*@5SE_=di)t3j8)bzN%i=>YVOWLq zs9YT9aAd-V|d%geriwHdM zMq`QKG{SoD8e3@Tyxsw?m-u5Zz#JBYm@I*aEC4$=7^Y<7>C-@U=yed_9e8Wfnrsbz z4H3;ylY*y6?%paw^)S9LO-XZmqq8Q^drm)fNIzlZ>Z}c+OFy}*3{_znGkn+s#ggT7 z?kee3#bwNA3l?k84b3YY06m{l5!S>r*TpaJ<@;Q^5tDOoKYW_9=sn#vSE7q$OqG$W z%dYB88DZrer38i5j33jo_s)!P;Pkuus+~&)1WUaKmrI}1XUAP;0?bPdBT`dJRPkol zR!`|;{E#xO+49wxV3pNS-sQ@d5tSo>1#-qn_@Nom@$-eMkVRL#RPKp>^%?UsjTS32 z?+BQzJ=GanqBVpGXMQkmOxA7?f6S~aRQvT{TRUFJ%V^WBZF=RNGdrWq>eT@w>6aSs z$PKmyN+mkiD{fBj_b&OMHv>r2l_9-K00!jctTgZbcuxn-9cPN{>>e(1pRn_E&o ztG8FsW^PCFUQVF%a&-ac>pi+Y=cC=-@@}&DjqVoKu zNlf5!6wy00o$1s%A)!upVy&3a4{hMijyPnWXHB>c3MV?QQ4u4^crQ;=lqpE zO#{D=oSXRp6AH)HOfA5QB+MGST{EF6R#xMm_W@|CN%GCe=aafR`_iX(1xwi3guo!Qe zGO~x~^%SNSNOb3FqA}r-W{-jPJL#{mZjE3rAsW2 zsDk5L?_`ejV?iSI9c){x3=ktB-w(`Vta(dpzs9FrG*abVcj}j}yDeByoqF5oaQ)fz zBZ-L-De|siMWN}7&H+I0la!YuqA+Dk%0P1&jn4-HFRY^dsA_(skIc*OsrZ`7H-Are zUeX9uVoq;&MtV!R^3Ew=rxrOgU)rM)cn&nL$j91iJRKCXYN4cEwN0Q1{8U02Yn*Sb z-ea2f654QY>^$hHg8V}g2;%_%}b8MU(r6#KAssct+F6vAOuDfLaD zzjU@n*;k^~r?`OaR`>eIWJAxXbCazp^E$Wv@d4?SY}dqdF)8OD#&+C zQJ3kIT;Gx+9I?UpiPE}E#q4qcL!!lQirvTYi?XD(p-#CQ+9yO~l#C?q|7L;PQtCst>ja85tL zaoMbXLaagZ+W#|L>0r3R%c2&mZOg9PlB%8O2dv9mw|JI~Zq0ILb-}igZMvEfzLm=N zWrWk9ATsh-onHTcsOu#_SzK1H|l6*;CUgF*8f@gi63cglo zde)fR%+6Ylz$aCltvYBX*)e4hC?6_gj=gBM>MZEZR66dHm*VGHG$6%2XKX&DQ~fY6 z`6UT`ZpX}C^W*2sz!;XqBp3zypUtS2rSN=wF8~kZb?qe?knF9t@p7hbT?yrGHQ>F; zk7j}!kAL{<^xKcW4m?)}SF5&<+-hUWi)MD_nn{|^ybu?JHh(+p2EZbIY{~$0R7kWs zrQotHX9iUb6_Xn84*sUkK5~4K#{Y?!yI}9x5FzmGpiAFwPJp3)>JoDHKcUQhi|KeKGoQE zL$3WGQVrS*Z>HE{H!r@Ddihw)X0w=F3&d(w5&hLvr9V zoK26N8-7-TMf%jlU6-}pSjYF95&U5>u;YnJ&|lQv-Bm8Tlde##o)K891X7iI>+KHs zU2^DiMnP-Mbfw3O*wNaI7LC)qv(Vpsx@mHnvCVgSzOdA1!Vu|9@myQ_>Euu`Zyey| zo2`lON_CRoba>shQ%ZmDl!3{V_GjXoX^jxP1pe32G4NF4rpt88o$N4SQD*K)(h&*G zw{rjs>Q)7Lg!aa^IKHUON)DYeD8SU0FBOQKWfuPA8q<@dCt3^t$3?~!JL`iuinvRU4@2MZo)-qLsIPS)MV0F6*^%0kmpGYp+cn7Zp(PQfX~b=hQYtP*6}xmCikDK6R#yDl6YNlF=J5 zP&w9uIZ6wjclqXno@}gYwTl<}X@mpqpMU9*`IXS>D#}~x;R$I8wXb}*y*b6n4-*bd zj9ENQ990Vj=cl;bM#$5 z!b@Eh%`X^Ufjz3A>yvk~I~7OjGK;H~3QYJ;S)Wz>+~9vcNS!%2M+D4 zNQr)}Zk5x(fEWJy$K%UxNtkeUTAUp&yD()q{_eNLa6xbMcuPjQn_S`d(5`r{CI4Bh zIu1y`Ru2a(=}uHov$tpO&G z|A)`-1$-V4FGUs*84{ue!R`&o(F^e-gm3>JE|2p72toj-Q2yUse%+)0JC|3^bNLb? zj5jqPEeAf*a`0YpYLXZ5kyH{wF}|>MFNh6>$gVo;s^$6#@!J5T%;_gr%TbUFfS6ze z#cDh~RkF3+WSC{Sf7A@`!W!5&Gm-Xa+mf?g<(cAQfD0Z8RM|J(*ANzacdm<3_1lU= z`^>I&R(chbF{?-7@*c`JPM6}VrVLt}+?yXIUMQjLW6$gbCehB+d6P(JHM{@$L&xgJ zq9k?inWDOkk;A;1kcGu6@6C$}ZJ6?q=M2qwfJB==4qQY>FcD<=Q#!+GlRzrGC~WGw zJJ=?i5f(0<70m3k_(=WPUbXjgrdyeAr`=5W1)y_-`&8RwBP5Kw<4>P2=qM=F9U75g zg8eOLZ#Fx3boc(*I$3u%CeT(RygnyfRJiujYhi(eUR7nS2`~I`)V zlia^HdqiXVqC{J+n1_fL&B^hta=oS(Z=)BYktfkvkU%_+nihU~u8*}#s2+j7fKOE< zX!!9(mA^`R{^L1INBYIzCd)$}9vB=4W{Er=7`m3$YC%X@b$&T;LE`#LX`rvWmSXdK zZ#Y?o3D4DDsjNNtN~-49RX_WDzPRv{d|0g!bW~@gjn((&C;;guRn&kdsAUm8D(qGX znkL0rPm8LyNay+c=0Vw?Rmxc)#z!i%ph1Pfc(t8dk=2oD{aK|Nvr&ypsH2*9%_(;I zt;MmL(UzlLzSkq;Z=Y)r4g#k|dS3vfy5{gmo;)USy2DE|{<(zOfq|R0OZqdvum^C% zkdlNJ$8{b5U`5ZF1vUHC!Goo`gVN|nv5KB)!y)OrK82UEV5&-~E}?pN)ytxV)2&-% z(mPoNbMG|4=fr6Jcr(VIns<6;E3D^n;o+XdF`H7|zPcIze^M6bsDg_2DIZ?#>z3#$ zD*_+ICieH`%#K+rH9**F_~j0s>VwMWrm_S~II6i(mt0d)t&;hb(j?~m9mgEUfrYM!1kp+Jmj0qU z>Eq>hG=hOsoIzPB)vYh0IFvt8s^i6vH*YvO(z2y(U~Xq-Uv$@<(xr!6-gx;|A2~E5 z#DrDPsyuDGKz2oyln+O!1o?$!@}d2jZGH8%X;na+d#vW)c#CMlaXO;aLp7Ss8%75P3fkY-)HmBDB@3sUQ=YV> z%;ndo*9_MKo2gVcUn)ya>jUJ$p{6c#LLq!3O_{Fpl_he%U2$v-N=q_UK%Y)w}Mmr>)(lbSyI_~yU)VO%oNwj?PO7+)@Nbg#KgYFklLV&{e#706>73V@z5G zyqsnKdO4o+Ud|k$un~X7LuZ}r7>JBHG-o34XWnkro&#@uHAEW}>bS_Z&gzSKMk`F0 zfrKGtK5TH-SrcDngU8fQuX2~;2}21BY6PYFYQ=hxH&`KWe`A3m zKQYqw%IalFz~RQvDc%Ych_XfF9X_O-nZ$(>l_+n~6;HBnlIV_A#628X z{CJFChUf1yyO>z0lCPXDV-842jYkg7_$~fJv2!NiV`t)S)y~fa+l1ayxlyAhUymO# z6S3RunmW`+#ZSCDZ9bjruJf5QDh)_=nNqyG)}@$ek?KjD53meG}&;&@&tY#k&v)@h~9~ z0pY#|VgD!G2gqrKTk#3u%xC*hD0@EJhrM(D&Gum(7rY*b_H#kDPqNwp)Sl}4e75g_ zFO1Iv**?B_t_zgB7J#(Qx<>78B-`Y|+xcYwmA?4j)fqB<+&X20@4UV-9AI|*6u|5` z6dQRB*2GLvv_<8PX)3ykRDAoRiQsx=<~`($-)ZH;y>>`ubg{TLW-}Fih9em`-*0Er>g^0g57h`Dz9o=^){_KO~7f3 zH{0)=$}UXSEe}^r>0xH_XJ=2_UnNbJQsN6{e15a+z0pv!;bUKuNF6kZZOboVD5X@8 z>w8v?AG&ujq4~6-_`>VvuVv?Ivp`}<@AD0r(r+BAmuSVrKAG985r$xaAlQ#eoNZ}8 z?1>34skY5c$n|3t^%7ErCVnR1jwa9tb62-^`~cj<{AVqbrPQ~jvT07Ca7xe3sXhP^@f@ffH@|%yoCw#dOs9ZKU3%fXF)=(YE2fZgdrNfWMrZ)oeze= zyT{R9(kX+MVa>Ee$G58<3$AKDTdV*k!$A3Mbx`!1jNYbJ)!DRYMQmv)rRh1g{qj_7 zdvTt1U*w&%3Ono8Q?n_Y>oS%1r0kzbz$ItTrj1uuG*`#^fGk0%5&9gej2m=OW{@LeN4oxQ`Zu?4E|ZR{($j!Fq$$;D zt=W$WLS6*7lus)3_#ifD>Cebg#lKPSe%CfC!g?gs*0uc&nZe8IREdW{wq=R1xMyjCSUHKbt8_d_s& z^^sCtVR7N5#L6<7ui{XL%}C_<_(QoGXlmuWh!WPT`M! zKkU6{RFmu4wj0t2q!1thlwyNElA zQw>EanbWQyAW~GCb1I@##Xu-2`^L52_gj1a+TZ`h7&sgZ2qAf%`zq&k9p_SW3D(O4 z)HgZDmYS{(o&$x}qFBmo1>MA@X~(pe{Yifp$ea1t_`Z5sKz(a^k=$Ka<1`C`xbMia zG~d{CK@#Jc#;$493@Kxjm-1mMJ zlh01|NI^U=n>MLvN^x(IxsDG@&-eA_-vAQ5vD7C&TqvJD3v!o{IUd&ED=Jo)QY8Ti z;*kk*t-L**ZQKLWs#252(&S$8a=z3O2Kr;5%9(1acKXmW(vm`{kujx9at}}^@vtT= zF?HT$Ny;4PpCgV;iRTZUl>{t>Ka1*#NMn0VjekgyTT9P1nHK;thPi#PrHGIOV*Sff1LR6@njnc$|B zVQZkC^bJo>oEiQfDZalU`4f=hhugm&o&NE6slUyFB%pLhBYGm7D$@N`{hm)xyP#Ia>^i(|Ert|s+tLlApa8MFa8qaffj!Ui1CrfZAy=1O!c{| zN%fb-c+M{|o~5~%0Gezo{djGqJ2I!d_@tf~vy_J4mc{r4AjbDOtV%D1#tTbvOH+ye z(&CpRuq5!oVszc%Eaq{!>sX(ND6Q~Nen?)H<4;Mv*EGE!sq}2w{+6%hQC6zbSOnyF zBk{m*UNt;LVFz35@T^w3(2uV;3j~?CL5CZgTbm%6Ppgz7(ag=rhF{#2M?3eo<=vJy)pH9y8jIvaG&?Q4-&YtmR>+v3h}BR5KsfT=Q_f+&@-) zcKyW3Vedr85#i4{f_Ow86egAWOxI@CHZC=nVowhXZslxFln$&Q0&cvRk4;zaPG51pw-vde$S)t)!r-5Puau^ zn>wYx4iEpB_@Ma^{i;PSIQIt6@kEnOua#LK3D0Ry%$qBCa!M-uRY%HefeJFydu=A7 z+KD>`#@v|w6Z$octs+$kD1fot#xZGAisnBxufI&l{7cu%yuct70m$ym)8PGSxzAUb z@mrGnfAeK$#^em&hzET%5#a+60fHjTL3c^wJY`y!FdN?DlJZ zjVuGg3{c(UrzF)*uEPrriIpk(BNG484~f5>eh!3p^8y~FUiMm~86#Z~6ZnhZX!ZIw zklmgh;vfM-4 zANF%(F(7^L%@u3+hv+_$Sm z;#zJtUV=N_GyR%=R9G){1>ug>xO881XxOW$RJo$1S;7pKGr6F3c$x*KleR>FJ|AeQ z)q>i;pT&G2XKoWk75tLlWqzL%^+(z(pBpbXL>GS5nLSYpGG>LO_eWgJT<*=LB>m%H z4hys!*`mJY?1OzvF`q#VxZG5hxPvJGP^y`OzHJof5k8zg4bnvMh{U(GIi(^?kTyCU z2^x>y%_-9p`3a08!V4aYpxz(maO=NVS^LE=q>OW?j4kDXt7=O$zEsBlhX6k=ogC<| z%d8NB&ZKOh=g54pWWbXF!p(U7^f8;+rOLw5De&$NWQt!F<&AT}#KPF}xB7r57F;0_ zxDH2!PegJXm*YB!v5N^6X>7Nc*@Pj^c%!K#=*Qoq*G9nG83Fz%H$QNrwzu6o5u>}w z^WVBTV?hZMb=vT(zvnBmSp<_y13i*M}&mT?9Xd z{LdcVvbm2D1V)W-K!T6mz9y` znc$%uARFwF`)46+4(u|F3&+7>sg&~pyAiEuM9dnPy#_LwrmP6-!>GGL_rkkf*4pjF zY6z4NHV~I9D-gcl5uVv7fWs%F%pi3L#cxI!(l$)ECIxPf%%#EXm1>ltFQLPYdmy+P z11a|?op`oncsmk|UAs^s_E~W(^F``sRC_%9`TiFEt7a5YF042g*%x)eg?#3ve zyR(Q{QA_YboA6K+__sY4=0q<#%nhI4g$~55V-SccXKt;VC;Cxfd1$Ltln?It43SDk zMZ@fkl%5j<1eSjh1N2MN!%Yo?hW{VEd$w6=ZS~y+3pXj7-{Tc7H54_f^Jroc(R|$!lUcdi9s`A#XP%-S> zT1E6?zzg**FfdYdw6fxt?a{l}-d=)pXj-8<7fLnhnLBsj>F{q|=2QlGTwAED*u4uy zrIg@zAJs^MC)4n|OkxRiW1Sd7yEi+D`zU()W{G9$N`&2ScHboK=4e0ANW(v~GJQEi zqaIM`R-SSo-?qfL>w?D^Iwc=OOgI2*lGTc88=j&R zrYWmXMxSYD8r2Jfw{>yejCDLpkkcT8xL!*mWq7WumbKOfgH-ets3~b9kAO*XbN@JR zrOUBkLpEEYvT#q7|03_Sv{T9QDAR-xoU&ncd+20^`>^?21en)pB8;oig>2%PsKIAC zy={mvj^$-NZ~S30WM1RXqh>|+JxPSCK5(K|uZ}kd??orT?0INAcxtil>w~yBu0c2? zRe))PT}DB55dPWZ%?ersGbfrsFpdSCtRViIC!u2MxrZ0_XE+77w)NJi}Gh;Gt5r(>jh>ziHLV5a+cHugS$k1~> zL?mBtuf{`y3OtrUCMxMH(KpJdTa~t2$4xS{%mi!C(6?jN=af|z6KcVb0O2u3@09k2 zB=V073EM=yX0h}Yk?UtTn-?)j8=$)`?ZqoO=v>$Y4YTh^f+r{9iJ0EKZ4P>D*b6oI zW&6Y=#(ScYc>*1$h+WiA`5m=bV6cfY<+@?kFf~j?OeIKgC)22>uUTQiBLn-pIwefB zV+>nzb$-Jsgs(Pdg?Z8@vX)J(gOMRpk4w|@R35NX>P&z7$L?kt#8xYnu>-!c@RHrW z%=Ao+u55!VvGD@U4k80?ca`IHvN>`T7SFT6TV3XBWWtk+vq&z!hq`=Mb6ozqjP*U- zi%50hezSnv)1uohJ6iktDQ!KOaX06lF&>_AG`a)+fp(B#He^$&jPd{NyzzI8juE5| z=R-5IP;^jacjno3;%+;fICyO>1M^ie^;Q9fxRzqFfV*vEaui!~O9fllLnL$cpx5|! zridM6vwd6bZm9$p7ZSJBI^*DdPFB9VR4RWzLfje#FQgzpqASygfATDd8?#X>5)HF& zT=u=;q`C1He}TA>veEm-3yNO5(eFk#0|^#crGIH!XhgY2A6C=de@L@TbhT3#IIc;M zsdg1^e^6>@CZmq#YmtLTH;du>5vx3_bTSJNh3Z#Dx`UzBBSh-agg2}98=(*GNlen= z{!xv$*ayp?tCY~~{=A{Ol1RN5L(!z}vo(S>`Nz_n5PL3?SKJcpqy$Dex-kfHMf;Vw zvN}{1(UK_7&i+WWOs;>^X$7@5+Sg4q#%fqRxcNt|!8qq!^%69pZ{G&)T2&% zhCu0>@*O3iFUyIR1y;EUoGz*)wDkm3Amdzl6aqGLtaKmB(6k!Jc+y6D`A-lLd~s zqoWeP_WcMYmrx8J z<2;nKZKLYnKRmyWw@`(7LbgLheeb_)xO>Ai%2}V*e;#t)A-&$DLe1zWTsD~Hh}vd- zBsu%Wd0Zz4qkyq19wvO<#uBhLd)aAV;U7hVFHDCxs7-nVjSjMoE~YW`pOQO=L#U2H z=yOz+27S9**rd-9L;>7>1GU@ZRApEPwLe`!`h$fe5vdUuD zjSa%144wGnE6`ZQ?~_$TCBieejgNL=?Plum5C>CYc5;nw27!nXF<3-QaJ$K~b}SJ& zw{IU;#f}u0<>$0PGm(g#R|S3wrpWB`oHKM{?4E@WDpNF48R0t}S4*DSWoohXJNAd$ z+HP%*24BBcNt|eUSQ>^M&o*?r;B(vH^$2B;Hlj};x6=Ix2@~k-ho8{EC@TmcQr&h~ zArH5RXf0NNKEvMQLt4KKh;&Sg72p~Bl7`7BxZW3|!}Gf3p$f=@R6!Lym!h##0ks;1 z^bM=LP=yIbv>#CVhPg>spF?a=vRqO?GwxxtP_G;eDiM!vV`H)DG{ojBP$*{|4}pfP z=b_$f(0L|Xsb`B7RP3>3QDmtrioudrE6WQ2nrgmp{TF+%?!ahuNwL)T`c^TmW6Hxza`cxhHtkUe!g?X0yWmU+p@~O zo~U$P`;k^I{6SY~v#Z8kTnh>cbA7I$%eW?`**`9oxn?2X-< zkT_DjHl?!{XBcP@!=7h6Hg(EoV_MeUARsVj40je6YHH5ul?RYXR~X92w2bBgCi zQ3>x{Mi(}r^1&-1IElD|LFjuBY35S=n-1-wy> z&jKc0(`nbn6iug>Zy)paRc*RO1oUo(3(@KAZcfRVmg%a2Sg`1~DRc#nfR!{d4rf4^;qpcHeTX=bzOmLC|VR|zVs z?b}Jk{H=kNI5*wPCYuZddvb_=@Z4TZ1IJ2pa;f{#_)Dr!%+e< z$=RE+g;Lq8)5O7bkhWIz-v5kVXFCzOKE-%+%vtpv~Lh zsjOok(%16gt(0}lR}Fu~Jy1AYpK&xK8N$1x8a#NzCwskHq8%fYl@#tXcm%a;JFdzNuJnX+?(}UHXPfvP4}28+d{~?Q_=by;Jq)umQg%Nr zjMd%iEL4VriL3{xA4MesMPa4f=MNSg zKAn8+WkXkK3N`c!q99NqZgmwAqS;J2lcKb!^!h787(Yf)kyfmv`lf|0rXfzT?iU7Z zIeO9>EekvZV1KcyvAADnLDZi-Pyp)ySc}D#qq8hTeriN2@6TsDk6;dI2$P= zUfh%1i&_-Hx<7N;uW84?E?ZF)t{4=RhR_Ve+pVzCx37j`zwTB)KJT|R4Mrkc_d44u zW0cwJjPOW!Yt^d#!3IQfT-xD9e#nT^`U-ur&VxMHq=JJPkBP`$@}82G%RGH$3jWP? zHc`#hu1oK->$Oby{JtCRh6`J#eyhTI_O5o-aK|Wza&;-nwZtwR+{)}P^;Kbg?|Stz zZspcc6#C+DoNIIIpp)MdDbH`7U8~r&_L?ygP&5{BH8i_=a?;kFp?8GT1*&GX#?LC#UGNxu`4yBH zcDwJ}p2s=ak5uC9p)wE_aw`v{sn*7^WnvC=>96qXP8r6Z6K@z)ugFkqtwvFB8b%sO z_;x|fKhm#z-m%lUeg_Om)B}oB*$Q_na&q+Td!jD~Iv6iBjy&tw(YxT%5*4gbnQvss1>||L=y5E11X={$CRL zWUD5XV8@5K8LFHs61300d4W!db~yp_Pt#OD!6rWLVE!=*?1lZlK$Wj8Mg?1=`Eu$Z z^lzFKg3@2(~;0i+?e|~vDA$mnyz(< zN|1ZvjSlbEvHkjVzsu84JaqAPM}j8#`5ukTRm^)?<%U0LVFi(x8pwWW70 z_Y`7~q3ibPRcV$1<4m=ydaPeH-92e7rJ?O)DZ>*da(c~4Gp7F@TSJ@ z3YXTFbwV>En;kH>>D$k&dJ*`hwdzQ8iJYP#rzX5Gw={g4<&s9FRHvH6(r`aC6BKQ= zdi-*?@Y(fx?5vHppcw2&5ue?99NK39lITs)jTd;BUcEPO-c1qLx}Mc-N$7%QY)t+8 z1Gx4){coHQj8kx5?ean0GH{_P+8ccD>wvowJSIl_sS6OXJ57*mTNA>6`9I|j=?0H=} zt=dz^1v`T?wcj{b=H@gp;j z#Je-kg>KlcpLaES%m{NO?d-q;E{*SW?Q``l+SZ)l(A>qow89VwH}kn57zYbYjJhf* z=AQEoJ+>!vc%p|6djmtLAwK=omt`F_tjfr?I(6ZRG9m;DFIENGXg>a_TC=cIqbc# zn7dRfVcabx^z$=6q4*EB*uNwJ`R(Z(bTRhK9yMj`Ta%X4RI5J>uHV9*QGbj5XQW|E zErp@2xe{@nn18=&P1D)lES{^*WrVC#GbGgSH(K&Aa(bn%`3kctth8h`M;k?am%LJJ z*!|3e;zYROZbWKGw^*=mOHW9|ywdqoSJ=w~O*gRU4?dt5z(4=h|NpE1|5yM2um1mE z{eO8e|G)bGfA#i_@M|NpE1|5yJ9bLRim|9|QKKR})EpZfoQsQ&+#Tlo4ANPQxh z9SHvas{RTjpvA*TFvJBc7}bK2pb#7!s=5+J;(Uj$gy<>|z(6t>2QcD5a0;q0G#ChQ zFz&etK{_l#9Ker4!G{)N?+*Zw0a7&>0)X4ADV%`W@3h)+0cpPgTHG)$WdH9-hiUjq z3Y-luOoaqNj1=H`5RbBV3JNeX2^hXX5!WGKmJ}l4d7xW~wTNo#da1h>S zt^~gh?bgOpAl+nzH&B8SLV^(_9uvF<1Klu>#nWJW6{?_x3^*I+0*ApcIBWATMw=kWcn2pqwd$uL-t>165`zguy-*1E~w{$-yoqjKqL@cR#o-U&YB`WX*ez^J zF%UV32gvw`_(>Xsiyu{BuThO9LF{Q7bVxFsr>1O3Kq^>4q=-}mO+7+wNE@f7O@Mgn z31B3Qp~it^1&vSyCtE#DV~DI5iNmWQpgcmGLa0esfJWPXolp(Tq8*ep3CGb0hgKS? zp`If=Nwx@Y+pQlBuqL<$Bh(QjlSWd8O(1=h6+JymjUayzWRs z#&oz85(@&Q>3z|IqMSLXORJcxZzrO!^cf(y*@o`OZnEKV*7y zdB|>C%MDP|4hYA={HJ<$7siLUt4qI@d=9!v2;F79lWV$wYvGu9Z9QV$3H9RrN%cBv zT14AkyA!Fifvyo?9So)Hgodl^< z+G*&ebGcl2ozAUn^e`lpye9$@N!9U&dt*%xKyyAbw44z359!D%oglg%3H0k%XrdHx z%AHkCh;q)J(`YzqlK}1sxkX00peSV|5xn%kqX&wm9J($l2?hmX2D!k~K%|Dfg7$dl zu747!^{7g1Q;09mWS3qO+>r#GOtb#JE%2ye^QthKVVC+O+_n=2okv_S))UfJA6$9L zq=&P8&5Bg|A3I@MK`%_HHD;#;&PFgUS>eluTNn4fgHd1a+r4iMeEk~^aziV=-_4bb z+hj(ksh&m{Kua&_n#1fiA7%<>M*K5*v z%-JJ{UEbqGMp+~FX662^$VRpb;B1p3vifm_y%*hX!>Z}?@K%4=<*vi!jy4>4cR;)H z4>B!JXXc_c7WTOAtP?j|FHc|0gM5sDQ(&JAwUnTR8d~p36vbPxk2Khz;Xa$PuI(aB z_<1XP7ueJ_7hk1RI$OH_DcLYV&l9W1gTrPWIuYBq1ye0P(OeFqo;c}pFf8mQ@}^?= ziU33g|Bw;pIp5OUQOH-vSfwKDVQ{lo4tKCDtp58i_dD^y)>)qhD zZbv91ZgCIWk||Dl8EP7*vDS$Wk*r7^?%IS0N)QhA$#287v$M*pw5BX-0WT)eZ))~!N<^VsQ6D)%$)5e*g(ou-y#BFtZ0m?+qut$xo& zRgm>_r-;1qCGxx~RYXEfh*J?43WK26;KhjD>(h$)naxgfyh7i|>y&+mH}X{tM;30T z!f#wpuW0hszjgUtVp!JzhPtcZ%e$_y_l~Y_ggwqr>97Wn*&#(DMe59 zB-iarU@L6#5#-w=>Kz9*_>m0qIJh6MTNvw0f9(0FTiBIv&q0R5`mEY|30)kUNbUQ$ z5;75{@n`qbyYOy@6My6{g*m3tNO+{TR*(Y$hF-U?U;Ba#yN-f+dsOYGl^)G<68Qpu zXw8b1Fg(!~=Jq{#Gr56c_k>2eZgLXym417bqaGbiS^wDeZmR~22HBvJ)rmxWN3=U^ zkIb(#3I3Zy!o}%*+&4ymdulU$5N~u+=`I8pO%5G`?nJ&IE7(%jQ87tcUuf&1jEt3& zT#yz|)5J85yO1D8bQ}%tpvK7yHEGf3fCcH&c?}2+zhw;z?HGCrp4NM` z*^XY+oy7w3BO{vooB9r16$kIRTbtv>P{HPl<`+7Yc8nwvgsg76)^ zLI@=p?SYso*{XFZBByfPkLr|DH6L4g)9?&8Wp$*h{uyk6i=xiKA}HhdASs#=tY!K; z-R>bWh-3FqqsKmgq`2-e-{3Qtzcy+UjD8 zqst!qrP@@5{#~7lzBvVjjJU&=M!QriU?ktGbcm+y4vuk@g{~c)eA#HzQzgS2icO(= zs89VyLCDXzHw|gxG!(#m!*t20Ubh0;=Gy^5?xIe`(@^ZCuFJZWV>I+7f!kUmKN=RF z>#5CT5bDhmc45s@j`O$Cr-Cs@T#f6yD=DiR$`1CJ#*!5C`%qsgg!<%pnxikaH)hXT zlTpgRv#lmdmQZq|;=Nhj>~Itw@={e#^Kc64ihniqj9Rhc^GeMw za{q5=M^~cypKu3;J;tDngZ!xThw>#d`qhSSw%T=r8pV-4luR$0ezf6S`#eW`y9LT- z4cW3)eax14apockvA?XxgOX>{Sxnm~v|;T?qHi~W2W6rZ$3Zrw#Bp;~KT6h$*|~$V zvCc$`b~ZJ%Gb$6!w?^q6^Wa(2eCqLX8!Y2Vgnt(ps-B03JV-Hs$ zQGQ$(ZvJe`HS$}$p~X>syR0sn(+Q2cC`H%JtFo`E#b3acopzPqIe?LJ)Q4_ZrsL@F z7?UY@Ra`QAH57H_mFxe5tX8hw@h` z=zLLDb=LvezDMb$j!pJ4YJ`-?UX9`n5&j6(k$r|Fr|;)zS3xwhlAU2M1aa|Zv1HZ6 zyz8N;p9xh5xH3(x2a$v>#?~@OA!pyLtu|53fxQu-g!*>B0KMIr>^^SXMso`$ZPT-@ zNwc`Dr00hC*87Cc3BI*>f?h{fzoFX3f$<*W`B|;ms4Mt-vaymYK2*u%QT!53|LZlc z_j76{RA|soT6x9sOGFh8vhV07NF@1G2h3Yw*<3Yu&@2B!EcpM{+psDg?7qPOSt$n+ zO0(98&CtKCb_QwHt|Xut)(YW|HfGI@=C5{Al5)4Orl8x%qe>YU}=OEwE)c(eq z1b<>fQ;SK?JR7TQPo6r`Z%elN4K->ay5Niy@gcjot*F|EbcN9|uT#f^*7uUHTvAFs zQUhSZIG+TNE+xd!VlP-C+~Hx^>+Hg=TrR)meKL2S;YOVdy57n{R+l!LonWUV*jl@e zV)!SGpsmc>bC(l#(rD<>QL>$%ez20#HGOBLmky;h=eKH%vrm)J%IG6yNG4`DTj`(z zJ5l?>uxf$O8&bX}Q{@@^G~_{z&w91|e7CSCZ)lbo3g7+|a{P{dJa2WO7h4i4imN>t zlViq#JWy8&yG_<4x;D@nucD8sC0sgvT3P8!Rcfz2#$iva)%j9;fHi3d{>IhaZ%Nvz zyvpU{UTq30i7FOoZ{QiqZi#(IZ z8SvCLXBXwj#d;Qlh11`}`7^!*_M{c$mXr~rLlcCHwjS4&uZAc#{g#!;-?{p51{oG| z@frtuDwed9zL$)MM_+qV)Nw%vCJ<)npA2?cQ*WNFDBRE|VBf*27uQ00h&2^H!{?xD&{d6-uV$%#RdAQ z&)q*vukVa9I7mhhZwxYu{&Pc+i}LKb(8$L}>XOO$ji_~ejo;y|{8;nE-b-lZPqnVm z$)gPTcC8>#Vx2s^&z`Jx3WlI*oxkh4`@5tt{rcoP4&X5DTP4SNJH zu_JR<@3j3b={5)Id-ot2p}%IG7J8Luu^FGhKc1d;XLm|vHtpLn9kOY}2}2%?bp2EK zrY?A{8mv&~BU(Um!d4=`1#ix2IJ0lo?cm}BN4=iz5E>Xs_;Zc^ZNwpTCwh(gJA<4o zdA-hCtv(G!bf*~^vZ-XIE zv+ra>Lm--;VZuCqw=P?428Ax$z-VZmljFy;yvdezC>FJF9b$q8?Y`cg1uaI?^>^Z| zDB4D-lQ}Jy?{LZJ_b0!vK1zX+{$8c{cmVDBff^2XDF#J%LJS66yF2n^;FK-76KhXK z?L_1X4r)`b_olGfdur3kTAqdw+98hqxKcQW(Y*6nt}}VH2b_0-`8`v?2u3nIybq=J z5-oPR%g2c>!HoiT?zG?NZ+M(M=iqkCnnUs(i~s1eK(@$LtGp;zFg0&yTbvpXO5~I*j^OWZXb$e)AFa6`s?xKedn+DKV-$q=fRKT&+XI~uO zMeb7a7AS>1A?uWEW!y2)2}pH%aR?I6u|h*-SK7#=77W%1_f=&DjjnrE|Je90^w+vg z%^j^%TX-C*`f6%^qbca3<3oRJAFq^zl2WItH35h8XnUeUscT zItoEqiP44uJe&!C3!=&wvzktQ{oE++==Pma5~`!ax|LPB-%7L&U^Z@?KAiQOX7rNc zIFU%-BBI_nkzc$*{d?A{P>dt#sqiIf(_g+LMO3>eTHclI*7WTh@74MxH+*lbzGr;6 z7~|y4TuZhzvOv(zR4BbC9H3bbzkJWJFtALnxV2AliwVrl(65&nOQUg>ee5dK2&;QK za5N}t#WePc8iEAlF*%dK?f#6R1v&b&X$9>jSQml0sGY`if5u<}(C<26Myqu9B7B<1tR~@R8?abyoBZkl=9g7lpE|j)|#wV z0=>L{>;E{=^a9)jz+Upcw-_X}5wNd}KYTY^sy@WW#V~6{#0vQbzH(RmWJ97pxg!wW zkTUYys|cxo{G6y+)G%%1T$>g%GVh(Ac1|8R8DDf_c1fhQybq`1E3T{mSaY0@o-N2) z(Oe$)r87zh0C6Fo$ku4^z)B?Gk>6-$ zzaDUMDtLanYRONmC6^ApR(V)n*O2l~6deTC-70#9uS)Cc(yM10lD;JAhuBC0dWNK) z)k}Z|FN*oKne(J(!QTIT zMfyOGG=Y5$Y>IjQRG{BY(MBS*gmoL`WX3oVduaE7|$P@!|$&$Ri6nkR0 zr+?l*S3m8aO0X&D&2t}VZ?-tW0!!mD5&()^lsLAFi1fi(@6u;gKl#cYej~z^2w{_> zN64Id*;F`Ks*`wpo4P0Qm}4E`VGG8~0VVS3KTmJplQC;w$-LZ!r7HZ!Dk-y|{a)1K zdEt{&@$z##>~zh;0RYYVMdwxT2FsRAbAV?$S5jRAnBdrc`7+UcI^x`Xh%}&)Dmw@^ z^WF?v=gkMEP`FK!fUcq%XX!6ym**^4r>mI}=?xW#1VVXxv$?tW9AIYMFTtJZd0z{i zX4&wu!+n!-=CsF9rZl=kb;$;>-j=ai{psuzvtl1Hp{9`)CQR{Z+CS3XJ7eMni2X$$ z(yw^{K){H6+LW&>@4Gke+q}P{60pqrMhnBEs!y1Mzb8vq+V~UTgNHrN71~uz4*&=> zz{-y|nM-3TXZSe4K*#ot-IE7)WlIj0n}Mgn>Inh6dI>cECllq#UO!?}lbe4wKd-r- zr=Ac4_7xY;*W^F)64n&Oe_NUa8;dcu@*uFM&KPZ19bFO^<}tzM{)3;{rm^uXfDay> z0+7yQeNo;GSDW$^oB`>%edv08jI1FAELpb-1sS8uON}Q0T3Vz&xpZF=C z(1D*^9F_$7*Y|aMl?{vVabEs_+i21^6Ay^3Ir1rSe%|)Gf9E_oe-40n`m>TN;zrw4 z0ge(N1Qz5TL-)!voaO7O?#M9A=juM=IeEk3NAD5R2<>1Ug zFGGB-z$fN^mIn6$=obkAHgP+Cz zr-s0+0Q4_Fkq0E-WuouDQNC*c|7B4De-xq)hgQK?DO&84!7Y&Fy;4PwMMXy=3F7xz zc>eAs+>UX~*6K1q{^H@U5m+_Ofa0q=-%OTJ=OaA^YOq#W-=y=;0-CYF$N1Ed>*YZShYF;&^Doe3Oq66Y}Jz|W9+iam^ycD{m z4%jVgZxzq`I(_EzBtiLxw?8r6#$x!Yv-R^qWZeo$$ZtBo3$n&P@QJgm@=|T##!^g- zv{CNsP02ESc>c^R-VZ=_7Z#ZryFUom_jJsa61gJc(sV>?iE>m?Lt+xSFYkCm^5>DR zGO2G}FG1AO4Vm8hS~b45A^COMxpRM>8~|U>KiL9UMt#E_qEeNgErZWx_h1vafhj;+OgAFk~tEWA*i!eJmkgX+gFO z0TxAG19v%pPUg`g9h+6W|L*i?5;>d>IK9tL%UTCGL#!iw>}Z7y@PoMk53%%m4hvWk z{uKae0-)-Nk4GQQm14l30k+SXqv^q`!a7uY`oN`qE`A{YNYX^}bUWBhP5}HvV;i}d ze2I@+7z?uzUhxs|@3lSc6Y@63%i0UWw==_K0j1IGYs22@>{5Ut5ojN(8!TOXI`5)i zFkrR-pz8OVR-QRh=~M)8Ot`SYh4~RE9ei-?t=8ec$2~D=(CKPCE}2)+JxrBnv#3 zsK3xI$ed%1&T339?XanOD(~lES{BdDhj>Z@Pqa!8_Dm0ERh^HR4@g+{-3VN!ku=-5 zrKk1Tu!|!2Sov@`U`DtOCC=uFu{D51SevY$!>U$2ll@-V?5lE{aWNrE z8X;pAna_gPACS$r0P?K7K)MG&C~*!Rv%djsii8<`q>lYfE&=E>SzE$`pCWZ|EByrL z!~o#cmQCHC_xF|tl=jzU49x=kH~;6eNOxgITD=VT2mrE4uY~!qDqmY#0PqYCkJ-or z0HtJlX^!1bj{!jVL0Ls>Q_8QitE&X>b>v;7ZcTokco`BF!IUz6N^oW6=Jj&mmC&?({~U}*7bPHoQX7jqXlTMSPFobxXTJRQ(81Ii}Yhr}`d zz~sjmT!sL?KMtU}eyrZ1PgAV``!PGaAooAFlrrui1vUUrg()o_Q-G;1X;Yn(#`L!3 zmKul6sn0T7uX+JV-e_KtAMVRClX;sYt|<^?0IvYRX6yBu9coApT%D+258`*L z)U)r_LxAeC@d}sv*Zh=w%u0djjjVA9gpc}^2QlCzSMP`!k^6=%rC$@t3&gvG?fFOh zhkq=`BrXptecyB?_P|H@u6}voNPqfPmPe5^7?`)Bg?Sav(B|x-%a<*%(+jz95gOBSN1j$NZ*;ZlFY@P@!?{By=_I*tk{R`vPdQtBMvAh!2nR@lk%?A|9DI*EkPHwBdp6g`By6?Lt7ygkrd$s38C0s7(wX z=g}zh&RWG6T7k=g;5qAOI$Mf~V6QDT?`TtD`eg%V@hA7oz!^juEE6X=_OiUCOegt4 z;BE05aA!oso}Qd`mC;5(V4WKV6b_~+a+H04+9tNjxes6(%p(9o5wM9CTkb#QylpAP zl>6%zO!Ad0s@ofq4~rsGM;3k0NWFb}4=l(fxw+?(^xw+?1V4>C%x1T;o`XEt=}4&( ze{#s;Unp#IXGuUvTk437`7D9i+>#8aIAp(&FR= zC91OWuJA|lq3Zo(OI0N}NzZ~XrC-3yu6$I=s^GqsNI~|>O467AVi~ab7^XD*-RTVd z$(w5ddLhPh05R2+uPp)9#B4dJ9T-Ql{w|oF;NyImy(#a$b?~w6eS_YBozN?BE&#BM z^4J`iH#oPNTFhq#M@q2;AMzEq1Dleu@(xLW`ae5rOZ%Hs`=5O?5swJpRG4>21H1)o zlQzSG>ws^L1eQM%0%VTx-@^)uGem+tQ22w*Q&UDMQ*;`u(G``~`vwb|K1dBEJW@WGr} zV*JCYDge>!na&XvG~OHFFyn!xiQl`=1tzbhOk*$4x{O7$aX^mEt_L1LiS!u&ZU;EU zfjKeJ#GI!xJA7m~vVKw$5Is6aEEsQ>g@#B1`S*vucu74a^D-L~K5m#f^7ZJB@n)xq zrYqd`?p4mCqL9gHNtP*n_Q-tDkETb?G8wbcQ>?}UP{LW64CKk?gZ_ZH1WHHWUTMGs zF*|r@_%|^@wloQFX+3~EDU`^;3sa~&(gI+_^yXg)GQhqAvyhCE0%_C!F3=Ti31iB9 zmn|-D}ay(Qw%Jirjvvp~@HjA<^K7FP^cI!FPzUcA^ilb^@p z)~A)0pO!H}fyM9RtIbLivf66KWPobaT;tSNeyl_4x6p{4|gD$TfJ|jQ8rbBgj?EDQtXa@nqDVqjYr0Oc$!MU>9_%J(jVtb}cf z9!ntd53=T@fThU37R3UFSutf(uOxxa_3VNAYPtyDHz{Mz2vfqmf%DOH{lJYO`LOrk zjamT64tn}`eTm6?5X}0&^t#Tzmj-NUtZ^0%N0g{MZ2Tz;h<+gP0FMA?fk$YaJhr1> zaH@XkUeg;u>f+;M!d7{J^{^+P?6>^P&0D!z*xky_ec*K;K;c<)LpP=$)@P+0n+@r> zE0iVFlwt=wCc%67O5z^rS2Bwq-!BW4wWRRHDL1>PL7phL0pB9}KmJQBkd7~aV_HSQ zaGAKVoRzN!pZNcGR<1~(!&Kq=VT$J8VB6tFWQYsIR|)ByTfeep_FoU&@%k@Yzp+So z{@w*dJ`J}Ir(25Iwb-S}QUO^w)IgQIe4ei+n$AGg23!r*KWS!4-4_X&4~c29eDn31 zaf{#PO|e9?y7y~fde!eNFO})=sai6T=2fn#qRhs_lUQINk)5y>d%$PoT51w~rbX=B zm@#Mhv=m+WJloyL)@1Bf{((btu#WB7zK=XR9tdMv< zyLvU}x7zfyg@+=M8mqJvm>nD$z;=|6#biAJ)Go#9>X>jc;v`9@p{GN|)c{OqNkYK3HytNG% zB?ae0?x(h|$EE(`QV&-DAje}=>T5&KKh-z#`R&5=aS=f}JJP1|ZE9hrR2i7?&CEWK z2W=CbEyx%EY|c4iPmV13WeUYXI+R6~+4PGvy}TCc2P;6gz?BsNc<`cVkSu*WF7X;Z z$8BpIuWCqsmqh882e{QeKP{i(tHm)#>i(+gV_%JFslP5uFnNvlVu8@~s{JltuPs{% zJUuBd6ls9Fk%#Sxsh9f~ZK}(R5|*k~05)82tcdvSp>f#2jlpIf@bQrk%6qkC$wA)MX4$1MqFq8nLhhH(hSB#Qj<;NCu6OHhhe@e%|Gve!=2P3G+iieqsF7 zK@m^oTT?z)Ji9P_W2!1Q?}#K|)GH%T40;k(;vEe7)t& zR`$S$m|ykoPd?_fhX=3%+3_JF;m-BH|B(_wdF=Kek!KTI?c5`5PMK{lQ5DDMG_eY% zD@$+#v*Gf<{+9h=@`P%qvL#1w3og@}(%Aj8062@Tu4vB91IAhp;+vLYdfQdMm49B2 z2WtVssi*GQ=`nGeYP*-0_Z+c$XkwS~Z2#x=(+~SW+RK%>PcDk9o_c{N_o42TiF^V? z+sL`k-QSk1$H7bGw+w&(+#IzHu=9MqB_1-H>2_6*5pdd6JPVb?ifXHt%wlaGH1GESG+D;z#0~4lFWtT@z4-uu&5B$| z8{o=@WeDID@h>*5NFA^uCCsY+Y}1y(sO5kK;$3_rz%q;Zm>9q1t8hZjJmcN#Iw}(b zKbGZ8-A0%{<^|rh*>anT49E=AGwbzab;YFc3P3Ay+w0QjX5Y75dD(n5i1$=FHo+d4 z8GfI}Zf;qsnnf(8IMbuJ%G0RU@=V+*di`q$+Jw)_z5K*vttkM z&k_9NK|@dYs!nqZINJe&l_dRI->_HVq)}bkwN^2R`R8hySOD?ZruywX2sXh@! z+Aj@mm-}>y`voQA_hhzFhdCLMP`&$;uyQPIt4aO}Hq8 zDJvHb+&C}onUn=In=iGi&BnAynQju-c<D|geVZws*#dFvO;bo&*lGlXt%Te z{>Rr#Uu_i&;mP;=`Mj^;5B7%dvL1wyfBK(^?Ebhjz1zi5sbrzc_MyF@Bp?HNRH#w{ zK<4i!4V%h})W`m2_!QdV4pl~1MnINt%yT#HUHQXitSPy@fghw~u4e7H^@ZgYYg#v) zOc%wo6zM4bnNPBOsA`{iigzAQW(iQ=Ie=hjjJN#7wz19!=<%2OR^=I%m1-_3k3Z`_ zR9CTQwGho-cTF+8GT?DXao_PuR+juFI#V2vHA_3%tu?84e0_sqMDj_=GBZrpb6eW= zt%qh?vmD!7*OI3`9Vxk@a7;iP&K~=!N%fqD zcVu4RiFE}!aYkXyCNZb9u8!qd@WeZNyN04oCWucy<}Uxi_P~7&%T*{Ku~?Bd8_@U} zi(@=9qwR|iAUrhEiO zYX0M+?#oUYpx=4$8s;UIKT;puj^zbi8>cxb#u#QH#z^*IS%`1-kA)H3+W_&WPN9-n z$6d)&Y(2ra-~H(oXzwgh{tq##zKC;RAgkWk44+Aa8xTwNHtb9|*&Jwhj`h@hzR~k? zYIzSF7Ka6RF0SBL&ao@}`B1cgjyB#TyOOvTx=RwSa&3p>1mA9|2 zrmkw^nIbeZm^=VOB&^<|h{=-12MVrZWC@Gi?u|{!_P>W6zDdWkJmXT8qZ9y_$(n>t zK%4GMqJm02#vI`oZv>s4a0HuZPB`e4B|sD%)Yt$*ixF-&lrL$n2w`fXh`DmjDY_`cx&Jd;z)&; zbsFOIEHo0gs!lNKo3>jW^2vq?yPM1>R5{@sd*-2oV0swJv_7N*hIN-DQbEiOy`N4# zEkv>vu5VbcW}1e^*d=)GT-8Q)k?tck`TwbaiP#;dvda`WBaevI%BkVsC-rrIFfVY&QDn+tf_b`h zW}}uozLI=uXgogcK&fTiW@tgiU9S<0t``*lFlOW4S)>bRrqALOb$|mw=w^E5S^tp@ z_yI2r2vCMmZHCTV*kv-fNb?Y-&uJtIpdE$jKsDN7tORFt z@(ss>tvzad_R*7*>~c}$lp#qq!GHYdAl=Y4*m-o3he7b8L8!wE8atsA*U!AYUK}}@ z4GWy_R#~2zsuGeRxsj<5_X*j%chOANDRqM$e-$rUF#JvBt7$d4x*I&b2z25id8|U> zu!~}?L&OnMc_(&QFnriNZJ&p#CzAypCnzB~&K|{$VxkK#cm#ovyZ;sX_YCX>Bu*bo zZUn!8pK<@b5iDKuL`+i1(|ban34J-_)xDwbggh;O>V>DCcxLI(7lI&sVEn%?1VYwD z5~N?;HU1Y7y1YOJw1+Oa6SCo#MCfZ!(ec`1MMu_;6&>QRbS7khcf;iXS>Sgd3mhhX zZf|%>F&1}b5vAX`;Z6dwD<(cJG5Funp~nl_BJXgxm3OQEad+iI}^q&3Ngq zR@taBF7IVZmcM=7J@^a90HiO)i8klJC-=x8v^X?d8-JFAD5xP&&Y_Av_dT%d4 zA8O^KFMcPBj}_QP(5(A7wVmWXE9l%3q=v6hWOebzrVP4{wi6hnD9@nGyQfeJved z&7-QUuf-9^SxgRk_`$cLZZSsW&zIEmn6lj!*x@46p-N|8zwy_~GjxVnX$xSG9sv6+l0Y2O%4wc*&$0JYyerw5 z0fs5;aAWg!Xx38cYg=(9fnyzw<80{Vvi0@R>rPfE%e8hukauEJ!WKfKOCJ;9G=;Kr zW~cCrzxk%o$+9bLH%$w^?uJByHuO(UfC6C?&%*<^>i?u^7xTrTrX%FpZDBtr1yZ2} z;t8=mL`W_Me}^6jIQtV9&whT~nT>^IYd<#!;{KFu+C=;rHiLweSAPR1zAfoDZ_OoV zzVv3Hcvn)|FZWxg+q=JPTz_ zmoSV`dN$3m)#8p-`>McwndPX_i+WDYOK5cnqVk^Zl2yI<+-vnPd08cU` zMlbI}=6h;;aOR`2f!o&ib2arAXlAej;sAYldk4Q3j8F`()zx9m(6LKv-iElNphrp+ zMp!HS^zH7l1vD>-Wvxnak4q!Rs|CTuXz+#=ZJ_3P^NTVfx5~NT%Jan&*ke(+avQk^ zFm^x_!IUR92yNgs2AtV-`;TaIcfAeX8R1Mi^HfjG4<6P(9({4V2xE+E>#3Anj7h#H zi4t#|93LYyms!UNW_tHu+H@iK4mFPp`j4-n)0p_FigUp1Ss?53syC=RKp=Bp_uHHE zp4fTj0>+r`AZcO_RA3?V(r_AAk20VXXZ4(GG+ou(N#u1)BbuF4P|POoYAhSGjOzaG zRQ^gDW#x{ehYKF)_mq_4`Fxz-&PhQMJ)m@%LUn=4DfcRcGl*3sJv9Eel;~_8%7G$> ze8B+kPf2Xf$PajOt~iD@X{d!ZYo7q6YMp%@qR4WKX?M?gc)x1#EO9`gNNHZs*P0f(R{qJnEF0cv;Tys<9o%CbdN-A z)JRrTGL79Wb?0+DW}IM7;+dHUGCUOoMv!EMk$L?u)5j%|Q^cG(B?p_dnV{o}cY(q; z#vpdX403K(x4$`=E(xQL8qRm>K2Mu!sFxiLx({ZOb>2aT%}?ctw6G?X7eQCE1Bj(! z43|XMxw+zKI)!6e-{%`SnC7Dc^BL^bpoj0wKEA7mh;2Gqgk&gH)lZCI)S0pc1Uh=5 zTM15P64<$^xfC3OIxOnMLlRy{HF@sy)7}pnqfH(_{coaZCSceNJTW&Nr;h^8ze^Nl zVc&rJcC4gv2VJH#N!D1#XCvhvNp$7H%*Qvh4H=Y*)-JV7*N~i6X@d;(xsiEHAc|7C zpyIr!dQ+jiPSVvj=W}4Z2`>o@@eQs{NkkgE6jT8-!V)G#gfpbZq0Zw3U!sH;8fhGa zh7Y)Ip+J~vg)Tn13@8Fmc|9}?+5sJ%HAd-shY7~uhDWbcn;yNQ8Xk4cA z(61{uj5H5Mn+-__auyJ_W@OUxFo{OWaGbaXMw9P`Cv!lY6V%l2Vwk4%HO*bOK7bAB zKIq^2IE10*#DZ|G-6x8|1Vb@q`EkTh^N4R?d%Ley_zEYkD1v?HV6@v;1d^gs7pzZQ zXf3aKkr!v~Cy)MXyo<_#?n`srBFhz%3|RBpUW$F9u6L&H!W|D|^5nqeMZ_&5(yhLD zGdE>!ku4gEnI#s5P@sm;CZ^+5)07MG&50uS0@SNl&WO&Yv70SdJbkVt;F(9EBWhk% zaNOcjxDbaA&wqq75pJ?1k|OloGY`P`ghGRhavlQ;8{KD^*MGzGP1TB-z^CNUK&~yf zqpdBU2>Cuo5WDq3IrcA!P$q01WVHA*pyeUA4>DeS_5ZtlT!ut&s0U0~8W*~8N66Em z&xu1{4Lu-w;?*d6{9G<-jWz=uEk?>h*RL+&6y3WV0Y^x_jx5w#@r@?uF~ zDEJ@OhV9sZhb)p3(_~4Y6n#oK64LriKoPksGH(Q`q858+0g{?t^VQnVm8LC(@9qNh z4JDweHdsE=j{M20E+g<{Pa5&$qH>5VP9lM-mgM)gw7t!OzpAl6tun0g4d5u&wBGA7 ziq~J$@&X>1iPm}5k|^=YUc9cgt;ywJNy5zS>n^c`gk_We(C;>X{kEUF@8PZM4}jwx z*zd+D2=C&8YeE#G_rI60?gm;6YzJ|eV;+pJY!-ztkY(cGFE?D_H1KAF(2=rZ?5n*E z%Ue{3oNRBL$AuSc*u?A}SM4fQ9*_r1#L*tlHP15+Vtf4&Y@y3?))Z9QBdYF+B zYaR4COCsbj6Cr0Sy8qI7>z8+t!F(-p5~>evF!Wb%fI4t-gmN46_7Uixzyob?EHxV^ zuH=~E{><7aZW%xePUJf81%2v^sBiRY%S_cKvULZZPpf+H-Z~SJRmy9?lR+oQVwM7e zoqOvDn|iiIZigPAl3S(0MHOac5I=LXU$mS}2zqM1@JvTONU@!SjX*v)@vUIuQqYA? zT%MS{ck&AW5-O@%#eD;?tFt}u?tnM==rx>X*GePPiCnUfAz_^D?oiJlSh$ejipLY$+idSQju#P+rL!QqCM7hO)rfx(iB<4|pY@TWP&6Kxyvt zM2QtZual^cQ}I>h1C%kPHL5caAg2S$HziTNN)CU|O`mGt9cY(cDYZjaVKLv$l0w6J z3Y)Bp(A+Auobtpf16FaE$pqqFKu)$cqnUc5{vUvd9d~>*vvKK?VEwK;dhFe)4pA2% z8`ehHDn*fZS zfJvcfjQIgw{e?5;$w$YInGVnp5{e=;e&#=35RIOOd0PaOwEH5F$R zK1PiZlyRhX@%15c>{=d=OCb2WTP8Q?Y+~KWssZy9K?V5}^#4$-h5jQm`r2UyksHuM zBMf`Ar>2oe)zuJ=6AksC`-vfv;guZqxw@BPhLb?eaa}MpmC7|2@0xSb0{Lt87n#7* z3uQ9+ydL5CLcpws?_y1!SlkOD-LrB^@QwO979xpimMQ0n{9{%c%dX`Q-t|=A1?~IE z_L|8?ty8J(seOp$3y&uwT#HMf=lA)D{8Xr$G%YAk6Rj;73#}Za;Gf5M9~|RdaEw3q z|3{8sX&|fpei`yCu|IU{&w7cV+iyDLdQxS0$~&aKGwQNA+$sp)W%v}1?mO!?ktD%y zwvZ&ky*0)1Nwcl=zJ8zxd9!B;Rg_(buN|$dzT~aqC`FnxI8nnW2Nr$qN3y%b}XS7&02r%rg`WXf^ z&sV)+9s%ipGA4@W-UehmcS>=<=Cw3t`a0w4I)tOF`=W5C6WUFJ-Pb!i#_l4XxG5JX zJqoK6rntr3-*I6K2hM9;91eY=jJl0#8<4l`@yTNgTGOFPtG-xzQBUn{IKBJCt7WBkrPgfz4i^kvk@GY<4j?qjymP9R?=l)!=8(e2 zuP0axpmGD+*58d;Z~Ef#ASRBu>l47_)8BESlno)Ea+l7}yjPR(HF22QbhZ_)zSU5> z6wzl-fj2o{|B+S6*{1&nMDzLbll2#vz=Ki@iKXU)EE>$&H&sv;b{S(L8DzJP^>Q$o zR76Rm+5Co@*)YW*Bf)iyd>f@2V-Z%YpfWJ7{WB?6~vBQLS(;o458gpE*QJB{@4c4W`oc{yhJN`gM8* zDe; z)_1}aHSq`bcyvlO&X9Br)&c-$DD`Bt&L&>#`b=8>F^63TiBB@zq3w_oJRVN%GDQ7 zGED~9FqAGOY6U>YVQmt*U@?|N%4A@IInE4BTr~2HdofTUh~Gdn>CKDa<;+kF1B4a@ zYP~M)MA7YPTCY_hRMMexmxa$vUK#M&Zogo*D@i>D3&<)$c?KY9xC{u{%=bruu4>+C zuD?W_>NuOS?GeKRTcrz^>2$Rj*}g(P(OJivB!GUh8MO`aGxUG8eYgHJ%&+;kVSeq; zhxw&3Ao+jd>5!PF(8$o26G9W0ZdZgPhVD)XeIfLC*1v}NCsW`Scm%h=x_=+$ttsEG zTl$+90;IGkbjgcrUV38nTjV@XJh}dpkZ92QcZbA=C2Rn>zaVr=$iAdhQ2d9bBO$HM z_ux|Sgb2mqyIh~ajUbAx4}ZIFH11E<=>!5UfCPe;fU@EjOX{-Q*M-Er@G$Sj+=eAN zkuT-SG5Y>=A0>LrfmJL@tPflO&)>LLkt$j%KC=e$gV(SyyYjk6w3^nOhQdEcLPp)s zn>;e{lF}Fb#{oDh{ynS%XhERzsISVb%ETm+Smh=V6}5hVQa3d`>qH{VYyZX$xK|>t zi6hMXQpKyHWpwN|G}1NJUzmc*I;utSKmH@OIqz2}bEf`k%@Oy+ML}JI4HQRtM-mcC zKWc?Sv%aR+<;R-8fypR(wwH6#7{iw=yJ?>!vWK>e9@m;P6u}bB1se2upG5Pvtrrek zeoM5C93txHrIEHb#Zewp&}}^C(-JoCs+*2i#o;Uqm|j*tnr#65A7vN-m8oPmfg!7| z{sdhpiC11)(OcqVdo zuhpKE0+LP7S8m6nR`}2(~e5}Y53Hu zHX^Q$1|AIWk_drJuE&{~28<%NdSWPv{yb34EW_JL?j4YRX)lK4bF?2_F|Jr8MeRI@Ia0tevuCjbe!*jS$g5lPDS!&n% z;=LYZ@RC(GsA91fJS!K1TBN4mtJ{CGYjjB(!2a~Fb$=}th@d2VP7qpNTD8YT)X$Nd z5Fk!;utxmM6dX`!qFiY8v-kEd zE^0PB@ran9mj`Wj7?q@)DERHA#@g%5bP|(@Z7W{cL)d|O7?Ha4EJL4&Dd=vEN zsdTKIteGBzX#{pv_~ux%yD#?1$R%>-eXX&Mmt?knh#0sAQejo`gzvS zDSkB(j(CMk)&awdB1?dY8u}}yj>jzS`9;))7at@G!Bit=kd+%Qh9 z!nKXy`A5-Ni<&Sy8ZlUHd$|-EJx0j7}A@$ z!FpZ>?G*lQ=dBOBt4?A;$4U3|aNWRT<&GXdI1zcyhdjH7c=Fkh=qo=f^9Gh6;|XzyaIt;VPr3(e7W*@Q z;%o(Sz_RvpbpnCc!@16g<1&Q_D4u-Un}A}OOW2Aw!WH&2NzuW+j?KE$ijH?&W#Z+P z;1*bOeZ#%+w>L?PG3$TY2cw+VeUg=#t8^`?w%Fhgou^0qGiCxT&eZ2L@NpbEm{% z%D$4GbI&R|rLDz*cd zpk^NoDHZiRtN9NE0}y#{b^57Q8{r-puin7$Nrq#{g?-pZJB8A>V)&jeK%jImD!5)HIpBop z$yqAUr^%oGRGd`SJUX@_zg2GM=JOl<<#DR+h7LNnUi#)zRZ@wm>2|7a2vl~Ih z1f~t$=(dq5IPm%$pd2D$m-(ilz06XA`Tin`;*D1$a;$m&B+Vxg+w`A&Pm@3dwxb#I#<&R|)LWA}OUuIKL#Y$wd1G*T3`R*Ez%cyq z&`m4EnTk$L!vrb#Nkg;P8c&=YCd`j172X&nr__|Kwhc~K{OG|IgYKZxY{2sXmSs;7 zho(u(1j;^)sQ58x|}9nh{L)h?Y~lS6gm?mKPDl2bJaGF?CI9 zGtNntMqu=7&<{>*x;z}wF6%&Q%)l_GSWp}u?Bl|@?K1x$m1!^r_%mQ)S6?@S z)&VER3HoarT)cN1MK2OSErF(O7O;PBM_Rvymg|latF~^t`bj^LiZW08#v3z8E&ger z9?aNAZ9UlkVB<^z+=C?#a$RQ~RAQkf715$cC{4~bE>f3_LD6!4IZEM9X&V#-2QWXM ziBwj4@Aah)3pl|MFR!Gpt3H`&A~n*R{ zYSwVpHv4{aNyjI?!pTb@6Ad;E`mDlQk#$D5uM?vV45!#_#6G*PYT8Pa-1}qQi!&cc zXRh|tqYQeWtL{QFVJ;MY#NWM*|23w^sRPLS#1W*T-KyV~3nJb;RoeQ$c`90u!77J} zR#0g^4VHC?NXMwU?Rc_Pw5*7rOWF1H;JiDm_KH3}#GF*^gN9V;vH`Y0U(MZx(l`?o zm1C~jo(NW6x*(-*^0JQ}_z6G0C4YH-*@As5^3~}N4XHcE@vMp= zCPH9CQEA+%&l=(#1ikE(WO=&-YrXoQ;XaUA>iR$?QwTd9nyvlo$Hc?2`OTJ@q2Crb z_gReISos8tic}XDOI9$Ep=j2$aE0EJrZ(8~Tw2M({=Jz0I@lA@W(l zQMTzmmTl~=J4sWBVivz*CSm>;8?X-+2rqn+>z~}RSPA;0M}c$>zwq-lA|z&S=!&Ir zcS1LYJe?ErT*zi=$bn6e@wxHvlkfeD5D>z>qJ@ur@!xj{V$)~;>r(iqarjb5G)7`e zjiIZ;wg4fpMG=x5w(s|g_5@aMNb9Hmr&>iz=R*9)O;K;B2P5Bhtco6qd%gXq`-T#k z@+0_9`p@pIS=ckQY_7J?@M+r~M?7`&ER0loVmss1WVTO^0hQ;fP98_dwgx#|b1u1G9 zs%Ry8;o>=DkrCPZUaISy9(gC(0)^EZ{M3Y$$M2rww0tzO?s~u%m57O=U~CRG^s;g4 zFSeW;{R2w5JJpXpo~)7O;ne>1tsXf#3~+s;8^)HcK7f6;_^B6qImpMuC^ftL*HA>f z?2PrYpZUBP%laDG=3{EK=W)(n(F&TnM2BmQEAlK;ICZYOweZ&EX{#sP>ubStJE~v6 zO5KqTd}ote8s-y)Sxi$sAHTb?`t?oCM4lwBc~Y``z+`KEZ@8!GLDdVT19)~&_`ZXa z*!VR8^3P>Bj@G>3MY9gs>T6|I zcO>}lbw7xfl`-0m{?JP8r?(77IgY0jIpg?2C6{KobRW$HesG1+WUJnzz$ZNM7DN=` zuygPG_oF$dhIMBIq5vIF-YW^Oq!PKOef1Ztr--7Y@j<4*8?BWGbsaAMu-w6Mh?bA| z3JrhS(x9*H_`A!%&v5K&)WS34~Hrlaw+Attmp2W6J;fLmCk4%m>BD01M=S9n>z>vO|Thw-y zKZE9!O%ewJK}@s^@bjz9?5y>IUhO=Z({GyO|JSUl3(Fo|kSq@dbmHeTgS>NiGFQCJ zg4xh)1XqlFIVaE!PRU=xDcQoSh)$I{3d`Oy#2G;Ke=cX>ISan}T8>GLWeMkrbkd@` z40jocoFp#CtCEA$Dyw5d_g87zk3wyZ`MTOMCN0RX6pnpSOiT#j= z|3E+SmhR7ivi9|V;~=8t6P28{Z=^o)vN^({A+o4M2F9tYl^VQ6p88gatC#9q2At8d zX58+D2N_Lw^y(~i<(_gPhkQy{r^qKdu^FeL*-U50Wc`?_6wmt_&*l|poQRmN{ zJm?!hbB(SL<6a>{e9=DHTI2X60HUOFcAA30nEL833|T zixVZwna${J8eTsqUXICU#LEY0YW|3?cw0w4dveMMK1Xk)$5(>o{Tk8#`N6$X^FJn+ zxY?YymOS&eiu$VL+4C1fT>-&qS)jc*PnfoWJguO z(*^Di9cMI`tZFQmP|ljmb9}+NbIo=~_D^fUlNLC>zk%a>!*71P4W3x?#A4dR6H70V zkWXw#^kyt1;q|aBI9SOVASOUs+dlJx$y<_{n z74Pdw+xH$jkolC8v>9yrcsq|26K!pdo?CKy-%I>T`p)Hsprz)^*#cZ-)Te5e1CTImZkUp`|%^deGc@}Cv=CDntErE zR~nrvT25RBC$SP`Hm;Ws#`huVl9f!Fp;}Irgu(n3CR37;5f{!ye}DGfM|CSNp#^C6 zYs5hdEOO3qKXVU6Mr1!<#mBI8mo#eHH3f+dr-nN+n8NN$E=Vhguvm%+#^Z!9X1;EH za2H&QQwZ3bo;_cu*5VBpr#U8R6uq=+$Izg>a!L{&^i`*u4dUf8BEo-oV?}lTul(GA*j@A!KaA3AWG^*FGj$mPe2hyoa}b&EJLPx8Rw<6TTRatEc*h>V!XlYDgNH z%PLV!kBOtH9;BN$?VJ-WuQWjnPGP#6RiuMR0ZrWqIkJ+1{Hd9;Dc7Joj$os(fwIb_ z5ugXbnbZZGqD;CwQ*!_6C-XHMoAouwn?!#0*;f2b@SJg{q!Eh1Fv?`wS{q$3w3y*N zP&0rtb4u&$4CH)Ba7##@1N4+5AqcQ|-?Jn_AKUU4|htnI0;NkFVtNcovq`0|f7JFtRT zC^J}j>yKM`0fW5_^2j=P^%4pZ{c;f_nQWVka>PH!j zLR}(g7zd*hS+jTnyu_(4UNX_^>LlZX*fakSMU;=%^VTC(jyvE2DcA(!D4QysF9Qoh znoAOGGjy8XP7CrTq_TmDE1-LI5e0vEUixf5WE8M*VAK_os0k)RkU0y{Q~e;>>Ny9{ z{I{?pTCq{S*rw{b+6s!(W^kET5NrUOoDg%9pJax>4!+DtU!PZHf4=@rt}&`V z^y$x3K>%q~DM8+@lWetU*>td?0yfQC3W}q5J7A^Yzg8Fl?V{t7aGA`AGMeywmJAbB zSlJv!no912$B{NE{DnBEw_bsZhYV5avk*)$LEi-7E*BGm?xC%Rz(5$$u2c|=N1*Ep z1oe`L0amc5=EDT(OGEH#2{5Vv!XZ8hvL?^ANHy4D^Ql{9y*nLsJQUN8rfcOSbUJ$5 zX9-0!}-9mnr5)TR~URB5k9eaQs7 zkWm_yPJwqLk(45iBxv9Z6Al>sNTr8isF|zTNJ9o=FdTt(6#%U%Iq>7mLY&eb#1v^K z0FR6>txm|*)oPDch@w*dWgeFQG~{pm*^p1#4if%3JkY@dkCpU60F2@xIy-A__zKP$x58@}sP5S@yj=7(H2xWiKfac2NX ziaV1U$F-^#fh1o7YI*B(WFNdAuKV{BcX?d1RDjBl|2#Qpe9M;i|Nh#kS z?BO?=##n;P5V~=1{@cd&%9*c{C=fp(7Oj>k^8kX>o^!H6d;(Jbyv@@EZ-HlE_GkfG z_>v=i@X;&m$Ku#vlRtZ%G6Bt7E5@*l(h*2ifZP=82VQB6ZFn_~mq7-aQoP*3eS;`S zxZ)}4-mOT41O(p{p8e|i^O~Afzv9(dr$Ogobg#q!9g>FeM-IZ+P1k#4ZM`S_dAv=$4_99w#28J)j$^_FMwVf5xnmcUi8OH-o3s=>6bYIKvD|XaBwpfOX+p3( zS00h!o1aspmzPT-0cU1uZ5$Q&nkW=KM0B}N-^m`G3(C&m%*E^*NemlPONSjmHL?W) zo7T}Wa}r}4hGS$E_x*?5<((k#_z|g(yQ}sexlse_MuB(+--!kx;4a85MTUK)qDZmf zC`e{(ntGYFp|0PTO%#mP^O7)Y;p01ExdIF&t!rkDlBjf|u=V912&Ne_G7QQtF@4-u zI25fyNSB=c!tK_IVir}RoF*Vrk9u|kuJ1?#4IW$zsxH@!GHQoQ-aBB7$?NzQ?F6JXZ;F;fCAb%T5c&A;_v z2Ax;f!px`|9iRe4~@YLGA57-to1FqGV3^u8gA$ z2Sk{dK$g^5wXqyNyD6Ekdua}3(s-lY1>WyWW~pLdUjS=8Ru1tBjP}8aI_^}%1=sHT z{(|ZRpkot#o97NyLSi60T$;N0~%?VyLJL6QML!4Y|t3~=1)Ake|r*4@xsTq=qn zNZYYmJG_!=$%a!zw||>J5~c4O$LM#xz+Bn~kXj={k#(QfWS?ku$MoSdc>V|unPiAn z63&EVAUNY*eDvy%qI>Iqs)9iESGumY45N(UQf-42JQor3RWAG_Z_a3n`T>AqZeqM( zI%FI3&TB<5J0D%$AVL`(Mu7g8}BWTRgTFG}Cyt|9!!q)k= zTV;V)-EkIcp(sL1R1^cpLL6+jnhtV3kTNHxp!=AUlb7ry*+4Ptks9u)T;wARSG2VU zD>3F2P#Uf|{n<291BVEKK*ripb;AH=0UQh1TQ*2|o8=G-QNf*rmu`2TG|(i8(8`=$ z_sUwZd{(bQ#m<4j`juI{)n5R|T>1kc7`FK_;5WS7$JT)}fdr z3|;^+Od|B=p%`}1HO8B;XM$NWf{$-aM43N)JJQa8=S)1Oxj11qUe^*H+K$tvK|Bi5%y6I07w=TQDbBT2Aj5(2p0i(WGzpLN zoO@`yBZa6ojj?=k@Yg4cZk-4wTQxjuZcmke)8OBa{q+NwyjicOyLcDy}&j4W>PuJpv(0&GbHs|YP<-`@SHpsEH*W_n}6X2>XJan~T?s3}*X z5DhdB37p)-YP)%1DAI{wS6e_P;(sXGKY$(Xz_YleP1|9MX4(dIZ?FxL7)M~x`y9I& zOj0rq8P4`eTi5OKJJefr=XR6=6l6@$YB-y|7@^R85v;Lf?4|Noenyj|y;g#m1dnbk=w4#Y9==}1;BJkgLrgbex@5k8<=Ya{2&>{vHfHD@UR!~J}}_vqC$IEx|mhSUq$F=^M`>@Po%SqE=$V2B@zneH8z zL{#|9plshcj%Jc7ttdv(rz^iO#vEq{@q)RkO(%=YZa79QSB9DF;JuTe+-5^eTTtH7 zQ*$4(J%9vOFIta5ERHg@FpT$ugNhNTpqfJ<6tQXs^e$kznLWza^Bx;3vt4B>INFv? zSoPn(UpWJ|h^hJuSnuf{{Mj!;B*-6C21Bj9nMcQOWWS5G?xs^Bz5&@-&BGA=Z9)QYTy*2pd-$nr& z%y;N-nR3mAGhe6|U++pR*dRs}y8S1LlrFCFXd?VsU?H@q1YU3O*~wJc#lL(4(w<&L zw|#(3HD!o{kP~+2CYqrfsN>o5Eb0+-r~_e7sd+9rM1>~uO}X5lRUzP3LPCq85~=Xy zLZn1r5caYh^gGqX%P`(=l|Sw{1O#U6HV1gc&@4wUYP~#4#_9<{`l`B|1VS}c*YH@e z1ukW+vdeJpa!rCZVDhad|IDnz1uH}*#|uWiW`B0|CO!tiBkIw4PmoMpO{KH5VO@Y^ z+f?7I`w5p3QjY`^v(^P8K?!COH+~xXm&jm)Aajhi{BMpCxjww~&-LLwSRX=`>>)h^ zp^@*0z5H_MJD~^mJn_y_YUqK_L!SQE`an7czJ?Ec`QO)v-E$xQ8$p1P`@_;D=axi; ztRkLV^-GW$?E$6$?wxl6Aqg3w8DX!;LnwDcuCGJIPhAfkx*OV>5>!N{ga~1I*tPCw zs1qy>cam#=`8M6L>rbwcbi$er>w`26MV0{ewE5#}<$ygsim(Fqlr!|wbc>#MF}acw z!6p?)@_T*RB8UooJ59^CsLrf}06a;2&fpquWx%}}IV4?ez9}?jO2a%Y%GOC$Dhm-W z@55JuaqUk_{Y+ASl>JhI{UUQRw3Y#19gizP;9z2ni=&*#AW?E;%fkCM*21nwOm^ESO_t`*JC43rxW1|J&mg*g z0VSi8?1T}0H}>J)8L9?5qHhXC#|J@NssZ-8v-y>|DXcI#eI$?F>|SG&sb)Khvx#7@ zRe1n_bs|sWt}K(_nE|>lhI^D=xj|uo3z14}bXq;(kUL z2tdpYjm-y9ov-S_0OZMuk=Oi3ykjhoS`^|m=YZ}TFDd^F8j80eXUFxtna1uzQ;ifd z4&efYOma;*yMYL}!^s#Vw8;8!R;g<=o-{Yt)tQ`NunfOrD!=!Et~KGvKkT4TVIYuA z+^h97{U~DrlSEWj;y}%NrK&W5ha>4S$gE?eYBj%MIg|DhdE?!<&B(j3n;?hu@V!&g zaFqeZ=ammi-h3DGPESlULy}~?;&?^RIZ$?K>n)R>QgM_j;7wMfNjCy{kYk4`D|O)q8Eh$uC|tYX-sVq435Z;tEj4 z4i%Q)sBI9+tXLkKq5GQyt=R}EofDS@1o-|U`b}`#jw`Y%Dnw`d5*-lAW-7nr&A@ey{Pue^13ZgXE{%*A z1YVf}X0m3&3Z?`|*aqg6OzC8MIG~*xX#P%BhE(mfEF1@;^C^NF;J~d_?)wO;X9OZh`4CozxaXDW#-PTvq{2{gnJDw+3a%w8TRomCD%S?xUu1486t|p zk#`{uxnCMqX)5v`zPeF8am!z5>wI(#JF@>VqZFsftRRczlQDhE;pabF0Jc)U+SHm> z`*9D(q+=AHQnWHSz;i$5)!hsXd1L78eIDtuo8~yL$X?ca>yIbR`#}f2%%OUfIDBQx zucKRkSBm}WyIcS@D?lZ=UYJ3RG7Co5-~O`tj-%=^0LEVHHPJFTkbcZB9=5h##_}N1 zhA;q5&;=2l{GOWO-YPINb>dkg7=%N(oIt_CWkE2!ZdTdjkpo8WN-FmhP6w#M3yGTo zm9=SL*fZqz#ZfsDRUl{Q3bPi72L$ivIrpLa!w0tW(k}=mZPf6w%!<5{!wp{jjN%!Pmp|p&?ulnXHskT>{f}>Z zAo)M&oF(#gcxF4t=ZhgFub{v4a&ra$@qVc?olYX;0Yg8*&{&>$qxe=Kt4YI?Loxzm z_RHg7HTK0>J=eO=I(L>1MG=*Zt1VqTQH~d7ICp94Xj)K=kg5OFk?T$!?}C?D_XAfH zF8DO!jYHkHiY69ZMqb?swptq5E87K_g`2JF^2S@Z;87Yg-UM2rYTvY~Q*$Yk&H(Yg zJlUbEz4hRWJea2xovqJ%*^?8Ey-AMGF5g4*Ps!`v1bwCM6)^Dfc*7=Zwj|1Nlnd`N zNhA|L?l9CIsoFei#A%F5FmjON`?5R?@|EA%>pl(d@ucL@d8^>7%F3DQO+em=$42Io zK_nxNRJtYsw=VR>w!>ioX>0^_I!Fq97YhY~+Z^!1kv5UJ@87=wK{$)K8!jwgp#aG! z0VCzL7tgjZZx;67D{hr3i;<4BL)OZ2-Wwg=+a}qQ zDyIvQznEMr9I7f(y1W-2mIjrRd<-cx9L8+UBYn*cea*0v$lz4)hdiKbMJ8)nsEFmV z5O6kWL^)XK@(M|(-hFSpiU0=hRMm^}M3=gOj!iX&T9W#tbPvY3^;a*q% zxB6Cltnist;xbq^58XE&79jmsTkBCsk=TJ!EOe?U5(k;GvyAmVM3wwuD29e}`gFAv z4UyyYO9c29=dU9Vk7tt-V!a{{M1wb{m(sM z$x^sB9>YiP`1d`4VLtGGIENQmgwRzPVOvCtEJA!(La?1=5pqL>>_%V_Li^J~T;M!& zz6UpjCHy~;I4)ifz*Fkl4VBYtk!0YXp*A1^-M$1@diD{|{2YsV_dgQy_8UeajiLtd zCt>Zq3iIYNds+FTKwf&ipRzLT2%jlDvy${)MOhC*#)Ly0Z||?#&~CjN^tj`#(~>2s zR6n(GW3|Iy@O#^mXtc2PCHYTwxM{8O^6Jmf;&s<^&cOQncrXqa z+vPwBc)cste_8(8U^s=@M=-zMvcR5cm~A=}WTP1ndAb}FM9i1hw^pM2ihOZ_;0_Rp z5|2GGffUmh3-nbzRTnz1`Ln)zh~~eF{kv7fYB{NBU0Z9bsI4cqcBg{Xt?Sm-V+(|UAw;N@RYf)-q=+Hw z#z_sq0|%{yY;6veQ&u@d$?3OE+Z8Z$N(iSU->Jw*fUJ-c$>(riAKLZX{dM1u`|;bO z`bQ@lhUEQuyQo`5Ak6_)(g_q&bi0~(NM zR?aXuW@)f-8`iOiL(n657bTlADXaJ_Y?v#9R54F%VNr;xbpe;)Iq}a2`ifg5Pcz=% zfnk)J_mE05G`F9_6-5<3WsEJN(~HdXS2rsY=85;%_}j{!qYAP9o@nk}!6L`JD}&mR zmc3r#oO}61)6;%l41`atExz7sd6#L2{fF+C#B4*x3K+||#%8fSrRMfHrY%rr%+O#b zy!F*~hRx>Kg+DW*^WSKb`Lp^VdM9= z!)F`rYc%_n+8dor+4aztuxZ* zeRjhZ9-UU?TM-BjL64s5JS*NpDd0ps+N3)TFuUhCF6Z1w& zVw}wgweyU?9`qPX5{$a<1J(c|e(lZo3q~)9gy~}B=fMaGImjyZL{GSg!Tfi~%&AV| zAfIdStZKYAnE$tRWgG3uvik8U+hYW$R$=V2TQ44^MOoOCPReS{nC=zRKsN8E4WH*s zgXpw{&CMyz;~QePv`ehGzyxQg1eUO%?)w|mogWKq^r4gBQ5&)U1nfi}jxmFS@(1G3 z7J;Et+=VeNA(hH(Y8ic)&rOycM{i4e)lSlyU7_SRlZWo+FyR&~bc7w`A&u$tyaap# zxQr_*Ovpv*!FU{IGXY*2L>(b~fK8#cSB)MQ8nHXOYRGM>uV3*$!Rp`L@fs>rGZZVq zlS-zXbhSTiDb!cE2u57O1QO>|qUOm)nBrUt6ko_NO7slO05IBoKq{gQ6%UxzKLV@_ z)|++1fg2)kj81`8V)%Z(-hb#PyGl0%(K|6LIoD6x#A5iqn&c68Jmb0wS-0y}BeK-E zEjE9~XDBH}+Nx2O#oK#r&X}iK%(J8#D>ND^$!hUh9^1t6WCE?-f-DfYXv;f-jZ-IVEnzWK6MIE||%d^$|t;w_or#-Bpk8J<{p)fF* zYWP4Eq%-W*_=8~7J@KOP36VpE1O86fF0M2BlKEc*m&WniTIO^p7_8=Fq3U$-7`D?@T{d{IyMkAA!7Nn5>X=$5Ab7R91i z$|&m|f98`PB@k(AWv%1p;BB1ruwpkI=__^8t#V*Uw02_YX8H-X#wU zC=RdH7j1~~^VWQXQ=4GV0eF1EqtTpk7ANAyZKTsv14kQNiJg;IMhiPD9Y>#_(qioH zEK99#r9tK~EmH}JYHKb1Ng#)2V-dgPMr#o`!|sA@5M9TsuTPn(w{?S?FKO-ebKWnH zL5OmyVN;8sQi2TqN*5gucRANygit}QM#8GWG7>*Ql7$OHlk1%s)smuJx1;Q4>e7|1 z9>L)UKYEnpAyklLG=9IsKKvg@LNcfGpal_eyiGVK3X=DJkHMVD@6{ z6j>ziy&$R%SjF;)RzsgWd>8b>%q%2^rM0&$hNE>9aCkNGJeq|)$VI#wv=V7%7pQOe zc(O%7ooEbF&qGw_K&>+u-(J?F-Hg%dlzHe<{inwA13_b~f+-4Pk3-)RSF|>)R2s8#OMNziyOh;fFzG3)@tc^}k2`6!5iAPqjz@w!yn@iT4 z>qgIOrWwD~E;g?SZ|~g*xD82jIt*x7ie=VPj*~%x=dT)3!})c2bAjN}3(K z?TO-HpEGO}CL8VNHOQLab+u~huUJq2Q&z#BF_dqvDa#W!;UTqWAO~nGCY>j!C0NeP zujCQ3k&h#S{{97;#=s7Hoi*3ATtyd;(1&esP;qFuENi!^4Os4>AIRY&Qb+-X>xpB2 zFQ49dj@mo~rRva>T^R*qX??wqXkQ4mTM=n+*o>$9lI`sA)?RNEV%ix^cZmdnO(J#% zikb`~{X_jz)5FGcCn65Wsq`(|DP*)`={xasu(PTo*m{jV)Ye|f?jhD|-MP*GmICh* zVRs?a$lzUSH4^0PR1tjMxBNKoH{*Tmcf!Qq|L^fWH2O_c&OCW%;i8a@2ScJ4zIbQh z&qH3g5E>u)?7|lgeH$iT^d>ar$8qBS;JfpEvF?vdnE0Co|6>RfM}Ffh#fE6(dRcqLe9|dZnMPa*O@INJDcy#N-@68|X z&n=yuS+MR>QCf@PhDB_QSz=D zUsKY`Am>=0{U3hT;t5uWGokvY5C>e_k9d_K6Mq*ZEii5tO6hI><4j*cCYJg?Ab6WT z`18BfkNPCGT*8?yLE?SKv6mmP*t^`TMC<(-Yd*oDPqQbA$*mz^H$KB$GOcD8omLw-UYDkN z<>qC69GnrK@gdj{u4?7@lYdO6uX@nY5DWO{&2Vj-cZJ(-AbBrQ z2Yp$}Wmg@$kKdq^O;Jq0LTZuztnQ|@e@G{qi-#|bC9|k+GuYvnah`7_<^=$TrlA}> ztdEJ^1qP16yTaM$Pc1Bo!N>dnGr2paN^;&MJZd%JYbW5bm9}qPfXUvDCiRK-&9CX2)Fxu3*TXq|4_ zL2!r2^ewxHB%G|vR85mGQMQsPG}pb{C-@X-useA4skL!EG%vX&b>zX?Exs)Gs%ao8 z4(t9x<5*qAw=m$$M$_c_&Gtf=ocxU+l8r)wYE*?hhV}djqxDrb6$e1R?yoh+&|U@W z3mQ`nz1zM}77s=b{$^+h>ar0T$%UOC1vMpCjtaBd`&Dh;j#(NzyUzA=!>|ZMupFDA z-t)OG;vJAfV!1_CJ3vpp`P&mTe&}tugxH6wawkv&#EkivR7dl31=9p8UfrKS2;p1= zGk>+HyLz?rl<@257c4#fq9TO1M@ZI;Wk~#Mb=&oFC7n#juJmwj<~e$Lm*t9lIiuF; z{suzi0xb1KI>{59%mZxNz4G8Vk)bk`HzWh$2TA>5zfCAiZ^>_LsqUQ>6lf$thZkK5 zj?wb2QBMR5C%f~uFua$!S0?s zlvYS3I*N^Yk-He3G#sOl#8OFt^|>;mHtdVZj z_(-zz9TTz6_(49R;q0b3Ep^Du={+Me%v4!>_*Z|~*3x;7WA<^V zj2n{_+w4iM{{83j)}~Xqfm|!!m~5QnTPf3nei7JpfaqeC#9$Uv#~q*uo+y&u=)jMe zJp~JJ*Q`2~BimKp1!mD65f|Qy&4AZhb8Xe*!*u4I24gHeV_LS0#T}9aYD5A!)WD{Y z{$$?k9w{}V$?R?smFy@kve%HlAY33?HLO#U?UjQ0of?IhXHbvt-Owp( zMP$C8waBrFj{R?o=pVG3-dnab%)>8{cBTFE?x`q|DrxcbGG_5J_`=6;udU}NMGD^b zDOWmAEmx;2BWaJRI<59?cb76mYhF|Bs3)dBLKLh3m*I{M@!WoYY7BF%r)|8iv;G#& z(Z59nD9%sXYq7go5vap7uZSTspT5jCt@deED_x25r&wmCDy(VyWq%sQIUG1zwSTz! zPl9Yyl;dcjKl%4HH;Kd;RjWm~7gYd3<+5wdzer0}L>s1mqFgQ#Jmt?mv0?O<|JM)3 zhQB1UymjI%+ofROm;U4H$;>ZSe?(>m*C%-T!3p_=NC@{@)=1MkP?XHX6W5w)<8= z{CdZvj6zpx%>soU>m{s~IYau2`|=R*7Q&eEKCQWIBZ(1vy0uOJpUwOP#U zv<07rns%`Z>D9Yb$>deXj3R7?&9E{3_i9$=U~*;7O0r}!0cUx}%XZ~5d|kcas^ePq zw)s=5#uX2EZ(VYf;pi?yS*pI0sE$q?@Am}bp`!J(I!bP?QMVS5pnMyU98Pfyt`VTS zl}*k~PY(XpU%DPn&UCaiceEOOituEMl;EnaF$iU)J3P>AfC8Pa_B9_E5I!**kB>{| zd12R1r$kIxbCh9S!!Yn*opSBwUm+BLvtW3IJd~}BbkRTl>?A+EuPsoFt{B=rPIE6m zdwbQ(LE8hkQYaowC1@uc=v(e=?D4L=!%gq72JHR+9)YL`c^Oy6bvaZbkuhefmlX-T zo_FV*SeEA(K=M`l#xm|Oi=?LGdx*@ehPw0R{`1Zo_Fb!Y)=Rcok2d4)!i!`Hs6hnH(8-=>{9l2dZeH;sY@ zAJhr&@_=^odc`2>$#SS9(pYj+Y8pK8%v#*VP&$C@Y4swGpss$6FKB#8zCnNc*YH**(N}GR^+o_Y&yxi`5Q}?oMus|mkUp}TH(>7 zr@lWZ|FkBqNog6N3mdL%b2i-Yx|HFHE^pnwU4_c9t40}Oxopf&T|6UCVe3=flsit_IwNrjU(f1&2Sb%5Xv}>5iOc<(v(K$&aC-I9bx4*Z=_$BVK zG*)XS%UEZXrLonH1O1=M+d9LGSb|g{Vc~*Bp^sk(jRr_`fqdb!A&V3X*N42Ic;vN^*p85&hHfo+blw%j!hcpW zt{_GY_|bQ73k`pQE9ie0M&H~TmMy@YVHN!zBPxI6MxBoobeThCk0Ld;Y2kb#c;Wp` z`$Bt(MXHI=JN+TPiLlosu&UmPd|kRS>>wDUSEEagbHjJM?u$O9hARn`#M`aswT}w|IwH=pAg5dK3%W*Q_v%i!3HQQ*u-P#a~J+YpQ~~m6?j)m#|Cbg z(E2K)cxPj<_4&~2U47IdU&+puY?;_rG9^&@o}`P}a2X*Q_Tq-o75?dC`^|&)apgEL=uQe z;E?!M5U9XdbD;B-FRS^@pex0Velu^Bw+74@nZDA!PlXokJM?8LU01fA;9%q9=r+IA zhq*O8a1xY(f_jwX@xiMSqETaioqK_!~4xSG#Su#7|!K+JI2vV|DfyWJ1>fY+nd z3h6WubH*H)K2Rrx&{^6^V}Y=8g+-W_P` zX68`c{&iU`cT*AA_zd1J9_O`HnB`Az!*ZTLC9Jz`*8b^N^2j@d&F81Oi65L8m(zM! zNV7^e=2C-K%%7t8e28E>5s{6uay1OT#^<~+`T+tVs|4mC@Uh zH6BnRB>cIJJLCO_PCe)D#D?8va$oaFr}xsWvr{o9zE}5Uz;?Y{hBcO*POva2k2x2! zCe!V+G+uN631Z&p1;cTOA_#ZL=x*XSB4zEDftJ2&fQa@*jdyM45t+LCx*t7&9-8Ya z+mq;lk$B#)UsKw~JDRHuXYnCN*EM>Gz0%3`BI@K56mM;l;ZD1F2Ro?lmZLQp$jgjb zG9!;eun>c4Igq5ke!6m9S&W7R@uEX=?rM{+y73C`Y_tmxZdN1xroHm4tDmNr$}m*_ z@(1*D6KK`^8`=aEILNygGXRk^(ba$Z>kee2B!?)1Vah?&{Db2Jv#bA{sJS9#we1a zIqbp+SvZ&vt&>+LISUzAEbg9P+;w!tqNrlBq1bXVo=Rp(TRyhG$MdZK-dq!Zx2lq) zD$fR8C%5=slNwQZGesqNa}XW;pMJW=OTqih_=K@o>Mt67Fo(~Aaa0K9gTI~ES!KSi+sx%SBMbH0M;omevCYnI#q;kLS%Kvo7lD65?_` zT4I=$=4|1?T+xEp*K)b4a#~}%xSRBGdY4IQln2%6E38{AbPAa+*`X~)k;Vj>BBDF1 zPS}NL{plPns2zQqJz=k31MjUbBmiTxxwWdI(c4;lQm+WBqZ@sLC*CP-lQ z%H$0v^dzs&L?y6&!S<>;+BG;c@U~j-9XR9bH*050x1F>ULgR2wqqCb|RQ+pN{DIC& zc-H69FCx8WA??sqN=WX2`4Kfjk05OszN~2~`nC%PEjEj0@j3bN|Chra_ku@Q`JtN~ zAqSWe%m28Q9|GwVYMD~LVhhNmD6`D0j`0}e z*8&NcoVX8BDl>h{pSEH02jBCj|7y(f6C&#~f>TA*KD>RkI8PPj$@C|#Cl@k`F%L+I z+M0j3dMc}CH6?GTP$m@RW#v|X(ZtMDJOPU9=Qe$sx1)Z#sQ2{rR%IC3L8a|k`^nH} zxzl?PbPzmbkh7gIT>8L6@Z3-=?TUNHpV3wFTa+X`@a%b)%wSU~q^*3f!G67L;~E9v zb0BwG;66Y_xT2|xkbm!eW~TIh{Xvr_vN6OejBTH1527-sEN0fFQX?1&@zA309F+xU zluJp^Gk$JS{rVvy{rKIFU)~ zCYjMi!|Y5%&?himrnAf=#`5gsbtTg7o0oR-WYs;!=^0o%g1yVH(j%&!2N`QA7WAs{ zG+z4k@g z^gU)Az&F4;ZPS z-V^O*Y3M^5jcl~YQ`B+Jky}J(=+}Pk@TnW%SnXZj(HQV5uWr_Rqoqx-3uNFgIcDlS zm&d~R>*`a|4l~K9EnPSUkFz^f=#*)VM0|i8ktp?(uAi;yWiru!XJpMysQd8q$J zm1NpCPcBzPn7gJ?YS(70u=dcfS~cWYTDxWSt?k4M*0@|{gas=YHf7%R0f}2nK#NM) zI2X8FLDKN$sUVrQA0~ldom^(H_a{(k7W6`k=DP4An$qpb3dX4nui*y)U3#JfTR zkTiuGZo*L6^mI>Oc#;Om%Bhld!fdgau@U>C53=<2_2w_ofW{qBMmXY%$n|ZYV7Nm+{jTD`G@;FR5iER69{S|s$7({ihQ`VfGk86E(bmLo zA0YwA4Uge#U;gd|!cg{qF;?J1rfpS4e%KqR!YPd>w=NL4aZw1w5KSsv5)$_ke8GQK zO+TA&BUo_cW%*Nfg4?AN=4YTfX-~&q;yYFDUEht)TtWS#@E(QP%OJLLcs3uM$et>QH7c3`hRq+ z_s{lJ`BDRQ?Rr^Z*YDw-c_8b!LS_t&-96#C;#py=^dDZ+ghbmzifwS8T5cb5N4GZh zm?CFAr#z~qt}=%U_;KEpzH-(*Z7vj#%*F3%uR<~_eFi04YB&@jgYvlCTF**tqj35e z8Z83TO=3T%e!Xlf(oI_r&QJe{<$gW=LxlGU3l))$#+k|yflDKC!bQ4-t#sF0M-1Kcc(FE{*hvg59Tx{-7WC_O6l5%pF{Q{RXp!MM1lzxQF=oBw%Y4ff+ zWvzuIri`4me^IjA^5)^%{yB|zRUTf8)drh9;(&#OH)Oky;0S7aS55a&*@sJF#!brQ zv#c6Ko{6dv3$qEOrfdCgK}(MXqfLqCnUU(DOg~3gx)H4RoV(NYPVptz{Pwu`c2ujD zL3BLqJZEg9Gu!1|db|+FX+bTN=U|TK`3~jdQ`$}*Uxy*?6h@N2i zo)(9XOq1SJ7$Ho|nvMNwGHf!6MDTs4Ggkykcl1VVo_qzn2nz^31Ze9(N5~D|xEbC= zh$u8<8RFUgKY7u8HQlT`dt%(uT{ErG_1$H!x?VnyCXJecj$8FE<0Ew;{$R0Y!z03> z-}H>=ZX4nldk^3aMxDW*Oe(PsFOF4(30N4_@7Nq!k~Yk@l^qGl=@Ut3>7jdCygQ_3AF+c>lk#^+)3g9}b}jO<2)MoW-<_I(uo({MNry%;hrA zuZHVJ;tHl`7<>BcRaQ|5v|CgEp7j2BBQGeswZpPctYQ120+6^^3} z(mDN#`A<{TTRPBHqzupVG1WM_5;K}MS%%r>hHg=Ie}eRg(^%0?u*O=4!4@Gs*d%7! zZ}q7$ZyH>!rc(3xMfLyeFp<1iegT=>iqvz2+k&4+9b#R{ zz7aTD`|tplO3utpHyh^?5oOWS#`}mp+c(prp{M`e6LWb9_5)J`vXs9RrEg_1bc6L(Vw z5)JXL@w9#yOnlmt@Ax^WD440QKO!}>3FmyJd7DKVGDB}FSw9S^lR+b_Jnj+YFi`Z* zT8spzT7j@#^=&N|bnT;eN2{$_C|m%+ut9J1z!}TM=4${^Khafc>Qa5mXucFbL1d}r z5h|ne+qPsxn&&AMaX))>>{}#^@-ndjDGaL9#P;d zW)>{vg&XrDj&+5-{;@mab;YWmg*;{sBkERO4jhR2i6drX{?h}m2cjj4n8GebOlTc^ zdrMNxwr505Pn1;6ZC#PRJ%lBFy1E|^ZRiqFff%~Uf|b%5FpR>#VHla$!6oW`a30;r zGcMxzrRPhlPLw2hRPM;u#M?_*XK^E_{Mnw>a2D~_)L$vvEh6R1?LPqENTFCct#z0E z(lwCMH~{F|xNei-Zat03ZOK)7l+N6-sqCpMzGZY)dK#9cha zQmzye_BHXEfjQmwAPPC|h^D>E7}F*!l)(U5Ddysw4%0Tpb71$sSIM0MFqJ&$Mn8y( zd3)B|FUkUwibyl6w^upnNqGbb!_A@bYu=SY9^}cHl9+<=-pp~=WZB*{E>#{eaW{rw z_7TpmBLMxoPi|n2ek#S~=$za;09n1LbVCuO-2pu45SplQI+@)D{2+KchbN&pO%?~1 znRTxI!MMbY5t`{WQmn4l%7#n`uO-fTrj$+Qet$?U$(x|N4Qd++5va zL5}Z926-1=AgV*Mf!Srim;z!IuVD7s2PGyBzON%}RB6q%r}il$cC%QJQJZ!cfA0xe zFI;tQqcd|#HYf~)?Lxmj*B7mCbm(ZFj!sq;^E$*NQfX>|_$;>$ZJlU)FxoD7%!vLD z5j+v&*`)fY)Uk%^JZefeC&WqIftCV!c!Gsj{K@(G6MgieVYa;zFeJ&@DGiKDfYY)qd+ZD4qlTS2W-D@?p+I8h8KmDh1MICeO zq6{8Cc`iJquUK)+T|A^lY&ZS$nPY2AYgTS3AM76%5H+u`ScV&|rr7>(JFSn&sm(CyJfO)8Wz>KIRA#^;dXVwm#2vkL7KnS;q7bQAy}Z0O^~#>ulkf zgY{SH2Jer?Z9rD#)W|?WT^AlyCzz0b?k+kVb}kL=)Tl3P;n>*H;5AKEzKlAwNrXO& zA&FQPq`sw8aB)>&K&A1IZd!nVF#cgK4DtB2(SXOjYYE-@P~3j{bek!e<$p(esaleuq~|JsK6dB4Ke% zOfV$okxlO*-1c)%Xo8$%TFhj~AtZ#<^V)rAG5ck8#F?-+0-Wel5uOUAil=X(_~4YC zQ5KxhA}5X(1ksQ~-X(G|Iphr=9D9p#r!H7pF>|!~`5eXWW7`CdKIhKUaw&;rLW8KiHh%Q!7>*x;$mCs?n8FEKn|`m-w?bE&TalClf$U zd$4KfAML_qTcziUDhlcEtS3K`6RB%uZegVGvOL;xKou#n>_zRtjnNw8$wcT7>RYs< z&!2XF)~=A2?8jqYxm;lMXHJ%EI!qtlvWMWX>g3TbXY4Lnc_GMR#ars{urBxS#sWBU z01;BokoV*rEpfm&>t1E{fl1MMlW$E`zYVuG3TAi$xFQGdRhEyChdb+^uQMs5g}4l4 z&ExP#U=21pJ)uU^(~(j+;;aZ-#_<< zL$`ZZbhC1(%$Aw5y>{Lhz&NEF`V2I0ru5c++PO7^VeoqeRy_M~ycXz0PzIvXSXk6J zM#UAPao-avZ5(5vu@2E0MI4R9oJ(h@H8}lwLy8F~0TD?o8O_ZOwxs5?C*hNzyFG8; zFCx;hh#SLkpbASf7hS3^eU9+8Uj?PmHgR(w&0I)5gSuD4fjjTH!~o8zAn zZnk+$9_BJQr;KGey8Y5dU4Mda4Rq=VX@4R)eS~Rr=udPtZ7I^66IpO~>^d3LoxXLS z$VgA7GEjVekUxil&mMh65f*-9v=>@-uf`GwpA;=XAF?X}z~q8Se$cs(;?&;cce>vl z;mJlXGJbkxc)E`)7~}(dmku-doR3bS=}Obv*q) zqaXT)sY6?8wy&E$ia+1a(R~m!c~;$ptf9Ex<Z1w-!H>t~oem{q=7(4nw4 z-Bzv;Onv@-;3ZY0(5Q;+=$hU}WY!=#*a#y4NBa41K*bY_QRG<+?LJ_mGi*)v+927G zbAp5|=wz%i%BR;?u!lz^otQ@6I zrGbIgC)jx%RSWEkO#hkB{ck~brItUad2kqMlP`F8I z_|krrYL?aS57MkIduLUXo75n0t0m1I^b9^=dhPej^qkEfec ztaIM1ngD3^waDVQFg@UE+)?GyP6K$(>LL!zc2@25X#D9bk<0cP4Shz(w>4;}Po6g@ z?fe}BJ%tDM(a=Y}HmjaqxL{s(e&gFL^M4#ltkBJgAqP|;_3^vCi|Ru?T_IFLryRQo^7o4d4 z^(NErt-Xy`lb5OyeSS(IA9QY5`;q&hS=}3EybCCiAZ=E)7_H()>ZU()9&o=t1x) z_fy_zLoU`1UQiThUbXH_4O5?N&Lz&?wmmRm1r@HH7GV{hRldjA*bNMewEt}lBRMAe zIJWyiB#TTe%aAgBVmqc6m+WaxBh$~@isk@DJrrQR?xNwz9&TPY`imNIT#Xtf!bgPJ zRC*7Qeqg+Le~niC?pMAQu9i00x`m5y+F8vb*DKzpQ<~IZ}hw`RzTLUSm&&r;Y)n_{m`-!5-sPXuw2mPe5fjTs}zO_Ba-v1GoW4qV{4qU_$ zc;Ru`(9w$$jlC;y>R&Ja>vOuRo576W}>G?M@&HQ^wEoB1Hp0pe~um(x<9 zm~PRDaFVBu==L2IG-x1cR*Fvwvaw_DtUG_i)8q@oEE(2=N5S>7HvK+Zx~|;WT>p** zTpbrtd3L`&>v|cMk$dVG;I3MhGay3$oy8Gk&NdB`WWfD`tfAA?EvhmM;)oJExAb{d zh`0ll>EkwUWU>!iuMQe6$0r7xKCVL(kFb|y)5%l$Hyi{MU6({M9cTXR{kf74=fJe+ zAq7Ju_dM}deX(_7N9P%y%ur%%E?2sN7j-*}K0D4gmb`AH#Ktrd*A1!^BE}A@{2yV}lhng+hsYKD}Z-(lHb#ZFL zkz~@Q3TttY6gN>T!Ii@<;V_NkOAMRUgTE=fy(?RNWA~8$_g~n4L3;+8`BNtITSgQP zeIof(S9a7`HO%VbxtCxh>X0a=jXS7=V}Jko69vN~dABN+n<*2|6})CF;c%3XgJkvK z_-;#J2`E#1!UjA2; zCC9xb&oy3~T(=XrEm+7{1@gbZ-Tav`&fd|SA#8roxSv($juKJ9cfK(!E%M?P!5Efy zF_(u}{QB8{Umm_`IYp4+;V8U7OYzE+#}}~K2JaT{Cv3KWo()X3R(<;&S|7Z%&zBagEvu@M&&z##sjK5%N4HEW<*VYI2zoYWABJb{~vZ#{7 zg1d!1EvJ?=GWmtDi9g0L`2`G>^jZH~8_$=npc9|}_@biuwRoSn!hTt~)Pb7eXFgf~ zalz9Nw9|m-Y}K;dkz|%U6rc-_Diqz9W-fXL)@^wG4}Il-K>O8PJlp-aM@yyFpDE3L ze7LeQjjWZNb#dL1o;tU3BzXiIw^AEWUE3dQ%C(lS-T;dgdc;8x4N+c2q*_qoGFpF_KzF<=em z__6t+&)^Mj>XxrL$ZB+lZ|@@y&dGl{LDc@;N}K$tM2eTH{-UukPk_>OQ=D z!(!^x^(OODt(6WNm6}!Z>=dTMQ`XDMyEbFb9>{v30Hw>9^zb?cbAB}9{tY#fGpUP6 zDJN%Y|L$WC`BQXd&t*}mg}hriv=-kmwhBvZLM+WThZOZJS*m$+JulMQuGtl!dk zI9PvsymhKKe3a~@IFlvM$1p&fPKjzoqd_El!fp{B=`@ zU4RKYXG=&)<)Edt*eI?bteW=1X+p7tJKr^6cr%wS(D%;KQ}n_1`uAwch5yRx(fs{= zpHBCO*+$~c*x1oZNZBc}Hpx&Acl_ZZ7048J+L~%RzDY!+N}K=UGL3c=*>AWXGxkxe zo9jkxC+QA%7zh@sC9xKJA$ic51Ztf-e9k5Jr_%d~57zpL)TR?vXFWl8Bt^qMVrQYs zz%orxvP55zy|Sg5IC$4c{#)p9>a3LmB6Gp-RG(9+M_B{q{Vk}iTzbM{)BoXRfbm5g zy8jhB!Ce?4djw^%Xv}E!ENy zQk4E@bfX^JV(e@aU^DOMq!0(k?W1;+V4ydAj?Q&&Ef|5xP=PL{+k!u;69l$_EGgK zzlB~(vB+`bU1zS$pHUuD*FvP-)pUw^bB-b)fB0?^EPzH9+{?4yDViEc&cf`d$rJ)qXxa1X%#$Gv!OA{Ic7kovR8 zC)7Un#y9b_*FmvXM2lPpW3cS^13`g`v_SrTf@X4S8$X!(83RK4<+0ah&c;1|HV&Mg zyW(>DKYXn4iK=sfC|HqN2jBd7=xK%W8XZ6#K)!ONudYtEM;T&1fP`9$dv#Z95^DkX zRX-0Fq_ikz>65%caPz4gWmIc16HWVsNdD~1nZCS^;+5d#XX@50=mBwX%j>Rp1ru0T3E;L2E2|qU)HhO&OL(UVB<9 z878#-Z(y2Unh(Y)@AUm%&!#q#lXNH!j3!~}VQHCp87o^t2U5ovMElHJ?8tSdM7dTDX# zIxn0t^)ISnyA<-rl{?iM^kDm%WQ2OeSk`Co`i;y4*fwyTDB8;m1WH4#CU3r!O0#00*Lz9KPoL!@e;;kI?^R zTwk8G3l~GQG;&s#~ zOV)R22be1*B@e$!9f`kQfvEBEJqQ8j$_4uU{^aIolo9RlrP!3hc)C?0X`QJ)eui1# z8U=J3N56mEMknymm&gjh8gfu7kp#P%trus&~Hk{7FOi2J3tT==T_#a;Zs zu`eK49`vw_nr~jkyL*C`*7ovl(6g{>rFK3cg`Ylx8>~>=LVSg8ZNH%H8NFa*TvYtl zu3?0hWyO|jbz2bx3m4rudK99AQgUuuW4~a*`)TRebR3LJy8cJU<9lwe@a5<$qznqn zJ~wuYNppMog|K_UT?1EH4cyiT+CLuXU7!}Ztgi}16cZg`=uWquqP5slad^3v?+=fg z+1wF1AR~>s3Pr>1SIw|>c9T@8W6mYKUIG9}kZj%$Lnhke0!Nv~I=nSE2Tf5)%v;V& zCBQ%R;4WgKU*Rusoc=icUhP&76<=gM)M0+x-sNI$O#d|2{Si4btD(iTJQ>1KrpdLD z;|oFp$9D<`mj~D)*G#9~&K@(A$Hte$*bB?|NMRPOL`$cU_2v<6%~mF_&NINIyxTW6 zn18!`)BXZ`zGAZM;!LjbgBk;a`mkGbfcj|mW~Fuh;$)QMiDJ!otTx7s*4TL)Kgj{prGu@<+CY zY*Z|a2wfKQ2rqQwcgm4LubAHr{qj3=|AJ>;j$-Ei=b7ijQ_nvO(SRw@yg)F|h=mJ& z81g-OU+893XvT%c+#weqSiJWD?5YzXAH}^AzH8{Qh0ktWlCvh{wPyz(Kl=RRCjt?# ztAY`)dm=w{hK=tJe@z`-()h_-bV>CyuRXoF@lM#Q^DJ%Qwh+}1Uu$$@f#mkb5Lh5N z?=niVTe^>^{k}WtF`izvC@|l)xnSYo4LlKM{^5+>@L;gR6cyO@ra$dT8Ijt%v234= zIX3?VQ)s^;QlPn6o2NS|Xw{r?{iGLHy?$ie8tZ8z{75n5s-ON#{Hw8htwg42vdX)| z{c!Xmg5=A{FZ{g9_pf7zvQ~C#Wn)*GYB}8{2O3Gafz(d%^l?th)^C1b`L9@TQt+i7+drjzM{L^W$y*BB0IVz`V6`sVrMr z%t;^?EPC5LS@4ANagko$B5mAW^xzIHD1aRZn}zgS4?LeraE;Ye?dFfu=_(DyO13kF zHChMyg?rbF--#FHj9zdBUZOY*3XG^aix0Un%-HI<v?vIsDb%%Oq7QQRaylyXzr(#F8>v!HsPpjn=x=7uq~#!$BW z+4!-6@m{`E+c|pS4g>%81q?k<2o*FdAau8h#!)J?bJySrVx29y4RI)2NqqvZc=SS- zu}8jSfChD4bpqdV@OG5K0H0_=wz#ruyAc%;_@QBnjW3vac;`L(scjWw#%rWCIjvmW1IEj0iN&%orxnF19;7NJf}lqaWz~qHWL~7^Dgz0 zJKI@>@=|PJ!cFsT{=t~4ldp)7G=TAiI=-dl0LunE25gc(4e=|Mj$py8=!>>AYQ0dc z)9W|HscTQ2;1wFnTZA`r7%ka+Be1inG%wFHQrk0!?;l4Qe|r#%Z}E(tBmiLI z+Jn0C4e1Z`X}9nw<``2t8izr%(Cc(U!>mLM-~Whjxi$`I1*QqSdc@_1QmZ!tk9c6} z^WUIt-fN01;OeiJvxw5GeFFqfYe#B`U{jYaP3p=`NX`M1r;6AvB&nO*Cy46a10);d zQ}vAL)nTI{mTueK6N%6l8xQH_XQjw>U_jcpw-?+>aXu4=FRC%neA zoiV$*UwxZ9_~SP{_pdEj{m8<#a+KVMM7@cUd!VP$a32@Ci662T#5pwF7o+y{lvyA2PAEeh7oPsGtUAb+5%vR~ z24d>sm!pz1!fpPvO?OD36*R!{lBVv-%?<@ zpZZg4?>CH6TEnT+T}G0lEWubvfa_x6}bRKbrrVtu2eaLR{Gbq?vtjH zeSP@JFTY`;j%G37OAF_YugLd3sIKxzJ~@8<%&hzUn`0L~k|4;UD`_C4?(a=FxA`;C ziR`5_Ynpe+OjT9PdM2`iZfb*}G96vtv3A4nH&W@bWgGQyVLH1_vSFiGJcfZN;w~S^ z)6DlDmPmmkmZE3JTpT`E^Xm>Y@GF zrF%6%QTtZ$e3Q@^_>_3ZT$4|H<_@WWjU@{^4a9Bhety#9Oj>HV2K*P3a;K(BhZ1ti zrFBfEmdAp6l{w*+{}@qFUEg>YlUPGYL4B>xG|aA(ht)Z#&I;uf&*Ykhd(sV4R0eWT zEIUR+K@OSDWN*!NMCNR#3{_qcMrSuu*6vG{Npm>vLU>uawnHHy;J7=z-uAEDzR~+! zj^;e$C653xH5n$W6PhP6Wfw)uv9wx6Rq}~zU6v#Q8MxlS};MAEU0Dy z+m~&r>!?*s^>6VpDXgTme=#<{RfDzj=tY8-nwEJSHUiPWLz-(8+v-X8#i0oMAmGyK z`BGf^Jw=}EC_FnC#|#Dpi$}n&F;U)lb_bdY=0QBVFEJ#FfUOed_&Lq`eQ>sbaN7Pu zY1yP1Lfl|}itewQKtbS+R7KZJ3o!B9Q++GP5z;2otq%K{Vy*Q8feE*+6RbO?%m{Wz z;4+{Dr_a!bY-5x8hPKaQCQIOuIpj*9pMO& zkfL}ZnHHqu?cnH|qIk1eoiK0f=tCY#cN$%SRY&atyZ}>F+z6C%-99b;m7N7QSKTh$ zSNIz6EPEjC2)^Y+9LB)TKt^BxCKJHKir@Ipmj2tGfrZN+dHlj7Pcj^${h?1LguW2^ z;=zz-AKCiXM`Qm38C}Z6iU;x4Z+>@Z-}%f>zvJ#f<2_S&e|o`kOzV$+?>}V&o0$_o zB<9Fs=ERRdz<8lT8hSERMtPWqffg_*UJY7MPxdw_bU=)@0!1-Dk2n6X=I#&gNq_jN zA#oFJS7S1dkMr&$tR=rR7B4WwM`7&~qncUs7~DRMkIXDM-`Mzk)2FR%ks-VX(SJ3c!6;H4Qj*(0az zRMwdO>{pYw%vXKOjdnn&={24aRT!tFelF0jTENY|Uj7v`dtW$k1+#arGK!arqo8!d zBz@?G`0Bq&tA!9%#gZ9tL04UEJSkEurRsfB0~3#7GjNrnRu?Trlau-Xq3rGBn!N9} z|5mA13kV9;wpy#Ac0RGTTf2dkYu$Er^#NKmM1&-Oa?whWR*0!WNV}n+2JivIR$_X$ zgwzB`D-Tgfa_-u+wgRC;LKG6RD###^w2&u~^Wk@#*mm9PcmH$mHT`3+S*eEa_wzkI z$MHVMgygzVgTLuoK}O3lzYWk5uk-vzHz?lUhfHfuqKr$dm2ze}%_vYHbVfS%A{sii z+S49ei*tkAIP$_Cn^QU8PM|TMYn3-*8{VUQeDsm#-9^Vndn2vp0%WArHjoRR@mk8T z#B}H^bFFudUT|urt{lBj@<+7S-oXVGfy!R8E?CI0Mg_J)_K(dm?a-iVdBP>KBhODI6>ke@@I`&G z@|v)+`JX6iS#LRm%16Ap^ZVMXniQd2ljuv|ek!AXf`FaE6+wyk=P&Gprox``h|W>q z%E&eAVVcRLcV9J(Uw5<^zraA2+2gUyy%e3@y@(QD{=>kz4Jl#>4_<#;wJ#Nw3M@54 zrC8)7nRM6v@>BN7!LxffJYd==w&7%^0t+J4gtWA66NAzg?;T^f(^oq?RFbkSbacr52d2Omznx6J~O#gP8-^=!k3 zC37%eR)6toyp!BH>bPt<4KW$Eo~!=uQpFKIKAySqrB0P5!hU^q3UppaFZ74q>Bp|Ge5rat5!0&&=dM*7<{UPj->vzOb$?!6C zhue1vvjElAwAJ_ovJ1>!n@k|S$)p{y8Ls6KP2G!bS!sQVQ%5Fotiw{Nob6Qzoiu4- z=5Mc&n3L;oILLEdT**~BbG&%9IIHWj)^F6F*~!AD3BThqoz6`jzv!s1Gj9`*d?hyH zz|TYtj;f(+Ay>p1ln%6QO7TProzwzZt3JBJe3&R5(C^6h@{Q>V4Sttq$8We-qbX2x ziaPP>h*Mvix&>laJFd!%nJ6-sPNe#XUrxs|*1Us9p+CwYg!!Rk0^`XezHWKczb=rE=8PZcjHT1h#%Phr?Ee8{qN^B8|IE}eY}uq<1XY!ksMyWcL&+?-jb{n zqR|A#xd)GE&MPxH;+-=h{_AUR9sAp8XAtozLSsot)Sj715kqSE%(bvl1+<(9=Xvs% zYo=3tyMK9aJn=&+g*TKmQoKD7YpxA9LHb{&M&no>>6_t3f9O_*J?~n~GiMR|M>o`O zHOpC^=)3#nUEMeja5HGhRQ-tr-63IXXN2Kq@zzAsaAzpH;|u0i>p$;T%;)lCJ^`FG zhe=+HjRft>wXiLh{iLtT!4x#@`Z8v~9buAOaED`d-2GnVpY69@%6Wse^AB>{nGAFT z-$4bhYCE0IN-N&-aw{u2GTAWNjDyV%1{#}-<*}|V-EYiybkWn!w-sS#PQkVB?Wx)m zHWa1@h;AmiYenz(Hw%oP2b>6l@rzes%LmDGq()n4xhop9o%RRxwZXf%avvX6f&E!5 zO^#p8I7m`lsO}0;V~^1@?TQ#}T(`{~iM8Flb{Y@xU5BJ!U5cJ^h2a!R?Pqr0!kR01 z+F67sLFZj61N?|}JDFW^Q$W|rD!asv^|5$T%JJP_gyD{ZRb;xpgZT4K0>}wFWjJckZ#fGJ5%h6~^LbwutEH#VTqakSsyF&}fA@?|(vd=xj=X#=-`rCCs*`vD zxi-`WgpR5^NU(g#L{=dQ6GNmOvmnMa121E_+4_<=d;zRHLk;(uZ20z%MOUVTXfU>* zaEHqg{}1v-x*e8j%l_&3t{`5^#S0t=wLMoWO8xrUP_JmZ>k4YegE{VrE5%d#9sQ66 z>|PX8nD3C!HB1ejF%Dxmn*roZw>;O-T5*yCLi7_#qM>-zAS>!LF#h@U}0 z@>ZHth+ShNRUeqK2|7^Oe|9y(krMs|Iy2Vu2+?>&0#ONDah2$*8BCEi+CV|yPemCf za775=cH_4oR67Pg7Q`sPq~Y9B@M=FQ?&JPYlU1xd!fuEb$)|L zb=fW3VZ`YsjE=2t>{8frYY2r5un$hU2rrw#Bt~5%&>1WeD01sNG z?gKqzbmXg3bMO@v<(ge=Q6S)QKS}hlKTQ1Fe&CL>O8xd9C;tDa6<|}qj|Ht+J?F{U ze;A#;CFs{tEO#{h!ORbm5a*G9;7)+B^U#i;&;0z^X)Jj3hhd)uk1qTHJo?0pH)mZ# z5DOlKMn7k*GH81_nA1H$3M+1faxh2x7F211@5VlG=s=YF!Jz~BecQ8-MEqGG$5uC% zlR$EQH0%5r0QS_bO%4}EB+lPpNO8)Gz<6M|xhySwa)08!Jb5 zg*ba_BRN$&NP`@^%>LqWOH1=9Ivy7Tf?>&E%)Q}Dy|=yhE*Nu7e@$&Zt*i^vpxNup zvPM#{^pnnOBAN8;bgZ{CQu3;&Zb{DV?_9M{S!KugikE=8_2LLt_>X8FKLkRWo=!Qw z`o>qT8%;Ph9L$UE2vjnniL9NxUN@h^j9e)>YyI4}Z^dv%KDz5{bE5}Z%LO3id=*u) z;Qbo#B3?ASq_6%4%!k@=(v<6tP-!kJ=jTf|g$l6~8j zZDeNWUBxf@=!|tHW?!zQa-^LVk{~xR10}cPFnh`w`=q?)=0#TlTuQx8%)3Xj4JC)K z9qMO#Q)cQ(I*PYSvJ|1i4wjb4F%Td4^$=EU8NQ5_eV5>7kFPE-Zzm>nCy}QLFa>}G zAZu4*D=Xt$M~IT@*183g;(+y@U82@~Ba|T8%576`Bn8P-#`;?%SC}lz8I5H@2oU)w4AkyTe`!loLiE8$@(3+gsvn% z$s!ybXKnezx4Z^8MdL@v3{`PVYdGD8+Z?qkzSFHjnWZA=69}@Apv`JrRvwta@1txP5@k%&-iBC0*4S zN1?#+f?yrdDHM-Xbxx?Uwn@tBzN~dk>n*RuFfvWN`Dzo*=OPrW>OZG%chlpyr;U~~ z-Hgm~M%0K+3;*d=%!CI-S9xFp@K6!03{g0wzI0G;iX;eYwUFsABlNnU&^hUl-_n z-vW+M^%(wYjCWej&SZL1O|tGH;ecUBHp7nJh8O95$;GRujn#@a7d6;YG19Ki@$F-u z1BbA=%_V+`GJ2pFOV)JAYuc(j-^nEf3d{)za`Y=g2O06SC9h>G`I-oS-iAR^u!R~Z z{<|ExU>0bNJ0zdU9p)-0DwiY)JhrnFL<~N2xvWTFtGeke(3cLo>MrLF{mMy&PB&&y1w=LJLJD@I(!`RbvWeX|2_CmvxEO! z4E|3&G7 zb^mq{;A%*B2wn)Y)?8XJLk_B6JUQ!c#y>rK>#@!T892w;XfJ+Raoc{eXX%GSJ&5ek z&d*$ROJwEeTq|hx^K}b^qIteIkR<+Rw^&ZMGT=6}Z9wcbS<)$=s}7t22pTz`iNxFg%} z5}UV+89+jPsOlHc`3+jB3IQ1U=qgzIJ;f_yy0M9o^py?xZS_0Y@XdS$)6b-IuGE&N zU;s&-EM9qQ8oqbuU66PbO)aPUBp}WY!vk9K5%c;aqDr3Lug5xS7g-r z5TG?=OU_>as#I$^Pug&hwzn5aVE3r`Bh>O-B*t?LB^wQ^9M9_IIX!N;`UA}VX+RAG z?Hq=+*dwk9!DmHwWl<(RW*yS9d>(e1_$Vtw26zchEz#D1}6NcX?Q4F8gkcDJzsAMfu`#L*K7566m0PL_Mos2bp{e30u6 z>-JvD@BX3%yM~YhCNHFDb^ZAMo@qL0q!ByWgaUabYN5hiwr*4+9>CGVH8g6G!2lR; zQ-`it#GlA-A1g%hIhk}--Kq!`!Q+D+W+AiNntQH`jogA#xf)i*vhrTxL`$%Ie{O}; z>Qv5E5n3GQqs3ce*SA!N*!o>o!^6F>U#MJ2K$N6uUGtVZ)g9V;@CZy;2DWUqow7R1 zo0vwN#MweWS|ESyLr^vvl`cY(nR@b72A1_+j2Xbs|klkR`WmBeBott>Vd;GVtuTnZ#PBQ-qW`Z+Vcd5 z^evJBRo%*-Ap+Cv_3Y_|0 z{K7YWKH7`_h0*^164sqD;nX6d`$Xj2b@XTu6n%ESpSwLSu=@GDY^K*JDkKkFp+`|C*_+zqes3eDVd=>d}|xy!4QH14<~TH$1NS zW1kuhO`sl5@8qu8ekuOr_+3vy*zs|mYI}Pyo7#6#mK4;u=~C_QYnM1a!cDN}T8T(q zw_;4u`$h2|fvA4eYp;7mv)GpzwW?a>J5b8r3YpK!!q(ra42(9HId^#?2}KwV;^Z&O z-YYHn6U%*Q5C^MmwM&Fdn__{w-|+P=qBclX;PtdPE$EeR#I4cU8O)PY8GDCMY+6Jn zudO)#oRpU-+-z-23d5S0k2Np7YZtz8q3yuOZUWw+>GFqH$mAEPHz;Rq=vefHD}Py5 z(@E`aBVW7cR)!Yj)kc^{tb4N&>XfLLRd)f$W_yZCNg6tOpoUC!36)Us0YvtONiYQ# zud0sS#v<-6)-KR&!9zxb-=N!-zwkcvZd~!xhMHcVFa6snW!DYx4eEw^r^-&=vS~ew zMpP$pc~l#lzqN@Pl#e=KaO7hbI)N#6Cw4{IaO2pttyiifHk|EQD0{KD5BoCU&_I%w z1B@8_6OnH7fb^{|8n*T__g(V|Xi4ASJsN84)0Mopa(wmrf@>>~Pdy_CSxtZ$u3-u$ z7#80}s}p>0-o%nuARsJrT4I^vV}&D@Gb4sGu-FS)5Q-Y`4maQJt`viu?zw-zA9%5e` z#9;(y8{qUfXoaC>G?m zJXEAHw)wLgW+1JT>Bq9IvOR)z5lpxxu-CU8@@@DprgxX zA4AV`Ukc4}#6+9CIfMIi02&#PK0K*!wsh+2M1ex&W8Fk7c3&}xbDgxlpAcCj&=6!EUY#h*8`T>bG5vh4Y)EK zf!?>TtLyjcQh>tLp2M^Rn35o)`&uj3hUJ z-BN%QOtd^))#{2&f#-_e*KhniqKU1i#$vjuy+|aqwZm$;ha(7FGYz-Z*W_&D03)Gz zfraGS&Px2Yi#6*H7q6N&nq4szbqIVAb)1Glyu4i6(#ZEEA1aBO>?+z6xc}D{rnPco zEJg=K@R+7wf8r#pWIJfCd!SKc)h9QmlJV)((f8)dq8F4tp@*%tJX&5_53#Mtou&q8JmdE{x6-VIs zZ@}@N_|wq;49yPx55b!s{Lt)0U_vhn3OfTh!|Zi)H|_~aT!y$+;+!K7;#Tq>;#N5C z?ZI&d7Z$d=fC=q+`Z_YY4I3U|%7F*v|KV8_2wab)HXoHmk@QTL7%z(PvK?YkYg-<| zX{VwpdrEcvANc2n#!d0%~^tac%;6CfH27fRfI>`MnK zZ&*iN!7OfP?s}wEysLe0{sD_z!En!_m1M@+e>{`Z`{=cQ#pKn6nUU9hQqC5LeR#ij zm$5d&!o8$ef@6QakW2V>ezj)$cVtR)?SCt$DsPe^koFy`eF7HCAYhwYw$+6SMUJ|; z&bYr*yN9f%ySk&N`>zi_r*v-a47Xxg*`Ysiw{o$1Cmif?-**i41n5U9=R7)edss8r zy{JzlyK=0{B|3v`T#hGFBKN(yJF0p+KxVq@c?u@SyO@GDj)ZJCTweoqnt&6+=tMKG z;RTTBkZ}oZBnIe=o>p%p`W(~p>Zn}|)xEG+3}G{%I#QrNM&6zH2tMBQRpZx3G+nMm zJm`g&i&ys~*M$Wd$Gr`itlFxgZXf*onc{860^NzXn3Dc|#kZ^cmn~-xiBQ7DR@3?; zies}8F|a*|1jDWF&&Csh%dh-z|S7>0!=y}k4m#Yjx(KwU7#l$2+uQ5nK zrWsN4fk=dlkl_r-F5JMLQ^_RwDMe_ai6z=#6?@aQuiN|pL^;t?ktnYAy{Q99z7cNm z%ev@E+tXK$W-_nhZ0o21%K<(pXFW2`-VyV|GP|vAeh)K3@{a){OLuRoSe823eW3vU`?%0*BRm zx_I!PAKt_o*X@3`h$9ICUbdZ?lgh;(y{diCmwHFPBMZUy{5!N~c&ruB0x0>L5ciXL zA{mw8?cpv|@#@=DQzSnxlY$9@s~;_po>cZTX(}_I*t2)+vP+y?`zGPXyJf)ZR0Jy;?brtE>0J#D zZDaga5HXYu^{)!D%1;0)hRw5Ju;#*eg(U$_+7NDgn~~=8)wZ(0gdsW)UQ+&%o#in9 zz;z3$P#G+gJVB-KzjeO#HOa52EEaauNZL$?YvjYmuJ8jA5Y}4oX~JFvCLGI|0mk+{ zc8sxAAaFJ|zI^2*|EMb0S%1dd2g}sW-cJW?hZ|p~Z&K-7!NYI{CSA^ZmV3Bz%cNU{ zW_4?!6MsdiK*Kr#ARX&9;hES^?&-4**W`fKrqO+q=N93A+v4n$u*%LQTCXoT|qthIPSgF#JE0xN%ffBO`*leE%9 zD-=ziojy^rQcre?ZTy-mnso{8MGW^&ZAU{9^1$pB%C-B&DDk9{M#jAQZ}Q}1x;|#A z{FHm3ctrpL?LoJwuhmKqG{!p$g;=2{4HmmosyhO)xK{E}J8UyJpg~=r1Y*O+)(UR* zV4fMvB=d*p9$-5$@y77N7?EAz;}_|7+(EWNI4yt5p7mqiR*2c3rS|N6crANY<%(={wVu$_g)mXRH=q3M7i7lrPpo9hrS3?l8Gbmx z+pemMPWzJ9OuzB3=dy)AJ4u4o42gp5^tJ2eq z(Yx4%fhU+otx0O(kmBJpWM=>MD^cC$@8{k3ERGZCt1h?}5rZ^;pI*9ixuU(Sk_PFo zm!moQwg=iJN2QqSP&8My7LR%B9^1uy?aOqDs+r6VX4M%a&)f5S$%Rpj+km)|v?9wJ zIZDXg%8-$X;%y`sgnt95Jp!jzs#a~dQgRMvur4FEu>P+fN35cb`8*IyJ{F11yA(N$+(aTSwZ70>3&Bk=?K|M%IS zIed4D%W8K!D-`X%^aD5sdT1cF6rw{;lPB-~-#hHi>OR2o}|sjAKaCfRWOYf2#T zB1Z<(6vxJ%RbB(e{j~LR@^@~-)X{D0%#duDF)`@2Joe)96BHv5@(s~}F(OMzEWTYX}$brsu1zXDA!Q~|*iGh?51J={m zK!CdH%1=1F*b^~1mA^0p_exc_RG4W0B+Y9k69PsBj}eOuR4P8Elh|x+2-t{k_ETuA z3sGoVJrRQxFG+SG{h#Cly{EQ%lc8BLUL#46o%`0NE@F;d@S32q?YCXa6VY8*d95RA zckitoBm9?#dyQ_{mC~06R~`LxbUT@59R}2nj>B9cBX_f3YUrSui<-^`@0W>4aCa`8 zj)iso7SCfiKFRFeM{+e|o1C>FVhMqbYIptab)vy@8Uv%k9+k}0czrWg4E{*0@`2iuBaUMg-Y?WF#fA}rKDUtw`!!t={mY|qzAKz#}*2f10G<;^QZK9{(tqi|XJ?r)xtv%Vi6nGmM`*OLwE&qdCoP~_G zetmD_WA@q=Y%9PDcTptIzHwH7qg==t-N6JnwLvzhCPF!h0+*ftz2?%dd`UA7pPkQM z8i2&Y`g>mdOaS*?8{~#%>L@aG>1TP(VHqG3P>E-JoJF&zei6>>jGhhvd@V z(aWr8t$dEibA|Hcbn?m7HLnf#cP^xCD4Psbyh?j&n|WqK#Rx$%7vjBMX3R^!n zlNkohoWfSAr0Pm>MU?G@8}EOVr7QWO_@nn4oQ9h^Ce~>GU3Rs%t2KPV%vChGWLocb>}L zL}vii+ukUy?DS^BkKa)Tm&~AX!I(2^%DZs5;nSB!WN*tF(c7sTQtZIOMd49y+e-bA zot?fW?63WNP^6Xr#RkzvB$7<}l3k+f_wld}4F9!VtNpJOZ$a5frE2q)qq>!?h1gsF zaJ1K6?`8|R7zj;AG&g?Tn=8wyYU^gwZXJT>HCEyRoOA#}Q)2-F&jGYl+9ze%Jt7!K zj+mDJFSZT6v|be}H%YLo7LaaLKGrhUp#dX7x5GFF`Cn2LtZ zkLI@Pz9DTNvg5%^zLY-wjsb=@)mK|+-^}bpg)(;Atq`l>=hxC)F|VleivYZw!CoN; z7NJHLve|gJ`{N)zOt9`GmIH*<3$nsTvKfJ#+-+#O{%$-2M-58MLpU6|_r3_9qSCRaxL2~Dmtn8#{dJJ7ay&qPbaExGu zsUJ`**l$&m>5W`(l!Q>SD-p;`Ep*a|p|!cff(nKy{qz)Kb=(=l>Q=GjxA1y>s~L-C zYA%deL>rmno<72II^Zl+F0^4OyPsQ8$pN|FzOmvA=-^MZ7|onMaJLsUqTV&*?ok!s zRcS^ZyND&U|Jq-UW4%bMGmW^P7}dnYb&X7P%~csFQgBdf4CjvRhr6@|CL`y7@$wNt zH59cm8Z3XK@>*7`y)HC~7eEuwKnqxPwV~nwaasFN0&bmA)u#1Ky3Cl)o%Yw4gJxB{ z%Fv`)n=O7I>3Slf;i;w4+Qs$PM1AE_CG9!&@fLh1P0BAwG9CNnl5TP*tFgdPIn@~^ z5t$66U~v4SLnFp?xBrM*UULgKR=}$W@!H@(2q4;G6G;vmN*Z`{;!N%qLEXNAn&|eX z){0yKzFAU{$?j)a0aww3!$+0OX%(EWdpJo@Ia%_Qnrx{Jk+i99UMY>CYoaDe{;k~R z@<6P!`IN~-e=hAtY=Xy!mn|?+8$z;avg-8#rPz)C4AqL{58qDGRxu{9AnMGakKa4AE*of(#DW7vc}`UX;^e!&wY|4Xcrbzf;& zejv0utdh53m7IcqHg=@*6KxZ)injf^4nWH4^}9@Gd`ZjXRO&$SN|qKL@DG;t^O*v+ zs&9}=##)yIBCHH6d;MfB?Q!3!U0~mmtsPrK?^|EITGo6@-mYmy4=xv@$sZZKFwh1z~{Cg@X58DH4p2J_9J*zq!h1GN4GbhBH1Kz zLnDybpimMop!l}n+F!wus0|&=!mb0$5J^i{Hm|=nB;JGuDYW6-)E`@LFSmZ)9bIXc zF8+Y%9K&&VO^Myst8@lL4xyvG(mq)ilEkqAHcaSuylq2g%>BDPfts_^Mt6k3=Dbn@ zH{@hNNfZ(WOE}`06A48Hf(0c|86%7`gy zU(fxr3#ei1!DZ)c*FS>1F;ZD9nyg&5g$mTi=yAn{#z|Nj-HYWP3Sno_B8~=z zl%VQL38U+Jr3t#Wqen5H$@1-E)yYGBIGt26%t)|2{F@oERhBf^)7c}lVdY7N7 zt{vna2BEJ>cfuxXt^i;fabFl=Q|Q$G&Q~O&zM;oPhEfBQHT^78*nIkvsN1oerE=@9 ze50GsK$JV)&5jCGaRrj>R`I6;WUFYYw z>O#zGmsaMDugz+f(y?B=?VP^UC>Sx{L|?o2+1Ff4tSy}Rs%m z&%`{97w=u!FpPqKUv+V$n>B7k7sw4bt?!*EQzmOR)`ibBm<$@RPk}orz~(G$G!{O3U>g*SS69?Gef!`W%&UA- z&_=Q-N0gt9IVeT2iHCMv>=*Sm2%gq6JAbGgDHl|q>tx2*sijZg#o}v^<093_F;!Jvp4;!mzIl<%o*t4Mz%YyaPM+ zyi4szvh^jjp`?JKwzIT$8sV^*9FoKOeM0h3qKhZGmq`%NZmgeC`-`RL1AbAS!Yj>?^EW5}3C_kpR}cD9-h zP|f8#g)*FL{X~(#8))1yVVEgO4jo~zByXPSb@Awrg?`J(v(D6>^M2p_>Cn(u1g-B1 zpR{31s5w+oX?0deu?_gFLDh-$1Xig?^>SLM zJa zG3-n0IIQ&(6Sy*DzmUT8Ge~g`x>L%eRhkAe?H1f=K%V+Q z-e!|p8sRE{gST-DK?+ilv2&~^Xo2N0x^M{RWo1abHES?m*l*dgPUg5KxJsaaZ$ufQ zQL&GO!^djWMbXE$3QYCb38?U&hcL#^1bkC|u=}$C-_-vc;(uWH2R(9b&f+=0XbyTl zDE8d!-^>X=GiOK8qyOFqh;dQ;9lx6Q^C{k1T?#7C18)CQ&#rg~+_ry1d76Wsn7eKz zm^=??obUrFy^qw?&QS!Zu@CqYyASwN@V^W$#C-i#5l4UGxIyuckZ2NSIBv2XOcdh? zJj}{PvzL_u;kJ5UvY_I#HHEysq!1BX@by{g?F-MYe)Xj5)j~AMJOAb3MD{9|P%`OP z?@BMvm_t+R*daV4%G%(2bH@9V@of9y=ZLqjww61A+LA`e?Mvjftm)@aXN;^iV!9DR zH*Yy=CFdHyd&*Kxn%dFEOYc(5;>J>fEyI7l|96tV_Jgrcr2Af$mO)GnyOdo`XDt8h za;-2Q`civS{p;3CbqftKSDWj))h-BAchEzr$@8UdY5M@jjGB^>NMPAminyR*&bXt7jsxJ>hJh_@3YpF!Qs&;|e5O)ECb($<5 zy;tq7P*!rUe+rF|G?~%XS$>L|Am7m`f=LOAy!3oaF|XQMDFfRkEor3uv|-as+ss%>GX5( zcp7iyafy~wB6Q2UBhaeZ83xe`x01WsC-^Fg*#lfOfun{n_9_cxi1Y4`JzsN(Oe?|* zp4XxXCIYa(^W8~9*>>GXN)J_JJrgL1Mi7B0*|?K=1KLa#IBK;agt2qs5vwp)|BtFR zyr*-8pn7Cb=`w0J?VZT)=ZrjHC^r`Uqfwa63rz5;`r|aztG)1u&`ulcqG1{CSRGrd zLHd|D8bxB8DQAqZ{W4UME8?o%hG+=Imrv=6CS~sMk${I02(amOI&kWz1nPnVZ9Z3R zuzg%A-74Z8K5Eyt;pH~A3kU4ce>Q(AgXVItS<%t_DW6F)O$BHaZ1vukei&=^J|!Wr zbP8y?Z|8@uDlkQdY(rL6Ie(0pDpkm_Tpwh`_rAt>HI2>e!6fW+w>TBQ z?15cWrD8qx?m%mtqS0$OcD8th)`@=!1m!1@ow6jsVbAQ(#Q@Uzd#mH^`glg>j!3du#US>HJTbnz&>k6AMJK9@Zh66@=?bf&Y08>6f*Rjkbn`L*I5Z$;B9cs-zaYlz zLOH@JbkdNNAaSJcjgdBlFM1A>J5_DCWHquT*FTG7o<+m7_jcY%OTMr`Vkk19r^XHT9hLmW&_wlJN`QaqzV8J3 z!8|vNcze2jxD@91;VAzGM>+rhI`g|94!gw8{LMj|=EmXpj(c!?@5Av;KJrCxP|ac- z-0{Ji+w1%hCwn3a&9G=b`m+<8^9V9pWA5!JUh&q=YDi%GaV(2rb#KJ7|9ZgMACT;N z)_TfcH`o3PrGLmy+B}K_S!BVO;b6-NH_;gi4+Dy?Oi(4y-E}Y6s5`M4_yUvc%8Bb_ z#zz0U6}|+|^vGuS<7O*%V`F25KEe2knx$1_)?3jvPkCifhYenz;N8{IymO4e%eoy0 z6+oADkb7?x)ch(YmYCxns80yAVJ(SuF5)qy`;hLQL#OK&+A@`)!)-^l(HUwo(a`A% z6HVaxoQs)LZ?P;-C_jWrqao0K+vQzYkT7Dg8^egpUg=p&8EqWC)ep%(efN<2E{qI! zUGI(7do8A1-7hCJFVXz(pP`Zt(XPlgx*LoeE9Yrn+BTQy5G?i5j5y5C5ky<-m6GpP zmVeyOa?d);%YJqkr)sqF-Fv8_uJEyJ)RzoYZfwk^TnktUx}lBUfSL@=4&e9!f_G|; z6Mmj|A;aoH0k&}H$$Nt4i%*lh3wmj>xFyD}`@J|zmZNX9!3>HRnn6cIyQw7YDZ4?7 z95XMoe(Tl7QS8rw)^@M%HJ48p+j`+$?Qp6$so9A8~fvf2$4snelus9nH; z^EGW`rlh1VQSO+!ormf#nMAzw#)vZ?TbA{;p*GV5G$u2-9nBu0({_N=dXsPDu|~;t zVs|dh&+ec>>8X}6m#aRCMebMglJpc<^GOKuDuR0eM5fZ-yM6ZK#DwA;L$zT;OMQi>n99-zHo@>o=&i z|H>-IE1l9E<5yjS=+|7{jtpMs0$ae3{CQ8CrMRi}6gmOmEJSjRhsCVszt{`oSK4P-gHWtK@!44Y%O6;8 z`8EWpH_WAHv6secT<#N}q$KZO_K9#;vMMRqkyLh!xi!fNdhPsg=ghiP`MYRw<)Bje zlq1H?2_>+yJmU5&FjCUvEL=mU)UB+4{q5S%1ajB> zwhnrCls~)WrKdDo*|_gxBsZ(-rT)6P6lb;X^-SguOv+^OJ7yc)_J+wTlH0{A?$F8J z`_1K0haXF|O}G~L$66J0cS(|gRjA7yAgb2t85@&izE5t0`37Ik2C)5|?gj1sn_a;> zMZ?sd--7p3-Mhot-g^GrUUGMV4a{oWVHA`FUZi*5`Do3Q_uZDEXc^AZ;C{&+!=I0@ zGPVp9Khw_(R2;WEU#gws6*;d+z99EZrIZ9Wpx|&mN7nq-OWC(`Hm|5{7$#Gc9YfH0~4P>%uy82~BQMRRw5rJp!AG-;1zeny-+lGzX zs2nQw0hiw0r1vQ19Tdk+Mc2-g)y*Ru?K}V}8Y9#?TZUn?thB=> z8L0WM5vQ*4wZ1HTrMOd^vNz?10piaE6M+9~v`Te6D0%Y)V-6#eyeD^XkZ7~@Zja*{ zpi$ryuuT)cR7{P$vW=ogHmNoBrU^4sR11iEQ8k_9%2iIuPw(*-7=uS+?1Kk}CgjVq zk3GY*yMnQxKq&%F7z#zrWP&IOe@g-KUwiM8d#WTr!eez|Tqe;?xre1Cz$hu^33=!k zc#Apq>Xhv;ncNUhZnqtVl!?Z}c`h?S?VhNwxU-_mhJF_SMuxEkN-sDTYU9xh(@R!(J z&-jQ<@z{W1f=bqSzRbamhx@`A=Oq5pOuuodD3@3-7$*Lr^_1`LAW&t;#NwX@XlCtv znWJ0(m)tDs4d^&!r5^2-qc0J}UlQ+pnX9r5R&1rH`*rgS(VD>n`-s;F>aRu12kBXV zj|qEb)+3KTeCOdud(@GGPka#B-K)00eceFN+M4V=reToOJ#`^d!U65ys=>0_#i_oX z%jm3$9ci!_PLe6|b?uGYQ@w{MeoS^a(-g}`sCeN4g;PEaX}`NL=C zCt!1IO&nHlXg)2}(y2m6eb<7WW>b-=PpS8Wr!v!IN^~`o>bl5@u^|65!cKf;hsqpie%9Z74tVNq=Y@Xv{y^hHwq^L`Xyp(0|Io zec(qi60v_fNqmZU@XmZj^gs&=W35AHG?Oc5otd-t;oyeKFliaFvoM5VC81CLbh}Br zyyjAK#ZHitseHG4!I_U2&Yo4du|1nYQmU?jCj>Zcqc3^kn(1A>)ce;E2upsp8ep@8nKNFt#lbj_!$%S`-T!?Nb#O6v`l#VEIR*a+1!#Tji#5 zu8BEDrQEA5Sp7YjvFe-zlVyP5!EoKs1uGMD&)+GQP5ZH1 z>b1_K3bsgY=K(mn`@Z4$9q*B9Pxy%apf449E+%8raP1xcqBQH+sSjiVq+C zQn}F`3U5ANY2FlK9zJ(d30DwC?IQ&>*&-8(T6rPui5xRbYQ8+%`MDnB+GA5Duo~Zr z)4k8DZYkH&t?=ixm!H;jppcv+z9YL(a@TZAJ3*%1)=TSqZ}lFoYn&K+p5Ax3I7%H2 z62C-t<2^Wv5;*1pU)t@cin<%9r6Q2XYO_@t4|zRQdJIcIo}vH8YL1QZ^oX6U7n9pj zBaXq}72ysr8U5wb7|I5HU8B}Bg2F~7A+XW2$n*m}lv7pztlU0%DN^t@unEpYrM_cJ?3F}DjVB$l)!@0R`r0(xF0^-$he~` z1J=A;d*83!9(T)CP}t7iWY69ZO}kE4(uaM8b2EsK2)#3YouOnOohBPBFBgjjOIBS% z6=SY|eOGAED7y`{Rs#88d8vsy1oB(^x{l6JaaQd-J3UG9_X49Z+5E}y_!4OO!?z|y zcWAzv$0T}L{H)UlN6l%X79e6wX~GU}_{km*QMS z(uCJ9_6+8+<|j@v@hBko@Y;BV=yjgbmt>DrYMt;rY1|Yacqetaf=x>(+Pq!6q9+u= z!wk_t3I6u2^GyMq!|K-7xb@s>2I1!MYgC7A+8AEtZ^tp|G9}f=1JmqYM0t~eIT|qD zA=qvlK}>;Vj_42sF9wRBUC7l#j$Gf-Tp`J-I7z7V<%$Fd0)=1ZnVhoM z`|t%3gpo>HZ|*ZLbUKSTH3h>X-EENKa=~G`QhHrqI+o9OL`}4_a0pp_f{5)Y3Qe`i z$-PRi*|qbh%|Qnq4{VZn?*ryO8zo>ny!Ns0hglmQp7kTqKWa|ILywMv?Z2iaXv>`F z#5uqD+r$6P5g)+?0lDd+%%43SW-r_K&ChbgKLpE;&k7D&gv$b0Q0mgdVL@vXgSNj) z&fVVgP~sfX=$slk3gZ)livR*BTPYz)3(uK7kWxsa*P-7^-uD zs259WNAXtstqrpzN~F*X+q)$yWl-C8+cuSqWUd1Ha}cwO@OhtLtaSUmp^i_;%oUwW z&Bn(i2%?#EF>dE^d|PwFdY%mGcjyvX?;ry%f-oqX9EUUUj<&tIZQT4V+oUod+l2wG^xq$2J-*o>`eojJoC11 z$F;S9tZJp!T|1&O(@v-Nh}D@+XF7F(76}m{i6Q|jMOq=G2uV5}5S0KfELs!NX|t3B zlBp1aWVvQKwoX}+R)Gj)xm&>u2_Y4-kzC9BzielDpZC-Ie9#YVp^)S{&;N29zhh_? zWtT}KbNd!Juah(%&Op zsRNs5h5D}vfOLYb3~<=J)3@K9eQcl(A$sABFDI-EYGEooHZq$8fY}?2*_w@qVM%Cz zj5zLN&{hTkrEm>)l&NT%J!B;@IWS*HtDHyxe8{az(7@VptUlGR+s@iBT_r~F57JMp2*nXP&S^;Blp^AvLbM38Do8@c_})C*Ms$X0OF z)hf9zV1dIAAAx9-7&s$);1!Q?!^3-l)NJR@J%#45QWcxNub|tyA)QCtB3;R z8*7LQ4?U0V0rSYqu(!~_2g;2;M-oPF;ULPG^)y@zamlqe?asdz3AhI{2%-R zU_0APdW3%xasF{S%fC)@vi5Jo7a!aTaA(Tmv(ClorHe(c!7yklYL$1XAPtUlSHvs0 z52}7RwRz5GHXe8eu}{JN>Yva6P=3)Qd+C+X@HWCndV{xSCH==6eDv~j*&oZP%R(`a zi9oL>-gxF?P6U<~sEklm#v+B7cm=zIX!b(3;cMU&>+r^UP7hNS0$(#4@cCJL2_6L<`{B(e7;AUYG!DkwKh4CVz3iM%s4C$kzW zo&Amde)d71KN?J4y(K|_3VEgH&%c1>C9V57yX9+pF&TXR5-=PBuvWM^IcCsZ7`@AS zd*~enN-L>0WqFCVN4)^N^J1)NG<6fi+Vw zEsGj6Q|2LXW43G27~2564$zRKkws`o?_Y^w?na+FY_tP>#iKgZv>i0{A|l# z8?F}_92>CWE(9q!=7S}Mv)4vIelSi^gm-%52oN02lK(4z{5)J*QGJwQ$ZEjoKu&$% zFgN)^AB}llvJMLQY-?!&V)&bvE{#;CBE^-aAdBL$dryDfXHLBv$ZkIvCMA~u zZW26`%~4~=k52-}zcY})pg{TlR=-Z+!bEWcMnf5lzAkZd)!#dK3jQ9H{V)&>*0bHCy1&Uvz=fbzE^u!zL@5Nj%$soQ z@smqHbbWg@=m_Dp>Tg`EK!uPV+;$522PcTq=Y428$P8s+Qh3AW0okMzr|X+FExc@h zEXxBJA83i}8k5@$5ooO@o}+Pq_ZZZ)o0A1xr;0-58^dbYkyPrq_HtFKir^y6161IJ zAFG*Audsv8>285BMiUyt8HJA_2&PWJg#(|WH>8;seJ5S6MQ&aboFEuYTm|Tdcopx< z6OwB-&39ZzFhS2z3OM+1Zr0nF{k!?(LV^OPq%5KrhYB77pDWmcXB{Jt5Q9y0gHWH_ z)I!2H2oW{_b(|!E6Mz@f7ebYXM%b{2APo*gk-kO`ZGtfXHNHftm8xAPF55tZ{hubk%X1{`x`S5z4S5 zXa*UV7nK69)5$zbndb{3F>8v2rY!f!x{m!{d82V3vub)0fzM|u&kFo6TVQ26JZHz- zI$@t}&9*%x@9BW=OYHcd0(lRQ6wX;pW9-ax2YdIm;LOI~u;n*z|8DDU?o?jZj z^!d$;VwOg|wP@4gl;b~n{$JaQB~uF)JlO?b|JHxE9528Af75aRoAk<}*<})E^m^-4 zqD?=2O1k0-C*pUIBm6r>#N>}T!XG@QlqOWN;6GZp{K3YKU1xWRcxQt<7W#H5RLKin z2cCbh|1}47A!BN6V6IsHJ-UBM@LgzXehxV{!@W#P zv$NJOTlrJ=P{^#TI;8zwFlP(;#uYNqX02$t;KlW8FRK3f)W6gSn$pU zakaYZ*SGFb7P8ch%gTssYq2z<_pZ1HI3vo_uVICgS7LL~liQRZj<y<#uY1?dATM`a;yEPI08Tl{-}*N#da^Kkx`K1dyUKGk$OL^k zmaFh?2=AAyuoe&ng(apnzIlvkM2}jgG8Tf0H^xt?sCvS+r=cFhng`=S&y@FV1dslaZ2}>mc zxQ5Qf4x)gKY*K)vDE9_I4Os_bcm>|Lv*kf1nh!j2n)#s-n4m=7y=Kj2*BFsUH+jV| z`wIqEI^^BHL}1qW5}l_CP-X|;VQcpQx>SF$;X7Y>aV^TUE_<0lFvqLT$vTaz*gh>@ zI9;`Es6`y_>9u3bGpz&mlzWn=Ddkm9NVe1R>naSj{rs$5!s=8=Y}7gb5^VadtYU89 zX^6#$RvM;fKOJrS*`(Zf|Gnj#D?~rVQDz7;CeQ$ESeJt4Be&Ty*G*}BC9NCJ(~bCn z&lT@6lwpOfw=Wp|iDsl>M?8E~^a9SEAC~67wigFeZ()nNCKq*rWHXh1~cU$SM}Lits#;pdBi>u@Q(QJY@P~_elL#kbeo^BHJw|Vf(&S^G`DWJ zDO92Ow0RWEpVAEl&-i*P7tcflPi?NKer^=aZ_8}B5U7wPICmH0`D#sbho@U#|KR@J z1nt<}tg19-xbao1+Ik%ZaF`?}hgzZI%U)n zz5?RpTiF9ob4Gn@4PpO7MRu|6pGdD=^iHAAgEI?>hyMd}#z5jN_#B(FB{3ln+A6v# z+#rIf*{b0Hj^T7K#QkYJe} zHZT?8pkD)jVE_MD^6$5bHCJ7V4gDy!gRIIVM0kPB?MHK ze6QhQ3@=>YKm~3w6Zj#O1@H^u)0V%oX+WZ1gXc7L3pNCN^Iw$BpM_6#MRn?AuW=0d zuROM?7)81yc{ueDO zqfmqht5O3qay?}G!gh|XBba{A2t_qBXSmo>wM7I}lN=a)NMa?fn`nME)h|2VYxgIf z8ZI{Qk#^bcbfhYkXK?B+4mhOMXTzSe-ay4b{H+i;Yf8r3bKFM5FSxX(o{KN0)NCt< z=W`!2IZ7eI1as14jPqNwF=l7`ut|95@1hyaz{>Gq&WPrL!af-1=M-DZ*M(a}b6mrp zfPZONUR;3}s4W$onH|v|XO|TTVPZd&_2p{oRr}nXg&MRhA8awQB6HL!s>$`i|dhcaxH}Lx#r2pTy7=rqUzKnb^s?X%)1}{4~O<}AOdcd7!O#0g&*C);b+2W zvYD%v>LIGD1ZU2p`3|G+nN)@b=D%MrCLmhwe7WG)44k{mKFoNG&?noSd&n=&NbBPhclQbCp!3A zyMMZm3}{%(b4ZsvXEgrbFGR#tS_iP2~gNHum)O4Hpr4g({qhjRz9d zIIqF7S{%d1K^4!uOK*IiG|{anZPN4EM$x;j9FDQ?I#N_IYX4AfBTn4w#*g;e2Uni9 z!w1ymuA0eUWqA`}h$D;6q5gXa4Nvrwz#?04YW+{P0MKUH!pD2Y$HRq7#G(a{iSj>* zmMnctlqbgw9g7dv!_YWmahhzgAZ0%ka8bYOjd-Q-D6r)t_OK7ZctH|hsaQnn$v-0T z0}tXkkUz3Uvk8m8^kNX4Njq+Z%)iKF%Xh@>1I#cmCx6>4-Wt!`TX1hjV&L_I;XT)Q zvdq=asP)#%N!=TN^UT|cBYUf?2dd&K)oUS7a2a*^%(LsQuNP%pjBDOY^iq~MTYBT~ zB&Aaq23!CYOlMZZ&>82x8Du;yU6@$kw5|4f@WNwN`uoo{zN|f4!WXb>gN0kFzPq}* z8GUzX?Nz6Dvy&=$mURIu+;p|^1wn~@O1hzUC3bSdiT1yK`5WWyKU$|K3!Ns*UT{fy z4vJ%kJL3Oh6-U#o&j;TRy~CfJ{Rf)$2UwD(6$L_Fmy81|D_OhX@LhMcq0tqttUg2; z^vl*XHjZ>~|BjtnCn?Em#9jT3=2^3A*Av{Uc!6ZEo1XCm0qG0R5^#Y>45tL&t-bj% zN`H%S`zy|MZ=9#Ur*_lY>tO#du22>WAI=ONbsGZ-95v4Dw`@vp>b~kWynr2Vy>sCp z$*|Mi!%13ld|-N)Rn2=U1a(dXqXj$u?Zoh31Zb|8*Kx1$1!4P}?i4H|lGBVcC*<8< zvJUVY7$Rw`4AEdG1^z;h-55Vh(c-zKkQxOtE6*P9Gq5_7L^(BmCy&&Krno6}^;S`^ z@C$EcGm$$qU2}?^I8+ z`9Ng!J$)-YHnGPcc-YqGTRBT8*47UCqV3tBI3)RI{CYga?-j@HPP+JMEAdt)TEH0< z$0?m1`nvMg_1a`}*EPl{k$3c&;}DkBMA&=k>#-9KlF!IgUzr4cPhBgT zPX%w){7|M=3D}V?@o}K5eS@-F#j$X{=L-(1cW3+8n0bC{->H88O6rzp>KTS_Xel6F z{LXsTue(^FZD*rMAz;2I$Sr!odNrlEa8+#D4K8G z(8k@@emI~Z^7MYF{XxGNqsI+9ab}UX(uK;7n=yZVy<&I8{r6hY69Ln$A)bn8b~ zsw~5yamzJ2#|K2oqxKr;qgKqHB@4;wZS(fPYBK}~XVC{%081$MEv+~gWpmY6LpD91 zTTq=Ubn#NGEsPdOBAPM$5~IUWpOkDV&qCjn@KOd>@(=?bn?;!i9K?}cyXNYMZ(LvN zetVh?dx=g{$1XP1?c@p81%!j~9z>5b zGgX-jd+qjXr)VsA`dqrM03@tE-KKKm%&S#fTReLvMgX=NdyAMxnfIEE@gCPK#vD@% z-=&2C2NcPnj$iu-YN~sqU%DlsBF$yY{eFk9y=Kbdnkt zW)0VU`l7ad$THfv!|>pdB!;n_XkU1jS0T$)YtOon;S9z+$~>zYdSIO&qRxBvNaA?& z#L2OlcM1u->FYMdOIU#o3U9?ggRem7>@Zock5P-DWktMCl^RT|w1LKDDGX6dGB1>5 zN5e!|9Mh&6hdXw}G1?Zw^!zd|R0jbxJAie3X}h--Eg+b00sYo97 z&JXRHHb5{B6c}D?@{HlREdpIY+j+YT6%MS@^uk)NPUt)7;i(M6Lo{&Vnqhie)^KS` z-tS(U?e-u3T)%ZbmJ!h<4p||2;u$p*c~Y9s6>cJf@z6)2GEuQ(}fdZB5X4M;|YP{epXy{709fwI}Oa2)fzaVThuG&S_;LHQWssC`L z9@`M1j4LGPde6h3xBoTU`&Z)7-33oAUUFs8nnkM?|8!*W*6mA^pIo|T*`~w)MpLCh zCHM#U%A)_C>d~IN1R7*eHzz(v3JU%&5Vf#+QbfFy9`OoiDxT;06w1S)XEwGxgBT!J z8ocP6Q5WQk4s9a>u7e;)Bb5S`3j-^ldR(*d+#l91{xN;%;;%EFtJBJ>_9t5_Bdw3a zMFs!7yt?^*B7g1Gqmo!Nc7DC}!0$I5I5ecWhRHLQIb+sa3-@f8n*PLpambf2oEGpU z$Y5~fq)C=@c0T6S-v1otXB*L+ZI{;-H9n*JT3KlIMm7P$e2oBQFxNGk;zQhrzQOo` ziQVSwLq}DwV!7)gA6=gqGYnWhgc3D6Jb|6&D|3h!deP%A*gu5(at+*7aVOqvu;#9fa`}7>K)@uUrxiO(PECl)?C)q@tP!QQ%Q~Mjdf}V?y)T@fR zFL%D5c7ixr&TE9Fc5C+)XAaJMh|>&vba$;y7~_A|%gzU6)mm{ho>ab3$G6F6>+0B6 zRfbJ3JH~=IGPlxvZcVxUihLa0I9l|G5~4dsh^;t)rccVzBgwRk)x-T5qjmi_VoY!l zopA1mtEP5zHCov~Z&Elb@!SqF0B$h8lwyQYVE!CLJK?)3h9xvdeT|Y0a_g z(dOdbXWt&|CR(xl&c@ZOhcNfH^>vQ6zKXl+>MdCLL`P`$;Yd2*MWbaz#YG&%^YE&z5aAJj21sPgUzLdS9f38nPyo-*8~A<-#g7gF7CUA> zG!{I0c{6bgN)7Hl!Fqd^`bzJ<2SFIjLHuRx8QwU5pQ&o5kmoS1R25&vPniS4un}fa zx6eyD{fRJFX(~oCyLjd=W?{PLXyS>qMl^rCiJ(n!G0D?dwYj?X(KbZ$VaXT$xH0WiB*0cR*W9DvuQ-)Ep7YRPU~k#+vnf zyVc2S(4U8YU_`_HyaIJ2NSz6$dLUlaJ15IAdPa`GEn{h^U~@(dwH;QF{Na+Au_2a^IJZ=BKlG?z%k z^5_pxCKz%bS}FkS$YgOXPt8Q)pTlFeH&< zJ|f$UE4uC1`hDd+wdmk$ohZ{cGh0_bYp=kVQ*Wb3t+cYLRCgFNT%5FD2eUbrs~qOw z!x;;$lhSB+D7ga7qbl(up(0rf3lfDSJ8zdmIC$dBE|2A}IW0h7JAdxt#dDla{7-lQ;=^G+FIb_3Q1n3*j{@} zGGQ6siWd+=?hK|ePV1?}atp-2YEkz4){OWNfyla}anMSW`lGoi`dawzFiE3O&03tH ztJ=)D10R4C4f;-CW=zvu#?IE)d8R#mCDdCuQ>RJJLQ)Rxt3n{5RoQBepY<1zuE7Om03hf4Rq;=h`4jH&2u9`f;Qc>byW+vUP4 z4-fb35hwTz81n`61g)j@bIMFVp1&LFC3wagKO}VgOK?TkT{>zeaA_4|2zEz|duzg~lbc}h%gra@^#@nh+lxBv{NQ^^q7AEafe|Mu+ z3nv16e>Kv_-?tCW-GV{EhW+NrnpBNEK;(BxV_T4Zbb|@u*STh9+vmo}QJh-E&BhC| z+uv(4b~m01K&|CLb(nR&{ge3=hB$_%CQeE){Xb`s%;oYFJH{+;u&cs@-c_UpJw}cQ zv0R)90!rg5h91xD7wBfJql2qm+MD`1+q}uzN_l7eK{F|03KiOf2&L;bM!CDZn zRZU~ek_z9NTValP1(6smD6ZZXMwtq~r{Zn^X1+5}D7B0P^*E49Gu#++dPq3Vc2X8< z{R4}W6{!SqQyhKI+Jxpx%$AF=ZHiNnBP;Iha~*S7cDi|+JR*Giv%5tYvtv$krF5Ui zC5g?Pw_nqTn)`CMG5msjeqyxPh3ETM z{kxvh{wT~m{h#s)BdO7gfbhR!!J?Hw_+Pm=8jwHR0Qm#DoyFx$#^cI9i*2j+u<+YGutK4-0?gP00_oc_Woi&&$o@hoEeKNV6}`K zB(eXX>YsZ5P~Hcwd!+pNnj%?_ z1hdF8=&)p6bL9#s%YgnbO|bK>n_f(nE;A!*>&IkIS|}HSjIEFKF~Qu2c_8m+OxF+i z^ALy8#VzToV~_~YX6(qQFD3`%CjOYN8_$@;%PD4H3~!jIKD!NNgx*!xyiHKs?2UtS z=Kf$VN#u3l>!YS-8Xvyr7cE&AMHpEKGsXx;fjI zFyqv8|Mi}B!l>*QxA%i`KLYk5^bvXTayh7%syDp|g#U2W#p_abV{}^3E#EvmC$`=n2n}IdK$pDp4$AORz9=vr3`U zomb<(L2Sq$j|Re%L)v{1(26p`MK!Iyus1<5bM}A{o>*_3x)=EQxy2S%$DE~bR#<(& z9;yJzcQ%xgGwpT!5~>{x`Mv!;7xIB(8^9^)zAO>4Q!9EEZH9|q7L~~2jYM%s!Jx{D;;>UnYd%VMkANSO!2w^kNV3!G+44rlS z(*&*9c2z%P)7S8H6V)$#NH91d9ZEsTq;|<^r1eH#)t1Q=kD!PJFZ6Py%Z4%RfEjAz zvI9}R+29*MCx(ow?umu_DgVYs`arhAkfYMb13YpZW&iRWPL}D{(tsm%0^QP#Q87|Rr z`8yyw%%SNR+tyG!Wd~RS9VAk7DCIzaj7?Fh1b~?>-2%xZm zBm#q+LL6lz;TtUh8S33*Gt0bjGqZ-8qY$G8VDF53Q0GR?tIiho)btVi!NS1Kf;?v= zJD53wGPo%q$!fvi9dSz|z1SE35@ynVbp96qm-F|X|98&~rr!lmEn0PVQT*bqN0+Ty zy6NcR-z>;Hx_H&%L;rF9_JP3jDd@W2{qL@uv;3d`U*~T+{*&mXaTk|uMi*}uFGyLo zJ#%pysn z3AEhi%^=WAMc0fje4m8=fX^#^{rTC${%vpW?Ap_sxg0-5E@rOZFZt8{byqWDflyz$ zBAL5-s$xUlpI!GVpXJFUiSn@6i}~NBJH~x3{&|nryW0L{K)OT$2vB;EA=vt7eK41V zf1(WOa~(-%q%mwgFyPjL9R1caS0xF~Lb7fE!|#e4gRA7bIUpFB5)n#Zc|DqF`sHd6 z%Ym=UKh|K&M7(j}pcF?FARZXoq&(jE$1`T7H#V&80odED8eqV;Opz{oFz50XXbR|W zWw%r}n>s@@h>v9U)ckovUh|dJpTekDaGGt;>Z->*mC`5^kg?1Y)4EJ=IQK_o!bMm92c# zDUR`4neBHZ1|7c^gBBhT$0Y#!`cCaab4~h7xwm)9jCcN?9mrN+QQ8d`50rfzhu--J zS{{v3-Y#BY7hmPu$~wHO}`kF$#JvrJW6=pf;~DO~|8avf#P)M*Y>m|{cZ z!)p!{qq#t!&qgMPHHLA}Vul~z4#+pYKv*2rrcFudIZp?&va5L~=m>yi+78_U`5Wpi zS4(*b#G z^6z&Up!gPovMr_9mk>~cQ>c2g5gazNcizET0tpfTK}sS^X<$QAy+nqEpA+a6v&i}V zWMGT})dktK3O*m=w@_JxPv3L?4xFa=$ig;=3XRt2&j(29pxvWCt?o8g?8ip@387Hn z_JJD%D(LXUPiDeqgkJXmNNnvW{l10O&tH2A%eMU1?E(3@ooOr8z&|ggKl}w`h7ZKv zWwY_2vyUdiHkKO@3JT4&8((g!6YqmdW=b<17!&$p-LUJTo9E$YQy#ig%JZ8(bAip` z;ZPIAN7&fb5#9Nz4*b|$aeWFRHxkEAgTKM;nUll}Qzoaq`zlPIS&Q2bIUuMaKAA#+ zykNN5e7(2x=0?)fXb$XH6C6=sAtrv`(OI`A$xON#lpvjD9CcX6XWIFEn>|Yw<>__T zOZNlq+W^#h7%`Xg(_c>Mwz3L!fsj#xQXS2ezEBDb zWFYW-UfV8g*iZ6n<;g02%_G|&&ySNXEHoPh?~+x%YafU+>squScU|4=uo-XP`$e}c z&H7dFr2bH0=R@TcP_z6ma7ud4*9&gZzCvn}nfQ@5IIW}Z@mfG+8V;MJQCyB%AYi$$ z_9N!eb0r$Bk$@qpG#cNoXt1(K#iz>|0IEw?`x~$@GR53&0+7(0;g3{o#B#M4sRg0v z@M)q8_j-`8dl}NFD7;@{c_6xH(a|Hg(HnmcsD62nK*p8@HsD;Br4eOot_c%d*s)UF z7~?^@gU5ehtwtFsMb&#DFc^}O%vrkgLL0DF&E>JeKFe1^NLHjT_z-Dm>evLq7F zav&Y{n_@CB1I8fV$iNML-MIavw$yLE>h`Gg*fIFF9lDOJ&Z@tw!?TT*JhmFAiy-eD z%1J(-2HIT^e^Si3LFRgIehUD&`e`bf3gi$^gsDOR`g*0-3nL34=eh4$O}umyL8F2BWc*O zBcaE^0gw(U_#Jq=+E%OrCyfV?`MRP)@51RgV!|V=$F90g!T#_ov z*l1}AtE>YFgwPv54{e7m;-_|46H}U{%lm_j%Ud$`1Pc!m$G58T?8<}YEy2u5V*mMS z3yfWK&m%tX3fMYDX%%ODv24otMDw1mG2a(+kOnV#%3)XwnvQ~x@1c3YZMW)nOfRo^ zIAqwR_OHYXUSIcd+b;?XmB=?FcwD7IXp%^pFqjDh&H^-}cNeev0OrPy0nOp{ma1to zLSn^X4$@TnCOs8gVWXEqfLf&`HJ0zgR$&l zV9IIh_i$(=v=Joy%X92Z{W-{fIRL*^*w{3<-HhP$oge`BRS4Ej0>c7ccBtea-|GIE z6JGXLKv^Gkym3>C+t}NJna~kr3k3zqGcvt2vPIE9q0BZ{kS3{$iXXw4x(<(jc$^H5 zjI^^0&;f}71!Xwc=@}~03L9?j*QPY|RO|m{M)frST5JIW4kN4KJ?IiVUg7o+#Ex;Oa89UPF9Us-!~OK~x0}95 zLg3-|jSD`SG)}YU*k!hf`6S3wCi!v*-@+*vjMd9MH@ocpR8au-T>)Lq0gvI3nirn_ z0%OmJqfQ+laRv1m_QtD}XDf)~B9b|lY`;n?z;b`3f&|6_ETD0wsD|dO zp!i>@^vn(ij}~>=^&VZ7%Sau@3C3ZG0CKQZL9?WrabPsZsTL+0(&)`nV~t7sm~^y$%K8r$@# zWVXL~PzBDZW0?i@mkiu&N%Sy}Tl0p*B#o>HumCYHF)35`au7&0(~;pvKsy?fMjW6F zhcQO*+m_j;cI?>UqV6lVdJlqB96vU%>3E~>@rM#u1%iw$eQJRVIj@@*xOBQFX35|* zdD49F4T2%*ujeZ$!-&|$(ji;un60s2xg8RKz0F;p7!5z`wFJ00<5mfny)<9GI|P5gDh@gP2I40{nchD99 z%T7OfY&XoOR)n}({s$CD-_$#Sua!olj`mz2L+cFP4IbmQ4_hIU0Pj$xjR3Jc0tqR1 zKn-WVq>@8AKq0^Z9NJFX13#SO4v%XOPAk&z+j?~b!)tr^f&?5ivPduC!E)e(lRmv+ zsJ|~f(uWX$2b=^ieWzu@&(4IL8pSC>8fhWI00y^EQ^(*4Fq#@DM~|IFlt3j?ZB@b0HerlCkJPkuqnYdYS- zh>{;02onBZ`ri!%3I9L3_=!bPM`aIkLUq(UX_??#=I5=1SW_UGWMAS3`Ih7Jj9E z%HrOP<({T>E!2gfuur@UbEToqi-A^Rj32KH=8~>4#>A$&g(&k@h~2Pc#25#5SGXNu zC%36_XdW18t0CDXfk;u#%9iuT_YbVR<)J}$z<^tJOI210$}AH7`FY1L0okyUFb0o* zzrN~_o^*|!(t5S{F9T~hh;&Wco+g7@8ly!d&(!YW0p%!Rz7FWy=Jf}eGwR^wit3AO`a-8Z3eNetDhkv=&O0fCIWhCm#IL>@1b zqX&}%K$v;kCyOpdkIXAHrWlAYlK_&s6Jz}4JK^`;0$~CSk7Q4^bc>gcKvbj))SHRd za5{MKhgdnJkQqe*x6VDeNF`9L)d^Z5+3@r>1JNa0kr8yb1HJicGIK zYWKJ-!VHR`M>s=IWXvb048{=-NErq*5u>H+@+4eXF=m=W{F$liqLq?O&LIL|ebQGt zcqzUFvmUU?Fs4H7BRYx>mHITd-_gLAM5aP3fiaFu)O|h3m+o%%vWoBaeo#Om#!(c95~TdUGllrGpkX(#y+4cl6pId5LOG z#OQWBCya1i+=`JmsU9K+q9G%BqV8W}IE{ai-SRjJw;T+XlilLT!*&u@!9kBWeDNM_ zC$K7RG+dg2RW1c^n4b}+0t3q#FzR{mU_hov2RM$dPrmY&XFA#&^(o-PmHbO6ggcGR|TJ-Q3lEOo;?0klE*QMuz?pztJ1bbL%-BZ8UIb}F6@ zCa5UIzJ1{FuG;KKK|H12KsJnp!X5|&2DF9pZLn?$^)+UrG|EU64T&kc0^537K299dfJa z>v%Pr;M*(8>d!NpN>{c|WLG)>m7pbb!W$3al%m+`084yt{;UG2>1sAx!vV;b#IO-r zJ=p!@Jr;Yxu3SgQsIK8ucQ6V@G62SNb2|+ygX??-}<+~&RhsZ3F(s>~;6OpVv zqwbGvM?JsEVCZ&lqPQJjhy0L5uRo;6RF@Bov<{us3Yr4;&mKvlPB-!IVIfV&Khm}Y zPeZAZ^FWPmXEFvZ*=U7?;aSxb{L(c|(xT~~Pp?P zM$X8cvJO*2oevu^-GtT%>1x0*s4lG~h(NXMY*&7#2R3Xe=iA(2y^1@h3~7p(|)4 zg}WOeMfmjv+ZHWcmcEZn5q``W6_K1#GCL9udUJfG{Y_{Drs6*c-vt`bYxA24)vJg$ zGYz$;!TLZ}{C#F=f~@%S5NpT6|ImRZUM!t`v!Y^a<%te%bU1NzQB0!X{*5O#U>U0! zF7k*z<(DR~B&+p&!<+3CPFr9#3^AAchI7IG)c9~QtPMzqRL*nyx0%S#oG&$g4U)NN zIjE@zHcC55cht$XqNBWsVYMXwRH!IDYmLtS$Y`%AP;>0*s;c>InH&-lgRTJ z{q4z%piIu$b|LMKpyDP$aZ)L9aBk(#kU`&_?aMDp(RGVBEc&)UoN&wj`Ag{9nrbyL^Q--i+ZyYZTr_pOR zIbRCIgSj%Q>4!Y3aU!GF-rUcCwM%C8rm-^pd4J1LDz|zguBo~K+(R-UJ%tYZpxr5f zl?+7a_Kis*GOdX4hFJ%TV`_v(31?;jxcP`bCQ z@z*mu{16pEA}etEz469dw@Uc+yaJR$Rs*sK3|8GaZ&7R)5qoWzTS3tET^}Pr=Z)nQ zH;;&RLpU%N=!7eC+%0IL;ZA*59m@<#RqnTenttrq?qRwz?N;azUVyju0LD7=w3U5J z9Or^)lU&kd>$Uum4FG4^%o*5X17_o_ebTCtlqdCyG3cs=rB2A-R3ctTA;_U~hQ^mY}>7=|RaNtJ?wG0=&o zk6QIL)HaB$w8NkT-tc60Ssj1UqlJD2G`JA;r57ZkLO~H{O3~hP;Rs}x+VRZOJ}_QI zOsU58HMQU}w#jHn2BjN9;fGi5#)|9sRD*nMXjEBRW{hrAa_}6t*#jSPcrU`Y-%%5w zK#nLSS}^)L_+gsNIkc~=JS9s%w3jkygORFyx3aG}n|lPNIqSRS@r6YS1}QbB?IjGVLrpw;spEL~dqj4{e1kki&KY<1vo zmAeGX&(OvN#kWqk;3ts_v{`9%fHPiICrsf_{@6E4=+WXR3EvcX!s@jOVty-`3P@5&gS)kVEr82D7qBxgYuGz5law zxU%30Q2IU5yeNLrrp=HYwqpzUd0%^L>3*>CE`ImlR^AX?AUEOb%m2H1&<$N%yXe+IQqvCl@a!q z_(}sgUb-9qK|lgTpnAAf=)ZV{-2oN%JrZ6`x^&;JNMhxkr#Y!hJZ9O#z%m#&E!;Bn zSJ+8Bj0T9`!YiKVnyk6z{Z6wul86Kr(e@4A$jhrG_Y-fd{rD)lMCgs}-E}G;O~3|L z54&K5VH7`!^6rp$Ya!w6tqSInOYYT^&UjGcZJVLp=&l1;PT`d`AER081nFox=W#P} zSo|cF^<^;oW;Fn?$A~wFio0sVo*oDcyNM+42r{p)?ZS>zP&%Vo^`^m25)^=&Zh)~* z_ID=VW+Swob-CZ?KhpdJZS}`1`te*Qc4)49N>JZC-wRAZ0+5$vS!SVq~f78=)IqGyRe>`d0**!4-GY=BahNR;@Th?$7m(Z z(kPF%Qnbpal`g}>FtwVfg$I*%$6IPHCl2@8N8SV=jp5u^H5=&|7tO#^GUs5NlvH(< zQHNXiI^eJmS9D83>k5ymyBM=Lq znkm7uAa91Ahtf?JR$BLT3+~qOP@z9wO#rx=VXNMZYt)xuD8y|nXiGjUiwJ0X&Lw1S zs+06Xav#!uqA5kC{~F_se0sSPr3b=y-s!ai!Oxxr;DJER`fND36gV##rmtlKX*0T! zkEz4uu=wt<{B~B@0C~S4;Q=rnh_V=adDH*HZtmtg?f>AMc(>K zuBDFYD$}7gn6mS03kmxVxi)Z_DV^Ph znzV4&yAUi`2RnxPTG?6ReR(dB=z8@ir7S>pGS09@f9`Yp7yDtN&XN~(H3Cy&&?@I@ z>!nw8pYwLJ>sox{fmMp|I8cp-S&$9=BJ7Qav!p8=Ga3kxg!VM-1cw?9Jdi&yaTQD)`-cHALZ+zA@(91KW~yUk7FNx$ z;5GVJ?zU4$MAu==NTqUYtv6&H=5#s>I%EkXHJdptT@BP*;X=fE1^_3jX?LNfv4=g= z&*z6M7;fZjf$=6xqX7_)LQhN%^G~jms6-Gi*H5GS;_=f!Fysc=U>Ja9VEkR=8VBQFTpvk-Sm*HUk z=7xZu4V+Eaj^!|oiP;ilzrIF+!Ye)HU9CDxy4P6s!JMt%e*bec`*B>W)bEd``C@1X zf|+X-5Mq1S+r>~qc$n5AUPb{_)RFJhX~hm0$JajUdSf_i;DljrFpkCEh3AxqP9wh> zyIpdZHE&Ef)nB!rO6JhSv<}1)is#`+1&aSKHwU(7qsI%XK2vqp2=8JKVHM2jse%<9 z#49xt=}t2_EBCH+5Gf`}q_14?J6Ewu{1l7wxOz_`#?|$qiZp^o0&;qF?P(ZE=OU03 zk7Q3)RQ#oWcIiU>H*E8G&xKB3c@}cvE8+;&(tSzhd>cDnAX}l52L|3Q7|3%NI%^Kz z1s(7T@VM)1KA#vKZAFg{dfDbtlxpboCD0fnhML)$&6&u=h>%pHRkGbO({2v`A~;J! z2!!Gqylh|=>Trt3*?pTP;=MXJA%ua`Q|uA!9@K3yh{whFRNP-)lF<8C!^4 zNr!YrCEKt2)CpXpJ}Q=t=Zwjs_EHcsBSacC>uc&dH-&T$M4w@cup{3-n8uUgaBuGV zST~LPJklscZZlli|M+a~`c0pG)ZREn%2%@4oV`6YnVYKpa5gg#evy5qUH`K=b_K?m z0=)~zY-@b89i%2ep^tD8g=y%q;?|TVUa>NDxCzdaZdk!tM@8RsaG}YugBX_XDZ$gh za-1rHK8=0`mqp6=LUAnQUj&7uII@qy$^rv`u~AbyO&R^4dZye2p`@L~+ z1}JH!Xc~Sccp3uLxuY{da|XccCK{-74QeqOz#?1rAk7; zQYepXoEV0LOUB5qac_Pt2QW%-LY%b*e$Sdkam!)70lD+EuT{1fv7<4Yxtt*|3f=Zc zb1fT*T(bGj$pZa1)J)b7PZpGXD&hVy>}u)_xhKy^ufbDBw6~?e5nB>2bc0O8@MT(M1D)a65`srS{@QO_ly)rU!m zR@c|c*7~9E4VadBfFt9HWQz@<9?R6kDAwmNX)fgAIH9ihD0hhi%n>@f5C*LboA!jX zlH*;@DZgw`B73xWXUE&$MYuuln??*cS^QWPn|F>-eJVvuN9h<+I z9hM>ik5Slp^StIXm?2MuiJ9R$A-5B`^WmcJ!hZ{)o=>Sz&!^r^vCjB|$(u-P3MC%> z125(m?Za~Zrc6t!Mx9&dB5AbQJSyAs??1SX&i(bBscXZV&YH8DGb@KL<>p%**(igcJ45|vV$q=aJ zTP_oMVD3=qt6Vro0}*QSEz39)=E@Ta5Sj6Vi8!88tQP1dU?L3z?)KJOQop)tJrcat z{(dSC9GvZ*(97`TUBk0rxBv*6g8L^t3J#GuRJ&ebmoCobxKv}eWRY|l1FW4XUUmj@ zcB=k`d@v%0-tLRC07I)f9=O7)iU)rnS!fJsv}kMv4U7p2g&oUzm|Yv!9y0i2M$}|( zJ5_;+#{NLK<~{IAB9h1WBL?Jj`M~=OW(&d42>W(JG!UGr4^EUmcfvxUemI+6V5q78 zUUGyz#h0~!%%kHs`HK1Z2BgFv!z?25daC2>WUhceu1qNJ_;65Jrl>4_d}1dCw zU1<#;J*BQA%EtmZ5JsoCk!HoaUnN1GB9nxX-B@>3i*-nuES~^fzyhioaT?JFN4GI6ldjUd9ff!EOF!7Q>zMt3kG5cM1eVzv#4LAfrm;Zj(l0G|G98>XUaDG;!JP z<0$|jk}VE}^n5EAJ^Po!K~)mjT4Buu%U$npwuqW4c%38;d`}2YOI2Fw$K@`9iSV`{ zU*kAPN3B5+i z>~riYpp4cQ(*vb# z<+Xdn6JW^N0ple^H+=uYF1WNrs&v$~(?WxyM3^D7Tpu<S5syk<2LHN=}{TaqMIx_EMf#pxqQ2X5zyY1ksbykoxSo9-Aq|uKZ^4dDm zz~9%K*Kcvb#0n;BJVWo79A&GRE*+R6GH$QK13>U24Nhm9+M9(E9rY@Y0@UeB{oWqr%!D3G zgZ?F7>@jn}o!YwfHE~G0z0_1*C7S3le!baZ5OjF#qz@YYI_jCwk?M^}u~`2(8E6Gx z=~`I$E1&myz>N8Yd9!|qZ~hQd5p3@WtjA3&X`!ZaI*iyId_n+mX=&RM?>JNRm-+vkn8x6&exy$45sF_xM7a21dC$z8x%{r+RQ-jDM(J|JG2hlGEvTcO)9(V%lFWdHXmiW*5c2abrgT0E1*T(l z6(9ex1ZQp0c4XJK;BNj!>gYYdRu$hEyM(2m%xU zOB3V|dc4cwY_!uSU-Wz`tOa`=_%JzOb{9gmBQUSc#oibKd0nyqz-4&e_oI0Bw7#~$ z%NcLe@2?ocXwYE}J##~zt>EGSy57WFbr*1_Q7YnA**wu4@`l2!z=%6g36Y;}A4#u*# zt2SDPH1!GuBXUQp;v9)k?MW%+3!Pos$0djH=gS)n0kWCXKY61C+WPgi@eZU`Jyp|; z50e=H7nOvg76_L!PxoN`B8@;~><92AcwwOHLom$4;ef+YPD&#N%<%bFXV~g|A@PT< z&^9od)vO0)o(7?=k&7Zgh{+<-xLO#teDwIdG&ZE)Yt2+9J0_-D{+OKsX;>|p>ct18 z;YOq%V7!&ay*-ydS3KrR-$H8M9n5ZCIgk*)zEdk$d6_UhE;B~S-hlpNc)31sQV=FPY3?L)c9L0{D2Vs%#wth6dO6yBsoxH{p{`cD zde}rC(w-Y9a4hE%o>kzmSKTNfA3xF6=55r~()As$?crVXE&@+&)*cm5brMzbMI%D# zB2ky}7Qwi;e&D0ij)AhZnKQZn{b_7rkXbhH(NOQd1sRr%4naG3%d*WjB9&^LUC85^ zLszbTK<>@xJlcn4?`iZ@lM^U7Cg_!hYU#8r9L3AqY3)xWZG@GpmM&yYbaBZ8Mc4<* z!ldS-7FWv^?IiiNWTYOsU3adSNq5MyxiB2W88fnMG1(2Ev9Z1gB&eIhv%3wl`6|^D zA}6~@aElC@dY>9$DEuPPWBjZ<({;EgaTG;ac7YT&;e}6ddQTv2Bz?kV{7j4r+Y)@` zb!QE&w#UUguvZ#(?H;n95Tde$Q?wax%iE;>bIU2|f*GBM1ofST7&P5ncQ^1;ycv!r8{-&_KJ1zw% zV9mU_9bqTufA(+3*X@Z%hxMbsCMC!6%;dbMSJfmwP>Xg4at3SG<=~md=0asp{W%JlHE*2UE+o=`fb}}L#|mwd3z-E{ z%mWm!oU+t205=3OZhQaWGJKMd*gq!|OEFISBCK2pBh{=E5}BTD z4~j@uF96F;(gk}M^lTEwA?c-v=B%f+g3K(cUgzQ!_D3+8P3YpTEr816F*ET@lfK-} zG5R7rraPY$V40_Ysl`KT?9f7e_e02FEpRBvw~4Hwj+R>udAmiU->DN5944Nc$W%WO zoEyM3-bfX4%lzLc;*C%nHz(ZrWHL_l=O%2wc)O~KH8Lb!=s?gbjRn|#4=P{y5QpmE zg(t5yd`6g;HvklKq0!h)H6CQiIg}n`aml+3#!n%-I4N6%`G^rKH5P|1mNEDsyal2? z;Z+ie{oY6jg=W1D83m6w9DIn9g~<&2b_l5%-@}JH5U|z;F%)q{V|h2lF2DLb3L{k_*huh&0AILIb-)fM1r7DE%d>_lnz7NDnzxL zVeAInVx{{~Nb8LRpUmwg-Sz$e&=#u)=|PAPu08h0yU%(_$ z5kLa3MW)I9kA~Fe)d+cu*w1QDH@F^HuidF)AF}O_ z?gP?w_aJ+s#~W)k`-z-m(~?!FL-@CZVqp&s_UuKSt^GFy$}iXT*6c_K9QrdDR3Lts z#u^Q%m3yE##t}FS#gu3^f7s>0>dyAi$?}1&w-fwP+(zpk=pQ*Ae)9GVmWgFcHy2}4 zd*{eSm5IzQbP3KOiqniHR$ zH+y#UtT`_MjSM;iFB4&#=fw*^IVzpEX(DXzs~{bX&$=-`)eg8&l03)1ZX@a-E-ufzvwddk|?7zu#XI;V9r?}8G&N-DwGMvFMDTd_WFez z^xG`Kj=E&&5=PR{da6WlcwTVhpzqPk4>-Y*ONpm_y|LWMPo&GwyXoi}SR&W78u@Kn z)!v(+Lz}Ie0!O0b$@ih7nc|WidDVW@++_;uVg)kmKDxW2`LXl$8>_GG15iW|LTV60 zSn%4kf4Loz&R6JO28U=|FcZr#ZJJ@WpFyu;>7Eazk#seY_ug7*ruIK3w6Y~WTCp#R za1VLq3v2_a)0VNib0PQEJLlfKbGmv+3^>v&X^2I6(RjV8@ zncB0LiFDV5rmC9#UEuxr>h+u87Fx=r#Wm-87yf!qaD9beh6oP_}ur84IL+n9{y$5WtSTjT1@%>wuwSSaGNQ1{I{a+bv;DwrBhEZNa?|6U9wS*Z^ zU{F<^oLD?GC(O#6QF!OxpScYf&Iik3R|+ zYKI}PM|0d~yHQYUeLMmtZOGlJ5jgbAiCZvDt*lya1m9GK-P0c>YBlN&{U`@eRgK8o zch1Bo+fej6=;6NE48VX?Dn_jze>aXz&>`cp9`l z0_FsQa}_ut3vX>TfjhI+cCK<7jo@hT+-X_F6t5g-{NU6tH`Mmw%w6^tO&t{z+r@)v z%ft?qdJel7qZz;}=!>zD>Of9^^9IUX8#K7%PO`IcrW23=9E~qVVVvr7Sd8eB)^1B? z@|Z7Dk(h!pim_bjZT_KhfVGE24?b+**ryseg&}adB|`EcgzX15I;9B-VZ75{RojJ$ zI_evh5O(kBl&)w^w8*VP4H9t2OClif>@w8UjuK4Eu|iX{9fl0(bM(n6jMhG()B9q| z7+|##54+=!*+qA1Ef~z1z)}C?`PGKcXG0`P0!V-{z&LAF$q67sF@-x2f>vQ?0RS?D zg8NCMJ>S|x*++Ouzw z1W|gZHztGOgVF7J+siBjV~#kizitF!jam47 zisu3Uk==)22US`sA+4!LZ+5*+9&m{pIl)Q*E|FFbEX?k9Q&gJe6wdq=*_I4qlypIR zS1~{wh`dHqJ!>~!*;WSh4r9&bMk9N8^fiT5NZAyj1z{Z9;l{>OR3 zXVg65P552)kCXnZz#E%4`@LB!q3=KL(!8j7ug!^TnD^SOcO~70X* zRDQMo&M_{QG6t>ri458NUj&$SA6;4@#aLi9@`BQQ0vKZs{jf2CBVUMuKjM(%)8$|* z`f$@HD>YTiw?ToWPH#d=WKlF1aS(i`t>k`KG2u~>EOc}gKY+H3&dH}(&Xap3Q{Zm# zOhATYwR8l}kzwi1soq#TyF=hsLOj{ReX6N(-s+76CzH<<`A|ckyFgYMHJtk%J8<#I z0Gc3wo5;Y$lb!bj1$rW&BojDyOn$y>bfjkMwK&e3K;HZsB3idSz8F z%r-@=RnL7<|Bae##d?>N0`;nPC#6~Kr-sp74$OAJ@lXy{kyic4ic@2Qx*%@9RBCbg zqQ|J!i2rpw~N|zmlj0S}aF*=JN z!$BO_K_~X(Wgz+W6HF$xDNGCaDd0WrU7~Vdf-pFI;PH$SU%9BL{WNk$`cZ8>=(Rgo zSf2Fu{gX7r)&LH6TozG+8uYc6(==NxqDrZ7Ua;aiX47Jr*3gxv05{K$s{ zvcJTc&67o))5ZiQ@A>dV7IBhOKAG5ut}Fy$+oJ;aN~*@bv_qcZ8hJ`LX&8u-9P3Sh}GANPQB70nDVC;5^~uvi3L%9Ju3&K8i~$o7R$HKi_u zk5CJ+McGC1K0?cg8hyDi54v%tQ1)FLZkuA<)L-U6` z5ytRr1~9}xFDor;DRL=LocTnpz0gR6K$g$4@=O6H^raTGto9L`3$I)otd4^$Bi$vh z<^?OM0ujnQZw_u}Vob2j5~hXo74HJ|<*lvYb;{^PWgBi6>MGz-*DejLu$^1Jr zux}6@V&>i*6n+s(;F%(QOuwN9A`PpBVEsuba}^F@%tCy)Q7ZC4?tlfBC=*5l^VAG9 zvOwX|oilB(G`zGMm^x$IJ>*t4^cf)vKhAuZdG~}!r_%IB?cg%Yu>-m(?VRnt5dXZ$ z(+%paQG4-+8VcA)NohpJj3lBUWIU_3{R$^sf}Lr;AxK>EMn-uBz0n4QxMj^rL^B3c z$DKfadN^d9QHO?sGShUIt*MGHVcP5s!Aht4LK(`~6*%Yw?q0TlFAkal2Ue}`skvUJ zKLfG5^HzJVo)3y4Mv5T?m}{>C`KNAT*A_TIwURV@^V7}X)xIny0W-6JZ3SO?A$z2b zk#X;Y$^&+SM~%HL<`4uKj8;L1|E6@L7_B#06Jc>10^Y1Tgpbq`>X8$j9=<~Ahu+3l&g_J=Gqzqtn zm&~~Vew?uD94{80^aiw2B!%zP$zXlIwB*wmo^cnYJbU5PAKdw=abO?Gm`fQzHeHqeyhE?ke?d_IJHgPF6*zba+(tQT zr6>EN+2$@uw6eSQ(Zj2~t)44B`GS+ug>3XJG&-J@KfrQ3zzu^oe+ZO=B{RIQU;zgp zeH)n)+y>4zi>{-Vswn_Kuxvr7_*a3g!B6;$NYFFmQOOwFl@TOlUF9PAqOuh9DSI`u2kpBnx^B!H%JSs<{wM;7aHF zY&CIibn*lysyl}`A(6xYLWx@|gT@LN4G&|vA$f#i!pxp{epa1rLD2LeZ%NA~v8euOgkVnc}Z`Ie8aEkg* zepP>-NpmUx6Ui-|fu4o%R;V6MX82$yqB76}n{|-sPvOEK^Hx=yUqq%sEsi&a!DvJ~ z)NYtCcUwJo&OE~p3|=MDCs1Jzhju@ZTN|GY%Xn*FU7gtjGNGcoSG1jWs%`JMaZfX5 zp~Lc)J5tLbG@aduF$0eXm+ajy}_;8Ma?*8j29-!?m)rM=irRu)PY`#`1ymV(3qUYAM|7@cUw zowFFMX)M`dmC-Lbcdg^1(7nA}63K%~G}y+O2{fBOcBZS1I8Xwd2*QLeGokiMIFe7G z#6k7weyW1UuZ7&FO#<>dipd2gZ>!fu3^~%u9jpXCQaQm z;~J6ORuyMG)qfk3EF+gO;2%VYJzYRYv>G*h&+wINJb-cf;VFiy9Y2AW9Z`jrk^t`4T=&s-zfhi03kF@NL zi(Q^ul1L7d_GTcLMZOCrBVF&^KX7t;qdLj9$E6VIalv^0q1^+1LnyGEyAlZIsYavFaCh3oo#~ARLYM@u&vompkN(^8to!NXswczt-?&G( zkKx~Z|8`m2qwo9uyEdCWy|&l3d0N0>|7}8jiXf?WOE;q{%qt zdGH5kB}2BDeF@JQIp)5Hx_cx_MJc)-&sdkzMLMzvd5|j7DV~T#$1;p(%O7D`>{AYN zyVHf`nQyNBuSyS}&tb#q=}@Ea{w+_N>hC8RSBbny+rXoc@n>QcPu0+8vA{t>q(}nf zj>3MmQsvcR9NS34=_IJ9zgwnqPAUK1%}BrteTBG> zWjMQBf#-2U$S2N9kNUzCErZMp-5&Ydw8;37V=PoO{Pu6&HC>}Pi#+rmmdjB}V-!;Z z6Dc&CdzO+x93iA{IeCoq*=YHvj(bwoNJxFb`(7UJ3su{zlhAA2Y=W-<7cl3Tl#*}Zp7Yz^zdC2{ z{H+)9u-9fCD4mxsA;LbG7as@U4Rct^cv!Ol1ht>AnwyqhSpJJjb_|aZ+!i(vueupi zk@U_w>z3)bEy31}WaVjJJlVdpY3m{a0Cv}B@Ba9ey+^VVBH2gNFXHKo@S7Vk=Xjvv zMRrv(Gsr)m=u5%SN09rwxsQbD${En!pes4Gf0 z7(2N3>dmp?O0ItmqqT4Gv5OSq;jhAq8M8bVdVde{m7VhH5ujzL{jpswe%XpF=_JeGmaz1`n47OSW*A-@z#5I&U#IXOA_H$dgZa?M27h7=HvEp>B81& zNxRPqt&N^LkQ=`xSMEn+zAJ$Rx0)A95bh2K}@n3g-CiTOy23I^JeWi+9E-55P#w%r4K3Ir|* z-imF9pArR^#V!7oW2%z@)`#)hmzRAk0?^TT|6*jTJO-LE3f}XoMYSo7#$o)((8qtj zUH$im!__G|TNT-#Q3EhT-zvIYEm`6@4qfu*gusz(EZ-qsCl9?XxC|!pyjvtI-|sy*u{ zQ&VsCpet_*Dg2ttRd*qW%!cw=?L`Z!lSe>e-gy6vt;*94rG(LtPLf2WL$zYw$s7S)V2)I9teaIQU6LDUa`XJjij!31+u*WKO$bg4IQ}xW89T)z)dw_d5Q!& zy2_&V-DxlPiAinXP;Fe_?8ZqwUoHQm+S{}S##T$21rO{YM&C+$L7+g79mX?o#ss<5 zyNUz-w$l)h$!rR$dge}%9bck5@Sfm*UU=b8W{1HwOGSCiJu&7FlITvRg zAI1_y$~2*}`_4IW?ItZW+ouovRysqsjC>)M3jHw+6w#Okb-KGaM&UL$S`XhR+9X;{ z8cZ=29w1)OZ5Mpk(50#=rvll4xrax+>H&_@T(VvOR?11*IBo6Zo7D zCyNH=xe`6~^;~wb`l(vuTaE!u1{oY_7WrRNk$#f(P$y_0lYfi4{6~m(-zu({WFda> zS~}7j13OaxvTQY8;F_#XF2g;>zy1pDs9vhr*H}o3TAqDBa_aKPN4Q@90jB_bjh+gC z=71Ez{^91= zHb4zOZd0b}2!0@6f1fi&vKonOMWwFc8$cQntW-di8hxwC9>cjYiu_T%ug7d?HZ&S) z_xTyw*LQx|`!?8%ACx+EhU@0X9TzDBP1Jx;&&N+A_gp?i|2on&Oco3_VY!JaGrg1! zmC;GfuP}rUN|9CywSr~25}j>n)Z8vg;WQEXgA-WFr0mNQGK3$5jU>$s&x1JR$5`|N zZNqpFDDl7z(dx>#j9jsrL;W$(MnS9U!CYG38OzZ3L~ z`3&eY{)K=K*U?o%=dlD;Du-1wOMTnoH>;#`jmH(A7VMX8aBXHwW@`gX=&800`BxAy zEIpT7z0r^5r$OTxGVNWN#w;LMUp*b(!^>_;LUtr+FLPOjb9rm;9Te>ytz`mP*n^EU z;c!KP8s}rs+3PJcEh7>yLn}IqdwPp+C2lD*oSzi0!-R4`p4i|yAessZLw)@eYA{78 zl2V96p|%ekpln8ES+Wg$XpaCEW9#o8P9u-fp4aDTE)C$h)$Xx!_{_{`=rbtV^#}!> zV#Wx`(7)J4**r&EBi`mZp^*eEx&#%!zJzm%V72>YOF3|@SZ*j+)q2vQ%~*I_{K`XI z{|t(D9jY`gd^3m3d0n*S6WY-U13d;{4y&d~QGmODjqJ8qgB^Y3L4PZ)^fY{8wfgab z9f?hkfShSHzvjHYkKx|;Az;xL<{*7@WtUT{*nbOd)`1!LVZb+^w! z9zD9nx0+)v6Mg=rIQ}7SR;mgElZpYdRKH>4p@B25P0#y>104~Mi( z-vDIYShoS``WbMAg@)eQPdG*XmqkQBS&&lvG+GGK*TG5#EFT-}4X6lRZEzn~N!+Cw z(wJ72A3t((82N01WYjwv!~~CLtjn}tbtv_Ncu9*T-3`RF zWKqLb7dVWf!KPcCh>&>AJ~Q>^j3cO?f80Q1K?j**^`i{*WgB)hStMu(b)`$9eP03h zaosTY)Lphy1#lY< zhVS&;0HI@G`JcPM_2`54n{PnqQ0%<@aNBJBIUjA#5;*KRcBf9QpZ)79z=yTJBlv9} zX{JTk<3QV%ranI8Tq~Z)Z|w4J4Fk_TEq1(Se@>UgzMu548C40c(X)|K~J$v;_|8hu3T9^!f|-t4~WF zNn=qLnsw5@inNUQ`<7hwuU0;i%(WWpKVZscGhzK(F=|$Sg&ho**5^Jl3N8Wbg>`Sk zXkAXWaG;ARUqJ)HSem_LLUN}UV^FMFiBBK_2zGrx}~cdrm1#}Aeme;MloE2nWFI|O;_g9Ct^)i&ad z_`y4C?pJb0hdJ%iRYVd>W;35cQhR{`AN#(ttXE&ed1Tz_HNM8+QsIr%6 z8WS|yOQK3!h@$p<~`uT?y*b!0FW&$_UF^CC$q_5h< zA`7-`kwx3plV@TJC(C_}Ktb4wuCl8|pU3XGdtUz5uYbo`$R9kO7~rHx~BLRQX7~vZwKD6E7QQ zCBun2Ti>QD>~AizXL?sDkZG$h!y+=Ae=CW|7d32iW6IP43(?ZjQ?;R=V+1((uyh?y zJZ28rp@d&$zZPWE7Wx3m)`QVY8MYB2fqV}<4*6|G@3pr5Gr_H<<M)0z_o6qr{4ZlH+)3R7-mT7pg6>IwdW1niy z&y5E?L%sxnLm11~B!Sutz5pmr(Jq*RA2;5&pGE~2p`y<0NG$QKwyePqmp8xSb96Kk zi56J|3#t3zr4v2;-2>^bDsj&U{6QL|9z{fcJIoL8O6?_Lm}D`F>n}0zAjs45y9zGf4@>BXu4UM zgsvn-I18CZ7NsH9D+a^_adk3_=)tnVe=3bu6RP$bO^2H|Z%e}R;ovn}*VPVuQe%}k z5vg)xlw`U&o5;6WPqxWM83)=B*%$Re`T{mRsC91u#0)_3|($)cPHP|QkO4_~P)1TGr@ z7Q>J0$REi^;EpP&{W zPdCk7SuPT_tu^nzG+H|jFX}GRJ|tfd^vg5#1 zn|(_*fjh`D;s~H@q2J_Inc0CK{#E%v0M5D524{DRxEwwa!0YkdNJeuzMxmRv@#}tu zFZY6^uXW4B5$t`0Lf19k;1WA{fK91R#&zzuh=NQ@pcBEVCq`eDnAp+y95&HKXtBd3 zth<|}&W9icoFWfDAsK^cNFL>A1;E-z&Fg1On#K}KHo|W&!WcA$+q;5HRA?Kf%-Nq` zi}i>;eel%CSF$U|q9!=KF?J!I@7gMj;_v{+H__JeN;=|Qq40e8!^v9#Sis{(?||9K@lo1eekg z-ztalbdouPO)vZN#XkISww%lk;+SIEK6B^%1NCxk%|--1=@v1%(na`h#b5U>_E1+f z{QzPF6i1Kwl&JZ3+kjovx6B$uGz01B?9RT0giX&kdSzIabIK}A;aw$)0Fal~Xl!TS z3^Bm|vI)j>zrl)Tp?f`Z#Q3sSlpTkJCVP;sOe+Q1lZA{xYn^d=WgSy6j9SR?QU(8DWfNl zqvr>~@Uo~AinPCEf9dXBmLzKW8FQ-XXLer`QTU<#b5vA!)4KJpK%`7ZjXI6zcUiXP zahGRAx{OnV6*#)dJT{_k&?0XUMZgVq*aXdB0qtEC{Aaz%lOK%==l>CWa0a`=Wdzz+R-^%!(z6Dy*qF9f;mU#XZ$Pp$c4jP3moR^ek#J{?EWidQh1e8-@uIw zg50b*>#Sf&Ua;#Ohv`VFuYkH}A51Kr*{) zyArz6b2={Iy81d@k38JFC~#!coD%_%y$)T%3WG4YDzsSWxXiRn38LQ0>nC9Q82dNh zxMY@=l$LB-FE@~xxc~zS-(VMKL;uGWhc3S2Xw5xKKX1s zM{vhBhsSgsLC6wHj!74(d%1zp?ZS|)tb+G>98ZBoq-`nE<=2MMK< zGw7mcR_${Je-X%U!4H*8p0H>7ULrOh3glOBdVuG(;khJyhjx1ldC1@P0WPYmM}juI z@YMaYr&@rjL3hZ;tmJ<{7xP~c?sRBwDjR<@-P zU9dEb$zh_h4c6Pc^POA!m-C9+K41;^FSB}D`yNQ6`gxW&0|ov#_19C_5q7X?n^qcy zqxS;^Rj)!z6>AF1W^8?D3Ml_3&BOO=7ce}7>%k#)$|rP#b>bP2}=jHD374JEQdUA^9w zBvdtrlf!|7)be4yYZTQan_B@sb|@3zW36ra+JGQQ*WK8k1-&dR#cl1yQk;76FdAd| zG+8fbYjMp=$N-qk-(rW1aMXU z9;6C4dRIZ}1)eJUFn+j`jnT%MzE&%3clhUOH-gWOm3|Efm)#iakJv-8XHt6WBs;f7 z{8jV1WG*EzZZ9|R{cYyX+tta*KrmjS?V5NSJ7geeib|(E8bg4B$>3f|F~ekGD?Yhs&DK!l18j6=RslpOXH{MB2a>4T-WS6D@O~ z(4}-qqEM?gz%c+BXu%wuoN=ZhPvOXIdma zM*-HV_wndFV^u0%yKl;udG39^>U}G%so{6D`coACYT^mT>S-Lvm`PN5R}RqODc8uN zr|3g`d%JE*ByMS52M~5tw;2}EIQR|u|9^+S%&MamBj>fi76-0?pk8O}9~j?}are^A zZb^G814zCmcGyErcC z64%7`|Hku1t1F=f1Hf{EdcQf_cn;3J!f(CegBfI= z7pEbDdd5w1()!U~);9cR3$xt&QkUo8l<;eFcSm7Ds3}3K>Jjeg!kYXq>4pvUk1Fc~ zp&few``7Ushi7ttUSiIso_(J#muG;T(?o_Wc&_kkj}A@*=2MLyyC$^FCw5sV#8fA^ z(peqyDCWfG9+3FkUU7~{Vwoc8$kD`+cWD&58l%~Vo(`#yDU6jx2S667A&@e+q&1PW z3Uf$E0Dkm8l0t(9JA9~}VKB7qNfteLRTy;flb-kr04xUo%ZD>oY#NM77qWRVYj(U9cL%kaKswT!@<2N%p7k;>u z@RSFsBjg}n3B)gb4Y#1_W4m(K7=8qFTV5inhvr;>kf{vVVa5ze+_ZO$y17;YIe%2q z{2pqb(KJXEegpq|@p@^=H{ke%K_BPN6xZoznIO$zZ1Zph$;ehcm{s7oDJz9hQF>pBQTE!9?{J%t2_ZxRLAW zivUo_!7oRlly{iO3T`RCyN!_Ll&e5wSkt$R7~z4O>$FPpM4AQ<@j8(|{<7YLW%B@7 zWWO?Id~)a97+xTsZuq*Cq4BL~)!yNM*ZMBmR^tnIDA!Na zmq1RFM>`U~#|zrb!o(CZ$L_IR86&}>d`JaHF%ZWyc$okkzMH(oqW0@7%3UhBMGV&@ zdk_@fR}oT$LOM_yl?;hPV_l&I*7D4I8`RJz!Lkh3woGWNl6e*y^-}gX%oV{Uw(Ank zH1d5=V?^h0LQP-G-TKCHONQ`kScCa>Y&zWQqzS4jH`Ig5qL|P$dW1Sj4G+@$%`Boo zRaP&gsRtk?`*7zcoJ#wZ*5^dG-f!LBj#iGX_pk$s4nc0Ouh%M(NyinN0k#@l2q@`* z8y-gL3O&{D_z11*bS}qpMZA^-@w!uR9@N%lpu4y>f} zNs!ytG+%oB`rlr@`qthFIl6T*eWz2qv$1PCzHK4Gm~#7aQbZZiM)UDEoEh_tgPTB1 zpt1@4OThuEBNd{`?IVqztn}GF^RIuP95jzso3R{8@HqK!u;T(JSh2*DE?ok_gR@{Y zVr>wrP6*qP-W6RsuQwLpV+(_%Es*(@^-oxKM{Vu4N8|^1j@KWVI2{FZFLFJga~8|T z&YZznZ$5pCe$~6&?Kvq~$YHz$y*G}D16a=JrtzY?$wO`Xrm47q^K~NkwYAdz2Pb$} zK^mq!g1Bf@d(ZmqG#F1ELDVIpilB+mEuaRBJ(9Ni?^K=o%dx%W{^u2-V6?FJ`vhlG z%InU}KgTC=;6VdfgPD*>xqu`GLWx@%wo`pba?<0{+AyJPkzBVd zz=}&Ud_995+)=JSC(^GHCng!c;S{LrRc^}nzoBs_$zXN-kB9o^%VxRvUR>7v+1ha-v#J?-fPJwUB7iGb#Se2dB;5!l z8BaJk_yTnMs17&#W!>pwu>9A?Pk|eyXw>3v6PgBLv>V}4>9~-d7^!kk`eVJ!=bPr@ zDeI7RbjB`?JZ(XI%S2tR;HDp7k3oC_s{XA7?f2zVV6}lh14!5Y47XE$&I#-RgX$zj z31vTiVAb~tBc;F+dDedUT5mOd0=s{rggs+C`xQ7w3+gYo9xHAxqMedPgox9+GqO{B z32;oAIDylME&UyJ+CbhjjEMqxH_< zr$?yVvJ`j)8snLzafvnYp{h7tA>;|lxK=16?VJ{Xw?g~)&EXJHO|o(c>tbn5(I!nQqV2OOwjJKl)Y zk^rd4#Ru#7u8@;`ms!x4$1zrtB$F%C)Va0ZXe&HNV7NU=FM`Hicj%veM#q1=*4O_d ze{kV{U+bR((`GHt3X7Wm+MYT8Hs_73utoEtF3ovo-pUu^{`?Q%!E741Q0K!Yaq*{D z`-*Mr{y*{u_zO$kqxOujWn1QN`VqGYKANzUiPEs~nP)se zd&x_Su)>uc8jLP`e!qd))nwz{q{Sjxy}t?euS$TP(luOpto`O*vXw3i!*${!qO=9G zZwq8Bu>L8K^K{O4>#&Sh4H?}X7rD@3y0k$GW4XJ~+NP@d{R)_X99ykGO)Gfql4z%@ zS1KcwkVs4V4W8?GVx+3ChkAB4S7eKeyP%Aa0Wt{G2QT{g1QPCyy9v`@-GR zHZ{#@sy|0STgF0;D3G(Y>k}o$?8E4kYt|hPta4~?$yT{Nh_^TVhw`Ff=^_}9`kY-O zy$hjUbiM)!hvHMagZ?I#%j~%B=bs8{>*`QCoUYrd5hV8gD*31O=tlaeL4fS+s&|zstU&{qbonFfDPA!%#ULBvRh$ zfDCPK%-C@|1-goazW%ml{Ix~GA9Od3TxvaLeYKy4;-cul0lw|rdFavg000U%NJ>-#2m!r_Cq~9p&Kt&w)+pVWuo9I58!U$VIvS z8yCMc?CUm?%(o`5h)huir!A2C7_7WS_lwOQ{&|Fi-us0#MhMI8yankt^U&ngXZ%B! zF=(<$b_P2*@W+l$-)L5odAa%J|O&X{EcJz-pSU_v` zxG^sL+0EO1w}zRnn*U%=PzGGan0J}WxC8OUiCYH2fmoJbSh)3qDg&-p0;X1Q!J zuTd~UcS9GU&1ac`N}}35ZVJkkbGvH41rDa9skT3R%z8pM^$;s zBT+>w0N-<5`cp|H+1h$bbiB|)4(VTTVgt4*I57Ecw%)q%r!C+mDkiW4ojumy z6!RdymZZZD;1D4(7J8&h(~5*#JCF$^i&L$ZTdYYxIvG(na7e(C5NXK_Ewm(4tW*afC=lW($N)k{T7b0Q zj^~``JkR^z>sq>WEkMNZz3;vEXI~efdieQWX~DeeyiQqY;27W}MO3X}d5kRV)TVTc z>el?f1pQ+~C#g)>O4IU5ssA~fUn>s_fS_wixfswc^20T)H+BV+UR+$R&bMiYtc+&= zq*z2eJp)+1a#<3y^#(6VhGW=SNh7Dq81n;?Q|^>rL|;o~LzSlhxO@wk$;md(4Ov~U zfIrz>Rb3jACYIv6a-yB(I8HebR zUJ=vsI`Nb=tbP|>=F5+%eo;T%nDvu9RMeb2(gUSnF1KYeR*H`wjvHkcAZNLqYedV= zLOAn3PbI+Tk>9ZZUIP?G1`BuiI?A%IOw@66P_VS1JJAM;)Wn>jvE>=KfF#L7Cl%y% z5%3?uxA03_0@y_uX_fNO+5a(d=0eTDfa4%f2^bb%9SHv+POMP|-h?iAmH{{6^>zEK z5_!}FRLj1|E6b{-bcsr7oTfgkBGci~Pte#e2Ti8Js+=}&u!&~C6~dGMU%Ls`$}K#= z6B#ao5-t2190PH%VnCK~AJ3OacwH*n71af{iybuX2G)5g3z zg4k&K!g6gX_3bRrkc^Wk)8{dhsZuHiW~i%V&H9Tt1q$`}=yKp)i8pjPKf44DffJji z%?wd&COiRB^`jn;0x(26!m$Og9;E+_8%zHK274$0#kfg<_J`%9vQ-n&ityx;pXB5@ z0xO-{Kpcg~InMAA3(~+RmIV1-Gcalgf*m9v)oR`x_wQaaQ33x+)*nVI0$Z~zOjR&} zpO4{5^LpHNS-2@Qg@tQK^J`_(unfiz4s5(-VIn=Pz@nC{5()}BhXqrOSs$^)ACuv} z1P$K{^ZHW4XnkOHib&VD!0@Mm`86T}Q0|5sX4|-Rcg7$ur1geEA=QJ3IZE!=EXX)E z?8n4yX!gcG8IyFj)OMkJ1;P$(`f|*>Mf`l?Nx&Bk^7!3c$%!RLcadH{T+cx&?~_uw zpfLgY>>0n{OljbV6#s1Ka>-mAv$pO^?AQXDDFg7GzkD#HYsxDMjPv1P$yIozm>vbNt{M`*?9vTUf3Jjh)fO#X%+m8I+-@zp z9JrdOp_H9cf8Iw4zNYGK34aBK+j^k)T|*ATHi?t@F4Gh?1T=Bd;xhf^2F~kt0*el) zSh1ur^CXdKV{p$a=iUY;dYJ~3lk`yv8_)GDuAQ6j8A%AUE1NhW0kkNJu$+`8!^0Ph{q+kL z0uzqSk>I$5f>aKZyAa7=r3V9GJLHFN$cHE_(tMhnG92rxIPBg5o35EHwdDu$?~MYO zqlo;=rn0@82POD1TPAI<_VUxTg2`6t`>@NZu1Ci_Dy48e)=v9 z5t?Qh9##sJ?0f*E5$B^|scN~pF!_x%go+wxw!yuD#~ECL_e$-99QtTgRxzAm)gEc$ z$>C?$lluL09oe$fkS{nH<}3~nXjxnJ$e`Cd2j-NPhr2VD}7UUf{e;of-4AWL2kIc@@v>?vyupE z0R?#I-oL>^-@)(m|93g?AN|=zH`Vn>Yj=d5s+pxK8l`p+@!ugI9V!7dyko)tt^~+B zN1zhe__b@a!QvYw=o=}k=0O#pq^qjurDhIMdUK>B20Y5;iYmYZSa$hKAWxp@Mbfw$ z`xW+r{RBPK1bT~)ewwqQ68H~%Xu(v%v{ezSD$v*~wmnyj><|Yx&Ny~hICf0zz7t}t zEvNXRmiGc3+tlyeCXf^aM${WVI*Q#z@WCYfxtOdonG6eRR9H}pgLM7!Q8_cDMA8T5 z5QX*3d(`|V9SQEa`Tcd|AEpYsn(<|;{p=QlTtSTKZCt95fXxzb3I3d&*IL(5_@)&z z#S~8@R0Tqfwr2VYtT_8ZXuDa4S`0C3`VBfl3&h>YXQ6WqXTT{#8i;HZZZMGoTus-a zHy?#M@J!tuG4~BcJ`b<<(;xVMIY`1A>ZsQFB55)Ij<6e2A-8TNmkv$!s))PFbYlD1 zpLv~AFL>;H%PEEL8FrKDsF{+vCMK}2-$;S7U}0iEtvDbu!$t)X+^nE!4qai>cng<~ z-69R2%q?O#vB0(TiMncH5@42WnWrq}^F`pRYK?zFk2Pj8m(NZ@B+HQeQ;)pu!)3ER80Jq0-S%^yZO z4>?If8|D(bM!p%OG~^aE4|8sK@X}4d*`CugUr)aEWdY-t0#6GX!|~%{*jya27TS~2 zRmR|w8sInjHWth+?m!Z)$t;m3^?#WJ@s36^zFy&BlzI7bMcPYWu@3OZ?3`f81rfy+ zR*qd-5a11o*HQnaoY20wQsjT<`^IeI6{A(kq!$jW>Q^AB&Q6v)Fai`Yy%S^j*W9DcjP; zQ|Vat1$o$+^oK38Adckwft0LyqPF!$ps+WGSqpEOz?egGw#&kSm;nyynG~>_O-|Rq zjXsU=YS9}U#IS@{ARKPIQ4Ies1vp74$b$nb78Xerq)H$$`15AQDn)>fW@pWU?g(@= zfTD&=I6zBBQ|B_)+1B`_8LZQi=LURlYjj%!|>ifD82R#b% z1r`wK!omR(3ddxEUlMVMHa(yqN{G%B{nX9Qiae`0Nd-m4cEAMm8+r%JVWS+tp1X_7 zP_U1zSbz2%W@nsy|M_h-Y>}U=_K)J`hs5Kj=g`Zbo)2$pvS-Tiuwo*YF{Xw;dW#0B zU~=MC0~`ArNtfG_1TVC0d2ye>1&}ZF%R#}c=uFuHGDO4&9EGrr&SUlpVb`i zex{JWmxof)@SolU1DD~|Q$mld?yQj6&A%6!AUZ1z8(rW&kC_h~x+muDUJU-cspOwF zS!))pojW?wD{So&1M5k{*SEekOMazKa0mQ0zOe7hxd~5G`sSA0<2}*^AjblM9F>$1 z>rR5f>!{r9;~>|gt~1Z?Wi zJz?_|CIGbG<W?}w{B5;~(d3GJff4E0s)@y^a3&25;G z!%LEQ8+PYD!ft->X6|Fu$81M76EusqEJ10rfKkefV>jM3hOLvq)*I~emEAf+g#StN zCP7*75zYqE-WBGGya(XRzZM7omq2H)W-jYP9yMK3An1INS0|7sqCW(M0ZqfXg@;c58swd{Ru%?8Rgmy?5)giE*(X8H|94{unZ9xKC(jw> zpMIwP)J1NdC*Gp?uI5}(P*H(x9qeZOsLq+f%55%P@YV6?Sew@0OvO|VQvRx=t5t07 zuVyTl%I)AAk#DnzcQJeA3tCK=S^%G7to=^6X2pNVr#%K_si&L)%^)|LR)Rcep04Jf z6*-XZChAiZ7T`_^y6}N^?Y88UDJ{z`km`UZfqe+}7e;R7I5Bgk;Pr4G&x)O9??`(i z(uZG`LsGJQuyKv7ZlaSz9U%w=yQUzy|J zoDSYh78qg*PjUw;8d%v~HF6vdnG#iZxbwxYV0R(jT7qP|<`92$G3dfv{4bw4H)>f- zXnOc?3)>4TYZ|X7W|_Q_X+B>u%)~>K~ z$&(e=qDg7RW|(#;C_Yed5k{wuji$|ubO6nAo5rw8E7Rb|e6@3BaRqm{K;;T1Ps_Mz zAn-O!fMO<$F3~_#P~loI3MJ zr<0*;3z>TPq!{21Qb8gWC0w2yUBr`3?d0U*dQwK=Fu-&KiFLWv3z}57VU$*F`ZaTU5a|xzLs<9;^Z!uAuOwLz5HY4DV{ah6 zSB8RA7_C@90IrP;GG_RJsfHYQS{L2_lwlI1;5q}dfsvXv*PL305)0XEQ9rxm=QLO* z6QO$q1+7^rSGfIOe0+grG|>v~t%Ue2_iBb!3k)C#gL7y=X`wu%1%R4f=>G@jt7t3F zF;gk?n9=6S6j~(gMB!zVQp!)y|0;AAhPP(z4%w8ym)V-{FdQ8CIZi07n#1q}Au{~= zGzH1^9aXbM98c_!T*ZVT`NVKSyc zlgc~WwI%`dIr3BNoICJskbV!xt*Q|$b=3p@p}&xwVJMg4Q^bXP>!(@#d&7JXpDCs> zU+V;hpfM{lM2d%P$4D!|609`Kfao1^_Gv6Q$g+uTec(80>8y_D1WBhC)CWM4QBda4 z*E9(pT7_zPQq&6D;qM^rE#5rIxlsqko5`xKFo86NeS@VK;|LNZ-i-Dt58>CP?_frS zi1O2h5%E0o13L?UK2qjOU_aGP`P`e+4Hz|;dPOJTz{D<|sA70}io~1)rJR{E^&$BZ ztef+|t{XqPb&A1i%=#*b%e_tD4&O^@uDtypwQ}&kYm35vFY+o-M@9eN+T!1WG!KPZ z*$lA-Y0pEh&r>x->_GbechsN)hsZd*_x=A}V6=!X{&$skqf~LYAe3(^Ya#W(kG2h| zEmI7s&6T(Pud_fI4LEJr2y@jfc@pFnL@4qoPc^twjo1a;0gkozzx4VZwP}&Y;O~iEX{o zR3e)gUxZrV`#bXHJ!$w`Yy(|WwLs3wjlP>n9 zt6>*Uxf(u!Wf0o3G*FP8!xuC+5b;1RP3VOS&+?oOa~S+ODN)kEhz|v3C*Wi$a4M7g zF*?h1VJA48x_pV*=8$ub0E&P~Zs}b1t zEHUZV*pJdv3oovYaP7tw{#}?ZtQl?bh-&2zw!W4*`pvDKub<296h`+*8)zEx-Ho?7 zl2dJSNpJjRCz~DdBU@pmK5|fkOyJC8WXoIN?&=3LeV)t}lpfp(0bGfAG*YS9p(e~IBCWX#Hg z>&mp)!a*+GnGd#hXk)ihQI|!D@=)=Gh8&T?CtuZ3>#MNxh$qimu`}U`y34T_q9;`z zrPBkP%n&H8rdwg;G%u?|01~5S4?iKXTWeAbtXdfe@0lDssV}cFYc|7##m!1-dv>u$ zt^g|nT*|#qx>JgmjSgIf(XM;(aJC!_JZ_XZ477wkFX(=iu(mV+nlDfRKL0$F=O@6) zL#_nYWs8ERscO?=YiW=~Kw0v_rB}X~iabAdJ#F>cwVyV8Q>{<;blYjICik#z61%N)b6=;e9^nA)Alj>Nw5f_IVNt9 zhxIR2ofLwAY%_t)xmoab)6=-N5sfSO(&2ma&C{NXK@eV;6fw=*MM-mOWP@*GZ_5vV zJp$72SK5iGn(>1AI)s!nJBJRK2dH516>qr@H@|}dmwyHa1P+C}@L6OjuHnwuG8bAt zZ{Pr6$p+zMfkRhzUi^&33jyNbL_0g981!!(cvAau70@4rGNK_jZE5*R;$nm}l-V^V zapVi<>qe(x+>eLCOITUcTOCu^8yIJtj#IPR+wPVv-<;r0gmoXN?B z@WS-3c#88iXpLY*eG79co%y4-l)e>$mTA^JrpuRGM8PP;9AzkuBU2jMn>dFVpRf|q z>0AJWRYJS4M=bN5NQHm=f)FmOu9}fJFH)%BGr&{eq(S#+lRGk64`EuAjw+ zocLEVx zXQ&eVYVfUh1DZ4Hk&`gC0`m9#?iF=VyaFoG3FOE`a9?88VhCgRa^sU#AxZG97*^$ zFyv_OC6oGaLU*b*zgQNMZ%j^xEK~=CQWB;?UKSH53rosDixavuzxHC2;fetEVj9HM z>$3P~sH?=#Lq7S>2s?A9#2-Hwca|y_f~CM4A1DhtW%}&$D{_wq9!CuY_K}V>?Zqrz zYc{@h^|XNd9bN$OmdVNC#+$e166}(=H z>hnN&{5UEL-WeX+Ir;_RgOF&RJ`=$eD_ z!HzSt@=#t&c21>$bq89((DZ7Fd;urW(B(i}Yi1RH#oK&yX4e8bcD_XxB$0%~l{^R9 z5x`;<_eh$IX#=J-vKi2a-v}GBn_vSAPnec?b%`(maw*gym6PpohZWZy1Q5SakODHa zNO8j*IGJ;uC@l)(yq^HrdJRu^d5p3V~rV|0H<1zgj7g7wY?Kk!0Xu}uaN}JO%L6Mb#z_tesDx5$wJtL9sSJ{ z4GpZe6#x7eGRLpR9rk0?2RW`lZq-gjCE#RX1Cq4OkO}nQPAaCQF|4}^rvhqN-ap9B zJpC6dm-Trl_75Z09p_O&K1EhDxA)e9KD{Mbc4jHvY*Lc`woC9XC!01s!OmU|sP9}e z51jls%}r6Vb0CQnkWbq|j`)Cha%Ay%>u+2bL!FsxpvWB~p?HSIc-WX52nbsMMLsA$ z)n6j_SERz))6&G88+3M#mqaYFnF7zHlPKk#G<0WVFD#z_D{w>8JU^c@Od+2hVc)JL zmPN}r9!sK3lSo2=EZCe`z-ge)&o|i#B{(3E0}E&ZF8^T(f!x#y5z%oF4y2-7!z_1^ zrMQ$lOG+!qRmXkk~FwJ7%~Y zzoc-YrHvNEaoe;9YZ|E?5@|2>6J1e3`Bw^H~Y$(pIE31W?!-D?(p&57N+G z^_Uv&zX}9ZI65Zb{VD&uRu~-m|38cXY94Z?*;mWiSrKB@TkVauqiZ=WmoK1`sk+zD zH-zr6PNcZ)t86);&wI>zhxjGZWgjE%8|nsm0(6m3_p4|!e$^}lf>z7Ott0H$ zVp!`=^ZfwyX8r-4#ptip>{AwlukH_XhWVr*>9T0G?qU$u{IlNL(sIcuLvmlT27eUb z!S~XySSvTT9Hp<4OH0bsW$n`Ne?}{kZ$Mb7Jgxh4?i9mfDM8|uc2=Yf^Q{o7@%`k6 zy9ywqqQkkWHx0~3ztr3>lAKgr=^JiWFDESeZ!rYvWbs(n^w}A@W@72W+MyyLce99& z^5aTRWsDS%3`<+H<`S!G^Yh}*^1RC@ZDe@GK-hzd`4cC0UnL>gZer z&SaVv4Df*JY}zgl!;zlHif8KXypaYg9J-aDQnwzJ!Nc7P9`2~w6u8+fWSWSC{oV?Q zCTb9$<`0s`x#I(%CFWK_5MoSnDhUQ?Y1~#{dq)|LU!X8Ji?>JvKYt`{x;J#$7&gL3 zz7*^Vl=%e5^u40hfDNjJc-IkxGjfH8N0R`z5=L`(W7Y>U)<%)_Tp)EeO-qZ46Vu@n z48h6ZhO^mOYOQdzi7T(11qd-dQJB9eE=1;A5e>xzOCC&5c^V6rWzFV2lSE>2e<=)n zIh9}oP3AE?TIA3dhk0XC%v-cBbEYnys{MfVSdp-{Y)UhRCtozng#_Ex=_)u3ZRVi_Je_84jn0%CV{AJl9lV5 z@D()*t%D_+eq<#4?QrOgFGKsdk5J0avgf>~hAezOS2`65#ym?w%2HM7)N-vX%nL+3 zz`O&`Fu@nPW*OfQ!wHs?lF*?uB7WgCE4|n;C8jY8^0G6~m|RXxf(Ta%j1#8Xs$RvP zmDq5FRYYQ2e-unWogsI`CJyl{nnBX+M@U(ahwbT;pNy$0trrf!B^w7`)+@s7k@|c? z!6cMo{V*&jL(zqU6!NZAn3h80Z4aS3kRoP7!4@3z&_OM zpJ;y@tG=m)5mj4CCM30xZb$-2w8CZqO`~0&AcnyJi<{ajEU@;Sh;#(BALr>sXrz<~ zC6Knyt)FNX$wJ*q8Sx2|(6IwObJnH_7ArSKDw>5c^>9?{XdlNehIrdA7??J|4NOUD zxWllOmyp9B)u*(|-$3D4+A^F~As;CNaH2SQ@sy11@s4{l6@~=B zMis$P=n92E(}mvFdSN-`ETmY3(0GbJ+j>jR*-E^nNLTqzR5mmq-6(b0OO9MtZoB|8 z2!)=4JCG47otFuup>`_4CAjAfmmz3?Riws9Ls@cP7(sNc z=}GzUH8J@@f_I0$ZeeA6?)H|s`^1~mw%oeh1@NV^GbJU(1A(on3UINlps6=o{5{YnEu%l`Oi(dSj7_yqJq=a|{kNlGV zMvwm84qBtErG(If=n-s^{yTHJ426O(BM$ip3WZ1Gs-3;anQ;y09Ds%3kfr!#4W}F^ z65RAN2>%sie!zZjGNZiSY}wS{U4ZpEc<5I<;GBffhKHTrf$ehrFK-X{Qq7Pz9C&ZG zg(oaKJ#>^>BNOHpt&u$ztAoOWE6wFXKR+Zl->Wx&U-?l<%k70@5|3JV*ef&LN)(s- zQx+y{i+%7!hw;LxaA*aQG_UyOMGNU4KD4U zW{N>+3=I<)j=&4(kC7?w?YI5HykCHE&silqN}VGDo}!1Aar0pK-QJL!iYi zBIhTJIdr$^tEQ%S$6+N6AL-1w3eV9s z9Q8I&c(h#mM3#-&P`yJY6zQUkoS)p?)^vR zh?{{Xi5wczkUebYPv*2b2T!z0a3G$m^5jAT1`eVWAtYfII%>KFORcY&Oi|HKr!!2? ze(mhbeg9CcPWNnePnzCd%jgL3#QGTw?q5`Ne;H^lrrH?%PCKa@9a3nx&$%tycx&g9$MXfV~$O7T^Ed!PiURRs$uZk`i(*>HWX5 z=}_RWM6CQejND*x2b#$9D>RhQ+N%-DnhSSVY+SWMSK08$Kgi99Z@myIs@7CBwN1$5 zx8{&G`Kp&x@-}P@_P*v1!BHw$ecD&(=KR zDpjtOoul%Qx`!Ydb8Ppx>gHag=Lspp-3xhJEdeAhPnJl%dle>@Usm6Et6WiQt}5Nd zM^=w(xH$%hHQn?ubj^vh6m%90O0!^4%5ZsyFu3Q{e$)8gGCJMy%A&PKIbX#t!rQjq z{q>`_kyOOH=%E8xm4tz4%b_bn>(2?UA~#y{EBM@Mwef5(}7?NK08#`yRRkE&Q2~ZhaHz?BVXR0!l26oQom1 z(4r`R_?N_bs2+wk1W%0^LupQ8tNuy8LZ4G3iiv-0?n4<*QCB6+W1Q;g%GJJ@ovea! zVK2t1fBM<=>=AO$nLn|~Qh48hLra4BT{5+lLlP0A`*CmXQvdeJz^q@Fo@gcWKc7v% zJdp0u%akN@1l>o8f)zq{_B;D091>*qm-2dv>h$S!8_&l{PuktxPB$zST^8 z$#|2~-Cp&@gy$mVc9zrf3W7SGOxbilNbIaoe9BLs)pV8DsS<}fORLmw3cAa^u4u07 ze|mL7(_o;xd}bNFGrIfPkCjG2k@${sx>>SNkg+ka+$=G&y`#L^Wl1Q=GR9XPl2;CQ zKEajeNH~##Oip?BlH$+27NUg1v3{O3R_2T7YY`X5LUp;F(pG=jy*#&k#mRc`l zogD2_o=j_6|11B7eD})=>u=J<*maeGos5N&U#~b)>hMwB<(6Ze52}Po?OhMeJ ze7oApy7N6hbv$+q>{wCX{iL*^iyEJjNLe$U(pA3HUEa>yGQhRg;>_|6y-DqSyzJC_ zkka{t-PZYFV61Xo)p-a0uf|^kVZQbvwp44l{Z^=R3*tqm)bikx|TZVH7{5EF>qboF4^plSET1J zhvj1deTf}D<00#x#8c{J2_0$$omHh#lpLflJ_&0gF9FFF4bqz zCfVcz{KC4Q`xpz9{eFaDX1w@wNd#evd~l2z-23Yw`QYU8=Tf4SZkosu5S82IgZYu= z=lL#6eqzeL@?DPkk$tq>CE>J`vd@Q=A4!?0c|yz0kx$DclzqKp9ZJn?^8Vgo`9f-B zNo1OTmhqI8ygqB5Lf%(Dxj;GV(HGB(w+#H8Axg?$u1t0As20xYPBwog?@ul;OnQAy#*8xYI{Z$YB^Wa5rs+J>9Mjt-e_%Y-AF7(GIRghx}Jy#Wk)@!OKcVL`@-9<>d7lz z_K#dcxH$-Id6?ltg^&rG(|Y|B92+{$sinuM@i!fOGPKlaN)qQAUDCAZ*;eg!U^B9K?V*mMZ`f0n8Z|sL z%j>`t^5g5n`R`5B21|`_AqcPI0_5RF*Wj!drYCo*X3~sWtu-*ngYE-nnEgQ5Ug;84 zbxBS6sIZpimUC!J10ulK+A(~+$|sM;T8dG8-xk(=t3#K!66clwKK|og>m@$x=xY5V zUT!RkfrniWGBdy_&35Z*eGea9`hz3+mZt9eEX-@^H*KBJ8jZgBgYWI1efpB8Jxe_@ z8i&TLM_u%zR%85(wvZb>w7zw;H!brIh{Bw9H)$i?tV*of^8&4PJ22Xz`pSJ=GPPhH zqZ$z;$DEil{ZbX@vGw=W#xFx&Zr&U2SgpZv;8J%WHGX>?dKYuTt?UB&MCBQEdzIs- zHU(&(KrnAos?mc_a7jKbNAv^PK0iYU8U~2CpMsu=#C9CXDmcGj2G8 z{>M>T7@y$G@M%`zL*?kV=H*mxfhN{8ReguCy+Ompx`#@(HdSce;SG7}*cHcY2-hy3 zd!Kx&jm@oFsaqHH{C3Ya?1Q*~E!YS4`0A>kz0n>K1TnU-nrUZr-D~^Ch=iw@fY}C; zm#w*$ZA4Kkw_lfY>6K>9cQg5g8p^4ES7S~%_>biL^4jm9zPmEwO2pNlF^8JA9wfiP z9{Kcxy^WxE?HN_&H@_=i`#v$_MD@0TZC7L8kn<2Pl@E6!epF81UwYEroHsc#Nkt5p z^1jVf&j@XI=wd#qZEfZmq8qb%DdCjs9J97OP2a=Wzu%-}2YoK=+i7EcIrE~WEz5Hc z`SzI`7q%698P&R4v9z%JvTffU`ez)cMyot%c;-cps35NT@c#N-dFnp&w!AxLzvf)_;HC|G zKD{u-6`DQBK>kVDgE_$yqy#vBhq!tE;FG#iHEcP~z)erXQs1ZU!E20&b=RiUUeq&G4+&reVyH*97k7U`-f##`tHOQzHtgk_m=*lYxLPgY+&B$ zhn#dF&1??K++W_hk?CgoCE6vC8%A1Zw#k61Zi}+`voZq{ye0C$@Z4ow4cEcSM_O0y zVK0l`?&C6c-k_Dr)Ym>?-9e2=^I3N<@`VGs*C4^-=lA-4OK)Y|P?C~k;hsR`z{8qy zds$ybtecCG=}*~pmv^tm$z0AKGFLJGsbSY*K~@x}!d26_C$!1XETR!%FQ)kEqE&Iu zu1}gewuxygDd9n@TMDW%`}MNwe0*0<*BxG~i$HH*WCw&`A3H4CeXEk8*2^`=93fo~ zce;%u)VXgh(ZW9b^&ijU)IZF&`_quU2CZnd_rC`;9mB8u&4r;x_TBx|?MHnW{8y^9 zzkcq9ads=Y`~7j6L9NS6UF_}2vaNi{a^A)jW~kk5>8Jf)4P`L=FYIntw@8);L4_}?`rJ3qq z(AXQn3%FzUMZ_7_*|tU_?X8(f?$0(IZ#3&&SF~QduTfw0?sGQ1pdl(=CtRa(-ixH{ zru*a1gY`wX8!1DD>eo7)6C0KJsRgYBG&?Uu)qkNtU6-#e2yj73_jtMfqxtl+x#eIO zn*EnnK_gFc*KN;@t!q{z#UI|uahD)gnea739)PBvYo zXS%mz@)_k+Z-KAuIH1d)#8b_&N%ko$3IGS(q%@^afVs=Wg z=`NgBRK(2RC@HIdZ7*3^XMG5Zd_MOJlU|s4;ci2?>K?Sl>V@bl)qKk%CYn{N)MVEG zEFOq5oVoL+Zs?NDX_sXU)KL5J&UC&3Qk}BlO*HQ}V&GRB7 z@-7<}Nru5e`S&Y-3#!|-=iY#;1~%iO#x@ni3cG3)M{5N(sLpXy1VVWcMWLy_G$Mu} zkiY%>q!(G_rEa03vLAU$^_EtCx%u(~#7b|&1Ol>Py~@kg8>S2|Q8o=^Zl>;ze}GUQ zF-*a35Qcto-7}4Tg1Y986eER4P}OTwau{@@tCS4~l5lnzo;E5t0I9CJu>-M9+zuEk|-2UStBE+9~$lj{eejJ@}1b^|mCgQoUce`T6}@%`pyEnjflr(_M7XrcvA6{?RuN zA#71EA8uT&cGaP&d}A1T!Ao;=wU+jdYc|-UR`Ocr4P8RMOO~yY>5uW|A=`GjV|F5U zZo(GFp4(7_4HIDf&JQXabn>ILWP(@aNs`Wsg3pF3)5seR68a-Hsm$HHpjEn_#D>WtRz zE$_vO0?e34%`#4;+P}vcdAn{XvUA?&efWvS zYdbwiGNnK@_63H z@4i#6)3Q02G;X?mmA=*m-GIp2>}Q%6^Ww+!#!Q1AA@>XgZO#65i&=eZNoCggP(-kV zrq0uttNFIK(A7pGY9I1C{@DYKkTbQZbw?K;+|N&K2)9m09uCW?_w%><(WUm0$NUzh zeZ9Sr8X^>G-D$s2ib?@_1<6Inr&EvIf`~Y?aj(ij8|(+Au2`a*avB%${rE=qHmawL zr!_gFe0XZxUPMFP8&~q54x@ZlIQ|U?4L8q?nRfrhdzEV-(^P_nsVU0R*Bt6v7rGOI5H-Q~9N1m0a zSe#MWo}YlIi#>~3E3oDnH0c<(q9+JG-);;q-i02aX}-bed>6k&8EH@Y$l%hDUG>TD zQEIP$_zkl*K;xQ2$|{vDS8l+}wCC$(o6!1*>j4QE1nPjz zx;Xj-1_c7cy$}AfMx>vmp?|=tI;zw*X03eBBSaO5rpVg?lC$E*pV$?=7lyXal`TCh zx;7m_-1_O;eaQB4gJX3N(Y*1Rcp8HVK;AUFwgr7;=;Q%Jjx`3+k{LhpEd+kOY=ZZ1 zYsiJGv-?IDXOWfpin_ZTlvMwf19fGj;d}?If(oX42|+o10S3g~+g3Rx7kE}<)}lMS z4e}lruEnT!GSBB}y68AzQR|ET8hD_0|5MTvY{u)KDzKGyj$(*qM07+05Buhqq( zqKBQiCgG`Wb(=j9$MQ^g$Gwnlzdt6?OY4np<{ctD{_$HHKHH>ygJ#EysLa7P;km1k z?R1N_A9fosY;jvuanx~htDPB0|0iGWxSp`yYR1E-WgUn_j%TPHt7y(Pd6zkwr^!hs z;at^!Lkh#HuIFyd(_Lad^*4Ct9=oKm{Tqh6wtKbxnxkuiGkF0=(HhBr{fy2wC%NaY z{BX3as3FsxYJ}H#@$E1Ck_+ZL1g?4*Jsron^_Ua2WpDD2UUs)GL%5#S+*7q4bH6?& z3ggG+lJsaCd)IJb^wX3g1ZxMacnhx!yImzi#jR;Y;bHf0_nkMCxvoK6X)@Tdueoil zujje#YgiqRIe*Zo9&v`ff41gf%i4@~TELwTC<#|y((DBtil-RIsOU-w4?w#LXlT=OYgsEK$M zkcK(pez=6PrlCR9sw=1ZSYQ|zUmT$%wy>QYK7XvVDShy2&044Jd8yvk6F6DydV9;Z zcQ*E7lk=`C&pKE;Se{4zN$q%~?SY*ZWq;GN+3KIEs*0!`*P_I zZA;W>X1X@_uJxZ>D{XPtLrf2eGZF7L>L1F}yJfq-_smT%7!Gk72gYf%0wa^UNk>bo zY;#K8Pz}Zd;Wkv|A7H*t-{Y_0r0SPE4TE(#k**rdQ}4|m?0bLkhiK6ThL?>sTXW9d z;L?Wx6vln;hArRHnViV8SI#-!MMc$p}&zYEgI!w%AdleTb8 z+>6(RNOat*vwdd1H>A`>ZKE^lsk#$KKVdYIXQM~6<*vH4%ud9-4#F|})%*p<>kPR+ zX}-?DJ8pk^^1LV^dn#~kiqZS4Ecyr{8eO$xDSB@~`>Q*jly+oxleSaS)5FE97UMlN ze49w0s7Ct8h}V)H)C-q;e{06C)~}Nlv#RryPMOMVbz^>03qmS|7q-*F*W7cpeuO}h zw;`3SSp{7sTkjeT1hSDTc8K}cSl@R_i|34#Revu+s&EP#v6@(ic1zV<%xn=-EsH{m zDlwuNUcHW7bzCm6yG@FAdDWwm5~02hRsK%zms~N=Isck@JW}IBL##LysaaWl5m%7w zZu{eg!&_8xo9DkpYR6L8k@&v~IV99%rjFC=GBQbXuhGUl4K;FSCK9cJl9EW8+N=?a zotYQ&Ow^3+_98J|caSOAZ!ev**tzXG%BUErUuc68nbZuDjm{Z-!W!Ou?0y8fE_7|J z!KbE%Mn8Rd(FRL)+_A2|dJr!Sl-Hxu3}(FRE}wI{mVr((YJSk{jckg3n%AVBCTymu zUsJh$V&ipvL>_N=WexIYG)lB7;3!IjZl;6A%P_@s0D}^-Vr?3bu818g_v#}aVuvvZ zf>X_E{mbTb8=FT;_648xG!t6wMd=Fpu@idCLnZIP&a}S^B6i-(t4#+Nu*VAimQ~?( z=D#5wkKDOflv@#0vclD*3d#Rw_Zn@7GuAd_B@f>@o1-hkw3hb_5FH1K%p>%(TwQ+r zJ{Q&ac<*D(q3mMS%1mUVnybY@fb8<>DKR z2k)r(3RbP|axrG-^NJ)_#IyKA`c4ny!jItzKD)oC<5z9^DX=HrhLyog-%xO`_@ss) zKE3{0Tu;J6XiBd~GA>dh|2J|WGLf5G9ZufLJKL|Lp__ax$@WI!&*vULN7lM#s%^WT zeDjBt6O@;v$5~mEfeotzG;&ZYPN%KQu67VT7L#PATU%9R7FR#~8hl|Ytt-0F~>f)8ne!NEhFd*Y32u;lb#~qO>)9^D}qrYozgcs2dSCR56I^ z!XoD?Y;+FFm3*_isGjIILvBm(Qa@^h(^CWV)ky8Ml!PL&dm7-dPgi*Ues*K#vt-q3Tg*4SBP|M zwJ^`~1JY4*WMjHfUN70ef^^IrwZy;^2R*cp=%f}IdKXRWl{I%zn)e~^3sBPbq^`2O zBPK5BSyVCo{ixyf(m9?_RL@mc!>bXLi&&%|!`scn&fw~PG`n;s24SVg#^NX_+oKPa zDZjXG5T036ZKHJJQM>VcKvn;iOD@0Y#8v9JjiZ>nVfi#hwf^7;D-4Ic5>d&* zC|N!TFxx$t^Sjk{G`0Gw_Nuz@{IW{b-?!PXWSY6s8!Rh}_N=gUa-osye$la6pj{~? zssE;tw!UW2a__3vwbjN4omOLv=j-yR8+WT6dKIA8?W(@w(AvihQRZrO-J@tZy82;b zfIU|2=S>a7Qw6GAw9@`28FodbO=>+lNo#I@%L_x9yKd^??no!(JN=#I()7wt>^s@! zf#~OAO8lO;NUne3hdht>neJaoys%d1ToEd3qtCbfjwt?ZQwHJ!(adAz**qgEXcG7R z{`*9(*$DY53CBG89vUT#>Y=B#*+v}BAgkb0ohqNI)Q>EB@I1NE;h;m-U+!BEZ_HVZ zJoxg^AmxX)=i^i#R$M8`C>OaBhr~6uY3ACDY*>^3kz_IGa}tf(*6z}O$Ma~B>&xvI z8UvXPfw(W{P}uy=2l^hje1>xdlTSGW~D7|M&ghTK`(Y@`NFWbKbMx{S15W#JDxy!aLGO-sv;)z7BPx zkITB959PJDJv!VadDI8Y;~N;;DPGi1ekJ(EpM)xfw*G+i`zO~1Hix32k@c0nxTjOI zwDal7t=K#9dDan^+9SK1J^jAWT?xE>UmV@>#!ps<1FQ3P&b9sdsrRRX zXD_!6H}RrlM)obfy>l+{uX>-TtM5sjKK}xjF!i$ZpAPSM1=t-M?hc_&?(aIfZ*_8T z-{$BZ=H&h!aB{rw=>CC|d((C&@A+>ZZ7{^)!g}9s^y?nu=C>dC%h4nD)c%2q#P|a* zfB*1<9ZT;A_WjZL;TJbnMP7)D$E|nzUzxf^j;6L)_Uqr#m%o|UxoglA&ecg$bJ{L#7 zTXp$t_Up^huOm(kh9793GVv=SSL6KrS|Q?{qc_bi-pF*5rP1e<%TA|-6qkRkdENi@ zbt~R^lgWbbRf$ zb&YS)9bWkShTj+Hln{kmWK1XeJcAoTk5^vx-1GXZ3vTYN%K#(mY|HsjF5VRMS<}0F z*6eFv^UV9wznoW+X?4dAy97H-pWbwT=Z1q`k0bBDbsy(+d8hMhAJ6|>8n#N;Z=F~t*1BiuX_8TyZp@v7593&Z%FD~x|{3jA2&K!ab8mZI`h4^L_ge0&3@D6 z^qXIMVXXIm3YdI`>%Ext%ex^zJNt}#t0QXNzuz1(@>}5NPCnO#1NS%KPK0cysGXhP z`mE`7n^Voo`2GLrRW>!Q!MCj&LZj}jSKbYbc0c&e`qw(%dHajEGXvgc?EkLN9sl~b z=YNp76ZXAx**_>?y{7sr#@ccpZ~S}jI_Xw9j0Q#o=(fD|wp&Eo?ae7$w$l?dUT}`T z0{Ok#dEGzPZTaBxu|p?U4NqNl`_geFe9ysMpE&&f(T0t0u5x;JIBLTs!QVr(>Q8^z zoRWWV#2#I@B{|AlvZ zx-ogqi{l;<==xXGif2JnZ^gl}xq>?XmG3v55FO5cw!*7->f`N^Uu+KUfU z2jz`x_1jjx^VNw>KVKQczh>D^c^Z<(>o|De;@P3#12;u+t9IOM42a%t{rTNpJ0s8J zh-hAYhnY84)82I1;r!Xwblc7Zl37#s9^Qc&{N2IKq)lyy(bYS-VWZrDw|9R$O@6D< z<@okHpZvTde)#{u${2cdack|dAKb_gL=A>lg8{Fy}yvMs*@ENn)eGl&cZCB9y;x((96BBl+HHQ-@ z@<6X$?eX}tw^zBQ^ncjR4Om@$xjT)Y^kL)c2TrW+-lNK`#J^L!({$(Pn-S#!UPQ0N z5Fh$MkL7nf2qRab_P@2#scr?O8)7_nNUWf43Hl~5N^o?fDcaN5Hh8}AjRS$M?dy*3 zD!;zce?v1a|Gaa|uiOCN{nxsi)4dYq{sD*fFK*iNcKlN8?JaM;>$Yu*pK#m zEi_IW>~M9}$DbwTd~|y3XJXQ`#iNOvUgGi-*9&~k&ODvCpFiJru<*gbjB{@uSW_Qm-sB7)PGw|G7IaAa-}Sh%m3(UT z=GeTwNo)V;$Vhzt`_q_jeB&J{ckpYO*LAB_c%0`Y&)%mcjDCBbGP8ACI?()A*oA)w zm-Y6~xBtidy3xVGy&Jr6=f93X={l++@3DVcMj$J#w@ zSJQx}t)w~-$K>hV;sTZ_DZhIrgv@ybxXmW{lJzXlsF(;t!QZQb!JuaU&bUq0K|w?k z+q*9G>W6>3`-js#4gS8ri}OMD>aIV!Up5M6ga%wd>Hz+bC<+%V7HyCZ#`L*dO%<$Q zb%$Q?Tqok29#j9&c>0$JAgfn+U-nQobKNnGKF8V@RK}Tek{p)-uO4&B^d!GH6LGA9 zXbncS>9ZGue@_1TH@}OYPS@LH^B6?5Rf-y{M$UE#zq}W5D0wv6iO05nc=zQ93~g4d zlkzZ}Y3{G3*E3abo|5kGn;K<&IDOvFk3QZh2%vk$snfQ4irizYnOKLh>FOlbQB3)M zjM94DC00qfX~;)A%AK#88Xinat~7c2s|`1jsI{<;;2SPBJIvYrRnL)5X;2xVlxhtj z;)}&-M=r3C$fR1U>maZ8LcA?A59>TsZziZpB^A+`GGkf>#>%QSmuF9~$D`2J@(Ej>h&dp9L z4y5KFELNHMj1gujs~-IgVWCJX9t1C z`ljZ`^ae9Yu|$ti*6tezhC}<(xQ{r9?#_9i**L_hNE*)&1pLx8g8MS)SxQ_ z{$WRJMOgP438OseNO^ z6IvDKK$t=~3-FF7Mzoz{nuZ<3C^ML(2+-eB)^N@uos>FKaFl@?z%Qz`m0PB) zvdA#dYQu^-f+Cohui>2&mtTt>*o?56Y|jPr2Go(5rvx4LMp<9W1AanTppOy7DwOXp zJB6{hi^y%m*i6LWx%5*Ai)$FU)a}|(K@zN>gGggQZx=0l`f*W~jP2&HvfyND@A%vz z1S*ujpKtMmmhqK+qS~L2umX$u+?JT`z%nq`MEXD!ZyeNcF&43}&de4`E|serjG8Ho zF(5O}lR~Q=G}l>;ysoB^#Vl%MFNQg$=V5H-R=8c&i{f|&%d?}Z3aoRo<-STbg>-Tt z;0zq3{d-aJ-Qon=nM)eENO8Sck&UrfZh;kH$n9oNzlt!-kMHKcEJALZ)!yN`;DXV- zqSOY)zmkf3elFH~#|Z3JF7UE&N3U8qR4EE3EuR4{M+10+5LsD|Zsa0At)McKUvD;X z%8?FHty!Z{b*EIAnih4a#FBXm>C~OY+NO0#+pJAgliW;;u#6#{STWLSEh4{DT=S7O zzW`&;(86hkjt>{DVwI6w{hAPaQ;_A_Acon(BX0hdC9E}sFIv99ar3X>5B!Yw;L@@@< z$ZBl{$}%;ezkAE{1nks{wZueDbQ8mLH{UnHKQO}1qpK`SE$8RTrEw~7cHA-S!Ph9e zOSX1Vj527P0WglV5N0o*guivj(-)i`_oqyh$ijKIa`ZHFRl86I?cEfLUq$IHM`IfV`fP>^NU)gIPpI zz0Jho_I!7)Phr+sU{)q@70+7-X2lC8#E~<0_d@84O*2^Iw{vQB?hr+*X0Hj9u8BCrae)l731E1z`r~qC12L zo0jZaD@N}Slid9DQz)CI_heV4_EkApAM8K@TzF?RKGmQdD$2Dn~( zB$uX``_1Z762_v%*{r#GyN!|jr9cV29m0{@mVqsEjN9ZquNx44c=XuN-+5ga`^od+ zyDAJ` zLK;xln#C%`gItQ#sGjdZS(p&4P&HcHWXgl_5%MJ_ZA*V$^AS425uHbwHf8g}!N|3n=Ky0j)jU)zYMTE2QSm7kSY?f0;7k>-28xp zwmDye-6`wyi8=$~?{StrqBi}#BC{`ubjF0Ro@(}+2Pd2U&LL=kZ`DHILjhA=H6qEy zmz+AYrj(De2*B3N!xj)fJG)vohS=nAyEW1vu{5KdB1jqldJB=4r(jle zxsvktF_fj6fmz}Rl2)y8y}BFj%z-;~hK801mWN+%Db^{Zk_2+!aM={x$@xqTE|5@i zDML7XRh%9CO{M^5(@OM*R~n`$|0pG#ts-T>EX~n4Gf7I>S;*tkW0GgF z+kDClg0hKhGtzZV3$7D}+=<9u65vZR-Th3!IlUygRMzLauLN9!Of{Zl(!zIA`gRZ4 zk1b%+G-)N5UaI#StxFgyof&5yR1IH>AhD^Nv)lJg^X<=z1!EO)q&D5|dTW|q`NK{~ z7}nVGhPYqb64OZANa!^n?c-?$%zsZu@Ttj77^``y<^DNB7Q*7Z7`e2RhgLJS$Q@}9 z+=ae!=c6yt(G6hkYf?5zTjeA9<*5~f6$x} zt;*CS7&S^-bUuZCv4|xFYfxNk1eGXNtMWzUFG15ibCs&U)@I$73*R9`$ z&h(8E2`&(m>^X+yqKy+t|Mkv}UNFOsCD?DA=U5~c6@rRh-lXt6iP2!z&18J)W`xJ;O!Yj$}8nMn8U;{c7zzAO`)I5C171~gudZCCHKpU0uZLv7lO-F8G>)Q0|RGdoH*n1RCPflxKY*$ z5YZkJ+4bPs)QGY;QeY~lg47VKbKo;p?ikA+9_<7x&SIiQ=yc}p%SS9A7hv8;+Ltn| z3|xs0W*sfBKyPay(T4I0+L0|W+MHu(m#$F$o(TyO7Gz7xb>!Cs1}rpJ&+&>e<$-~| zEivWWh-*IsiNd@PzMaLe+n-xvvN$_>*(d)Xe@Q_f)v=HL`sO{!B~*=XGRY2msB{=~ zsBD}dXj%>KSo`fUX1&pL#{MVTzTsunn^l?5yKjon9~O0%8BswZ3Ke4P1(=mMJ3G|O zUZTC9Z<}g4VH(5O8K&xwW~?FvN-0F|^xO9&jAg4Jfz1}|)b=aa^dH(1TBe$!@0}(3 zhU-&j?uClc+XE;&t?U-Gcrvc3Zh30Pm3C7~8mjb8|{_PKY?<;v9i%$ZTJ9O>vfjt;yE(r?YZpnAH8m zFHSCd`rHIZ-2yFYub7IE|9Gt+dhhFY_PMzBv*n|KWkpAEUL|wBQM^u_oAts@v3>Vq zuJ9^P+iz2OYXrM4RsRv9KYJx};}3VNXRp2S1JB`Bo9*=J7En=XDd4*Vvv7yo%z5UQ zuE`o#>Z`(}J468D90!6flwEN7NxDqDbH;rm!80MyD}dDy5r33KD`Ck7iScAIOaXR-j`o%WcST!1B0mjpC5Ld z=gVqAY{#HdMXlK&LN`YmkUJttc0v$y6xsqS13DkCMfJAqOUTS*$$vAo|*nyP*JCFsi0+VGM=bXtM*U zdN3)XVt@`JdMMj*Ztb^stPg? z4g=&w%Z6%k;j)EPi1BrGpUi&Tw8B7Yk(}IvGGr5y&n8DXfBRw2BF4(0Je<|+L7WKM zv6Mes?jNCzvJ=))Zy>BPI3>WKs9u*!t(%{uem*6_SfRN+r-ZasqB5i{ux48ZT+xrR zw8CqIW!G9!cO%8Va|rht<~$(-v_%9k>LhksQL?t(vhKN+wkIj5I1TKub9(Q6Ts)`& zLs^g6`;CJJ$;EPZycv`+MN=A#y!oXnaUrYDHZR0DqFU>mX{?cU4Q&HR_AUE{d7$_KEcgj3^wWv@9=UhC0j@EiUDX^5F!gM(=TZ+9>N#v zL9W6{3ogsl)vV(a;%x+wdhnC$&0suXwJ531NRag)oyuCXUO!_S(hAK33$)P=45L2- zu(jr`{9|Mn=HQEm=HlwAC%BbH#Boq1Pmt+G*@$#4T8Ofh2xCeWK6yz;&LwnUoiETD z5z3h;rY2l$^qDPbwZ(;~GxDG5#ExLWW0`5En zP84iB$!_cPCXtbm;T1Kl!|2R3|6`U4k+JuPSCpYta&hi)Ac>$Ix+*BO*MDVDFEWMG zWeQHw_t|Gb3M4$AeW(tUIGX3kUp_V><+F0iN`s+-9#g7lax{p>I0(W7-CCSXT9h*b z@8T}Pj0>6buoHg|%Kl{&$}X(l8vaCijhF?qmd(iRw47n->0B_|mG)s?KkWb&eniJG zRxV9)ITwIDJEjLmLcp-8Y_0;I_`W5O3{Zk9=g(xw90=r5)a>Ba;IB*KO(Noj1iK-KU!xdN|!03=7`bJu3`xWje! ztQ&MWXqC+c7PEU$j*=f|2B5k%T?mSO{|ossNFxQLRmE^+Z(6b=n67RHo$D|TrmZ#i z*_L2vvBLV?s6=?Kpz1$$;qqz(`-)Tyv-`G@XeTpu7?-cDj$b4eg4(m=SV!tGc)p+r zLn_j7#^QQ)5WClfwPgs5&*rFcb&2fkuF2`UD}Uz@N(wxhQI zzT2-)FcJ%T8pTIzo>)?=R&zlaT{+P-Le_j-|Ak@@xt9ePp7LUt5B?V7%z$|EW-J}~ z%J$bxm(zI|I=dO`Cpq|vP=QWW)kC# zncAwa^xKH}B$={-!Id`HWFqN9oBD~Rn2=Yq+VWr8@`u==?sK84W9B*20>*wJ*b`u) z{r)>WniR1pKh)gviD7P>7HgHJ&W!XI^rMi>s@R}5RK;hLl0(m!SU0z8G!sVh|c|Xk74LlotZ0SJwJ%Sq5bOw0+e)awgk`XK)usP)*5Vs3y8$gd3 z21Sy~FSE$*Tl!mK7u6mcCx^!;i!q3wmHaZOfWBtx$=ik)n|(< zID*17R)f(tHF7zf512j99)$g0qHJI!&w5#_LLDC$U=Viz8CVj-Oo0vUuGu!7bu;!J zHMT;BGUmdJAH>6RQw<$`O<22Sc^d6V#keLd)>_V!T$OsP6JT?~G3wr2zK1`++YwoO zukd*xcXph$_E@e?Qfc4@UFn}}i4EljeZ!L-c2*TgA92(3D~kjpudB8Y>WcmY|UV<~E1%t)PixIvc1Ap z9VJ$(_ddYlLg^HHkl`Thde)8s6BmYLn!qx457IsgQvt<>!nct4EYR&3?QT0RG^e==#8Mu~%2>(@jlml~5 zWuxrr3h+mtl?YYEaHmNJRqtOYcp70bBRpz#ylNgDd4t~sYEQVsj~4Srs&x0Uo~eQX zDPoZZfDwhwbzasuz* z>U8B*tL1lAcpX@9^>pyw=K$W-(RZWcyQ^0Cf9L1{)J2zojVo8YdDY>NV;ImMrOvV6 z;c&4|4PH3P;+*SSE{%yE>yGMht#clm=`PMyjj!Ey+o)Ff?hA0{dH_9O@QXiP=;b~b z%PZV;8uZb~#FG;KgJUp!_NkBJ%T)Y$Bl{2SyXzQ7BZDhB*H)}mr5}$kXB~DHXE)f2 zn!A2KU^(@hqi3AM{bk!d%@3dx^x0JxT<|H&XSYSTyhp?l*tk|#yV$s**KD6c?D(nU z1U~O68^_FWyLiC_JyCnoF1V=^%x+QHPyQ9~Lw>07ktEV$S+QZo1X zA1)2KT&?oi)5l1h8zd+cRpthy8f_IF zFRC}>U3}Ccx1`kCz*jiM`XURgVFTo`N|M7T*{(zSzXA*(eCAw1U0< zyarz-PK^U3Qq=~LBEQVm4}L+t*(RzXq^ zwF90QEEo}aV@jXzff5o75!Co9Q@QLBBe1zb60t@Y`&wdSkSMDQR?SbM`2r~~C@%$@>x*S&ws{-Fi=(NjR#V{UV~ zM`iyxMh+R0COjr8Z^ph*Y@7jKG`I8+=@@TI81G%3gsk1pcw^4xXrAb54idl=*3C=- zg}?xFn>+%Q^-uDd9)3J-?c`F4&%Q{ko$DLUF;uO_0ROU8`UoV3Y_X+fuV7cNQbK+L zI9*M}QH)cv->e~@*QZilGQir-1m`nTSZkXmnLuk040SPN!B9vB%F<`hb4($T7*~t7 zTeal)g`yh>e+*%a6$q4(*WuQ|9*PQK9OByB!tjrzB8+WB53qLm#i2lD1Kb@*B|>R- z?J;z?O1Qh%eq1WF6WgK@GNCWQT#}4%Up21~k$+4noS)ftv}Vfw7Fpn=%8zv)$Ro9Q zz1sXEsnJDpm}!mFRmGQSyE|e?2z07CUaT?DV^ggIpL51~j*v1&l9(!xh8!D-nzhSd zr7&Ab2YL$Hrpx30)5t5=T<{5(0bjxk2{}dMyH72{ml=Szoo>%etYERF7nB+7B#ga< zhqk>{ko+QwAdniD!Da~QUeWDiWpvwfA%GiuduSi|a&CWN#OkzwC0Z(KkyH)9`S)E{ z_t1UD(k8uHVO0T5`5gyrm^b7bDI`->yo`?U84J9yg)C}B7jlip+f5fDZD+BowA_Bx zmnSe@sLa!^V2C_x!T8gCzA+_Fq~a`)=_Uo0rRB|-0aXHw2;4Nae7})k>S>(4upe|9 zfqDGoI%7GhboM@w{-yZ zGoM2So#O$7T(DS@iYfr?S%TRXT6eWVoo~Y+0si#-0PW;?q8#P+0_`A4i?UD0z}<8N znK2`+$E^rtItuotRNAF6-u+3FT}bQ_+gUKt!dL;>jSyj%Q${We4%veW*-hU|+%49> zGHb{mo&!r?XQ0IHE4X`(;g_ViDoevXqX~s(HZGfCpS_y{uEA6)~9= z|N8Ad24S-(Q=nEUBCoGQw(${&Rvn)?s01lqF?w7B(e}<%wUwIC6J@66>gF*7$|bVh zfWx6RSvPPR(7b87rq2}1s_6%Xkx`(){HHkoP(Zesy%5wN%{eoCC#l1DzL zj~4Of>W%Y!khW$E8qEDVQPq#f`&``6wqLhjkpm4_$m`7Ya$Fo$g z&M1^_m>oaM7fD_GS1$Dz+sQBH-JxxN_?T(+9ksj0~DnM_YV)6nIa@sV#Z zuFpPB57L$;qQ(}nz&1meLfV+g_C;oYL9wgX{=#hfexpHVzg186n+c^um$lgqW`GA_ zPH$nYc{&ZeQ>3^9IYPVAA^Q{F>H9l~ASZx0)rB&X(`KaKn|ek$r$Dl<0de%!(`(}G zNZb-RUL(Y~PmD;1vdV3n5rsfVX^+S*M7Ys*{T1uTwRp1TVZPqurCqG!Q!5F}zEN6~ zd)%(oS(+9S`MY~nD7RD`2jt)-YGpK?)B{Wq5Um!lZz{ocwQRm-!hmtd?3{+!e(g$o zz7ShM9~&T+FlTs+b^K_peETUKJ@#=H_0u`1IzAQjFNIi9Rm@T}zf_F;@U#?d(_-}4 z{wBb=8ivX8IZsH4K?C;HHZoo!lXn+!0hd9T!rGYG_%iTdLV^t7Z!#2;&v74@zbicK zxLna+<(A4ya$W``1{lGrcr*)$w{kH83G9RcU^Tc)!;UcqJGkLf_kb}d-PLs70xkB; ztgxECTr2&kI)K0#1O2vIkUNHsj-?=kV}q~Aj3YX6q)qbNK*R1Z_3kVH^JhShC7FFe zDQU40%-}MUQu;vw;aIgBMV??RFX+Cmk1o%QdPP#w_vSL{jf4G`s;{Q_O^&(>5Z!eE zgbkh>gR(FhH$*Hf?5Vp(n1H$Y#0rGTUN5RzP2!ku zY?zvY+kZlTP|YZ=8oDq@DX97*hXn2faHYgh{=s+KxGch;r)uw*+zhyt1DaWd**Da{ zvfQ+xi+zBj5;fh+28NmC$(oIcn!qadtAqxiplBJuuLZ*#Vp4-qZ?Mw#YBFoh+1WiX z$AZ>AHg#u;bzi z3ayT>P~>48{vvH%_5?SE_2M~xycmVFQ^*iHg>dZDubr)M^VgS>SL?J0w8#bc+d$q@ zK=D7O2Dd($Zg0NDN7~L&MrzA>`UEpk_rgI#AU^>*3}NskI4&5v%uR*mpy`&K4Tef= z%L;R!Q1&RYJOhIm=Y}k46VzDx*p0iBV)zaiLF*$fCuV`KSgPaB+W@1+Sb#R=3=Zi& z;IEq)L`#!VwgynX-1tQ^7VmDg2NumCQD1@BscFH&Lje5Gvdvy2m^7}t;;0_+eEI7qhu zj2Gt^q+bzacaXY9#5n>Bt*6>K&da$LSV=#1zS`#EERo`B{CSUeKFoaS^zJ9@;4=OnI{$m% zObrPu3J-A;Y|Gc?1B6L+W};!^zJFT}K^{Uh1{wn zQOQ+R74O&7OyUA+Zs$~;evC^fAk)uPLmFIMQPpjbHx`j02JRQSwt67_=WA+@&6DIV zk#{^h-MoSeoJ~j-hZR`KCRlLnpknpM)f^6>aDWoY4kD>#aYhy9SjC|N_AA-Cf|+2m z7Q9+IKtZz<5)h3%qxPI(khdWf?qmGlK(EEWe8PQiBZ2Qf?u3i`yZkBD^ zauoI?y(7tez6`GxQ%6DI82=Xf9PX0_P0_yw;~QR) zI8XqToOxjtenAaLT#(lZf4Z|*yj2vSG8cxS2kQ6M+=oykV{_ETN{pu4gZ z?i@T1I}oEsp_m_Hc0G7dG1GeSU4&(V+xxZw@s7};tSbl}?Fi_^5Vn#UtsZ~+4Zv8P zW8$1cIY{Ti;0gJ}VBW-F&X_%qAIM88#_puk?G95>x3CNqPw zF?>3xOlB2-9!=cUn>TotO9biZeRa)gN;Q5@jO0)8$1D5PT>3|pq}B6h^i9qx0ARR@ z(~gq%WwLBLaH_C#Wnp?D+|10_ckv3iHaBYe7d! z^0_kxWqjyiXSFr^n+L z%2DVH(ZfFvZspr0GQb}6OV=KMW!TIG*)_13s!&M952|7VL!1TZ5*M?8APpcg_h^FI zCIs1KG@;H2G!;mj3VKrt$>%I3xi6eJPo4V*U@i~_)8_BPolja2z=d`Q!Ol8{beId^ z&ROw&`pKzNH}?&}kWjIK#(#kr&4AWt9UjQB@@{U^RXOK@?NQ-+Rfo1R&(~rzwO%wC zV5voH`Z#!AIe2iccsd}P*K1cpag#N3$ zKrWTAo+NuHL`^G!?Fr_N37Q-=gMij1oswMZzdt)VP6vDW$=}V(fhG)ULYZRDlvJXa zxEYJcHlRT9WA%{J(IRC{JPW{@q*DlE#zK+YKpSZ&!c8imM+)Vx4F!T5Ge?1mw*_Sa zUB7_3Pi#PcHrORpN%awycNCvn_600MWJIo^ohME{hAM^FcU6pb$&FnN*;7M30=VH_EaQNCP}J zie_+^m*BU~&q^%IAPG#B)Awj9=nuEoUsENzcpR(7H{X|gF6pQ_V)X(BWfv&x)MND6 z{29cnI27Sg1`EN&D)xP>oj9m%pJ@W7axmiz1348j3uKxZa67Yv2n-Q|LR(T`SsHNg z5!pxZ#fGVteYu%{V@+-PoH-6wt=KN-S!z}vU9JOSfP|bRt}_p6fWE2%{sLX7?FaYg zD5DpJp~RdfG>{LJmt$tAe{p7Xk}y%TNqii>Q$C^C-}eILo{(*rC2?v3mMHclyY$8M z#Enk+IMS9|&=Px!-xOrfxKfI^+)^xH3>l18Wzi!N0h0pKSDlJ_G0D!P0$nGKR<%X7 zWjeUnUBn$!i%d7_hAUrz1Z5UdqF*!Hob&F#xAT? z0TN`sK|~xEkf#k}=y294gvjV>i9}g$eia6HeSwBA@GL?A{HFnKAK$mjT7&%?(x&5naz@L?g`t}$C?%Vsey7kd6@A+3Rt zHaAc!90zlmn4E|(`k4ug$uAsb6@u=qTjd9{XKGewj$!_}VtPb2sIlNK>V`XJmqZ8B zX;>@$kex0AEnyYD;kx0=w&kN<`#^C3H2aE4!P*4Ly9UzodWwYd-49>UpVEg}f*=y_ z6?3+PK*pnIi@`pBnUS63C^P&Q{%|mj)GRKnH&5HFV_#z+aDs^0JcdFV%Pq`l*&z5f zbh`iP6}}dQu1nVofNQk+5DBamCN^@}rq|H}=v`P(L^hy{lr2Z+MUu+XHZ)Knc(va^ z*%ZNb!j??m7?GV_w1EY0qE!mg@FcwuxK>R7on|vCdK6YyU~JS>*ppP?g`J%gUgrs< zw!ZlV6gszr;+7W?sO0{?r{4$z_koi8WMEts;d5h3mRMvZui=cfqilb7p;Tb(v zHl{oS=8ur$X9u9bYSef2i*gO?3+3bUGvLDPUQ zGeyS0oPuG%E~8M13Y2S!!IW97NI5fN8mGr{1T7~sLA&GYybDX+3`R^C*k7?Wz$Re+ zX-X_`HWUydI;^evOzt3Fi+(3~<-~xY@(5tZc&YK($F{SdrO?)Vdm%_E+(uVF2IXXV zv{*w32!Ppn|9MUIVqum(+*zcQuyV)$8klzcE%r2Pe5kkW^iG}kAm&ApK#7!@^@Uix% z_9s^ZSdgryMQ*xpOqy<}epU+3DnmLP!l8Yg#@gL$8mM>bwwoMm643b}D~2NnmhAig zZTAEzEx4iZesM47!j$T1)-XXmG_Mcpzcwp=SPhYam>u-FJ z1YX1KU>(AjS(@X_ecMW$cC>-Jmi=s^k?rJAxVe^nh6kR2$MwNh=oI z;~W;_YzNocwZ@kpq&nlTTar^;4_6#AQ(9b;y(g{!AZmQ``Cr?wI4&&MYa8d5s{YgT z?4U;~uMzjyZE-%u_d4A@ip^T~x^f}liMM?y6z|tfSC@l=zJB6GR{dgxtnYmL+M{n% zoto49ZXBO&jBPwdZOW$n?fvF|007#QIztI~jEgOx5DM!bYh12QHat90D?Q}tr{L6< zBIIts2QBZSnP;lHlDuMMLi?jkTl%Y7kW0i@>R+){Lq#I&-WEOZt$nPOE`~k%ciUez zc8|z3*2R&U`DYAu=I1CY|9AM*D0BedZ2ERi@{G1>VZ8fGpe_nv7Z!WQ>kDPH^<&gA z4OrBtzaG6?3v)UHzDK09G{j|#Wt;bm@{0N});R%LCWW|DaBEk$J1A;<>=J9@nv`fK z;o`3h zs(A0q**iwrcBh6;auM7kqag$1AKGuW80^jE>x_!DTL2INg-%o>VNBPW2|1O`BPdHQ zKyci5G5%sO`uxHT)V1$8;z}r$pR-P*PKFyO)U=K+KcF3qLf90zEf7e9kySqeU}vm5 znc)(r1B^Mq#PWuH027yD>_Z-YnHg|fx(BPE)={@d_CCYqkisWiRXj{i^4V7aXx$V% z$)ctFo%=GVxCLfs;LBvHLdxF(#!yVRAnZeDi)E^_FKQmld|U9#VP~T7m0bhj=s|em zlSnOlh!Zz7rSws#aV%i>MwE5B3BtjqDLOKTqv8OF4Tkm?+BxbXsU^b}9F;_m{4_IA<{Xx029swT5Sp5{lrL-XhO3U2dBHd?#Rjd4$L_>1LfE` zbqHo!0{)`q1xrthQ2M?@M)-;eSewBny)CB&X~*0>uF9<)?$&PXqS4 z1>>fJ3?ERtR_9ZWI+GrrDHv*F2d!{;Ix zdI_qO=kvj1tkTUp&c19gX24K?YOT45R9Nj2J}&u&MqZh{3|Yj-?Ijnxvkw3Mf&p@w zv4J5ojhfRuKQ+qw6E9oej6uy^((f-URmXFp0mVy0F42Xwea(Z!=2uz$jTjoRa~B}o zS-YZA(exSXrRTZ~)SpB2iITNo?ecZUbU>*dG*4=RirIORDiOZSnj3?1#Q(GH1HmlX za<*6j-aLW;O!=?0^DqEe!Sk65_8zcQ`oxQ{`vA&fp`yWOpcbVI2xY2cnDe%y%5MQ_ z+Pp;TQP`~1oH+x+PHCxX=MK9La6g@eB$RTn9D4ZY)0drVmoT=Sg9k12y50Q;f#Az` zTeocY@&F4_zGdAUpoGCvPJ88e9xA8okq?TfdkgJlc>oKi^8>#D!jv;eX1J^i$tzU= z)!Qn^u)- zV-)ylBKoW2r6PJPaSX{YSPfsjz_?WOEFEo$jWCTcXHZZGXb%y9gw?q7K~6B>6Jys4 zLR49_y>=N-i?!(%Wf3RjA25#MLCQ2-+YImsVM=nD8Lh`x+KZ&XT3CGu%*W7_>d5C( zvf5iS6lNK?CG6d`*79uQ!+*k{^jc0&`jftQ5?U|g&|sW~0~V@YOcjDMA1Fjn9WMi> zZB8;B#0Sz~MYC$^ztlXJ3l@Ab6BOV|NZt1OR*Z9=;~mc5I&_&~$44+H#cm=tj9h-q zj(Q4KYza}5VACjvE-tXia{F=STmk^@@<%1iS|gtge&9IRDMP>q(EtBs|I7j!J$tYi zKvTxz<*!(FHmAi6Ce-&a_Hs9-Tz z>3*!IaC?!`@<*f%hKRSYwq;9q^#U;igK|mK9@1>JzrkwfFED>aMI>_Ep5*nQff%R| zDz}=EH!ZKM#L$Ah_*OGQE@OKgP{I7iEbm0V3=bwNpzWFzz&pT@F4b;xmp{^_0`Ljo zarXR$dRv-l7%+8< zSi4NuT`d4~JO?w%_YW#-R`)j{6=N({=@53fK9B?so0ILXcHk384J^wxNguN0z^BcP1GR#>+25M-ikBy= z&JCKuBbSxDQg2WQfb$?@qF~kkNsG4Xj~*q&R^&28%xv1yaat43#F+^( zZ{KcDDjE^w)Jn6*;>Yw(Jiz^h#%?nd-yv?@U-BqwPEK04m}G}ebo{e4D9lVtswXMF z!817U)aXyXE0&k-1-kUg~HD zERs+|1s9y6LcBQm?p*9b5u3cyFoFIget*R6QzPegm%T&X#>+=p#`GmmVUoEeg z^tj;=h+E$8%9U4)Y`~Z}jchbea<)_U(t!1ajhJ>_v`xUVltzYF5;4!Mp=F7XJREcb z-=yExmqK;luo1ExhAiQt8~PEmo0Z7(C@k)2z1>xo!b^#gGUcU(6P*|4^_F&(@e7>m3KzWMNYzT2T$$~a~ zes6sy0zh@0rOoQQgQ~h^Di^Kjvk(%=1EL{84a*(upz7dl7%Oge9MEv0hhiCjHv5z& zCY%jdB*M4|fu{y)6%{!*ZbSV{wwDED zWKm}|bmmZrrfO17i)C$fFPmU{O2i~gDU-EvqkAQA>lSyMWWwyKwqqxR%MYhA{a*P~ z+_+E{`YJJZ*09T2tmw!q%t4xq5Zgv0hITm<9i<=g6RUmjW+x>n?y_XXIZtb=txB)2 zRlMX8uIm*) zn>=&Kg2T&1)Q4BoX^Fx%&fdw{h0ABoKhLJcEc+lb<4iRYj+xx*{Fa%H)BDF)pORv> zVp2qzkZgdDOnXuW9~ol?(uBwFgxaq?Z9j0{Zor!|)g6zBNJiN=mOi78H68Ut4{@=V zbHCdqP_eg%RV&ZLyPMcslUNQ#d94PfoRSQ((c-yt@4J+W%x5wCuDhFzAkp5LVH?s#I;HD@7<3c*j0yc9tyGR z0Bxz?zk9}IkNCo`I%obvkNVDe!rL{%m3q;C?qdNF{?EQX?HA%7vuxg3zZjo_sldbm z{~ezO243*lJso(q|F?jj#{37c&TV;)-$iEB9W%MWy{Gu-To<;{*ODg?>D*OEvK9yKt%Q znDp^+t*%-U5uig?8MSDRCTQ=(-yAi!AawGAqAb^D8y24k+Gc18F2cgMzp#UTyz=s@ zV|MB z+gmP$eOh+qf&2cUla;&vxhI2#p|dQe(xY=_m8&DIu6zY8E9|dy+p0UCij3>@sJa_p zRQD~umZ$u=^6el(`b?s3btCc6`0+ad6L+>!1NV!%Y!$1r8%_UsvHSYE(Aw>rzIu;O zD3)Ezz0<-8`fK}f<9VCotNz23IFf9n<(5Ikxs-E{jt1-~R`d^3Vm(5Y9cTOel(T^s z_YzXUg5{ZmN*(?bwzgyH1xv?fH18_Bu4^%8*}<`y2EXaCknKmUX#DG>>l0S7hL~nS zr9D+FL?KoT>%dOW7>gNi(c|aC74`SKW=3mkeZ~r=Xz5s$zoVx|@-ul2FL?hPxC*bV z`9OP&c(zsjjb;DhifSrga#S_$I^W+8gqaZL^1%H+lOp1#DWb6z%Dj18Yth{z&>=tE#lO3zgc_ zdYmu9_92=neA{KmzEhPDjT277&uO8Bx2nh_w{@_*x8V5m!lRwn%*Mxa7R2y%o)vdcf1}*jwq2 zR}hOV>xp^*SZWOQ?Il722f;^AiP4inB|c zH*ZTFfU~9@0uF?eTRuMyQuo6O!mIlD;Uq95yH#2PcX^!PAcKit12zt}UiZd>6qlw~_5z1Ep{0=O^FOQ2U zexjjp;9cjyMjbdGO#{nqoYEbv2?W|QtEn`VO1|e1`d^ERN}DPyTaqQ~`l>jeZrFqA z6PmeJm+NK~;|3-$w`YcYQyr|9HAMw$36T}buuMPWKwmj##*d>H?5%|w{+9}a9sjt9 zMm(s+(M*Xjt&bn?`6@Rom)P{qUG;ti(nnP!Um-FLcW2At0Jar4&!EN&s8?X;j zESajBOdUpOtx0{hni3Co)|m=9Z<;Y-N+QueK}xMb<)CB$zC`HA;p_&wj_&c z;GkW{RW*5sA6;e0{=(bJc&afz5UESElXwrJgog&4E|-#&{$Z+SN2iP2-`ufW-WM`X zORBWjTc_@K_gW9CYWP#GQN7E3=`|;fk9GdrTH(~lPf2#7URE$jJ?W+u$_x^HhINEEy#HtO*rCX(GkegM@@n>Hq3X zt61h5dy#C(D1C@yIk31$K}or7`lnolocH-62_c=%pZ4@plC`zNqpVGoG>N@ZlL}lK z-7wTdO9q*5+BV#r0wu|kx;QG)JkWyjeywg4gDuznciEw=*%DY@<7CXmo9f8N5$k{_ z_7FHLEgExIsr(#ZK1xEG&9r1P5JB<={~oNB0il65BD0 zv&yoq@bY=`Zr#1xrOa_#6Ug|MW|+9E%jU60Su%OKE-|-m`}f?GiDBZdnHoA{1>Z=P zO?+{X65Vi;^@(`458?Bn|K6bK_}$6ee2k$2w|B~t?THr2b+e5U@2SNI@HSPy)$8on zP~vF-ks!I&AIH(c_zuGJT6Q^)I7+i8?f1j-i);P!ER>`=tG{Y4plxE$)+|?hVq~{q zoD-zw6gN8dAobDc zgL7}xkf|dQs%cVBEVHZVn22h2G-p3H((38@0$Zt|WyzKT3pZlwpky672c0QFdrGJ# zCD{OUwX{mKr%vRP63f0y`;gUA_RYu(=fA>-;~qf?n8PI`wh|d9xCq^brk85m=SeRO zHAIXCv5WUz%qXCg8fW%6bZ7}V zz8}IPAEDPoX6hSSkTgvWIa9V={a+2M(aI<_S50N{oIfnevlij@(xn-D|U|H>a6^`?+SF8Ou)d!shH2|sd-AH z#<)&n8OxtuaxDZC~!1;)*$#G|4b*E-u-SN zB^frXDJssYdWXAj2ZZNb?)GP`Py{hvk?B#cDY((LRt(N;NMwkOR7s`_<()_j2Qy~!0=fSN{M5Oq$84%M)j_Mq5`(wdF!z_B zTkB)Qdv#sJJ}f)+;OE;Cu*y(n?Tc@T^^qw7Qq1{gRI=T$32 z=kZ>7uFQPDsn+2<`EWWVl1WCo@$t1!NlFq4UsuAV?KstAhUHSVW{Nj+L^p%Yr>3&{ zB{(C36<~F5B-rFJMJXz(P1a1L6XM_xQf!yY6B@rf>M1;?lq! zOxTgx6CRQX4RRxX5y}77AYc4j_rJrA|7TD5r*r;py=~UpO{xK1_2|@z{D}6LE6aH-9t9`!L0xm7_Rr{TJ_`L%`8<=I`yoD>n zi-TX^{o6v#_~LD!_y@4`i?>*R_;vA?zGpI47cKFt_B(~>Tv(U|i+ooX_51jh?U{3C zhcn0Y!^qCu532k}YyMQVuzl;1xv{mnI}3|Ps{DnUFN)Z%iia*X|1{?_v1TNpFg+48+-K89D4fxJU?O1o}l8O-G)zs|2$jv z(+4?AB7{pSVuF?*a0C~b&Y+j%{#ZZ#jR>%$W{?ja@H@#8d%vX`D(9t<7hzTZQi^p z%$M`TFUc0lj^-dEEPw4NMxii78MHiRr_*DqEB~(loZV)dpamE*d&mdpor-hr8!d$9H48DP{l)HUZ$3r%*uQ$d z`=0+|LjLPB&6zj!tBv$S&gy|x&)<2JL->ytzJ>_oQqk70pV<0i>wfI2AJ{9{rVFW% z8b2AN#no+{JPs`;{^NV1{riFo>Q;ux+WvlaCbhKKztW)=8QcCV4!Vg_^FA}=-wbnj z$QFxDTV5;--fmK7XU~T0EU`lMtI?JtQe<^dvO{>>720xHA~ThSL3Lm^=2JlNh#STs zPZmNo5E+~@xWaQ>PkxuXkK!39?T6a`oNA}VTh@I4^&oj~g#HrMl%JK$sC|^EW_it| ze)pYP@9Dw;J;Es23OgJ{U#$t)ZET-0mr@5At_de8;0FtIJKUXz$2|`};Rw<#*nmka zvXAX!!Fx*9R=p<)+0ya~yhrTmLO$4>VsN_c&FW4K;V@r5Sh$CMG~*g|Zd5iUOy zr&AKXqBenJ);A04proU0U~H#Hh-8o+i|C~ox0f^BZvn={DjXkf80bueb-(Pea!KEx=au^J10{uX|7s%UH z8Y?r{-C28ZdNMBW$tn?!d`o0F;h*S+*voz;Jh|32s%md0rP(P1D;VHOq~}&e5bqdu zHN8_{*-Jj2+gSs(GUyo9FrvrBB9^I|=#W0fwrd01hY_Z`%CJ(VMOij~5T&pb{<2t$ zUSRHfaiv)}Z+gH>nlnhFRQ^2N?_Zc-gWOxF>3*;<5mIYaEk! z`d)SC7FK(OrTRbl4`^x5Y+!XfGA@Sj^;!5@m@k)o(mT3l8Y-`kv-~MGV>6b)^(6^C zHS3ip6c@P$*q6+Z5%!heLf?S|j`nnT^?A=&iw$3=O{nt-H=TEz)Xr1fcBkT{{aM}D zehl^0PyPP#1-POn4jc9q=Mq=0(=)oP;qF>TbGP_DKBuXCXO=4qAW8KxmZ^jjkuRez zBh7R50}U-cz)WFH)EDraN-!L@UU3B+3f{@gs)w68daHDi>X=ohVCsau4kPTWy6uXD zU7kcUQD2+F)PE)LHTGeu4rG^o;DTL;F}uM%gug(Jb}9DUD$CaBtLN*uv)s+1dwuc4 zo$m=IF~&Jq_LxQ{mY=aX?)_?MZw5mf_3QWaNT$6`*}65A6c1NzT_vJw)QV?n(dX`m z@NH)B5!GGiB?AjrDadgoHpMI*a~PC@!$@^07(IxYY2-Y4(CpIkrXjI0Q)FDrJBT8n z(r5jPiy_YA7b$05U8k+H`+Qn3HSoA#_#8zonCdYqBJE=0(>u2)zjhZP-fyP)H%U-2QR(T`iGaCMf2K5Uh0D+hobpzAd~@X#^$XC&RgWh7o` z9EnUuOycb5FKmA|KL^AZQZh6Vj}Qk!1@>u>6-!3$J_}1RLh#=z4h`5%85KcRMwUvw zC66#~Zp+Nm)BZvUe8Z3$DT;q+i1~6Oj#A=$!wP}v{;#aWTTl{OJI|@Br#R+wtX%M` zaia~~B7-Gx(jDT%Y~UhUiD?*VC5A)|xygDFbG?|77fxNIn(A8_M={eF;9HH?8B0GL zx8{Y6$NEc^gruKexZ{gbRe;+^F(=864(XE`}Mn?{Flopoeykru`J`b5Y%0VXQ!^P)BVzK~%G*@d| z*8T-chia(${zU={EQUuIZI`N7WHRPrNGCv-0a;dW7#qMx)|Uq{Od}7JvaPPfl`ZfV z<9FG>IcIOz*vhgaYR`G#E#^j>Bvn2WmIn~yNWNuTD|@~i|F@@f-ci%R;cnTOq>;KE zpN}eaE&elSj<5H>C6uIz5~pO9?X2}oVf2^p>KLTv-cCAqjnY=pBlu{yYbHHIc*f1O z_5@+jN>eBQC<}E2qo_3wXsjV1g9CfRj!yDE>ch=(vmx71uH?IF2r=AAR*2=pNsPFQ zJ5K`7@gHG3!_9)c*$eg-7)hqRodCuX3B=3$FZN zvAN={+5^kF@H!ngvkPpTt|hKulMsgk!LD^Y3u? z0~e-NeC85a_x|g1(=tY|au|EWcPV}=0@tkva^-*j9=cVIu`a!3vU+?<(?I3eBSjBx*pihoMM>Sd45!SHe)%ob26{$O%9tB1e<4K33hS1f!OE6hrRHtU_1TSQZ1DKp7EM+k9zVlR3 z;~ECD%}CR#&dPnv_{4$S18z}DY@w)nSv;b0vOg{;e8yRhd-B9l&neBOO1CSSaZleN z=a^FPLQzuu0~*|iqVQHubaqMUYD=W3bf*=}w|Mshy1w6G+^vv6EYA|J?V;6KntvI$ z@h&pbbfiYU$0}%}lAvltW&IoGDufc*gJ)Cv{~zW$g$8qx|Bi@0zrf9Y7dQVV2{`0G z6dSbC8W*r&ZJbx&`p>4OBP236C1)T!Bs;cKejC1cBH^JXe4LdqhOmNOBu@A zzq!pNfd8T19QCK#ch|2uHFm*gfjKtT$CJo-#<>$^3u9G9*4=Z@+1LDY1WJ%A<7$4l z`^~vmSu?khbjf+qVeG%78Ur-oNkr zGVnQ9XOOLQ2Czo`_~&O2WX1%#Gz(+g4MD#z9AU*Tu8=H>+S)A1Q5OB+H%|~;mB}!4 z5X7?5HdVgqsR>v7kfOMut>N>0d zi4yl?aQa)32wYoy-d=2}`0fMn7+F{7>+t&no6|XQoC-j_EbCm=Mt?PZ{jPf11LEti zpV5WCFc6S;pLo>r_V4YVY@!xP4RO*1-J+*IBYBTsuOzN7yLwwc;*?7MpT#g7@A<+Q197G>6uetiYL}6&Y1`6Mh zva>7>PCE4t=d2?GA`gS_P9LxMW^LBVj~Ac(HmAzRgmm|~uFBs~TcuIILi^_YrCXYH zIePK6%$ebf#5zzWn6`=gx7)VCOVOXgxGGGaq8e%YopWt>KEAOb5iy{u>D$!vy~AYv z+Vn1omj3~u^;CpnkR)pZ&PFh!T{Lp*!jhKUsqnZ*YPEd_x!<%CuLg;J(^-A;iuVb{J41V=RKn7R>$@vYzQbU{39m0mbyAZibmq_Ac@B+R;o|0 zT+%!6VhJThR&t{xICg^B)WLZzp3-lO<*)e!4boJMmk>@#djN+JslX%z3iA&kjuy-M zSxOj%PdiTR@}&MD#35qQa=}^9%B$BQdf}Kt3<25LRwH*#0S4+>+o;WI;vvC=%QZ5< zp+(%8@*T9njtbVpj)oPn7l;&OXA$+8%&m(CP4uji=1m7wqLN`A{t^W98SfELJSv56 z>gbdA*NfL-TrSj@mC<+H?C2Zu7{GY#b!$tXsy_cdc$@opZ~99eI)(~vnTwRBpy$kGLgAQ+7Cu zy*(dn)V4x+IaLpCPr^BC*$kn%${&8J%h8~sOf+-%eS&Wgje`Cl0S!c~DmS3qES}`N zcDGx#Y`ntP1#M)EE?v5ngBB85DxhfU4eb)7A!o>X0dn7ZrdWw|zF?+)8hK&hL_Rlq z*i22SnH?KseV9Stc1*}H=?8T$$Y}iQR_KNnbI0i^8J1NrxgYCj7OUOci5MHdh_!5C zX#EZeAx?%NsCApC#>}~Y$K!r5T$gC>Ze**;Z`e6+|Ez7n>*LVpZQp6$o#`5pw9)(o zLkUo<%5Lc`-O52)l4NK9*Z48r9stS2@Jk0z8A(YP9P778$*9`hkyH+uMJyN$L-C>DYBwsCUDqWYAmw-C% z#XBFfM%ZcG$Z=I(Rq1@wt&Wo!WIZ!m?+K%)yShNxNcDYpN>8)1pE&AsCJXP1}cD=kDttH@bqpvJcA$akXMo-WW~r_opVE>r%t6 zcF0oqK49dTiSUZ)t)xtTOa7{(@P%SQV`Ss*)!YZG=kt?H^Noj?z@)=W1dV4<_YtTDbv4hRqvSAZLB25|*T zVR(;tf@;_rPKklIelbBx_{k0A`_AzusWcSBW1*$7n%9p+~rqZ5^8)o;ciqr?zGWcK-?`8syBNJ1` zkMHtGmDYNb2zWp!8b^~yF?pKl{D_UyQiGy9TjI%WLDQ(Q&Q|$*j?>R*svc-8ZI_~N z(DjvH*+JjHXd*T~rJ<{X@=s(*jps|kyTv5~EAj9o80+4@5rILB9u~m0EXCq#s$qyK z4?5zIZUq{la)vBHE7Qw|KBX$>PXJNSME%z9Fo@UEWwYRtJ~rm-SrNn}T<9cP&7U=|Z*`a;e~PhSy0*RESOHsB7LCx)Hze23|6TSq2?!={m&+ z)!GF;t`(Et;+(;*Q{$+jU>mg+=sYZ|6hT>#f-^UE#Hb@M^wo+ z`l=V+SvKD|y8HniaoeEwRDo_i+pEUcMN8$YShL=Qq`o|x-@kXB3$4CpTh6ghG&TNU zJU#H~=efLI^{t4UJ6rI6+o~ZBm?J&+x}0wOft=i^fvnP{)tV`Jd4UJ-kNBpJM>8Gh zV`7*0SO*_G!7B(y7q~(5{y2Tn2}1G=^=U5}_n~p@HDY|J`2V(og<{yU(35zi@kmD1$;S|wql3YpxyGe7jIOy<*qJk^z>6ZNEDNpInD(?2=!lZ$^;(YdbehEwl zCu%y+PSKf0OUDNi8~Le8K}uAZMtiJT7(^#P{VOCJhEtawwidR#hM94*1Npz44Y-c* zc~cS!Rmg;@qbyMb2!hwBN=vI_kFkbOX~I;X*XHuHnpvHkW%KSOf#NU0S`rK{Q2B`M z)OW$}-|J>k#+O?T)X+_4QUcq)P~kC=Uxk_3H-;rBYdm`0n-k5JM@nqDob3WP zYP0a^%$?O5V2CBvBoElzk2Yw$sR)=w$!H#reOJk6-|_;s)UZ`Er-?7^snUOVy}mF1w5>QejDdRK!KbJTomvuI4y6?^F#J=Ea2?x0fG7c zl~up`KN>KEqPQB*5Z8ZU(Bg-WKy?gR*aX!v3aVo`79MWJXMJed1Lo-pdl2*j0$r=i zEcL!i_a|=bVEwVa@lBtU)SWf~CKi03rEer2EAv1lLF>4_DR!5q*jw%M=ceuIKj*Z* zWL9iYocFY3z1B3(x$LbiO@At%)BKj_iK814h-{XJ`iEY2E&p;l@Xff{r4xd{cprw$ z6L`J#U%pn(DnE%~HYn!2L;e=5YGX3^S%0y=w@$ZoeeGhFknyu#4f^rsXRBSSvjyRc zj*c#Mt!s*1u&wv?i`_;4<@JW$q#x?v57O}N6*tA!_Z2g$X&`rB1vv;=LIGb zi6{3GhJV=Q=kNgmnMvizMChGjQAyEX^yO*w?g)kUU>jsnc@ z7@sx{yP?g){WXa((nHb@_W%A!ZE&LPKHZ|LSyJ3uJ+HN}U;B71`H<*^?(6qLyM#Y> zci-#yn)v$pXABz@#G`^1wl>MQ+p(Eih&EG^KE(Qk^Y)Lus|S{G?~{+lYzXm;FNiYz zTKqYL526-ZWk|9NEV92%2Sm4%jVfv-lZ{MtJ<=*q+P~--1`Y8d(IQ*26Mn>N-YFg_ z2Ly7=HqaT*P*;*Eg=q7Ql2izd@Z=UI(bomLoh6J0gPLtjNnckR>|?63o7tl#pYfiS z6@Mp0!b$3(X}G)gP)+OBeB1MWTf-h=d_e~MdHJ+e%tE!(L@UFll3ec>6bWD*Dxk#FD^>& zSuj-=*Wcv3gk%*z*)6fd$ts?qu1(jD^Z_JOMOAYs^5cDasxdR1N@t*-G;iuw)v;%D z7B0`Ad9q1D*v1&>XMqb)lzy2~k5g8p&AF7(W3 zOZ756@*NC@z0zq}TX>O^P-AfKrG08?LDopioeobIc_FEg<^ewAm!LA97nvaH8dg@% z4#7r=Txbs`;&Nr+h=dc7K}jty5O3vE!b1UPEkp?48hBx_OAk&NW~J;m7{rNiBtB$| zs-qamqirCZNcvY5xw1$en8Nu6BOw`!kGcbrA8>idyUp&!K!A|;^Y{A08_Zzy%Cm>3 zbzs2}A`8sIL#|uYye)%dW3szqNpH8Pj(AD)Hqa*DlVVlHUlN;~mu<^zvWlo{W`@W%^8JY)X&S zv=^F7vsJDJd(#~s;jEID92ec0R}x<}FEy<;FT8Lzx5Rm9B8mub9i&nIh%bW5g7NSB zgLtTAW{%2f(Z3<1xhJ=B*l{}HE2N}aot|DOO|o>@w}gvd;$jSn1`4o_3$|K)ETcoQ z5RzIXU`VCRDo7VqpEDK`bu<;8$lz_WUJwSBD(F_7GN4||WN0{JJ3JPf{$?HuQ&};} za5yk6YUO9gXUR7bv^Q*CjSq5?>;iH$4sCz@Y_-n@Pn88sPSIdnyZlnL4YLKgVzXh) z$O+jHZ@yDttlPcSGeP;Hq{2=#KG@;dDp9>O9V-@`HKh0f^^Y7Qb#@T3op`&r4G{F@ z7P_9-w@3`BT)_wcpp6{;+4M-|a|->UOx>%g-qoNDbNk1W z;j_hH9oV@22&*WxrHL&G*cyX^H9Fq_dy@LQqU-V{J#bVgm~TA;WE6}#%$@JK&Ez$G z&Ov$kFoiWPx)lnKq+;g`#8|~vmeY2t^ZC{&?MLV>ECz6II`|o_bae4S`U?h&jUEh} zKLz4(%p^{3F`ZbvM~6FDI30Q>HHmWy$5p-prD}mIw8)T9_EZW3gv8$;j{(nCb6@Vl z5yjv!m|==IYzQr?MOBe~h}pB)Loc38BI50c?|%5Ssw`u6dxLc)93yP+9<4kRJlW-QG zItHORQe>t)8}TF4x!0^QcJKw6w}c7S1C6GYMX0Cnxwg z#97TGm1qO!isPZ~b#KUH{vb}JEZ4-$+o$OI-jU98wMxwr%O4yeSv1tZD@N|kVD5;yNh?wMFo^ssp;tTO8y*KdG zNaWza9)`R*+ALnB=(2bibBKmm4R{c$Xg%2=VD7zHuoo#(|Am z5Z-nSyj#0N(os5v%V;jL+q?sy-WylD`=9qPN zhp%ETAaj;3%S}IDmOQ4^yT*0t19DQa&3GTbCc#1ewy{E-YE}Pa@ug{l( ze`%-cV_}|WOx0CKZWq?PsIcK-mMmN@-8;~Gt0sz@xMWMQzAoC_H1J(K^U)bekNH_u zdORgdTgoW}C}9Z37hW6^+O87$XO=j5nN>C5nRVa~e+Lbco07j0mNn9?5Edh|!wJ@(Ipm-|wII8>4Fq#DGn>PR&Q=$} zLS@3>s3&q5soT~5$)H{Rt|bYW8%(oqFrXlF-Ko^fWR?rU3%zPQL$#^r#xgKT&`SgY0Ck5k;^;PECx#$7plZjo^80nUL`zqXI^pb zsrfc1?Vp3Im{G{tsYhJ2x$^U)taS1r_YBdwHU8Fli9)y@in#tMhmG@ok}Dr?tf>da zi=8@7Z?$vm7}9=6EfxNlGOEIv*-YoDND(b{F$|ek~&V?a!|c<+YJ%@$KYdWDbxbjR38B z`@VcN%aX*PjOco<(usUBFpEt0TX~Z=iP=j$kJH|qHt|Y7^eDm1b|FVzH6{C^Up^S{snt7R?PAB3HXP{cg|zX zuKT%<@B$tS41L|@7aF)m;`ioxTi}}wzFa?lou6olljkq;XD_$hU{+-T5lR{l7j z^^9-8P|#n0a4h`m*uottA?IudW`oZi!Edt;|AGF$g8A>J1>4Tf>wNsLzDpB=mnf&t z235>i{qTJABi_j4*@x;LzGw}eztIm7$Dp={o2M3i?~OPvnftH<5y!a6WesEgKRgq# z%#`DIfq4@j`fYXlf~DBM5%9{w|17;=wul(13I3t+}f^nSplJ z&%&QNA9D|B)M@I!lsv~YfY*Qg#_L0EN_wKj*xyxfzfEKL<@brVlZivF*~RBR^P9!W z;sZ-gal5a5`sb@eSCFhcqr(S<*{eklukH=gMJhXIOE&lg1P6C}5uzUH@V zh8Gz+OCtBDnTr2S@6W|j+5#|vJyNN!X?|#wXr}i8^RL%HANH2 z9VbW8`{hys$(o`TzFGfj&D*}AWmwhBxKC-({&UXMp}KNSKcWC?`kJ@&sczBI9T{?M z`3Ti@2=W#$9YC_oOFwHT*@Rg8Elu~mr@k;q1SkXN>lg02VMr7bYD%;CnmbQ>3`+@G zd%C4SU531K>90kktWf`_0^6OcyeNC=7qaz!x4(Gt2BnxG_;Om-LH@_g23|7MM6!{0 zhf)*-oE@Yd$DX=8Lcv?`M9V8(&{^S=Xu?3>ZPHf6jV?d9nUwR_z}>Aaw~el0ZKhNh zI?ay1^1u(v-m#iME`)WvYzE^;-LO>!Z%D8z9@rJ$Jed%8>Sn9y|kg9tL+$2`a6JR3Zn2*bQxKtj`6gujMu=>l2-K&KfJe?SuWS zwTMUopF{X!TYCnuo0ng`{LS7A!{6QPAZ1NN;J8N34aoslZo&!1JUd<0q8h0DWt}W` zogb@)7Bo>GWnUqY$NX|w^W(9$(;1ZD7M&c!L=V{8W4u)h9jsoow4|31cqx5M&$m1k z$d^34mn~|#p%_ay5O$Uz@?{*jnW{kHC)-P3L-MLUeZ2hvW?g0REpN`#BrxYMa3jp_ z7SrJ6KYvK^QS~)lrzI~w&6Q$#^Z@W5Tk=+#{ zYO`Oqs;FHFqU*o6)}bbf%g9efH)uJq+ryGE>#T879~QZCWe7UO2~0lj9=fbI%lVBt z@@Dt76{XmN_Kmyjp8pffEzDja3(Ink#al>!5!K_mqQA_KXuTAjKk)STr9qL-XE+BF zQQEYw-A0QzWCK5n_x!ESszO=a5;ojfDX=P+jgF{GgW_hW&WQL0Pw2UkA25_jLRwh7 zz-#d}S4}0r3RL1K3*v-qYxgn$*rx#5z}njOqa%ot#hXexP94FU$ij`53}l^3gtyv# zMAmS%Sm?|}euVZCAC9;57~LNgh&Un1X~=~%l?J93-(tV#7=wW_-|%EF?>T$ zQ3kA)u~83ZT7Y&ov$toD@3glXyH4-nW#lt#@I3$xx3^2+@@oO;*@ZPQW0juqUiS74 zNzT*bVtLFAr}m~od1?DQ7~i_sQMt)UKu?TUc3;;$wG4ppgz}w^f_=2mPO^HadaRS4 z=!Jg@%UT)Bw{#a4Aww1crDU8zeBdBvAmI+A%no5;Tp=ko$E+^GhPZkUAmKNVBLlKI zN3AU#nmDl6C*F)odq0pVCilS2@%`8h%51rTQKUGHNa8X}8{!@4+a_r`uG;x!h-{=g zCv8|>Tj*e?6{#u78uv=Js)wr|lr1M`<3Z1cKYfzAJefNp|6X%9Q`6ZmK`Wx>L|7Te zETn>|j?*?~r5#p>OM|@6uD3yN! zAY>4SW=4VbDL@}^XW{}_KV{jn!@?RL`n$AST~m|p(ZM06fW)dZD@YHri78rUFxIK0 zJ)|_@;Drm-V)esQX6jWL<_~#Uc&W0edJfqSkMyTSjC4XvrkL3-W)+&nTUwNDnK{gl zK<3;TUBwcjHNw5!25Ikb_Ys%*WYFeFLSiZ3$!Tq-1y$T2P)w?)&4>(7>55PCc}8H1s2>GE_=D5iDGBpDhp8HhIKI&Wcf-C z&48^}CAX>~oG6ze;LV!ZA1N3WLVJE+HDlsde747H;)d0ENVxHa34=!Ol$AkSkCpe| zZYXSz7gl;?nOTIyz_2Bcc(7g3NStVGCjBvD6|{0foA58=^x@kK`DOEJ!$e>GJ^v4$ z^}+I8!_vdFET6nGjM3X<9rcyf|14NmJTw&v%!11G?Z2k8w_D#@-~YkpR?`UyxCphqM1ze(@L8knP96zO78>X6p^xui1OSGdBFl)U zfZ3(%a#v3d^;k7lx`@81B05T(doroIK)zqkl8;0gX8P~k_}Aj zEFnY#qIK*N;~3s^>|eipbDnT^hLFT?;S&)mm7m=fU;vsWq9n)FRR9biraLx0c(0qc z!Gl*lDbaq0=$l5VK%uPNBNO z+$+z^1oETBbx$6XrlXql3k{457i`tuVfB>7x=8G(JDaY4^1hc1+j%+}{zHeW=BfTM z)H=%2>~J;1vjRairzL|W)L~!?=56h^_;bkA(lV^>nl8?Q@l}G|p&aL^3&u4uvp0q} zVN;f;a?$J0=vGW>DwbK9)6#J`9nSGdQgK^VyCSs*{V3gDER|4QHh4$=m}&yZmB1jE z2r=F+2NCw*+jJzG*sR&N8rwTbv0>bc04}LJWlq>_PliftmBv*v``znPTjS2#lWi&Z z-Y{8q!i4}YR?|->&@pkK5enD4pT#eQL8hmH}rZ(#j zz8ja~sT`{ZS0@oqYKRY~crju{TO-UNcddAT?44Wk1d6*^(F;wP6GQ{0bq%F=UweGb z4~HK|r%CWOgz}UlO;fr>w+B|9XbIZ=BITPmVp{Wp)?>myckjWP%xNz+i z%P6z%p17W$y!iDi0~+Ky<6B8_|LQVq6JEC)S5aDDSZ6g@OskD50zbIIhp5m(ERsTEsP&3EdADg!hJ?}pH?MfDMjMeo{T-6|FjUoR+niv-!4>Unx%eo+Mokb_-sAcKOvL zN}N|{cw&VUrC@q)s}6&W3$^fZRuE~F%f0xu$1p9DROmN1FH8Q$Iv7@XlJ1RDi4 zL?gZd57STrbz-c3H)RcC;e^x-2a`+Dc(t~Fx07Y&Zs)I?4j(^ncN9dHeph|L<34Jm z1#n@2{k5hjh4e@EM-n$vx6M7I+Y>+0dEL}8rXc3=q;DF}A(bMg^lwha*U^$mssZjG zaWCBvn{__wAZE1GNzNc4i9^G%o@z`df8ZxVq(gBEwS!sJacJ>2Y)q0yG8zVs2f)*B zDC(kBUcw3Jim8l*0W#3^n?9*t=oXeuBnz=oYBPNev&VK>QBP0`aV8?M(}mhRjYYi7 zVZWk?%({3krTlVJIlH#Dv)UbHh#9&v@W!m!Ia&lk>HC3|#ysJMzudl)^tLWW&`e1C zMyx!uq^v00$cZeHznMjZ0NIV&ub$CuF{7TUxDdn4P7#VMSU6!A_=ZXS?}6C0daaFf znlWFw!37;E*maHLei+DP^yW-V@fxGfWmSB0G-tpLte|idc1uHT=iMz>-mC*BCz9B5 z{jMvi2?moUN|2|^FMU<^Vz;Qouo6SZIDPr9@$f86L&45-k z#4PT1-UHLH6<$;+yuigRyRkxnIhknD2x4nCoxAMYBgqC&b=CI@Tj#q&%mjfJ?{O$A z%;ANu?*JI`pB)6uNm1^ZXr`pY188`Mf-AV7$2WD9Ra1{?Y#o&xVl{`Zi_Qe|gy+4G zB7PfLf7p8=MKdW3qJGI1HRuBr0*Jg&)>sxEIIkz@sQ#vk@&1Bea{&64C4H>)xSBfi z_pL&CnI;j&H5fg`1t2^aG|s&m7nNYsFcM7N));G{s={JHzl!a{t_dVS5^}L3O4+Gv zrY{c7BFdYFt2wFgxrdwtgQA5zS%}*(2Lx>DY1QT-BXEID&6i4qRW2< ze-_2K$jo_-{_&Npx=wd<6{XK7uMJx!$rFhVb`&39UyRv!MrbPAxdAP{jCPJD)sXOj zizkr)yak*dD2nxBG&2k;pm^E`RYPGoG0t7GWwr+^W`K+oVmqP>Kp?!oWU7rC;gO+T z_Qb-96-T!*^*Cl(M_S82=~a)@`Nh58^YBtN zao8cXj05aYP8uoUU=2!SDkGCjktx+`1*fO%G22Xy9x1=Irgo}+3JpKw^8_L+K7p2s z3~)<2WKADn#69h0D-aER2pt2VD7Ja`mzHMF7L6T4*bf3z3*b8@K#U~L+;+5TgWiEe zGxyJj(g;C&b6q5=Mn#YF%Y7r>?dHnzqn?Av>Kv_8>abK&L2&>Yq0@3oX!7t3{oV~F zS)Y3gs@pzhf5e^s5B@)@&IPQ=^M3yb0c=IxS`X{$p(>uOu170aC{}9=3N3P&BCxGh zTM*fhLpjE30BsRFOQhC>v_goGQ$<3`AxW#8if`U`!-Q0jq_ZM}09i#yLr(wuiQ9hr zU)S1ewAW=JB=7TlzxREAZZXXU<%o}l0nf@C*p|$CFomb-ulisk6CyBU#m&1ggw#kT z(9+?^a(7`)g5icRT8FC;HtU3ci(SYww=YcRxsCU$Mli1=DIEV4Xs+GsX3(&JA9JY7 zq0ov2le*={hxKo@hTxL!fHXBUO}%!L#AW_l(CFwmrjo7XT6tmcd3B2>x$h^Fz)CRl z)qgP4aQgwtn~P~d(rm!7p3ehV`oD}`NZbOf_|!*6I2rC^cHw%%$c5Q}pMWa^G145O znfcc@biI8{@Vj>z2^75`{&0743w`#YI5S;DJCRtH+|xZ{q3@If+ObQY(n?9Ea;1UO zC??p?i};?F^jnykx3Dn5CDPMnS`#gwn!hALuw&dw-<%}4oqTK`;N2x)>9x5N`4&;M zBJ@rXn*dSQ7F+ais6dBMrJ9Qa_Kg%>cW0GD)9U1mXJS&tsG~@Q)p#(C^A~=Pd@RxB zveNvJ$Q+k*CiPOcjFPzj^iz#A9(LV`{d8S(i<6Q7PqK1Auw#^4vMWbM!^e9>Tbuit z_Hb2bj#+{$D@+x3lozH82yUmnBz9)L1j`gXvF-v&;J|s=O4_5*UJ~LAWP4C%v2Qp` z3A|HE+&bJa-6sddmfKAEou|f=8liAK+WbngkujLis3=JrU0X*F)#T;E_3Utr#KyaZ zx@^P_scSYCk3IlG+StKK0SkmLc}c<0^|$aHZN}+aBzTQjiY>ZU?lfI(oteLcK%^0h zyKhEU=++<9GYcayvGk3sJ*|c>_CO^sEdJPFl&`gjsU9H=D7=Y;y}KQS|0#z_Mo9u6 zY;mh<_!=9?til{yoG(;O(Rdfj<6xRNOY|+JBeIyQ!<0M;K8F1;nckEXFJq{`q1oNbf=AmH1yzerQpv6e4R&%NSO7U(f*?eNO>*p* zrMvs#Qn58~&Tk}^7!}q-1a=(N@sVfgNs`$_TH`(tx4{#G2SpS-kceZJwDER2$k%-^ zdC5ktoWB$a?!c}^Nwd=`W&!O5pOd9+B36yK^`BSLwfW!1NGR!mvyZwmKGDQGc+1um zpgN}cI{WvypqQFUTkddSdQgvPYr+%bU@GWo=j_X+)#JzqOk>$8Od?L^QeP8-K~n=~ zXKk}H<~yVs$j$sM`jEC}a3&5-?bz%IQVB#fpZh1lfrC3xH2^CNq_#?!kHmU1d2zl~ zfzVH&(S@#92zq<&7soegGK3mE8+nT z?)l!}xU>5c=6mb+^p(i0T+T~e{pPtSuMs;mN38?en&viIs!(SoPoTdinM~T+nn^Ng zBa=XA+$xxp+(~UmgY;_)ri$pxLHg}|Bs)-qBSfDhI4O*NZ~r4sIJWCKxOth4qP$i` z=Scyuys;Q3D~+&88Ls}PBO@O$nKv=YHKDd*)(bw60#Be_{!x>~YtZ%{oAs+5-oJ%F zH*e?TKBPf$rPn-v|INGn(V!UK>-Cb~&d+AE*7=96M2*E#|DAq9-*0@&HiV-`arWvU z|MQrYqI~^Y#d9U$*rP@LYhIyv;r5Nc{;l5Y>4&aomxx$T_PS>cumUR_zGJMX;`}#^ zvZCH}&fo68C)6&TcZL-mM&`c9>Q+88H1zmY@I+VMTIcKJvZNCa1Hza7!d;+yA?V@! zTJii{Mf^pdF8N)3^b)NB{Fh~dAD%wHbIHY-P07MV99QMrd*1%wO`rIqaUZT+`P#$n zFTNFjJenO}em?eO%wMDV6|rx{_w=&X=lSiHMmGDGCCB!dS!d#7`k+;bESp;6=cb6T z`k0Er+d4E=arE(}2W7RamtKimxII~%Ha8Q-HL^^|^EvwJ)Gpg{Ge1iaSE!5f&DrJM z^tznu-C`a=@x9LA&e=7KE*(eg!1eO=Pw#7M*+JH*8LezmrSqTp?s{{x+PEj_5vk7ysGMaj_#j^gSOhS=Anx(4{@?GzSh$6 z@rU>OA5N*5{D-so)m*9U~bCNA5Ztt7LYFq@6$rHo0Y`H&Hs@_=rEgP2FQ7t1g z8{C?KbFP?l`k8HDIY1WbU(#K>S|GW0dUNTIk|xos+OHEBa|3fgXQ5}?^Wu#amEH1p zpJ}YhJ@@GnZ9{s5?)%u$%`18af0K<%QjhlCU)oLjAJ+3avaCUu_*v?kveSu83Z9GB%yQdLXda zBqdp(gfUzp8WpPNf+YPR#M%tc8Y6CI4vA_}!?bnHop+RpO4E<+%>2*u-4NOs!;%Wkp<2PXRd^wulo5&qaY+?Z{k2z3La=GKGhY)o zo5A&D-QpcZ5mbX{4ZF2pwkBB=a$EK)^|f+M!91dOcoU9; z+NsfHhag>Mkkx!ddx`Mbr_=SDgdXU6)s86fi_LZ^9KGP`x(Ap#JXoH^U(o+wBY$t= z7BpcgU1F^}#uoRL+njnMZlrh~S&FYq`_K%^o#|bi&kIFqo;Q}1(sBN-F2HW`wYO|0 zhq)&)0V93UM%^;-f>EV+TeqTai@5lWJ42LA#5g`p+N_m_Qt2A)S4S)*EseBHMd}Hu zFH=()IiHY1&i(ElBO8uew9O#v$RkT=QVy}yVj|)EXFE>FUu=ekBa6po+=UA8jEAEI z8eEva8#Hfy~$xKGUaI{!38s>RUe=}+ zav!K}$K`5k@;544R7hf?yktl#L(Xxzp-I+ot;rZqKEBD!mmX{oEjvg)zc8$L?7Zq@ z4`9|58{1ErM8|O2BBv(8w4+fKYZ5UxipLq*AQl8Jx*;ai*mzvrLwo6QVNA+YdxsRi%vQDL1odG(x1sh;4c~PY) z8Mg&HhV+1R;E+kcjvCw1kr?>~n=k?KsZ3Q@Lf*S(q5 zNp7O1gpyt3Y9MY8X+PSSDxJ;c2M;Ep>4v(*WpBZCNmetTWNS6z%AUp#H_NeAcQThB z62MK4RzdVj=GG*|(`iaIYAP_Mj>+ zm3fa(f+FXZCU{V+;yVNu;k+I>GJmDVQ2?qEsK~LnjjMvP0*kSHv5v+@d9%~`C%N%y z>|~Vsn9=U(RO#CX)iDqeNiSro-hj(-SFbQp7;4R>pQjs-1mzUDhh>sphCB|*UtP97y zREfYP9|bNMSy|L~Uestf0g0_ys|#Z7eK%UAsuw@wB%oi#(l6R&GpES;uf?{cp?18B z6FalZE%O`<=w>lzp}^SA$q@ADZ-kgy2EAvlQR&Kif6tn5G$!7v#N7Hk8aN2|#NMVA z#U&a!QO)3+9B-m))h`dzxlDbJY?UdEa2Q)F-YrbhCfHx5WI`nF;2zHaerq@uPp;CT1*+mRg-gt^8Va|Ea&h)8f5hjB{hB`Soj!8&Q_nwvIS43@|S{+(hw zT~qZQXIJIuv{oLA4Gi=%>42g*jRg3$IWU-7{`DEz8!)nr{I=H~NFU?TXZ1#{Fq~`+ zoV>7Go=q$FPu7-~n^W8%FQqjORHAliO4;<8J`!nG^0UkSFJ6tw3OPp-c!bT_t=?i5 zta7;Sb-~{(N$nGA(po1vIqz39SOQ4^y!;vHK_cH09CN(51oDPLP) zH(t1EceWtD-qgXNh3RZNte}?6mOZ|jIJB!ci*z?^ndfXKJ2Hw1cxg?!l^=fJ)9`!V z5)I8?m|_@E=BSX3?z2OV>hD;g$x6T9Eijc|Y{`V@vy!A56YZ#Z)pV6PlK4RurL3*7 zlbbvNk)9+5+3@6(X&7y`9+457Rfuv5Y!M2OSgZ~rcJaoX!PY5sxg|griY8gs;yKQ` zhdbR^uEMY8=KSU1bnV9B_=(UgvCgeQ-;I3=WOtPBiJNMgtY*jA&yARtq;=CZcY3RSI^8oYSuoAOUTJ5|(f38LL=6I769=mkb8dNP$Y^De2lPCIQueDpX0TP4|qQ=Ily|N1#KE$Wx$gOSh`0%?& zJ#Jmac@+$udK@X55RY588b?&0YOM z{C*h)C8~7Os4GkV@$Pc4q_Ja=_Vbl=Jb5oo(oZJyRd#_;A_%dck08!h&~DTk{G0n@d}qqkCp! z0ap{QOfR0u-vTo=d4@`vnt?3OGzXmT;HVB$z2OEs2R2kQLrLiY*NA1KW%%wjtt7tL z+L*D0J&e;;u7s}&l$jwDXX#8KW%%)5WBDQ{eJM3tk{6$wsvs_>jKun z4ok{4G?64$M%O55yg8B@=Z28C;=Q|uR*w_4lhc~DmQ9@G7Ap|dbw>fV!RINq<(#_( zo5ovWM}g+QZqvOGAkDb?DLqXWq=OOvBXcDgF-aX`2>$x!|JNdY7M%B*=QW$PppUic z0BerVn)803qWZjD;kVfL?E^kLpYS?0Yw!Oh6a6v5K8HW0`G3{Ov>!hFFAw!`AJ)_B zyyi@ykODEqd9!EvpZ9v)djagL4_UwYtJm|OqWVAcgm0LC9cvwH=UbEhPy6o{`JWN^ z`k$%s`a4TkJK?utwa?l)kE{Id&s*10>o<4Zg88=}27 zZ5C?}#$SPNRLG}f*qs%&?uFSy-fund{;T)v>wKK7`ib{#^TLHY7tMQnCUfq%e9>7= z=F$yOGYiXUEZ%G_{1V`2J|tZj{~rD?6vncHSqVT!}vS=;*j&M0C`;pxA^#vxA?V>&t)I}*LJ zFfKCfSHcCMqolYw)MsI~w?&n?3`_OV%id=PKf8R=^*ExoiO!aCo92sI<>u7gUxb?d zR*(sIG^a&T%Xn|;>Xxq^nf#7OnUd#LS02CL6_UgFn=Gac8I(_QdbjxAZv|8xufO=0 z9k-TUY^Ht*p7^C?&fuL-AT%l-aFP_9Sj)4Vq}<}2ba8quD=bILzvPnj`R&FawUyyr zbT8|L7KrEQ){cTh?iK$jUVN7GWg16D(&4eK9eMHC>saW916Z>=)upX**nV0VMR=rl z{3AeENox*t3-m@RNa|+eB(v7u+0b&ZGcmne_(~wdpYu1{yFGV=`48j7_PwdjI&- zD2^P^UN+JarSBQ_tMpgH_YSSs&xiK+WvaDetbW0^`oi`Tgsl^U%7Ap8MqEJ45g4Dz zzuA5QIWkvK6!Uf6(E`^aLlK5^JsAN1O@!FrlXA5EPHe8=)n-cb4cJ9ceH$$Y&JS1&P)sYH*XQ~ zNYmnA#|iU1d73gpzBp8Dm9Ch+BwaTtJ7)kw(;|_r%p^9CLR9KNTs8i)`=>9fcl&xP z-df>bh{5SOhN`#neR(~YQ}$EKf{Lu7GYDKI zIfw7u3qm=zDDcBz;wz5Rhi^_`Wa|sw-bf#=L~W3hCf+9{)&X|9Hqx)mLMg&MO#-!~ z#}b}PqEfY&ih37qA5b0hK)%)OC8#J)rG^ZiDMGswIp&RxrJ19l=jYFp3W>Cjzg&{8J=(H+GdvTS8-SH zC2bl)o=@v4mP0{EhV&~oynH>@bDEC(PS5PL$&uaUmMfD8y&}7|IuZSQJ6{3Cvi6>f`;DN=pmrTQ2uk0+( zfkRtuONn_lPBGH&v2MC;m%r3Ru_BN7sM4vTQ%WkX6K1cvm|08I`y;--Wi zNr9HFael+?*VY-8yx%El*xL?q+s9>vhPSfBOV>6rh$7*aG|gF=FkG@rIobe;_E_9|&yNN6jJl*n3E&Z@YPx!(*hGDaM*8E4s>8f|T5^72C(N+u;_ zkbKlQKHMVopx6LcCANUjz7Ay|o7m-T*uILznRtm^U8goU-*BUb7I!Q;KkQ*kpDiV# z^t;w`j{4A4N-{v#)*o+c8~-{x)ZDP$_IX$rC1H zQKkYfHzN(q@4S$I$XQ3PmR_q0yM`-NIP@D%Ku)-)LB0CG+&WVdFAR7KPqq<=qL`Vb z_oum6dqx(8qKz5+2&!6?hu{ElZ0k{I$BcHJ3P~RjZguyyBtm-;#t+df2tG6^CB39E!BYFn6VrK&yBkCE;d+&6Py7 zaJ$9eoMnb}xC!KhK~iTo-Sinff}sljO~WwfJ~y-cXx%xRI3JhSn3l8ddib>aRAsI@ z3mmS;G;jlqj`6qk#{GErk1NVTD#4jzl!m=^J-n!pD%ww$Z7iR%<0f-WE1J&9u9@vg zCvxouNOx>5n3iN5SE}mAamS87#8eX>Ha>!yJd*RN@ezV159{I5ky{=p=Vej!i_Oj= zT3n=u_pq__JyM!14ocisUhTv^xFsjOOqvW! zTEvjx9aa69wn%U6J$SWzY)cSpwt49LJMwvEb~;@hr$~S=Y-%-1Evglfq=bZuw?%zN*j8(DCF~)+S^YhPsCtn(NR`7k+tS@ye9zBelkjNls%dQK53b| zUM+1ul?5BII0fH!jM)H%LU=h(AsrLbjWtfvXK13l(ML5vZHpWhY!?;T%cNEV1i~$; zJS9?-rhemTJxUO`A(ir_us`0UzN}QQgyrJ04vTInBhIYtI7#-yr2(NXL{jP0DJ06E#^NF1NKJdVydJzj7j>0j165GB2ptDK{G zaIf;g2zRzU9|6{M&OP-&rFSA;Aj!4?sxBvvzt9dI4~~E>asRL``d+X@UBP^fMEqlu zg)(EsX7`VGe_lBq#UyoSLA%-~UEV$;jCfbg;H*h#zLkGxLMnu=N9- zX7aWmSV~rERbi$&vJ*(^7aPU>-@g}Jd*p! zrGMlYh|PUBOk9Ey!aq1}c4f<^i!(M>4tlrLw^j70=z4idD)mVk3=Gd}u1^gpN~IH% zB?0g+z=T;J?_M*k17$Myf_(iLwlit!Y<|92up>IV@?GHrWeF}fnXi); z>==Xs$@frYF*IRz@O-^F5p4(;C^@jKgXw+k!!R$pmHe>8I^EG+Cx9n-b2{{-*7ecy z`T|%rl*p9p_@@x_{pKWE`awchqcfYjqE5A!t~+0{#6W9hJvOZSz;qAWmn2`ap;9QI zr17IiwZe356F)tkk{jV3dAYM(j95`)j;oD$ps9r^1j9=9eS|ZCq;8ywsE|0$&~s;o z;(TnX4)~&YMqUqd`>~Df#m?ID_ft1wjgH-fTr%_J4|MIsG%sv3mltSio~%03r^1J) ze$U|9!Xm9`7>1CfacH-gefGgkU@>;i^jLVWE85iNM3;0GE;vES)BHq)))g9w5)kXb znfVQ|B;8P*j9WJLr80T=qV0+H!Ow;#Zs)qSexVOT*zx@J#6nhmmJHP!SJUBziNj8&7 zc4ie1gm_|NM+j^?fd)UUNe)5pVm$uAPz0e7B7svG$@Mj0;+5LsD`q?6lSm5)JH-H( zgA{P|fgIORx3qQ7o!67A`redFs$M^@l{(2?895Y5-5x5@SQTbz(AGqz(eIJ6au;3Q zTBzKE{t1~%B|bPU*pUyI+PL=eFaa&+W7n)(Q=mAz!yUdkMov^_!~}?BmD+VmwcD+p zP%#o?qGumLLXu}~t}XvX+C;*}I)%Zp#p@9(=W!no1@)Q#42r&h3e_Q-v6BcZhW(iKl_dQ>&pFnpNsZA&&8Hk^kD9i z%=r_Iv*txC-USaR%Vt;r2Yg_$MZ{Y2N$+N1P7KnCGi!2PyP{`%C4@J+Vyvtu-*i7E zWCecaT;BBGdd2BLpNixgzGn{j%U-(uCdR}S>}USW8CqHT!27@xSJusa?6M>IomjxE z=k2euZ^oMqpa)wp@x#u%`iV5aG&2T=igiw0<{_h; zyVx7>l)PX+sps5~_N&;1+ZBZe2^Zzn3DwS&SEMzkKSg+>)+h32^lt3@oh;NX$0Dn9 z0OEu=C}{kwO;0H2_${H@uh4S6`3}65T~6`K-B*^ZwJGkG5f5yS{DrT7xleE3gQAw9 z!H|im1qJ+ew=Ji;?sY%qYFwI2K{@l9vRm1%eLna6puHZ4Y}pF z^OEF`+sj{-`kmR@!4~?TH=PTKtDn1k;M_|WzzZEH6+@RkmRxp&XzXbmF@JULXsy}B zdikgQi%!%|EsRtIo}MW(AfLC_w^b?hLAAtaUOXeI-{ojkmVC=c8Pp6`V-IC#-7_Wx z`ef%EvIhDEKDA+pX1sGS=JHgx{?>W!r*_U-grKUJ5lYovC|>lbiS{)pjGxw-x+T{G znWJ}qxH_qoj`eko3=WoCTN!zVwocuCU#YEbQ>}=AuAwSk57z}m(FjDO{LjHVfv(HZ zCc2u3AH&-A0fb#3G$!j7?!e3-MccLAq`MJ~^{o6^S|Ww?30lT2PoxW}7)YV++_6p$ zs(hRF`l`+FJHl2j%O#DMsxMff>HHAuH1EmLX-1-5`&U^N4}f^88vY)Z*~x!ThezmI z)I##U6}>Q6>OO*xuSEKm?n1|*7broltS~o%mgJYNN)45ru4G*b!PG%EOsUdimGc!v zH@nKj&Vw(TpD(p9#6`jvQ8GynQ`d){g)1)8oqr5M z)xlQF5%o)2$8g_@@gjU%&8nm0RD{#W6*P2SxCNe(6tvD6|8uyRR^T(NWQ5Tp^Xs-s zo+IoO{JR3eP6@`VyX2sUoifl~48I|Yv9Z8RT+IeM1qq<`;$HbKxB6wKQ5fYtLsDoa z3+KC`Yva>#w)@7BgPhw|+}R(c(`E*k_Q9NC$M7(rC)g`!Oq&S_CCi3Pw9i3J)dGds zQM#*d*uY$+MD57MKpk)dQ4TiUI zX6YT6n#L)if*>6!SrT{o{vz|)*V~XD&c8{?$At$v?hHQgY{5G@$6KKD7i#6)ooG$; z+$T%b@~m?X6Q9)~s~Rq}2Q z)JOWNF*Wv%aJ^l5TgH3@eeQZoAx^8}yXr*ng5oC{KTV_F=XdNl|o>igkh|TQ`1I2elT18UaORrEBv`=cYj5}h@}tbue5`nNp|Dv zqwac@N@69cTBrJ`VF*T5Pn{wqvGj%ZkvIp$nnCim+mMLsc^W69vS(r*1GQ#UF4f8& zLXyS0zC7;|wU(w?;juU`#Z7`E`&86bART+%wZ^kWxFivOtlCJRPnQL>Vs*%V=1H?w zol4%N^vi?O`0N2&65;uU!@CcYyoC(B18`V|V|AxNtg0w+%}Ej`naBd=Hf^w?(yGhv zhbcnbR2mQg?4$T2#`KC`BK@47sDC%hI;aTh*r7Ee&_u&mmNB%so7CkCEP{ zUO8~2=`>g7s9KmkRdmk@yvAm?9i^1w#t#qaR*2XUy0_SN9Il)37xe!>;BQ_nlz3!P z;S+hAzi?=*{X#)i=h^AbDyxgnN+5-Na29K8HpY4gFbKRhzII^oHtg`lqMnkKCZu#` z2H;jCh|U|uk3SXD@$96c7NEgay3kl*Jw=Z*1=B_lNd=*l;*vBtyEl~tsYXagvzr4 z3~_CM>29M>=i5vCa)>7yRdz@d0~thbU~hL zZ7OwGn48KmMajOx1PYyp{HB|x1g%8e2AQ%+yX;!y&dJ=`x&8F9V}x$B-b~jxh+7cX zwl;34)0VY(+f*MfY-EhCfu}YXFPbDH;ljK8gq_F^Vdt>{xz2}1ZH*n-?i^=6QWp5A zB)hYD&!@ZH|BN|8Iwxt7X>eG7Zv!rR5Bqe_OpF!pir1ruaif_zSddW5o9^Ns&@^Tn zdIZ5Ou|>>VwpbD=5$DPs9Wh4!KA_0{c7VTVdrQ9}dkfmz)DVY=)40B=Dl8N%ALI?8 z)r*Rn#ORucLsi2B1Y-3;6dToJw}b7#h`r~swgu*FF(U{&md^{U3^t>~TLZjHbI<** z{6W&s-q!bwBY}Td>T;kfj_d48Zlcdj+ToW~oy#r)x3ko5<~^AE2&KfLQ^FuYOXtgz z7j27AlOCVKAkWs10O7NBXnLqEO`5b-6OZ};wQ2ZTkjFwq79_%Q&`DG!Y98STG+lI`fc9gUnsBnxiN>6){GPsD__`ZIk z?d;_NTpN;GoaZ=ai#`pW;es;kUfkHZom5IfmX@;4qB08;|EpZ z+OmaBKARm^TAVIgBKC->V4-2<5?M(+#&Q_6U9@y0evkLyppkxZ7!Lhp;khhSGGyiC zTV{z77-DN^>7Y86uz^LFOjhjW_~pii3LpjotC)^B(&(xR=4T)aI# zz5SFl!lPtK`F&e8dQa`om{)JU@tu5}8h8ZcY=k<d%k84YO?9TjJgEG`zI;V+xML}aoWgqEUTsYG}i-$-i_RipG1A2A^Ap_Et+4QB& zm6AjB7s*9tW%_4OdC}X_OFoTm&N>AMY``S7(OrcTz}M=NQ1NJOFN+thJ!v-<|0PJc z6{6|cV^c_XSfoRNM)O*>=cz$Svt}~M5nTJ#aOTc`cLhs9u{Hyiqih#%2&-C3qOhok(cI(aVSpx!MIr z_0cURXwH$?M**=;O!>rU-BT5&fkk^qioN5e13rZ2%thPvRqdSct(!ES^kh|7qxNC4 zH~}YIdV8@M>y%^<44x4u!Gk28?l={1j`Y@eqS*tCxHZd_tH;%N1_&>JaB4>#{LmzP z@I=p3K80N8edWwFBfb?^`kXvOBm5;kLAShllKbSl#k&+Kt%{s@n>MlTRaM1sTbAV- zEpMc2vRo2nP6By#?ap6MB94bu$AE`#K?^fM<-%JgA(;&QC~WMrfZPlNQsfBa8dqwh0NC&TdvsQy=-{3!1K+8Y0@PJ*Et=z*yI`ut(i#Eo3){}Am#GcFeZHQz=@%?!zk5tO? z*?VRWWu!mw{&n8Ybv{0lrBB`J=pt>vX9t8fN!Q>puQ@(&$+m%&S+R@Tldy>xFbpD`W6Q#C_4)kJ?`$GG*mevU|T3QxfQuCw{+o8E;q@QGfG((Zlw5x8HqaKWjirwy+bQ1bv%%$q+mv0Wl>fN!p z2INh-PwL6s*~6@Zqp?<2$n>G3@E}uN@{8kM;gX|TS!*YkL@y8NUYw+TvlRW0y|d_K zR!Fzx!Rm^}6ZZk$p6+|A5Q`EyPj$HLkHgR6-ZRx5;TLAn9B!c0V`@hq>@*$U5AVhO z&9`iz{ggo*u(Alo1M}PlkK>Ol+EIq-Y~NP6aJvTQlgGeD_%5ppG^MMQeK9N1<&7Q- zFhSPb9q5V_{F|8#K^%9LHxjpZ1>Ks&2HTLr9($D1EdujoF&0SlSXx_urIn}hU zI>%U^mHT-Z=&q9GpFLc_$=PHp>$m7fo3Vn9X`((Vz@1ygX_GR1T!sC1Tj=*ty--%f z)k59Ie}(8R(ne)6++pOuxo}%ynrxM4=5#ggfuBBis;ZN-@73D?yGrmxi!HP_?P!iI zXu|Sah&z0&sjur)p1!@AFw_W46=%QMY~n396=`c{UNAh~-038!i6m{CYmecN6S~OY zP^vb*^3H&HOe^LyEb+cAPsCU0heP1Ib@A3U$V#$r)0b(wu_u+z#y1*AzkDo|7fPT# z?Wdya<}N=rNnM$lFDH$QRm@j$3%3m#hngZmY|#$8->G+P=m ziB?!!OB&)UiH0m5{h8KR}* z8C30U`ixEL{L<5JDBah`H59asTFPGM#$hE?#YheF9x-q_i}}lvTc8W=6B2_n|73FA zn%vX@$!6(`*DYWXnk*fKC`n74a#50*Od$0JI2podcmhfLk!&w^68fSGAJM$fo}51Z zg1mFxQlL>jH1glbg~9ib;5BK{06o{*Y^-Q$`+UcZ6};a>-e$hejnaKtxS!W7HZiiO zVY+rmBK-I~y$>A?%3G$m#?y9Mdo?b%w7#KJ z@}Q~X_GJ6#*4(B{EF44%;t6K1-qwn2L>yq`m7a1w%^_jLlKoQyZ7v}mhKXsKLzSB~ zC%&%R-dD7xE#1@3H{MnJ0JqqgXSEGnTv^2f7QX{SCAVAP_v?k_LB1uF3IMXUTNP<>Ank`H{3zP)OWZ?CN2Nl)BtChWn-$~^`TDAw$xf!fuDXri~9E8 zHw`f9Db7CL>pfk?j0j8(EhQF{_A} zT9A2hH2x@TThJ6yf);O`F8(%UUulGfgf#!Nh98^B`Ncgt0x(190DsrDe@TX`7O7gc zYkgIgSyMsrhMk(B?_(!uy8biW3gyi6gC9F@Q!?`;$N#`|=gQ5Nq02|hh1@2ZXC)$0 zdvPO!-`l(;iI>hblZ`x~;&kMxAY+*zM04>z^+bV&rtvr?3wmJ^pc}7_iba3mmSi|H z_s&j)a-xwv2G+R)Wq7pPp9vh3S;aQ_(G z-*{#?xbRN@g7}TpCp>PT$NzTFFxluX$u!GKVlVM#<9tOYaZO-+UOsHUM|?I-toP4x}s#TyBE**sl!WV(`J zavtywS@kwX{DHe}Q{$57g(D#bFAbS&Q$mI$?OF1ldcsi ziA5q8D6vzbG_%$P7IA^y{&$_^e;)~v+mX&c+W`%&j9bqp0pElwz8?0e*S6f#|w;P zs;5lmDm+4o1nvQ?pFaP@97exlpbvO~qx#R?1AT8!U>-8$N>}BIJM#*_I0cFE^L*_R zSi)BmOL!!PE?O)&uwVzFYJM=aaGL^OV(6Mmz#0S3S+xNb)DFKG-dZ`jB+ zAc=QbRo2NqGBB2$ONaH~X#x-^-qq`ibY&guzY$!9~e3yKvHd3FYcN7BI z%1r{Rxe!m5Cc0ji=D5wpj}lj;EH(GjZFa{vKiZwA;RMGHQ9h)6H80kP)SIq=aKef0WaMiK zTCs03)MLKF+)Aw9<^#tF2d!=+(Na951-tHsPE>Ad?H9ZT03J{ajxS!j2|7umDxtQsGuons`C% zG+ABY0pD|`W2%3pcj@`emaHPVX`lwnWhW!CrUR(!*;3$65JbGo2-b$9$!Hv3d!oCY z9R5CHUB>gz91dYUK7aU{Jht3Xm=06;1QvCL$@jaSv+@@7n2bOE<7c6o4OMS^fU;#A zp{~SF(kF?+mo@SHHHbwu&d-7tjcf&EOwV~edv!1ECP zPmh*mOztZUIAZ4o?&CHl8C-PNVs{Ka4uU31G|jJ>POM|+qd^V@zRcN&$FMP}gf(J| z)u`=Akyq*~KwE@HQzR=-BGWWepEQ4orYb0Ygv`*>xJP+bI9;bS;h+kqQR3DqP=!e= zYXVG)C<~DHVlx4DVWBNXbw$~Jz2)7116+OAV4}o8>7^!-&EJtZbrx_tgyDK(+6SX6 z;5&2Pe8_s*>(@1p&06FC%$o$dv$SS5Yo~u0`Zp5&ckcG_-+12dyw~Uc`+EJkQ9t{A zVD|sGu8W$fC5t+P}C0};M4tBVKgU;r0Pyt*o~sLj1)$K91)pB;A{J-wIpr29g_ zWmcg5m^91p*-=*Xo6a0BL_8hBti3FrC*sL_ku#K$@xa@=^$G%>y{!7BGm5NV0#YjG z=LhU$E<0Q^qPC6SHh)lOOFlkU?ifE2@m!fJc98BlP1R<~Xs^v( z-IBFuWd0a9k5F|zjYrRZTHCR9kh1d`zdCkh*BIq(%Uj!B_gmf#>Pq0*gbR0!BRB7y zPDH?Yqlx#cW&GJUdA}N{xZBTvG9%9~oYc09_p{DvxOdZ_LpLGLHE+o!MnFpZD$V0l zi?#*1Sc!{ws4B+fw_wm1?{a%#1{ z8=gk%Wb)MJ&VPAP4K`iHroOif>FCOquF2*7Qe}SlHTF_%t?s#rir75Ag9*hHlsD9G zpge@+_8cASRepb`Yc1qQaC8h$&07-33GCO0Wsff27Gl!MN9O&Euojop<|Wc{^UKGc zZ7)&nw6#p+Fmhp0s{Vy0;*le@F|2^AW4on-)}s z+bOFVmN9z7uf~K!P>FO(Ll;W$h9n(dG0zlVbkhH4#;*@M=k!qiE*x|HB}+lts~E5Aq5P+vwZ_lTF()(jt#GC3 zBc_H)LX)aKq+J#q%AHYD66M)X=qCE#Hg099Uxb=j{%;?*lKV$%5+grGN=9{>5ArD) zi16Fp4gKF#lD> zjDz0v(DnIila8;>pGZjl@E*4nQ+oU~FWUUWG`C~hC#O!$JLqcQ9m&W^_;+J2dXd1<2t_l!2op?HGk%q#oLlE zw4dtX??^|f#-l)W#h;QxlIaF<;7J!+6P-?@?mOZd7~Sbm4-nIv2Vx<3eHsW=^+3(# z5LLr*Ljm<{ECezO#4h|tMI&rYV~K7MvQ)qBnSOrpu0$DK8W4Plw@vXZ^<=KPvDu-T zjE4|P%rbpoS_CtP$gf+ap6s(}K1d>a@Qz@vN$p@KVilSqM`kFy?|4$+=|Tjha*kz1 z`m*zj|1y+?)o4C{hba1jw-vp98qY}$McXn^?v6F(mwxxbpw68YN7db?pSr1O3Quft zkREqnEk;eeLu?bEQo;6;U1W{4FU8m$y`mRbV z&1%8=PM_3%s^t!fNQt=Db&@#(hf+S_A0hLCrm^anN84ohIY8}(&XFxb8K-!>G~j`! zvaj{7@pHP?KBheMEiy z&aI?kzOM=kf*SwFMu=erxvvKk_+z4Y=-&Ei`FPegRm?(2m<&OCAl9fXbkr{*4Z7|R2$_^b3?fUA_ zsf?GzKQ>;xFw4C`T=IB!Q~Ss0>~74mpO~{WoqGA5pLWi{#0OpSSR(h{&x2~|iGV8o zN`Vx+lC(RYx{!z}nj*tp8$bAgtFz2b{>vD|l0zV6r8Bi3fE$)XOHvY1PJ~D{z*0a$ z4E6q}b(*eDf~2cRUdy)P0f{hCaYmGs-E1OU*FD}Xt>nt10ckkIT6&vY!11+{|8b4! z+EA}a%By^`sZG!dZ7QZ~dZ6u?hmno@AUuI?#lv6Fpzv}Vo~Y2$x=!VY2&4&6*Sa^{ zGoE|{GMpn*I6xC%wSYT6IeD5FnjS%mvW&dlA~->lJhh@@jV1d`_;{GdC!UJ7_7S^B zH5&=s*9J=bM)_K`0+`_g!<;#KvO*r{;*4%d1@D=O=`o*Pq0Vhjl@H&=0ubKn%l#n}v?wH% zmZY8ic&drowof#FcfEwO@Z!-&tktcEgb>qHJKcH^x8zuLTUAic#U`UvlV!l?$Z}2F zRiXMyfY-U#v&^nj&6RkPt3?$L>X5j>M5u~bo~&7ui(Bdza#i*6IYIue2G&;bS+w{? z4T}FDU+s3AjbpQJVFgf>543jr$x%?v99Gy4Lki1m$n1(OFp0*RBqPH&;!%^>)%2Nc zNb;vqn8K%x?N3N2RTJ!Z3}a$PQ)#w@g0RGXyg#iLt+Wni6KlRjyE>It zq<6lNs-YEk?09{dj+<`hQ0LCd6!Kc)>Yj{o%U*F+v~P88GTtQkF?MOlM;q8(xTY+5 z1aXF$8vvoO7|`2g$}ZTb&N}S*7Jit)({ntol}z4NBne;{U|Ub;y*B=z zgmvesn~8nerOmx-iW9*F;zzxBeJY`92RH7eZY+DfaVplpp~ik>7sYeIm3a)oVN8k9 z*!C*ON>VAhlP@>eTCnbo9OuhNVdZfqPP9LnLwm(0D0BGZRe?s0F2YW?FP?D}!V-UX zrOvfpdC!Zwr>H-8=QNuHS?%Piz+9zpG4cVYuBd>pHp>klOZ6>3c$NKfUVyECtwH`=zdQiv+Zy! z0Rf;J+EW11$vHMyzrD`{!?|X+O+6v4cz3eyST3evDIalG@j&r+H-+Hv{g%yk zeCDUU^I-1I`gw}zg`tIwKhF!EzoZ2vkFOdQgeJc8uYj<+<;lTh%@D&{64VlyyD@KK zQ!7+_XXdP>ucf^Ae%8Sqnmyh#c0KY&XFk5`C4RWhAzZqb^_2UotzWP?FZW&-w>+vn|#3m8wH3D_Rl zajm!W5Nq~Gz&o<6Mdf7rZ2aLC|IKx`YSwVvGn-c=ZyBlE^kl-ijFmrT`1~Vl^|H;^ z53Od$-8=o(-9Hak4^?9R9K62%UX+s+cA!r&aa>bDF)2d8b3BY74bB{Own z)2?T)70g6=w`>Keu*~gNKihdqWB_13N-LGS*)!U6u4I0@LAa&KTEg%17hAfee+*=P zx2aoRc2B#NYP{Os{tdmwNIe##@6^3b#l$67(ZUxD_if#EWk_INE~8{iFZLV0*cWP> zymNMR(|0#@=ImNVwu!19Fa4lPdpT~6R;EIz>8oL9$p%tW8P)aCB}yDUe~Gh+o{!rO zsxn5c#Vh00x^$wxO=u+`fydh0PlRLz!oea>O~gr?P~(+{TQlS`c_#R8QD)cK@l*3V z1pF_)_~NBlt~Z|4vV4LpSJkr@Z{&r>UQ}H-cK#u&=<^+$uD2h(Ps<9{et-OM+dGE# zvfI268_jz^oX@=>2nnv&W(y9tm>BN@wI`}ci{!0)hl^xPourXE+IRyeu!N^gNDpor z)L7RzU{yTThr|)V zhT%36e%6sVm4w`zz8r)J?EgRui&t^JtL!Ov6(mn+*G;ycxzkL01*5lqNGo#X^hLxL z3El{rq-yzEU!a7HpJ-q@(XTkH6%Pnrjlcl-aR^!-nmM&&bZO!=efe1GgcotqF;5s0 zc&}~Dnztm4*)PgK%@JX;w4cnZTd>294J!}AT3&?i#*p>7u8PV&q8TCcMkJ&0#vQS6 zF1J7nkE(maQEzQOc?v!p6lXa}*Hy8Zo z^?W(+WB(>JC(8K&ua7F-9iPqr8~IAP;18o#M@Jt4-KA3U*m@KZp4FuYQST9laX%gU zUeb%rQ~8QFa`pdC%VT!!6%{niNH4sXUBA;knm}3s5-3?SE;-Jo(oUqcVsoWZ4etBfDiZM2Dr~0GH&SD5 zjBJD|7Ekkc1gFw}=EF*6teni37VekOC$ow;0ULqUB97*&-~j}^t!UnXd3>6xjjmg` zT`n!2n^C_oltjHXWv|EQO}*5tpc{l)tJ!YP7{*y0A>{1I&njrsFjv=SMfn0k;dU1d zw4WBC?YoJQ<-k)hVUbkaY(ryXa6WGl>WXD`V|1O~SycRnt)Ys(25qs4mS^#Yb>C~R z?b|P1Gu;^mFQYs-v@J)_`2xFNGqpJ}Td;#f3@zH)A=E#nca@RO@AR%$6}YTz!_b;Y zKRVe}}S^hEUpOdlH_d(X_5A9EgypBQtD+&RL?($g!nHY%v@8fRJ0(rJ6s=|+}p zM#(#r?Yv#J1IF@IZu6$!govr}ANYf<4=A}|xFV3-29Y{WbtpfdzN&#m`KC0rNg3Hd z-*_-HecteRL=CGRaBIU zPC;cx1*!;~sYR=ZoN9q0m$b;mTEvbLshSW+2?)8hNXQ5zsmiU8y>~}*DVLBltq~!F zv>>D*w{QL9%zWqj=9%_+u&qLpz4!lp*IK^?8VF6@j2Q~fR#;n3?3ILT4U_yFgv*gMC>VeZ@$>}K6Wsjb0hW899s3}DYb8|9>njv65@lO{nH9o5NqI@3yq5i7wPs4io z10~aK$d|yW%KkD!gPhxVLgi5-5`&`g6y~M`dF?TC(NK1@DzJyTZtip4@H9~3s?%h0 zw}*sKFR)t+LzT_qw61f+Hn+JE#6t+<(-A!c<$t3?)jJY~uiji$v#cn%nS;a74 z4bUQ!x$>yP$V&|k<)!G;AAifnNlf%Gsj^YbP#APOF31g64!b^5(C<&SUt#39wYGHd z2wvLb_^z;JkX3%+%J|+ZH>MnQ^EaF{75YEIo>*3KIGi2K0<{ARuI-LdiRj9BpJ=De zJ^)Mx5|1uam4x%k+?XP1(SQu|*l?fmVLp%YHiv~GK~)))=#p2o<{C?WmzA${4&@mQ zhgV@0l?;<^m~wPs9=l|go=gwgw)?A0yp2-dr~x{#9wnOL;vKR!Tl}zt=Y5cPz~!8+ zlT!;eK2%gy#*h7e1T{%9Y?`yGOdiR%gLFW(?bFxN-}_6YF-k zVWYOWotd;qfIE{Rz3`NBp!&4ZDXv^1fHjy^(N))>`b#*M_Nwh=>h4qq8PL1wH<3V++M**H5Q?XL$BdMYEwRFk@nXWh{ucw8R zSZH|KD+wA{-f-z50d~V~wZDhDRTBdQy_~ZxCgJ-VZ!r7*o!ZK$PO4sNV zIK69i5M%8mQp#y}n(#iJx$^^Ga|Xg!jf1X9%+Mk&Z`Qr~J|w5(`oMdnDbR#>J%ey* zt!?UucB*=S*~*F~Uy~&U)+qukl-bOojC|s`^*Fq7V-%iF9>Q*5f`o=W)Mfx{aTvf+ zUW%x@L2oZQB|nJOBWj$mTf>V*=uYy=x&3DN*y|fhx|6j`&1jdgBL^a-FxB4v+c68L z(c%IlH@>Gs#dEiGsN=LHzQK06JQr6(FTH+?HPg*xgBGNuzRMdm)9;-2Jl9(_AzaaE zceZBndmep|}XcUL2(B^qqW za>@@Y*Cr-!^0@eM7c9ppEom$|#i}sJ$)hZr^fjfRHHPydJbc=*Yg>8DG+4g3vJyDU zcwv$!oqAd0#n>UUbLbGVvGMgZuJkAU=PeG+AKBc9#8n~mc}au!2z~v~K#?zeNqrLR z=6M@UM&=d5*+_5L)XQ7c+)qAU$dnY`0OUSAWg-|uD7H}(Is+L|(uFbdr4|vJ! z*dzxl7I-cDsH|aYv_4<@rj5+mGU|4Q3AW-fgk?4w>Bdv}L;&^dNsQ2a!@?-MqM`A< z%wI!E^t_u%Nw6{3jPbY@2Fm}t*Y%-NPG;19QH;Rio;;wEm_Aw%Juw_qWH+~e`Vn~$ z2jyxE`P%y@C!XUJR%M*XUi6;_3dscN zkuN96013$w8OuM8d%xtp{Y_*nL;OCNLJ{O2fA&lCRxd#9;~#w3t8h?=8S^m@1^m3i zcXnXjoP|3_=ley!7#_IbO>egM#s!fIVgV2Ti5;{({I6v*g0iFj%L=l%{gx$Yr|#%) zYRM%tJ?QJC)CL^M?6=IzcWZa~WXQT|&Q0sS>1rr=1LEbeudjIj`k_-+;~QM-z3Y}k z`s|rs3_38k5?;^Uq$S_w8=H;*PV3dY!pAz#E>iG(L|ga)r~ISD8eh&nTWkM2@22=i zWQpdpl)v_G6{@&Ho=j$bFek;)U4w+VaU;gFkCw~8&@#)N@!RSUYE7D%UmJzdnDw zP~5a2;*8YsD}?m9Z3lu`;w0y66t7Bpi)*F9x#TNJ5KD59SP7na35Oqw%<0*hN5k{H zk5$dC`ObIGEKAM=S}-gKWtLAz-Az|$KV6g8uDskS{5tq*Hfg|A)h_I6xT-hH1(_

N&<-UOo3+OnbwN=n6tvoYb1qE?LTd49>1V#|(w53!Kc&Y{l z**Y4)R?$|8)HWfl5JJdikw67Ps%0zO+}mQ7Dw52!B83<-1tAUD-p?<6XWr-h|2;>~ z5vzve-uwH0KkJF9Y%!{6DQWqn?!{g(SZ)hM+>svllN$sQ)&si%Qce-hpbWxcK=dvz z9@X2db3Hf3nmb;`OgeEa%rYRg@q&3k#bO)#&bF(YLPwQt4SJW9cQ7^c^E=61l+^l} zCN?5f`$}Ok_Iy*_f6b&bnYL}}FY@~iwdcz#eUG}pEhXUgnFG{iXJlH^r;)2ynWf_# z*e?=uHD8N}S*^2D1UNl0xAlVl_~>PDd!x;S{ zSDq+WP0k`YU)e7%JfzYMOsC_KUU0^dHhN1fHDB#rl%I?_z&t}WIe6U6+IbAfw+~dt znB`8jWO}?pSR|&uRQ6nPyUhHEbSP3XT+=nvDS`kA#-5D!ez=@GENwUQDiTd+-L7mC_oPnX07<||Cy(Jq zXr6DG@5`#`F-LT=7w^NN%Qtd*jQ_8hwg>c`4J+OH-!{6JK|K=j?FK^Ip^e0Ac#)Tn z-;(nS9$oZ%Z=Z{c)_eQxUGNOP=>76}-$#7|eUF{@eZg<>|0^W%M$_#d@E1JoVedAs zT7WP3Rou9OJrRrIAD&*|`=p!rBE25^*!vOJ!bf}`-{%(`?C=g=ut7l-ui?W^zj*J{ zlfK-Ib{{TumU92CmUpcITPK$M=>K#0tp6(uv14sm^a#YIKm6hGkckzeLDj0J`M_$8 z|M`a&QwzP`1@jfCj0u*^J!IbbaOlF>r-s%p2z%(Mn}@s(dar(LX2ZmSgvA?!t$ypb z`R;u1f%l6GIDUTd$Dlh!ebRz&_j{k&?d!7-4A4G!Bx-(Hy3&7D#~~lz#L=Z|gs1=z zT9$7StXsG0VE86)?^M+4a?nmyw&`ENB|rjSU*!FlS98BdVD9LHso-^!2e%S#D9Vc$ z^26bh<|*`_l}DL))wasn@8Pmsu~4!8pEW-7u7Z|LjlEN&Jy&`CZ<#7V11i6J?#j#? zWaAuq{NmgG@3f!DU`$jr9 zKPLB&qK&6njZ70)T~3LP4$AwOCUua+d)*#&6P#NYsLN#2Yy$bWhq>J0edZ;qNw9Qf zhq|}II-S-%QXTMqA@pByWij4ju{DShUfu>(>sQ%|}?`$R2wBfq*xt^Kl z=H?{1ir*d8Zp)=lpj1G_0=Ogs%_A9HBUV4;5QjaH_d>{bwwXKCGQ;V1ctO-KqK=nwO;&;mblE^O@A4XyuAKe zP}oQ3QC`x;4RY|*-e${dedxdbBi~3Th#Sk z4Eq=AYO7lCt-5|6S@LNCT|Y)Vo|J%?GsuZjx^xZNrS%YS;LeN15Agr*UdWi~z>65m(V98!bRLN4C>=)B>+@31)c>=d@jnu`I=mTf&%{Aydb z>_tWfL&;=uXvH@Qt$T8F@eS%8Es5ka_taNVj`TLe-SP0+xSn@!^<^D5_8erOG+1RC|R0N~wmu zVr*&<`m~e1vNCv31iepa&)LN04do`nF>JjB>`R&?-%!$F=q&|K(cFwZ@_}f#LpFZD zYMXTHCs&*I_%wUUQ(Tm%%)zRiVnW&x?T(tos9NUpuVy5StP4Vg`4)PyhHv-~mA{R* zG*5lYNaAkk;)q=$BTL;NJdB>-ZdOq_U7rPuh~DpvrFV$5fb(JfOmO;&{huz&r=^Nz zUjfmLAw89-b8s5^syELDZBZ*2`AmvJ2PrVaL%WBmEWCzY#6V<=Y!ky zut6TMs+drlaG;xM0jqh{0b@gDhBAw`m0XSz70v)00vN z$`9Zo7^Fq|bj}MB?yhNr^NTjRyWmpSeF@gc0`)<$r#P9BTq6WY*=&9KieXh?6t2%z z8JzVcQ`yO5^ONg~Zg=IxE6Fd*CWUW=S~Sn6iS{gRz73;TX~*6rVr`92xk}rU+`WI) zD-q0t#bau;wFQn#+R}BLPqwe<#9~5(=ce&*502mc^(FbeU-qj|OY)beS~CP)KR@{^ zBik6S`Lxt_f2EY%Iz0NaS)!KhZ|iNGu8X?be~~qhFpiS82A}kRwQ0q)L`3Apz=qML zo0dwi9^XgIjhgG564w{arqduOAUEt|TV?zAukrLKLqw?;Rof=BE$k!v4R`!Ilh3)G zDAH+9t??Q)-kKNoJDu61sg$<}Fx*fUB{FqvrF^xWhO^zcH^qquT)`MGnsgmc9B6l(iWRPh?!2E} zY-|obVWeaQrrmCnLHEsVX(L_8Pc=zxeMLjnK9@hEVq)izT$9OB2MiV`tCa*WHc@R8Hbs{8aI z#^ES7Uh8p&j2EI~;T`_TTd0a|xMX74cvC;EF&ty#M_IM@c z_MRX-V{$P!S{0d?hfCq&n-MG!;T8b;DQ6vt%JHkoDb?=e@;S$e`4$14ov6D~@K*4- zQg_$Di?=9=a7aS82wV@_RdyJCaBm=h}OQvY{i zQq5cAGu-GTmwL0ESR%R+EzL;cm1waC`4~?)9Wx`jDFi*5t5I>*7foejUOfmWSedEj z%~@hS0GGqm9xj^9ny5YG9&9KUALa_JNi~a0SvXM4#u_heIlkd>sq2Iz^=t(%@(*g+ zPb%*3E`Zbwk7Jsxc3!4El<rxA8*KbqX&=PT_v20LbI zl|Mh3Aj^F%C;B9gRQLD7OF+ zVwoOSP;I1K(9zC$K8>cEA}s8mTj&p`M`|9BY#gArCW0TjdlbMpQyJ-a5@{soyqz8t zRf-3oAU1HvEUApOR=k()!DMT`O!>_qIoH68adexU=&edb*hBYi;c<&XYwI?_nxZuP z=4whwb&X`Y?Ex^$cpX3QTCb2tj;c$uQYfkuP&sWx~76r-o@o8|my zolUx~*iP>&8KLWiBgz~D2^BKeEk8QHOs=F?C6S4QDB;N!=~TacyL^tW7u|$WCl?z~ z##Y@dX~k}#212d#@m?M97KE`x(2bOd>4u}|otGEmc5gp(SZqAw+Mkh0N%)0b%EI)! z{nu~?OqI4VqA4pt!bGU^bL4C71?NKBN%p+`f_dm zHGNqqBleWH)0dCUFIx*#7?NMn-oPllaYYtu?VDkokaFPi;sR61|J9V~ZYYs}Q<^*W zW8o%}DugGag6TaOW}IxTK+J~^tOgQOV(sGc{EG`a@XD^zB59t!O9k7*?e?ntG{|JG z(n$qI1HZlF4oa(W4;_!FYuDnLEi55Ov4o#5r|J{OYM!j!=fB3OWBNO1*bf`YyBEgP zD>?Uvy6JSX^|X(D8bR)AxH01N)VgY>1u1DeN~MQUu?(0JMziK@ z759Wru-n~{PGAK)KdLBEYeSC7_0F!bZF9M2T&@rQ%_@d~RfOqt;YJdg^Bi`>)eDGW z5DVTza1LTs44D03;X?}^eb{^TT14c0?DHf&%4TW#2P#0jmfTr`Ucm} z2mCAu%JNIx>wS5a7%VqD>R3DGRX_c>J2u$oitmWff5Be=J%z3IfIZ&)`O65jk&A4B zA8G=tIji1z;J<^nqTCZ`BS4@{<@#V23AB0e%wvimw#(<`pJBCZSmYHk=j{`+DQ8FZ zt2>KhKHQy>;=Ouj)y9}*PVeaKoos33Y2R}>(wM*RygVGRO0kzJXf1Y|i zHsmjVe#DTre8&skoSjQT$~!_g{cHbV+WMjui#YF8;D|bY+!A;lK(hOLubs9y?cZV? zY1_=apq_IMS+{Uu*-HQ>oQaqEHO3yN(>&1l)jCe@sw)rf`Qs5KemX(Fwv+lI0t0JW z<7oJcJ?_%6fyS3Z8n%yr-9w2qtGO~wj7t;9Rx*N(`ulfj%dq*U8ng6O-{^Q0HRk?n z!(IC$57rg*oiX`*F=C$Ry6+AxUAnn*YLX-j+@oZ(L2+icAEuUMvs$z_<#j+kg~rdArow8sv99U{c7oCJf=0odz#GBxqAd)ggRdV6DA+Kz4p;O)4cTuG?lSWW2Rzo=}c}p~8dK%6C5ljkaMx zvRZ(YkUCoI`qQ}n7C(ApXyYE9;Lp5gdMY`LXoebUr8Bdfe&-F1ujPd{BoU>Ga8E2* zegTcjmx+wRn!R$EJxKlI{F-|}jg%XZzk|Kn6FJbso|)L~P`$_qfKFOW2Y#-)K6vuR zTkLPf9@H*@{H(=LxOT?OWDa@lax} z+N*i`ljwW;bs=HcEh=N$r)C)0O@xsMLL8jm-oITa-Gt8AvY? z@=1B^w3h?BRP|j>YFd|C(n<>j^Gg0Zr5yaZ0=mI%;J#zqY~e*G*0CGfWVzE9IR|GX zFTfwwd>h6h4-GBxAmo~WmC$G@Ug|v|AZllBblz_uH2dg-=I48xffSE+?DdhinHP2K zguy=y6_*#iro__ziv1n^s^3;D-VTM~ta~)sUUeNDt`neXmZdG-ft6nD>Qf<>u7%p7_Fn}?N?Zd#WB;<1DsJ>B zl$^te%WKBDKHhWE4pB+F*TH(|6gdS{?F3j)C61*!TWTjD0Y=N+;4?1 zt&>jepBx2je<+)aw5fe3JGEr}?8@!b?y@<}{U=rUR7y2jW6ueJNJqN!(x!->!Ddct1eO=s}9-tmdJEtz7ix+L(3;5M&XpfH6(~Zpt9BZpM z5~h01N7iW`|1qKCqE`pGTjBWc0EjXO_`?!vq=jkg`wPObtWhruX1LqJ%E#{xsN@d* zUt$dor;X{cIn16; z)!|VD&SmFtPap)#$c?rq0zqvq)qV}Jj+?|C0#=X_kZdCOgvxVofCP$UK{KDvK2o~L zdDgABbEDgHSfEx(V#(DSdBHe>EjwVSJ!(J0=F6^XUntRWGduF52E^&wC*iHl$AHSA z1#@C2h%6;t;oIFsOA@=E1qjASl$%J_8B>2q%yc{E&{|0HRn9~kH>sF^I)NN?9s?QZ z(K7a(JOXTtmqFUtLHgOvx*NmhbB)@;y5Z)oosP*VpkXER?Il{E*eYB?c@R{Lsu93A zIXZV`=`RhBm47DOIYdcEI%(!0dY)e}4G2tu)Z5!i?!medpD?KgN=~vmE2EdR15yeHjhdMdUqeD4rE}A*m;~ z!oSqhSl=~M+B{vkB$k*dtv!{q>DpvFEFk47;T=}!Xnv8kyQeZ92svI5F^)BLopVeT zn<|bZn4ni4!kgnvZQW1kQ3<#D!^B$@Pd-}Pv!bw5EQL{$$XP2_xgX$n6_F<9lvBqK z2bDRUN_{*wg1dQ~xzrBK#VGO*DFa}TN)L?32ky|0YrZB)HIQ?Q_l1;ar1DM(JdRZE zc8l(XYgVj8wZsOPVOA7mY$`2nQ?ZYv9Z#(BD#aZr&~tpOo#)(BdF3>2ifm=RyY7j( z`~k_fvL`h+Ez)0a{KStgO!vLwE_40dY~V)b8;oxVB5!$H^iNHELl#~)QV|+eSKF$x z%R#V>577bzdx2_Xb5c<$zI&vjU#Y9C^cXe@YTdAMiF z$8kUosu!eXLRa+=0ZkK!^!^N~!-Q3C1w;e=zN59336V!D7aHo!v8x#5hiWHx{X~ zp1DXRDO5+50|no3VtjmZ^`~vDJ$>Vre)0*Et zkU>siWMu3+YfCn|dQQ%xVm;AZwS=GSDk)TBGi%0w4=X_qKEP(SY2njk5%c7^5QZ=c zUkm-;#=b>f3m!f1yBP62zYkut(Rcl`ev5r$rQXrru_3u=r{NX*%AMEZtSVwTc^Fe{x-Zm*xR>a!dtr6Ki2sDuUnJi zUOwPIQ##=fWGe8Z;lMAR_%Bu93E6HEdAjq5gh$r~ekfSZwx56b@xRz!S(M?(^odKE zIp{v0_OC22#2O{{#AYt>-mNld)LL%07&aZpsV z_OSQl@+~Q)2cU#&PRjKoc$RR{;n-TC8PQ^=@3WfsCS>kA_0jrMiSpOHTxG|-+V&(? zyUUrWibU=?o2!C*dF6QSKKB2`0 z4qh%JzT@({rnvLKcXy-nB1J>!y3^{cMP$h~_G*Fe_l0ghf^)(HZbEN;n!``W_W+}6N86cybTJh|GLrj5?s5Q3VjR5kBk@0A5#sPS?&7viB( z>!ZripL44QvwLY_A&*)lELBUMxmz9K5x6cZp(Mpj)8tFkLvFKXw~MBIT4{OM*qX~! z^W>1`RUj*pP=;=}Maj}j&%pjMLp3z`Mz_p0oT-to60I+TPI7^+g!m<&)aI zfr{P_ic5FfKxxP(;K0<+RR-^c4_^xf+gOUumNrs#;}G`qv}?>CjZ|sjxY}3B3`hfl zqnJ0lDft}#tqbZab|c~l%Ev2KsSF6`(LBYw4;?MyU?3WnrDv(xp`nT+oC?3$xvtNy z_Wh8RFN-1}s8v_KIe@@UaNcV2&7fn$fCsVE!F_#BT6em)715_p%5FzM3fSB zXBG%T_igst3<3K!O!R=> zIp_;&X?vSm;i3r3J^* z8F?(wW<=IX=(}AY`;w8tl%y7Tye%~?+Hlqr2XZ-YTg7;;uE$wQaYz(|$+B2jpGqEe9Ccw~Wx5QP5T; z&V$Kj92>WMnvo@>(>39p75;Cl(~9%ySm2K&>Q=QX`FKLl>B)dqnD;Wqlp&lv0O0vO z6`oYNeBKTfQGXHlijrw4C7Gjf5LjZWh7xR89^*D;+xa^5DL1dn7&RP_fO?hlDh**icg|ftJIQX2KGaCS}jZ|%+!2sdS*aW zrd@+^Cr(ijV|39-R~lD;QRMCmD8+mtchWE2W3p=31gUs)?l;F=fx^LR^NkTgYTk`A99(JPOth-|h++(~)A&AxB zFvY8lFj2`5@(08YwV12?+8#9}xZPjict$lHh`JYB#M559C z@y-jBG?}hpGVoXs&)mIdfw~>a z420S%|1~09n&6^OrDMWHw6tfPmei&~56-Qh%^@pJjm;_^Ua>WwcS1P~!=;5AT{t=W z(zW8T*6~CHA9(&|9KJ+Q%|a9{P@lqUI=usn)}$SC`jIkjwCP~4a~8qUzpisSu;Uze zHtJ)KTe!hlw6{1^Z#V@##|vUg;vl(I#SuWjREPCta(U>@yh@RZ33)I=A(w4)j&D8PKNP4Y3`Cu8T03C zQwG5o`3jv4JEY{e8xB$TAU9g9Y%MT`t2m}KXy&W_@t{}%16@W|-MeF9^0ul%Uh7e< zC`{f!zX>zr{0u)jBDe0Vv3cMN$+ueb!y@Q}TDsmH9xr>=1dD~bKY65mbR#^CE~r<3 zdp9kRecN00?QM1YH$jfsJklCA#hPESRa%{6fZ);XflV+OIA7}WB%4jJk8d3vi{>EGCjxZ}Bnr39QIplBe2iCU=L*iARCW`LmsV-#marlqhLkaee%|L_IwjJJoV?TVZ;VW^MOX z>bs0`J8)`7-H@jzHi`)67pctZ@ge*g-26(Y7HPA_Kk+v6a=1s%N@Y&&zol-F#v>R= zO0o|qX@|C$NUQ&iQsCKglQ{kD<|%J(zeLdf5#k=Da&c82Ei~QqRkrNw2U2t*B`!_vDg>85U48~(-Ze}>xGO7 zZ2?y?+E5!`-ZpFFM{O)UYjYwR+MHhPNHan{ph(pr#Q~NCf_n}X_x*LMjgf}W65w+Z zvVh@BcRp;Pq~Xp~_Uc+YvWF9OOv6M=-k@-z&g0=QL4K+vdoGYoO8 ze9VPFc8r$V4-0Q|*CuLZ4N;aBwtr+Ny}*qO<5pTAoOiZYS_{h%^jG&zwj|s)yw3aaAirRzV3)%0wX=@he@pV^ zF2mBecEjtBkA+{_iLKEY;@zx&VB0zq9ssuNze55a8}&DfR#ZnOF5Q|iAG1rm=KY&b zsMp+Di*T18)}5SRzy8S0K(_I9Yasj8vNztaul?KAMMZ6jlDy+rA^R!4a;W?U!dpu$ z6@CxAqjO4QrDgB>evl(5-(8Y3ylmxc_L(weNgkm2d`_mT^j%QS+I9jlAGhpuf8R8_ z$a`hn@rvN`G*8;1es21Euh*7GR2b0-l86jAmwn=X^_??A-+y3#-FGeWtmKgi)vhUe z0TNy$!TsA7zjFWHqO(t8Pt>my@1cAX&Blm%&GHh?K+}7pNB{IYE+x)hed#D=-TiCs zY}V+9u-rCs*j>VBt9sfhs3Ype3E${Z_2+%m$l(6JRZ2kPvo-weM#Lk>$~$Jc2vI)ES7>- zeZS8~rW}c-ry33^16I}iQWU3sZXzIScKMdVd%dS-pE%e}Njz}1%)ID9q^^FWqS z)rqaeNWRy!{1fOx0R7c-VpyPq2g1rUdWP;BigBhyx+#hhXHhT7uhPB+>9nvTzg+V? zj~0c50aj1vwz+8iD=EYV=isd=I%72O!i`Az+8j!?qAzM&M*cQBx|sb$Ywtiy~)v8=RwHCua3me{Q_2 zGt610Kl$M2nkDlveaiA{yyxew7YB|OxGuT6ctMG&pZs}-+Hw(NiIr|DV2x-h!n26F zEDI*5q&od^J7T-q8JV<;{y?d2Xt#1~XvgExXBS49e0S#J!3e|B}w5J~~abu8H?ae!pOemhR z;+_iVd8gz+sSCwt9rK2Y>&CH$C|6`y&9@f1p?QY4wN@<)O21p-Kchws1|@PuBv3xH z-LzbyTl)Ygr+9M?P|k|R5m~?CGqtR%k8`Y!mcq<`94%?+olWCTPr(BgdG>*@ZCUl zQw~Vi8T$4N+0~*J*$cW`-G{T}s<#kNwq1_tD$0~o6=LZw;DixwetBHBN+m8SM%P%{ z`cn!{1BT$m;a2M}iAcIHSxMvQDjlAekCDEZDVM0`Q?_|t7#;zvhUMXDt&RY7in;YHQ zUS(`<8Mmh(icirwG9a$gtl>x)F~EwnDFEy#y;tTug)-L1Q-K_p4Ji*#1Z_o;N4=gP+C=UDLYKt@yZ4;n$CDj}5%c$+ zvz3<4OjfDplK!FivhwTm&T?5c=J@uS#T}}vpGLohh0#EAI211^a7jM#BP1Ix469oi zXJQd|b$@GBsF8z9yp0rT6se_~YS|L{Gr&L%^cXEYglRgxR|w^l6m3`S>cAQDUNVf7 z2%n|UW{FoFECbJY45XS29ADglzOCblTXpzS#yrr$OqjdR!J+bJIF9wmy9S1v99t$(}y)TYFuv42Y6nX5|Kn9qHuupJ1?%+$&e zeX3eI&M<;lL8DDdw;$8-V!x$dWO51cE~gsyla`9#koPEiDbr|{<%}i5Nekd!ropBU zLMbv0;Ia!ssojTF_`vZD%cv#!_rwY0$-hT7@B>dd9BD?=y5-1%a@P)u)#?VPrJAkJ zE2d=Qb-{(MQSDx5It+rF@_FxMU{tF8b)D`>kM!Bj%@jrgCn(*_d@kl3At!llB}zRx`+}LtFV5Nh-g#@J|MxW7WR^1Tc2i(h1NYg`#oXui@kab z(37+AKCFB}{kXOay>=ieNpSjzw#OXTdSX_L%&{_Xr}}w4B%M`XD|GJ3=ekp}Xs?bx z4T#GyLOS?cHTh?xZdZhTKyu|tL1kGMv=G_aHT`&l(*Q}yl4G%+Ew zBVePMn&}))z#0+>vQG@Drc+%%Er;$5bjm@3Q@Hz1rilV$AU`WdE+b^m&#P2B9rJc4 zdLWwfiY9T5AM1#5$WV(k#IJUD6XpJGsTLT~&9knw;v4UG@uTCqseP8NbL{whwHPGK z&^6^Za$Qh$V$#@vDH1oi_R{EwZZc}4dsnH;2|p?RA0nAzB)0W|~CC-W4vbaqfNp zUS7r|0$JuXPbFpOT0gUdCNq)(CJ@&}n5~av>gxOTFq6wK6So#76!fsg@%|yRpHW|u z|Kyj&EfS)m<5a;~lCeYY#^@Qp- zTPl~-YS!@QTPk9P3W=&>aO{gHJ0r0`TCJaBWZ_~-&Rtq%CKZ9}+oKrfie34UB^4|t zEcF{%B+f=6PgoJS!gWYj%+LvJ91#^_$$#FYvnmHReF_9(3gMPUv39?F7=ac8vuE z7+dpu-k%_nUC8!r+V$lM$eHZYiX(&ayc3t#2utDAAVg!B}unj6ALe+m)U@|lJ zb0Bzr++K=MI>2TiR_)T9&co1~f?hHAvF~^~Kqb%cmhbR%fWqM@NPyWA=>&O+h%0yX zpWKv&1n3d)zI&@}Mj|v7Oy4_d?a<;@;zg&+4PMQLYFEiD-ny}?Js*zVx_5_9`fbeZ zRXmqcE!}5luacXlxtVc1wV03m+M!Z=)e@nDSv3vKOD7m@qGLjCD8i8?v9L7Q`v*mi zKFyFDevvl%GBS9!l*u`^0@7p9Q;{?g7zK?Lbo0Uugs%MmGL~WgKgM#wVqc#{0l|wN^<8pk(MD)A zeZzc{LVP0^t$5Wp5}f6K-|&0pKa3@ENdq8NUHD)Bo3WI1efJ;6@*!9pNhZ#MfXA?E zLa+MRBCh~1R9h^3+~>)EdHV-1Smx^`z(27^=7)m8tB8B5&7-+*}2Zo z?1lI*T1&zSimy$T)g7j+}z;*Ki<}}_QOl}E^FcWqw&Xo>*{&M zwh#TIWO0EddX$QwyYckCpF@J#DXXprDz5UQo&zkGJ;)lZ-2 zZa>e9=RfYfTE}UN<$UkGQ&sci@I@M|ruNJw82uBurjDhnQusHK@S!isBn2a!+(&}8 z&Zm^VD-0>J)J^ToNdNBAzL!6Tk|ANy-XCBXlczepLtU8-vZ)RrmASuoH@E5Exk^EK#9s_B28)^5zC7Ij3X1y#xJ zEPbxut^dP7)81fx{_g~PiIq~iG}g@uTP9e#HSE&UZ$VabroNRCd|6$pl)B=4cji<4 zmNUx3v;cWGosNfN{m!eX#!!?mKqb(AwwDJ(l$C01Ub<@JT(532XiJW{bzJj(zYK*CtvfjSQ{42!eeIIgNJ;KW8PSK{Yabgy_*I5#mdKy zwUsA(j#`Y9;%fY6)pdO{D9)`va@*9R;yB7HIZ`c(ysKWnjB4J%|8|q+*%3x?)7eIQ z+g~$O7t#ar;To)oHEZ|)l#Ke_3AHdDji@i<&NrxA#+AA)g1avvV-@8}J(W47KIb}- z4T$GQo3-}A(v^_q7GKrgfcTN+dU!r)M_DQ*76!)5YlF+JBib9WFLW8vQ`t${T0iSO zf$aElg<2#Z&Mit*o2stwd&1;BTkBPbW7foSmM3gFKSh)uyH z(hMVf85%O=FQ_bf63Pj`CW&kVLkGc*cGUBh!vR1N+pr*{HKU$k@OJQrR1+^El=3b= zK#8~H)G!CWUixL-n@7v2&PgZN_ZHP_a$M{g%~$mf2Ue@-MkUHPGLMi7H0={}QNM)5#`AxgOQRb$&kXW{C82;NR=`r58ZNj->i|jcNLN$IdIDtLqS!9(U zmfAGL1UsgBocT!SU8l^ui_h~Y{e>HqtKu~6$7an=JKcZq;@Rc1$GjKMZ+O9}SzGe! zq9-+L3B733y*58Yh)~5ha)7o) zOL*#iwS!E3M4|(35!e z!6J2nSOVFOolYx~wypHz7-g|Txd8lO|ICL_o7e#i%3Kpp%3bOeD z3&=FqRr$o6g8!zzg=*TXCsl_LxIa8#JvVDmOW5?IJtxh~`y3;kd9zHm-B7(WFO~V= ze?j(=>Ei*SD766H0%B;h_jxjkd)dYAju%zgQIu@9W0za5o3)$rOJLCiT92x`Y*O5^ z+db*gaH+-8!n3WU0WUj>{WZtvB^$grU^w8KoEUuHKR+E*h2!q@k#VYdOm%e(&1A5v z>epqYBi*oI^i&4kMd=JxpL~lsXtt_P>Fw1^$DPdE$w=t85XCg+B!uAVI#z5so`Vss z=j7PU6){>d;+s9vG7^|aH{^|yB0ed6cmM}1R>9>A=~;)^jxUS?o44nO!Q|QJ>~7)h z`2Af7cw3b>Y}v}dYPyLfGgLhzXVqz90MmogJY|oPqXG`~cH%iaRJ&R3DdZ&WORDl6 z>YHLdn>}&0=PN4_7{U$2Og3&zEoQg#5|=%%3fznQ*gboN!KWdG_o)H8ob6%YNob?) zo6*Un%_%W9y*8=BpEL^@Er=_LNNapW0g;9r&Pk+o;C1)kOzlJpa%hgD&fb=84g*Wk z+J36EqoAjvVEh+T+05uiAmC;gY3!KcbTiH0UY?0Ir6Fg3P7z%{(dt?b4ZM>1U>}%{ z2)X)viHGSxtt%stCN5i}(oms^fm=w>EV^lpp03E7I0#$++>HB}r8?K>+z7&GRsgLjb^tuj`5{ts#QjFt#tMX&U6OFWq@tJ?%gY`3x_3^UOWaedBFa z?Q%!0m6cwX*^FxQ&*kU{?on^$OgU7Oa@xEPi8QkIMP)UJfTpMG24Ek&gOytd7r zr}bri8!b&RA)epabK(IZ>28wLUro0N+d?5chIT{6kx4CyPX~-RJW+mQl2r|&sNoA| zBuM|)@B{q+*Z67Se~~kb~CV-z;Cy5S)H9LX^%>pPJ*(!STU zB5G2qe#}uuOD2Yq0Gvu+o*+RicEEJ#E=o4@Pq;UY?s6mp&f7E#3NW|-gg{RkBmjb> z1Ck~Yzz9ZTRBdUa9dKD&Z=I>&HMi+saHOA+3SB^7@A zXlZG>@Jp~@BL}GPiTk`rgEi}%EN${WExik(YU;kCYQ%bgyMq%M!HXzn5Elk9RiX>a zAUV?-1zr!9N$kw3P8Oo5lGLdp7)LiHb}ZkTZMk=qM^52#$T`SU57(a6F1TFm+-cJz zrD#z1O^&r~E>!3HfvK8=ub#|d{Z(0R%}OxI=qnF z$Qk1`W`T(}AcDTuZWYW$lta}mo#|!I%`xmTAg}THbWntfIW@(pibW>h37++q#iT%er z2QYnycQ#s+(&iL}!WMO4!btD0;|97Ryu6^jkbt>JUSM_3^q)an>JF4CH>jAGW(l0c zBcgA*VQ&_bs>~ooKR}rB(vb7T)X#dRP7fytZMOg+mu>wgnfARfxOgxLI0TNTxr!9$ z9O6zZ)l5X+?RO>UrJcP^EjpMuH$FUZzqKlMHWf-iYftFra+K04B5=QCD&K)O<5N6x z(r}lCOk<$j_*<*1A|L&FWONDZN1y8fGEEX~Khg3a1Fv~DN|$=?N5Ov#8c3wBt-4v( z$&cpjbWcu!zF{%{R?;HfBVZry>OXp#CrJ(E`>;2XEp=hQM^AdzMZ#7FfUR7OX2bt%266Z^pLlkK0p=>6tZbHmSVU4J5Kp~Cm{hSS}fP;Y`Z z%GZ2MWvZ0yoIq#g>kB?Und@Fy=k?@H#PgI)q7yP=TA|Tv%6U#fk*_-R<@koBK zb*KWBosROz38%s*D?&25@5y~gs7O51M_EHRRG@$wJ#rl$45hZ}#b zi9COFcktgPlU5IEJAyu3apmm7kI1@MW-R+Txcz3U@m0lCdrQkN`&yl?yjX?8d!5NQ zn!_LLj?;O^%27$Fd`jH<^fBKv(p+zXVju6xObd_`oKUOzdvoBHjNUheNaxb$dJ_b@ zanb(I5fY!cdXiVsRkEqzqkA2>70nY~b)v+wf!U6WKB`Zrs{gtL@!V-sjNC&KGJxYK zufoa!@l##bH(r0^8d(`z-g{cVUcm^(aKCB!qv4`U)=ELaooLo)j1M?ad5C7qx4+|4 zEuz)j*}&?;Mnm-oc=?)h0-Os}<4{d)8|P%0(l`2S-`E{`)x6J{InHOwnm2?9f-%?L zJw(zXYb-?wf+2|F9>+uSz^M6Bjzt)>!$Jc+DW99DACS!Thohl(Me=VuqK1H<|OoAQMxpQSLO38nDYQLx1k(cwPgLzO%5-eHNQNLmo%Wu}P1@B5pxTPi z!k&uVCO)K`MYuVnkr})1FG|lXViohZZ%l-!6c0*iM$a{%;E41C!i*8oQk*sTg1Tuu z6pqv)rtDkDm`I}ceY)vEasl_9aG--WZhKDoKNciuUPAPu(5lkB%cG%%E#j<0g~D_J z(=d(Bci-Ix>c>nvdc080LzDr5$%{B|fu~(#W?+0FH6+v*DF^{6rX-$l?^JfMX#e^O zExs$UevcPRA-Jp}S3MFSgz?pGy|$pS9+;lH9Ow7(qtz~}rRr;8;#qg%txDgqF4xb; z@&`n}P%ocnL}99`-;Gg1zU1Ax_<(x5sZ{+r5yC=K*(c{)na>pgt2)}aQP#4)n;v&Z&k4I``3^FP$E`0f zM7y&JG8x2}NbCeAP1Ss3(gGT{Frv`X5*5iyFEgNbZbEY5?R$L{8(4cD)rxDDAUqK? zlk3z}_Dzm=p?OgHB`-2Htxnx!a8O7+l)iO$^gPI>jADMI0EM4R6AjLZ4g>bq;<~nL zn~2v#-2k5H^pS#|i;*~IbCDE7NP9-QF|@xz8Spg54=I`lW6DC+0*H?g@mqaUghP{v zXGs&GnUdbYwfpDTAENqD<&M3 zORlPZw9X^6qDCc6>n6di>?O&b_mycFPpGb*Uwr^VgrSM`MY6dbbY%k;a8@q4`CHE? zJ67M!)&V}s5Fz%oLwbJ^OXMoZA^Zh#!Q~7}T-;2jtQUBHUXeq+%qpnM z>S$-LGO)}QF}xu?^A-Y%Zr6R4UWoO3Qa&q2 zbDO*cgEFa0K!W~BWQlbcYrc#kc|}&m1sb)kGGHALYTCN9jeYMEc`;z-c*u)8EZeG7 z*u6RtP&}vrVll;B{BaXYN9`!<92?LS4@mwR%xvlZsHP4RaeLM3jM4)2C9!k-U;B`< z;_SXXAijsbG~?9DGABM+=~dpJ)32!;ySfXMyzo+kTDq6KP>?ZT|7{xZVKUh`MG5S* z$#g6Ts^I#J<17eyL1M-|k&)&}w4mxqsgT{-o#SX;j}5k$eRN`vSBJ+SRNgz!sz%>V z!{yn?G_4~U;*(@RkjasQ$Wf6znCd!2-|LS`Ojk>O`pMC-0e2EayQ^*V-EYH_&D7lq zhoW*i8Ee8J)MTdd)y=|b=4~VTBUQKQ=9|!MJI7k*%IL?7I>~Sgt}#a`u4Tk#Ej*%{ z-b1BNdDj+c`};ouWxrrzp1 z;@xhSfqld^JAb$&&kY5;=$yj^0*QT6QQNslwLdcLqo zi#A`9GaBR2x?Q~|?a2*0kmp%q!N=?-j8iAl6-JZsD2s@q{tsdA9@pf3zyB*oTT$26 z>Rh!}i(0kH*4jEjMXlB<7B(#~Mc}h`XcaNvsmPE+JaDKjVrz+1n-C8K$hjgR6G+mv zoP_(ZB1tNkWNSqVA*2OD3ORkRckK4~e1HG^`sn&-+Repq=f2;s*L7Xb>(_o1wvv{a z#;GP3i}sotAj5;Y~Zbbx#oI=s1qEoR$IsH>c$d zApz;oYgshy2}wTgwzaK*>An0OuSp*of0?%Ko*jeTlKjqzB_G7&wz-1Pwfqk4+(Z@s ztj`Keb22=?>)jU8w3v5#xgO159os=9;dq|1>Mc6b#oBJZ0#1 z<8{b0kAO9nU6(aC$5(}qH7cs?pV|EIaxcw_cM%qSYKuSxN#M& z-9i2k~wW2lOL}Z6RDJV%2tHWE5HivscF7|7`OH zscCyXk?xa?Z#nWsUn>u5$BSRBrFwU!)_3n1#`PG3(7(!yvqxt+2DN<^_me8N;;iys zT%UZqUDNh+LLXCUBX5$Ct9$5=9pUA-hz}>Bb70nDkncN4o>(s2 zGCnysT271HWROFI7)Ep=U1uXUDK&5E3)kx32;w10it zT(qzJ^(I8d77RW>EK3VbG*0y<-0U<$wkT;G{4YHx4WcJ{Ii|ic_0<59&5Xp~zxw|w zoq3f{UWxB~Xtir}rKA)#w4gJJFwjs(BcHDg|KSzvJU%<=9p<+h(flBIK_z3&Il z{5N7=fBbQFxp?k+Z%t6x!!cy%zp<}>N(z+84^zYXMSCXT<60RxykV}M!_|EF-CN$= z10UMAPPzH4FZpGCuZkf(#)LAm24qn?AC9;=7;NU zOXr8@J7yQFYb2+kF$V@1w_i5?t-Byxzs?x5qw8|e0#&F;|7=|lblwzd?2v))3EH|( zUx1)fH-BePiOyI+wr{7J_j|&`hr9EW=dRPV>_wG)v`w>q)r;R${`14|vx6LCk!*6! zR|K%C^n}8MEsD-5E>a802$GAPYg@$43@f2R=tlhoXKA15}ygQn%fm0 zFL^L}t>g@uUX|}5zu7@~O;^|_w6Ax6U)BBN>)ks{2iv7Dz3BP);1|i%t?mWFN9IV& zgO8GRSahcH|J*A)1eS|Sa5gq%r(F=Y(o&I&=%z(*yiud*4mp2?l6HlB=xpq3@FQv^t2t-KnIQ&&Ax6^~kWm3?h{&By}8B5jOl;^5Ck_iY*>m4<-)?e4cs z7~wkDo5ou1AJpY1Q|dp81Q8alTu1PE8}*yzT=hph-aQR;*K!~Sxav2Ai2IU>uTj1n zZVii__pW_a^EoN1E$b^b8Bmqw4-m1|;>DJnmjAJy;GA`!H}XX6v{X3^L@qeXP7ENh z2N8pK3AMF7KRjZCsy7ltu3!sG?Me}VrRghm7UiaM450@rmiJq_7bP78^W|oTdZFlb z^MyPPTh~DyFx#o?ceQRyA3DY{$m&SDyf6~@@c|;RP(nFKB_<-UjJ9ye=jjkX>i&Cn ze0VOmu_X_>$R1^Q?jT1n?jlw#cv>9f4wm0o@K<7bv_mt>!W$m;KG1056X^KE#G>QA zb{Ep-!Qurf)L!L}?WKafalffEczk+rh2XnGx9 z9$wjURt4galnC(`+`b%L*`M?)?qup0WMY5koSzcmv?0XS6kcnB^_91 zOAq<@e>|a31<6EZ_Y9x@bc>#`KdmCVT&b=&*prx0@y1>Ki=7(5L8F49ZzbHJYTe9% z{o}Oga1wLNk1G@%yU*U*Idfie4qXY&3AGFaH-t54(_gJv{b1!@P+iM^!z|zGR=744 zw%E-*eHrvEV$2$Lww*Kj_Yf|@8<;wM4Hj5gPf}mJ&ivie%b(xEDB@sZoV4%{#(4lm zu*-_x9LYo4w1XD!&ZqgPH4*nRqMXL&MV_3Bs6H9G(5Qnda*H~t8*`zI&N(=9@jhZ- zW-F_m8jl%NM_~C8w;K#EbmgHZXKjl#aaRD6s|9K-z9&3DPs2s;$-wK?Sgt{!yOrbb z4G`U|t{=CKkZnorB>;&l(GvfMi-6Sr+}BZigt}#>)3 z$kXa#l{-;uq0zsZIw_+R-TvxxQRT>F>Uy&Lwj&Y~w;f`0!Lh7Urd`ugu{QJNucQ=4 zMTyUKnClhvKQi&6T{Jg7r(NQvWyZZsO|^HNzr0ud*%rN9zjz4q=3%GlgDjM(uC!}2 zG<2m&A*U5%mzihKju-2H%(oWwYFbN$`{ry*%n(MY4GZ7p2sYWqmY($)5F+w_yBYC5 zJk;#nE!D=gI^~<_4p_-51o`#&u+NR4WEjO_NIKwnbH`M4KRyX0y)knD*n~=3GEMQ&&F_`1M8loS-*AUHzy-;1k9Ze449Rhm zV-FB@`A!m}2kzu(t&uxVGSwcqMZ|92*SQ+QTQ=@WYCH?~Kb=|g+K1pG5fIPeH2T?` zC|b_bW|lJ*o0$YQc|}@bp|)6loBD7c5lL~)2~lV7d-$Cu?3WT=wh|@s(<8Cxm15hm z(?N2Ti>GJq{BM~GsD(QC>C@3?>aX7$>5zWUR09Gg-7~cK z!N&>t{PnI$*~7bCgos0g+^rP4zQ>|j3VB%8#t5rcoY z0IYSEER{e^RAs!x`TNnRA(M1J`?zn9fPdB-Cgr#Xs!5}r9rrxR+X0es>>v{*rG$vv zys}!4e5w_73`%mFe!wo_@RxJ7qn~a1j=hH9Rl2S0+iG+9cPah+SM#*DAKi_$q*Q%Z z?UkJlyV(6gIV3k8K2UC~ z7v_U44ufTq>SSLNoi_3qf_gSZv;;(EM#>V0RejmJZ1r~cWR6aq$;-&q`s*Qj3y+nj z8hs8YN>E%`DD_Q6>5Ouu^6MN+HXDd)geQsLJD*ip{eJJtduvfol8QaJ4kIPw=`9RX zb;$m-Ivee}yL9O(j0)~+>^Vv_^6lN20f;*T%MW5;%wgpzvt<5ORXmX;;JBk+G?`fF zJ>-VB{z1O7wZ!3P3fuIjlo`1Vw!*L&QQlWt1JNei3e-DGCQ_kh%It_Gr#ijOU1HFh z1^h)k8?`kvUzO6IuR9&KSFmJZ%A@+M3_IrFw3c6G9@f$##U6xLT|3V8@rx&)(FSjF0f zxzdK1(l)onkwV>+8XlW~HPM+zP#TR>29TN#>jk$9I8)g>)(gId9&me2xQJXEs2WQ) zRn>=mMkf;J)`kbvlvEcZ9%*^{a1vEpu4rmmv=3QFrxe)!IAQUPyUbNfAGM}a@vlyf zYQGwL8kcaBQpQ|$dh2!`A`2Q;+7IHn^Y%b9~Dr<-x*k1su-s$ zcM8}4Fz7_>iY9jY@ZrV_u5khZAWCOm!<%lYjji07=WhgqO%?fCT++qI>h!(_iOlO1 zFrPZDAfH8o=P$O@6-D^eUu1dyy{K-yoZC2gz=R zu%Ge6Mnt*Nk51rkPV}$f%<}2*pWKh}n8%!Cz|6F_om1{Ex@RO}D7w1yGIN@xI)LV3#1`LCMO>M|`eb`rl8^SlC&2lI#D>NZ#Bo>NUoOXT#!j zvwYAtd@+ON3ZV+-dM+cowhaOP=7P)y1Bp(+Y=P*6v*kb9B@f!=n|MdBp_Jh92sp(* z0wQMVp8-RrK67cxoWOv9xi9?`{Pf$QuRJkz;f;XJj-Wp*o))y>lYn;#uJyz(xYjAz zTLZR^hR%ZVbu9G!r$M3TXDqH73I6q12#<8^f~oS3J?s@M5UvK#oOSu>F2vDN!FG!a zoWBFQnD@qyVXt*X2=mBYA7_pDvEi4MgKxU615+35s{D1_+X2>%$xyOyeE6L^Eq3dN zjwy}mO5dN~5Bk*(?_aV*!nb=4Rz!v@%M9A}&g5ULlF;}~WF-7YNkLyg0&yT}^BN(99eYU|zKcIADpF2qIUfDHk zzGJ7;`>;*Xm9@f z=$~u5uQ?ix+lSYhE(qJJUNvPN`?ZJ?A6{_dI2|Zj{j|?9V?BIl9hEW0*Q@u$h+_7N z-oa1rb6U8eGW&BzM8*|&(5XFjF_XccC4?>ZXsbScm8!w-WHK3UM&+uV7^_4rf7ml? zp+{Re1xC6ov`!sDp(;CAvD(l&ehbi^xM|v941waT8b8Pw=>Lf^OF$+xAkv?jI&rn+ zWvV*8hgrP2NaP5)Sg-STg`8iJ25yXdLJ<&v=-XN)-;*$>m`BiqalOLhL!?EB<$Fi#SdTB^{23-S-ig&D~nt6nYzlBF*MH%@o}c zI$0UA73H5qBUHcP+*>xZGnA(z9%S8f30J5Z0(XI7&@hK0C*f6|e^i65WGfC=y}S?E z>$h~_XD9RTF*So5E&I?%?x`3GO4+}~@|fUUQwB=WU|#b%;hR1!*HHqC2rJEjQAvN> zTKv_hx9A^T=3r;vR~tuLdpy9_uI2#P-l1}{X?1Ls+_j1c*5B9f+j#uJ1tIi@A>*QM zWyI5~VehEW_a9A1Q0XZB3>2$%bQ@~5KBp3oAi@AL6ylv|)8n>v3;a0w8zMv!L0URB z6OqLE9Pbmc&u$^CaqBzJZc~SIC-W0qP7f~0PKToyPz@KNrVsQ{?Nw3w zMW_a-uQ1%p5!AU>uM|c@^t7YS%H9(7SoD-xZ3QpBbFySIDYQb@Qlg3rTZ!I3(K^qp zd0zgelxN?{%t2Yhv+MF~(9ZQs3NxC|iT~3YZ39S#tizFi`7hZ{7%kj=!H3lHIXIBx z^ZedTh;+Dm8svy5O1gc6OnKJMl&{8*G~dcnP*ZYpXlc_!$BI8;{LEphvIK%6Uk^jy zW~8ffkX6z@@3Itc%QxMprPB5EeuOY`dPQ0HsgGSlj8sKGqf5o^2Jk3Cb*FEtVjzfv z9ZBFSDXr%s{cR{x&41zKKtoEG-2ThW_ERy&U75pl z2v=J4X72s&sZH3K(#i=7JCT&AWtFeAe3Zk;LGb@1^8llOPAjCJUzHhhsj8&&ov?*E zMr3PZdNlCdBgFQ&g+Qd5u~6%OE<@}CQV@P)al*3PWDcX)7tVF~4MYetkr3V~W%2Yf zu=*sANrL~-#n_@PN`HBl(n*Yh9h78*Lj~K?anT+u`{yzjb$*PvoCxBumpJ4)^)Gb# zhAkiEpp-G*%%qvAYke1f9R;&T*$93b@?K@=MT^Eh#BS0>D20y+m#~x)2nX+7|GJz~ zc8Qnd4m&+x?`e4b&M%$k4VL1?snR!n7(rwa$pbL{AI2C4XxLy*y6_FV<$Dze`je9< zoR^s2+nj1i`E1j-FSe+bSdk2^EA~igFa7v=t5y#W_euU5YiC_iI!QEUM*8X%V+a87 z82Uy+3cY(p42GrrRJmfa2D|gl79?*`V>xTF3zS;ofC4ZrZ-$uk5D^7}#FiKCwU>>Q zW2XmmHf(F~LF7udx*K~*6%Z%aKO(qV&Pn6D0gHiHp9FfhWdgs9l)vQ!;&rYbTK?R> zt(GB_1y;)|_Bc6|WFm?qJfOE#Y!;&7=P%Slm0ecwW}**v#5<$({tix&@{o_YX>#f6 zNPRr1Y$L*ha5Far!@epotAnXOswBf89s%Y4bxvDBZo}(e9Zsi|>gc|FQ*1yYg5ixw zhw7ojuJC{jhLkAGClf{|%6HHz>~ollzv`)q_LQrlk~c=s!I-k;{iAg|Eduic@wDOYqMPRUqtZ4#t_W`hcMzQk7Fy7m~Z-% zo?YkQ&^56TZ%Dm}3A>1sMPY|L%gzpxhQ;o$6a2PN8lYLf^|rlr8CM8F#pDLC9`wU)lRDA8J- zpUaK4oz}v8uh)uVp~uS-nKJGOo`x+2iCRDD7p@(r<3%UdV+wP@KVn3_v(m%|0o-!t zZ<^7|L;Dh8-oE2`Sa%F;WL~5B;Ug|0DPrT|I2>btF>lM&Olv_-qM1%RWfcTBvFD)_ zGL;9}OX^g-L%3SZ%=t(=TZ!>yp^g5hDsJvN#{{m$TBb4yeuCkg#?}iSb92F|Qz+otmR0j@nti@r!VAEc?$|g7nnWpDHKU^3kFA8yoZ{dJ=n1)Gk0X^ICo5tvDIQIz<0v(ILO56LonK#R2HA#-qBAKe=&vn(Hum zraYJ~>A4(`mwca*uX9zIoE-iXA;s zs`>#FkTA9&MP2050t|2mPpCCxn- zZ>G$wJ38Jlcl{}j{&)CHebzWK$+evWj2>79{+7I>nQywey@szE@im1~E*E!~`oY{H zKR6$&YBL~G4vs2H#ZvP+1#4dvUQ^I-T#C%OQBbeW14(@>hH)fi9d;Ixds1Z?f+M`yfkGxNoa-# z&J29&5UHNr5i&RM?V#7vgSPJoT!852_MIWKgLeLjsF(5hV`?ZS)f4!Ye*eGK%X@d; zS`fJD1vK&yoa|IT#I^te<^rN$LabcuhsnMivY;j)Xj6FL?toWzj|HWMyml&N4{Wz( z0h^J|yzWnD-uI_7XRI6yE`i%N(lK-1cGN@enC6`^e*ti^8|JQSyfHk}C0bhg?3U7? zpf`aE%FLYH?)xDy{LA>Q?!@i(@F+|SjjK;h4cA~%n*2xG(#=*-%J+3$TjTAPPx++x z_r62-0~Wx0>){OU3z`$UOdPc9raN=(SZJIzl<%Kb|NUe^-?1++tsZ-8Y18o#?sk{< zGieC!=f@htcBTaLUz^bE|8v-u;eB(tjzvVbyz#B;&XRCW`r%1avTBJf;%Uk51#R*< z1t~wjQFNLPEMj_3E8185be3LMaPPfBs#sqaw4m@>Z=s?xXse~*;lm=CA!w_;_!?=W zE0FD+F)z{T4IP`c#ourSqLD2rXj&4gIl6`swt70LE3%O$UjKz7SP zq|Hxc#S%_-PSsH9%?q8P*kCVK#jt_GTX?U;>(nssM;&Jq6eB$!ryhFS95a+CLKE?AIz^G|Up) zWiRLGXDlRCL1*0?@xZoa$X8a+iS`jHBB$kS%6fydsz~Zs#!Y>EiahNc;MQlsjsnOl zemCg$9E@W=P8wgDYxw#~vDv~ZHD%K#wIdxL{mfKP);7Oa2OR%H`Wj8izg~8?@)1S# zHr@3I=#YNv21D*a)5i^?jJ$Fo|K)Duf}eYBi?j7R!9`J&(N%q`C}l+6d6t&%EeVSW z*=z?Y<5N}ygbPf#ee}>?Bxs>rcFmBf{idA7I>M@ME>bOcq}|Yz;kVVpr@JI~FyA73 z8OeF6A5>nvSeKBIYvRxf5^u}HIo<1$7yScw+(FW#>_4{;@qatSR7)BDz7&8QhOTb> z6P^ZC;F#rd^Kj=?L8&GAqw%V5c;mdjo1uS>U2rcks$F(}fr3_y^Yz9n<_}5XS6SZM z^-Wor4>s3oGYxwg**H_lXMg;O+Mz-(VR#>77Nv7wqSxYmQWt zv|!sgOZE2mETvOYAJf*+9(eGPA7V|?ETt0`lK~LxOvuQ{tddkp>O{xY-!WCrd2R3J zP|~&+sVWw8N8ljS{Y>xV0@}<-J_VG3F@NOH2si0QWG|02Qi5Dse2}jFiMrDyT!)A+ zrqZ3LkmP6v>S2n4XTiyP*9n*pnMx;T)F#Z1cDkr*WRafJTq54RBsbO7a@yY@*_19k z@#eg9ae|BEb)UaCaBp!S(m>S)TfrPP(=MI$ zysk*Bq7)j&^I433qG);Fe&cYww;=kb?(Gg9)(72-K4oR)BSl-;On9NJyPDoV*(zKO z`Q~8NXXDJt5$w}fwX}0+neE=Jd3N@?-(ikLqG8rT0`>u?DPA&ry#*5p;*`1dlMs(HB^)lfye$wfG#v#CdM8VKk{;nEi?rL< zkaO>XS6$XEQ1dsij+DZur5gV15l|{duWo+wJC|VN5v(|E{HcklXgSeFLaR4m;wD-E zhQ$@1Qom+a>pJP1J!#q((KA+(PrrT`?OtUV=(w%t{NQzn*NB88h|@#3>zcA#n3uAZ z5CI$wsL9flEy}WP^ELX51%WMwKV~=PrN$KRulgUY>?3(44dQC2@-DcIxBJQRqRHL+ zv0`Nd?5M0!wMccrC5pI#^(tl2)`J!5; zM!<-8>QZkl-e?r_dv(hN)8G-d29>kOGjACuVQ<9WGD-dEak`GU2{r6&r+EGSe7ho1 zMd<6P&F7VAd;O^!EU0wRrqT(0eskfZ149TD>P!g?5Jsc9qw;q+0`yYczFJ!OEowN` z!nwP3b;XI^jT}aPYcXBJ7_<56#!*Uc=w}7Bj3O(Wzr2&#(qZ=q(GGMTS_(iQ1&P&R zEAgyWfdBATzLFnR_k0=-a|=><WJq>dhD5ikZzf4E`h3izp4F(2v`29-f30d4;}#Vy zAXy0}aMXe3-qq66VL*j9wSU}C$$5tpEgwK&NmXF)f_~A{nuv7Gry4;}s+r9?s>5it zu@T%G!u;_uGCj$F*_qjT0;$34{)rp1l8t(xtWJjy#}&`Xe;Rh^n;Xh zO8srJkPS28Cc(d+B*}Q}r;d`2Myq$mJ6TtBN8mV!g60XUa|7OVBo9p5!*R6S?|ZDJ zWJCOo8haB}jhvQTQ%_Z&NIu!uerE+jr(>vtZ}1dPPnsyY$gKaWv7 zox8KtooRA)f34gYe*tz;;bye3V#{zfdLhtxrWE1!h?S_7!TdW4&Cop9Q>C?(Vjl$51|+F+lCRJ=HneWbP(=58>NuCRdo`V)_bDS( zu|U!iP4{8}(GtnxX}lmrNftL|BpG7o?{(w|&MqgOX7V~IJlD}%^x`_gAW8ydXQlo~ zu9C0`Gm5{IlOj<(uIv}o+V~QmrMy`T6l&1PjL$X~hYL?TOTK_Iug`H6FVG)UT@d#0 z(|Sx9?eaE$s^lSRBX-U$aJW=OeJi0C2?UXj4*F_LpTnziUM^8!LbO(xK#WfI6TVYg3hs1=7kW}y`JIHg0FP#9iW1%}LQC$8 zxd_=54=M85CTCzQ_j2CccrjlI2!b=az&m zw9xxllsf^u1T?WAGSyG}#+{~tcytMahy*fF+ygifEW;INjYmyK0jxqV%pP0=s)A#l zQ@9f=(V#Po8`Ovm29wEg{$}$@hVOD#Y>3K&4_gTeOrnqu8CZRfZg@lmD3GE#t~KmH zYp~2LM5mCg_0ebsdsDu)%$mlkH2FHJ*yBAsSA7dPDcu64H8~(f^%r_tETllKEVniKbIdaacTdIPsdoW0uAy^Pcer zUclri&L|iNZ4CRV_nlr)ZPfL}soZ?3%6lq?La&bGu5nS4CxL0Qt-$FZ&#QT_UbnA9 zRkbIJT$bux<*}e9C0V*-X4PUXDoi3dq2|*G$O*yTtlWu)a?m?5jv~a|C}84SQe<7w`{wTuj})wBl{$4Y=iG;tqrq*UmoqTg!9k0~(U| z487P}a%tsC=0l=lcuJqonw&MyB#R)OATDPA9`G`Uu|hg21~|Zs4DU#G15oCY6O!#dT_WPMo^jC)v0gu6L>SbDOVpW_LTuyJP9ZDf4(z99 zIBhJen#3c5*gBN93iW_&N@X8g zveO^m-uau2{@Xijamv|SfX+gi8?JhS$^!I9CpkgZcY@7=otPUfIrc#Dm^0N{Isv6x zw$Fb)m1)NI390I=0T2!b>)4uVm#x2jG(ml}vtSxGnKOPSb#g=d7kD6wUNL`|nWjD| z9Kj8UiOAFf+%%Fgb`W{g`8r*(aX1$R*5orR8`(#2UHGO%>qHMc>qvEVK6O)!C54Go zvDvh53EcKT8A;Fv*tqothwA@ zT(SYhC1XK5gEyD@8!NYC%zQd{Cmi5$p`j%=0?wniaxA1|Tky;)cpgUV`*F^?U%fRs zbMbD{GZ7HR-|^fJ^WV+;<4;%sY`4$;PPz2#;LV^l3%l@UtFbz{8MtPi5r4nkn*3l{ zerJ4aaQq*qzP`ko@xkDp%fXrDweP>=8Db9J=Xx|8vMM%c zw;)J3xS6P<6XJrtk*1M=hWF|`h9Jq{oUo*yd1LF&ZP^o4lUn9>d>6KDvTOFod0q22 zhpo@rHCd1%o@sgVe&AEjNxyyZ{L+EhsdM&r&nYk+t9kch{;oh?MMrqcrHXZBmGAKc zZ!c+0SNAGM|NQvU@@;)*el#Mmb6f52?`HJ8#=)zkA z&p+xB1@g%D?_SZZhBx1#@MRtx!z*kG!+EZ+}{16|cYDaJR_DjetTU)Tz~(c9W1$~>xLAmmalEs}>AotUt3 z4E~g@nK2J>Mww>T{QP^(A56|!$4y0|X5n7ppzqa{oKK(6KOH`{>?3eQZyoh4D;?Z5 z&FNyvTbGF1c(#m^SS!A>o+EEuAkJe=a zY^($w3ed3LiI{8Gk!&uFsBL0GOJO9wR~GQ){Mkfug43!ylz*dZTxP*+So0KpB^|ef ziMo3J#^VRskU@))`zaMLu`!8}n!6WiN2#BU7C4MLsH;7kwn7vaEBANg64{7Di;^Hx zvkJM4>1qtFSp{&abCL3BT|WkYIqSt9Dc+Hq4DaMXn5d-+nU?6Xbx zjf)8PXKzKl%UQ6E$-^`^RTjl(q0pM;|{;nae2ecP|om@DFgDBn+~@rD!kn zOipj1G<)c3E%O{1X{5vl1!Fsht}ORMCQt*g?NB^S3fiV42!x!1%rTjRqpjKx>O%)O z*7aT@>-&99ZD-?@?vsO@XcXP}2}+dYHZ#R#VWcQr*&re)^bw1JmN7+Jqq7bQH>+#g z3VPM8ZwTdW)IE@jl{lNnSJ;||6o1MjH#17q)LdYa80SRC=kQ)wM=Ut7<891bEtIdh zGYm-|>oTnn>Z(4{RdnpWc~0Y4{F?IcvWC=MGd&(0Wq0zXBRW%AX&b$G>2rI$8l9+F zJXVo?uPg|M^)31#mb+VsgwUvJV=0_>uQ%BiIPI-p*QrYr^I7Viz&$8H=&UBeGp=8J zSjouWNvQuqoFAp%(MNwFb}J$@bx&fV>`4;F7R4HIiIS3u#@>Rzu0$LiA{M1QhR){(|uB1t<~KmPdCZQ*HG{<%;@t`Fn`oS@`u9LE*OKNt|z2rlR%3%v5F2OVu1@ zMWg@a~|>P9L~kzyw>U?+{nIJ&xFv_!T7#>t)w3Q4YARV?Tzsnh-K(T_IbxN~5NHZs(8 z)BVD2_Q_qW=t@&6HvPx;US`$3&F`P`dD)vQ_D~`sEubvH8RDWB+uKHu<_ z8OC$Z{j*_nT}6STAfoW1Q4oS|9hue5RPRo0?C$62DmFdrexZ-y*Y{ZV3J>TyDfku( zLyY$;whSg;Zmw*q*xYFsMykeOvP43JD82N}JiH_L4oG~9zUi1C3^Y_F^aF)ka^jGygO8|#bsM7D1O*IJ_xd~-CS*nY23(P0! zYNone+6#SMt$#rU$uu5Tu2$G=KNzFeODMY_dx~~7bGDEvQ<-bnk!Y|FDZnqB@ z&~d-RfWJl3#8gTd%@0V}f<|lEOV#x2Zlk7EPcSbY)#qmCNr4)M;h$siqZN(NH+Q?} zt9CPr3Ay>KbSDu>>6;T8RyB@oU613Clxnp3eA+r2(TBY}~^+nE7%+ zztdR?!xZriaRGMBCIGsg&6CP3=bf;f=q$9D1PYW9zJlpcNe9sKo07)gpwZo)WItk_ zi{BA4bE4>Z;cel^i9EVTfN`3uNAxY3p{h=phv$iNB7~dLS_*TN+HdoEE23<`Tx1kX z#~?7zQ{P;eGGnpDTyj5$*vr|QJ}ojf)mTMfTo}nVJzcAC8OWvQWTf{9#T(jEcQr(5 z|E3oxd%tK8_R6HA4omp%#~5!sf9)$Tc1W12JFGgt;b*}4QeL26v+bvXQv2#Zi9h8l znBS$xz%$bQLf8GOagFyjB{TGwO{(360lnEwMW4JlBqblzyvZZYXXO|Hu=F(fw|Rxj z6G^#H*$WW@`nz~7AtdJ%{1vKOp$DRbt%ac%<1Vl?!^YSlYBd}jIr?(ly|5^j=cme(=b)z z!^zm1b0OVx$u`fg&+M&-0UAle)he$OM-WmLlsI3gTJlsgegNAC%srDpwk%bsyLh%cl9T zxR4#qGfgu#@&c5Wjzf=HO;(L&*z7nd*mC_l`LG=BH_v!;pj{zX9glS;)D`zD0Jc&+ z`YEq5f;3pKmc6X3*o@|}$~(CT^?(jS-%Q0eKouPY$Pa8?PT^)VVR^$HWRT8(7d+>Z z%z>q674?j$OAJ#tj3OpXpjOf=C4ui4p4rvBi?Hgz`osILRR?i(Z6>;zdzTAj4G*;~#X_>ZJH z{tI_Da_TaoXX4NQHf65INj~cT)ir~jikUJ!1ccd{LDOQOYW_ZE%FdwK)}YtI180Z4 z`$R~@)TljcrTzxa6;qyuq6zTq)b;;c(d=LQfByfVv!Oc(L@4-0OqjDmmX3vN4JS>R z371BLHctU+HnJ-?bZyYZ1tX&1#NbP@Sa0kJnK8fXN$o6g+)p$A3KZ*VsE_MrQyv1{ zUh!kt3DdLDB$z4;2+s!g)40tx<5cywzu^nuaE=A;3K%?bWA_m*SVCc0){L{RJ-Dev zPsR8}?V3b}(Q{&WM&4jtfOXD?-+9mP3i{AK<)fDu`KCTY2mQ)joFWfikq{KO-4hxY z8IlEW?%2eGuTKU2^S5OBJTGbP3s^Jqk@SY}cO`evr=rxL?fIYbzpR?Q7noYnWpengoW0x=^i5UeG(9j8vfpLL zKf6vP3R#JkJe&NLSkNIgp3oHd7aEId4cjNUYVXw8quI%gY%M(A9*?V7yAMWWyiCMO zGI6-Rb{7+n2(Zu+>Nle`CI9f7a({{YZogPc5ml*hJBIM zLaD?HL?&~e?!iZmtA$_m!9|ZHgWe6bg$( zPyjtR;qQDqILkL!f+s}tnNhL9Ey-f5{L}OLjuV&Z>fyKBe8~B{_fYd)7$sfy`CTRT z_p}(Q+SuYOs;^^$Y!>E)tYK5>ia6$rj)fwsT3`O)!ktd2n9L0u9zyoQHlngoH~cE5 zIQ=#)3O=vRW6>{Pg!mRLNn*3{x8*3SiSs*NT3X?^Q^eG%`qE3QVj zz=)w>tMem&EGA(=6UQszsy$U+2ZcIMXvNeq#xvH~n zMoMd{8QGxLR2{iE_|cl$%8)U0OU???-&4 z3s=l)mxMR+*0nssA^Cpc0y{UIs`d$5ed4@!G2U-25@?2sdf;SHRY6~0o-Y54$NS7- zrloO&&(eH4@eWm0%d{B=W-N^CWoAJ#mg;e|XK!doeC2amv}fU7PZN@_O{H6mT}wYm z&ZyWTieL5-I^U7o9hyR%V28;L-*vgtH7(oD-1e$SQt_C75?1OSSTzrp84tqyQSjVi5Mn3jm_S9+&mM>3qjE0PLC zCtGi=j=~`#v+Zzn*%sLkDj) zbaol?**$F1zCL<>IXX7C;i#>vX9oT7b)UwKW`*_nI`)}d60_2@l$<1k@di@EtShOl1_mJ4D_(VHt$bLcNtL^IEGs7f`*Rk1}Ca^4Ja%VE%| zBZ+1~x2aYj2&taEZcyD)l#pPUwmOdTcR|F+B7g~ZS@7RBk}nrr=nlymT`GB}P+}$^ zYk_c&aeyc>n4j!U{nwWAZbawtc2sCwbKS1r!cLSa8*lfwTX^kN_^GEJ?7u5esNvT{ z!tzaf$IwfW&$oXOp??utmb|BM8^_YeDym26IS@lkT8;a)#DUODYD!tgL~v6O1xu=! z+Yd-2fr`zTZ%UynC;UtlS{b`wZzdRHr}QXMGS_FN>o?r@+hRJKi;%Lz+De{;C791H zZz=4STy$G$wgzn=la`BaN8U-8AgOsh0xH4SFhYxOJ*4H|!=2#~ZZLWb^~HBK!d<4n zV!j}$2QvyKI^%3ahl<^Al4R&yBC^qLt#h+rieuIkbYu3qY5KJt#q}DpcybeHJ_e9%nrt%9HDv z>h27xwHmvhI;zse^xcj0^pzqJj>*-WU9_!#SSH+(aG4;yuXdueRY+i+dcrfZvZo&q zc94E{G$9=m5F5;E>qv(}`KW0lA+e1=`tFc^%^gw)8v_)ZzgA!jL?czDA0}87k8kSK~k$8vDy!3D)KCMmhIp{Z`uaX4i)!z{mWW7eE@8K-+uws3R#NJYId6~>83 zB`>^8GpOpQ3v7%}5Nr_{Loac7-{qiX1W7R)qKWYN`)#0pyN8$r5{Y*^1OJlrdLm?V zVj0&0I`zH{4=lug45L$*bvp)Dbym^g-ncgN z4sgJ`VN8+JH};bym~hhyV)kh}#}&?bOVQI>lAcWB}b0(Z5Wo-;>|2D-X~%qPH9^X;v37wBFCCn^?Lg>d=BFtq30lpV#hswR_zo zvr)rH%Ih*MwcQI0ut^y2r28nzST_B1wV8RhmvdoyxtuK??_=t?+S{z;cn%m>U9)P5 z`@No$?0L-HKAUeOlXCJNjQcikN`I~$W&>$LfxCL6CFI$1g=wTxO&5hC4 zD#LMuw-4T3X(!H7Hc=O=;cqZa*xjmvJ;HYBPsRn8C|SIfEqEi{*awAe6wl`g_If`` zv4+^|8qm#ag!FZ;mV8J!7&%-2Ici{UD$_Gj*3C!~SNoXjC;YapiVen7uQxe}BHnUZ z9DgL&lfjDRdk8$he}AsL)=}+WwIUdb`?GG8zv+}8yUo@Z6Y2{mdis7G%;Yq!R5v|r zi?a-yHxALvZc73tckbwe3wkpxS<%RHM>VX3+rive&DFMU9@iFj;I&#!J;RBY&yF5; z@}rZgiLafx9@}qvEs9GW7QQSb&Bq`sitiBrY);!5>4{}$9sVzfU{gA9u9Nu=7@#<9 zXQ~qsja1WO5svk#sRx>$(bXq;`e>oa%({JmK;?R2WX#DSsXlZI|%0f+>4w%2PoL zE`5z-$XBQo~WX8Pd55JkA z9140aCM0~;8mD-6tm{zloY-%EbNi<`e*s}tS`!rh!PXT&{uuOYTyBb}QvtgIzKK`a zcP)}`bt_lDR_YrTy!~sO#0PIo-S#gs2F90Os&d9nEuHeI^WVP9V+1SvV9uMDI)cOB z_E@4GhcV0CrVm2nR{S3_@Lfrn|Lx})OOk^sUv-t72Aa(Q$7fkYo4$obi zpFF!jIV1XT{G8ki_XFqi!=$e+9he`tbmNJze{Y{~+m*A6b;oOF7k#lX@YV~jDt>n? zaGGR*sa;aHa-J&uruvDX1<7@9tX4gVOy&XAmfMQvkn@UU^`$`iBZX#^9?M`f$w zkJG+g_xk7Z)i}sIBbYh zfIJmjo0EJw*wKjIp&spgPhWf0-@=9MxnBeoQPP*X=imL}$=06Rm$oboe71_peU%E0 zS6N=SB7x?a*DhOTIx(;E&~t+MmA3)rxzc#tblzn9d+KpUVrzPMsGpT+zFApLOF}lA zHKU^yS~Uq{f*jiXl8uspYU=3KrSvo%YQp?<_^{18E2%YKt2w$S{H#Y~3tZmW{Xzw! zL~*7^)0|iBuXaNPf&NIgrMEeMBg|-Eb)Y5yLJ5WM*8%! zRO;W%T}fx{ujwy)Tkl?J`dW9J4$j0GRovMw``f)wOM3q{|N7@KSrN=&kbGS80i|i(9XE6@R%eHXs#4D^UKl*nmMq z>y?+uhAN)!-}qj8)uoP>zZ@F=D8E?@OuFPfBh=!CT@i#9gau@Ch zS?~?aT&N&l+j^)H1={dLM=w)T+x`5pwx5Tqdq3Bg z)ORmTNYxt9Qd-56tq@x1>xllTI%R);$VmFL+VY59m6hBh$CtR4GXt|^cy-(g#+ZkH zS~YiFeq7VxgN8^_`ldHHu3@F+^FMKya((`{S+FQpbcE|ezetSmXrW?Xsn40%Tclg( zDVZ>iQxZaKG6}tBH2Kzo(6MY!Ut?~&c$|8z{?orzG=J45Ou;_2(iZ0Fr1Gn__W-(Tz8s8Nmt0+f)2xzKF=l@`Aq_ zlPdp_W-1Fvb+MAhWa44Tr^M!pXQJS$5igJEc&YnvLaBYCi$OM15Ct#$jxUBdJ z9?(T^Kz&|)&YOpds(-e#^1SX#8j0WUDGy%tAT4~pIdPI%)yrPnN65|m zjY;J4;IhBckQNlGifAm{w$sA7>+?B+jsA9#=F_R=Yn$?|jI?g6dvLg(x)$TeSD#p} z-|9BmnolcJVOUMYXOW}t#y=>*y6CICVWxT`M;Mt<5q%&{JC7($B?Kf}F)LJ*RoB^{0uO-~%0p9e69+W6eUYPJ=rZ?sc zU(Ffi1tr_7uL%<__*x^>YQKcesF_i0KR*+=cW@8Q98%_tb7 zH9oC7_NTgnohV_Kh(?Rv=+xh5B?If}>wVaR*D}|Sk9$A6uRER(=chzG0_rh|Ei;lm z{;tZhKaUe1u#}ZJ22fNtUiM=O9+>Dclz1etS9{!F-jia#-NQ;^t6>E-x15P8e><2& zQAsF5;_<#+;`KOFE;({rO&U}MG48USBvyJTk-83AM1iY8!;0&M=QxHt1<{9jl%TVI zHq%G{$v#q{tAo8ELcSHHMtX95Z99{AN+0%;ot4=1&u%lC>FfFW`S05K(Sq1#*XP-o z>+*ZpYiG26h`|uTQ0o~%(h_c>ifG{;Mx2F4Vlbx8B>WeJ>7uJn84K?l4XBEAny;9p zmSArOoi@Igs?@$p1@Ks@{!|xWI0~B2 zB&p!I%PNwAO4RUw$$A&4sO$XyAGx|pTDxAdyk#P#CR$Mj4=W*SK+d@g7I;(ZBroB|$&7=2ZhOj_s(s%nTR7A>u( z`@0gu>xl3dSG^OzZpBDWp$2W1#7K#|>maGL*OWcQ++@5)kc6zyq^>orarZaxRiB}~{HjH8RORj6C;QxeVUd>bq z;7cIuAZ6UVaH##njVFQH?rF|ji8p>qfm>+N*CRk`bIyKbiEwKs0*Qj7dg#<_3ZASp{grg@a9!{|Y?JZ~S zK+9w|bvZO6R!GUsK+V+U2k4}s84pAgENyQRY~;%JCf&1sP@*=)X`C0EJN23q=nJd3 zxI?F60+(r35d#?fPy(13KEmSVvhSFNeJziL-Y4ZFT)haDNJfohfRCMs) zjWA8}!?`sDud_MuL5>z&g#^kCS>Up}FnPDLSD~<0tWdJ+#*xB-PqrSj&#Phxe#f&f zRPgt}{XuR;Tl0rNY$IfBq$|q2A|JeXJiuMIN{_@_rcW5fp=c;l@61k$*x^XB;TDw| zPw&5ceo`Z;7lf}=66LZs|NV)}%8`Z*->yRU+-PAXwY+6XH*3lcq8;?MN_O^roMYs_ zW0o<}*q*XF^RakZ+OIyWO|7A4Gx?<^UQk}9LUdC$ewB+pXZNoZ2wTyb`>fa+i&<~t z{a+4~$A}m1lt$0nfZUhU>xpVUws`4H2vp-N&RZ%2HWw+BB&T6F*srIs@yV72rK6(TseFpEX=+;L!d49btm zF8&!C)=XQxB1Y~s{PC`Gm$$-1T?tWdn^Z{UtT;_CjCww#FNd3wsW_6{d|Kd<(o^E_ zG)PP!uFu!j!2vQg#)>iMj25Nh$?UpG`3@O*zu%pcDR@VSd(KeCTpLLr_zpjabT#$( zWXZnLj{GYsZLCS*EPZQtzv|6Z01;W_hXzJj@#xU3h28xBYjhApg0l9cUc7i;ayTOZ z-+JVTmR*ou*P17Y-vP=LBA;&V!6h6X>Ss7Jroh_-c>9SS(fkeBvH$50z4EY!oI>faagpz|wLZVWV8j1^>qq~YzH=h| zpZ9<1O|OkUVf%gO`^>!SHQ#r7wErx>&9{AigTc;t9{nasyxjEvE+3~{I+;bxP8zkFhMtO zn}D!CR{p&3nV6TGO1DoAg=CFvxGa84m9U}VC-2AJI=RCgBO=a#!Ql&&dv%VCu4%L0 zBT|c=j~~A8s`%?#ukxICv3k7ZMO>C~7k+bDzGLV8Ww&3J+*gq0Sv+2~pZk}$w{}HE z?>@grw1Y3J2(TpuZq4YODx2C}bD*Uiyb@#6Nv zdp=8_z7|-c4H}&PeeiL^+>dj@zRtb#wZfvOt=?d-HPZ9~tA#=#?gew|%kmd^iV>Xo*t^UI5_ERga#WQ(NTx=3fL z@ng*ivaAy&U%sPk`EVcsfoel9g=yKXAMOTMr1|3k z>`rlHi{fy6Uq)70&?zTQCTUktrlAC?(`}RZ^214@OGrgkePJ>$si*K(H)pPK>Vkv( z6h!@`scyggFQ$A-MS0gURWB?KzN#@%-6K>*SS0Ps?|QbSi{E<_P@{}i=QzG;@e(0@ z6^WPIqw8o9GKj7mrNo&($~V!X^^w$-EdR|>jOcPpp1}{E@G4MHmT60?R6wt$-1#UI zhmf_{svMt-h7PHCc;WYO-+Df0Zn!64o~1+(>P=ZV&%_J`pOfl#dSe(~C4F?>9aC3% zMTN&d%{GEdeIZIcKCD3**Ov!aYzI}b$d*@7n<5DAH+~WR@mH_Sk76b8w7Mq*TtjBp zHc3ZY)r!TuB)akeU7nXdc|Ojl-+DsJibTV$mfm#!M*IxL+AU*EXKEQRIUY|UXc69P zNyP4h3Z6@HN1F~~L}R*h+JvA*O+A0(352gmoXw}x#6fvVCsS4HNgnUg?v(L=`KhudJwBhVxsxY-!>!_`#BLkCN>sNdz53X9(KT!b%@$48e_zlim6h^ z*DrnD^uA`eQpRaFD&uBT_ zj}Zo$SIhr)j}=FfF3=NJ(~MRXU=i zTh1Uq5Yj^NT~ws@WP8E;yo-}lBRED!^%F}&F{ri;aNe(L7lrr5mE75B0s`w`Th%Ym zmXxO;YVRQD2|-q}^KPBvL7jGt^?7utJ@iFmVH#ombS=)NCDnz0O4Lvj)}6hDfIN!L ztNA|v@SMSex?^n)=y;#Qrr7bcec4E@1^R7MP2-GvW@c(cIn5A9hN&Pfi(eDv7|S>~1-o1mlvl5sRM23$>|n z$P-ZGs;Q`X`g<+JASX6FV6K>$X->8CM^(cZTh%#H@cPY-xIqp!9T;W&PuwsUK4Yu) zDuSKX9B|d9&X4U8gexD-UXx8Wn_rC|~gw0A*pb*;>6o@}Hl7~0!m zK{e0|xIyiKG#4XyJ1GaJYFIKwo9=O57bz21S!TsH;Ggo~4CdAP5hcjYAQn|k*lQ_+{=ZVgGssFf0n#_Bn{<8sS> z-scE7G_Y@m?`inb) z@HCvvrLTyIicQaok3~^s=GjVkz+mqai`vJpc9`dH86t=cT+Cz1`dfS=>6CY^kM4hP zU5)ACxQeP0ct&hAZqqD2=l!JE+Kc#8xPsD(pRgS&`AIznvWxZfjF+vH#F<<8wAjd7 zl?$?qb*=H!@%Ym-Si|C;2`I<)UyfS4-fTptB;m8aS5L4n8?B0_9_@)^P8Th)FYxU#~9M}+g& zD`lJ+NdxFbfx^W_5o>$UzeFK<%erMM2mjv&sxhs zOSFoX@J~?FoT#bNLgTw$Ps_Z1vW59EPftrG)8Gu?ysp(k$kLCtQ$tu9INW2+Mq|wh45;^kuecyML!hQuzTZk z^zfeXmT7wLxd+4{(z*jDQ_J2hoswg0&}alc14G=n+!&`hA1N|2F3+@|MdZM zASQs8REoVEllGLB7y)v$6@RyhPZEg%C9yRaO1*R7UQkHYWluN`es7xFc;9&c(@QKR z4$--IVUuCjT272D_j}_QB{ty$XEan_l%|4`BpK-7|6jHhFG?GGq$8CPScm!H-2?22 zQUdRZZ}||dpCn2>Z=0gKNNvEJ#M}vb9!&E}6!@UZNzf{9ch@<-$;tA#0x+Y9#AUS9 zej2wir)V;R+~Ro&`pLS2_YLNgCDUz(D5=#_|K3>Yg$p(fhAG@Oua{$ubrD70brCt= zeSBu>;ge0b!+S0iYtyMYI(7Y5eXbOJB!11ZWNE>+Q+!&XYG*z>W^>VnNIvmTOfTEk z{{nl1$h0kVxwC}5VVJ*O*TY;Bjn$@w@#lvt63P2V|BV(d!eh>3mU6QpECKUIv+>TE zEOq`&tOk#saiW*EOD%#ABM!p$mo<(pWIu0C-z&^@Ah=(6SxHI#p!Z52z5RIpbB$v; zH<~YL zmF(9Xw+$=RvmVkiTLTdxPbh1$8e1OEXb8^Cau+|01<6-qqGhXWo^>g8H6#H`EIYQ5 zW1{Wup={3*tNE-jsSCFNHne2ilm@RrUv5TI>OkeUIj&Q*;%I;SHT3tCM0+xks&a(^ zQPT`Toj)HSV1cRMu6!@`0x zz<{a#2wNX9#7BU+k(IndNz!nqaQ-*jfXGo)R0k!dGONz09gPCaB>CKMycvdTCDC_S5yD@?dgnEsiH&<{&`&v zU}v(Ze&_H(C426GoxXNc#@~_=E8D)Yk(R~@*zz=qQ?S{?=?-VE@exLu)N~kZ5~&B@ zaOW&Pm4!ehI3Iv+&>SZW-wxXF{xu9jNn&KLHS~EHMdrG65)(XfOh4Ex5!IYN9-97r z#>4)oc0Q#%Xke~G{lRZ%s(Nx#dJh|pr6LDF@LrmvMkR&AM3&eh%{E&3rk>|I$DG{Lc&p zE{lZS8Vc2$Famx8fojQ<<46oG8VPKQ2+Hf5@1vgcE%X>sNd9#`vaVY{l#)61lXpVX zUc6JbSuRY9G`zg=+EduOIJAOkiCSzm(qa@B9PW8resBgCIk${n^s0HzUBBW9Y$-*> zPxvo?$H85G*MFthH)@YB@3nhRVnKQ1Q~&-;Cq}(L@Gf0eoGJ9Z-XIJ-9=OZg;>)v_ zEszIpXnb$7?CXdnr}>L$%??OXs}}z2_K_9k6_G1;bj~R>{d4LCU)8N|UTk}d=R^IK zdG7;tD$^cM{dEDoznpys+ezv#Yv^~eom|86YnW%?f~0Alf|8w_wIHQAkC2BiQ_{G3 z>vemEUDG1%zH{Ed`CGjwINzPpl80(({Bpq!01w@kmeYxF(dyua>`KkdGrOkk-R6$* zpkrxPAw|&EM4`5}%k6UavUyhM$JVwRKBf@&Kac( zJoy_}cx8Xz=Xc&zPYZCs6~hlnJN!j~K~pclOpTOF8+#QAaR|PW@zA#r_1_@+mRAa@ zFh-A;mvE&}bI6$yN+}cLmy&cxurATQOu~{15P-YH;xDoz^zl=Zi{c*+I=O z!hK`V1-Z+xIb=RFWYY3#wmf$7Vr@Kz{>(K&4^>I#=_I?B)LE1afRYW#$!xj$*K3_l zwke=vTJ^C5gi`@_AQHw+;~tPs%BdKFhAVO;nR1)YG@Jwr;4%x%1G4Q}+tFD&t;OFC zz(Wf2dPn<>Us{|sbi6@BRVX44u69vx=mTbsQ2s-*FJ6c2@4>TR=TYK}6y70WRwO?9 z1wU5y?nHW_|91!u6rSoHZhgk_9xcRfHJz1*8k!5pyp5GJ9uiXvr)lv4f}l&AT5-x{ z;h!zusBfr8QVaRgS$U05ShbM)a(`ph65KX?u`$0Y)WFu$M2CgD1`;yA*o2(RQrHzT z!1{=1{9N6}PrLftWcklDdGSZT2)GO~W_8z>U8TH(b>IO@4{A~dEC3Y=$Er2yoteO$ zrO?-iJQY9l0LbpTS<6VI<^DP0up5*gPMf#h0!VB$dH_2(?|ZRWjYq;IdIG1B^Xy6` zuUEd}oFs9lw-Xa?d1%Z9Sayef`VoGLTR~PAkAwih7r|IC+x^}2b!fq`=9&dzo*+(kQFe}G z`DZ3j;gRJsawMBkXm`(QK$^xQk{JpmLQg6Dhqgx*9T=oe5qEb7^jSkT;k6{W!L3}C zSNiwK>I~X-hSf6u<{Db@@CW2*xmcNbxa@DvgVgUwx}8Hv+Gdp1khK~Gsv+Pv;`>~H ziqzx>u!-8dn+O`1=qU^=twspE2f83Q(_gOyy=e0odHBKh^nfdPn-fvwco;?Tt@$^} zvjm^j%^0jNJSv4doMVEW-VH!M9LtbE7cj1F;16VZCYAu8o#W^tSfm`Xt-!&%|(gLAU`LWk0sTDYA%1#U_7r21{~YjyR9sH4^~ z9E92QA0`0|z>6~i1sAEuAI)BnT{u%qKt;I-TP1{S&diKK;t3lY0(@%VbpHIt7EX?I zT^pEFfvh}g`c$?O;eXOo-`btDbT8KaTGbuS9*=?=ut2=)lyQbhr@HXCvm3LQQr_l_ zdyFJn)%4Bb5D0eJfX4RwKZhigGJcbI1e2@N(iifl|8C0uXGD@Cph?X_OrL&t~d15G0a4UXWB$ztX^B6fbDk4SE0 z)g`?5_|~TS!qJ3WhVX_X(J)w6n)4UxEV#cE!^NYWdZ3W%Elrj?UBa42(=N5fa61H{ zD~aqRoRzB3CpL=q)ROrCZ?iOJaQ+){*rZxpo=%8(MH`22BJ>E8T25n1g)~e4COieV zlj@)i&BEOsTUrs`kaTg<7Kc+X3unGFmUG;kaM3-^WlwNTR19lc+$K>9HLA9_1O!Ok z?dQ$lhS`{agv6F-h3S+}4m`K+P^E{84LSdCZ*j+=`rSZAbg1NS0BN^5ezKXX9eRmU zC?8vx3a&2Uk68MDUG?@{naiFZwsXTP*jK1 z!5}x{dGq5|J%))T8K7pHSy{{k?K@JFAGk6R&Zw+p*I+__U4q(H=x6^?mz>=)Qb+i1cuR;# z``UW8gCKP$nvNdFFI)?hVhFV97);CTy&geu_?&8jEskTge?l+9+1bG0;`$!xc?ub1D01feU}FW$NKKv@k_wYyIxVg2;3<3 zuW~QN{%ysyfydE?{%hvy=l(o%t;6qnLqJ8`?0L2JS-X4%Q_-_GaHroo?TPG|m+f$DkbcOO0R<5LU9=lHGjT{Qiph0~r2{dv}jk|*c;?Fx+uSR55_ zDSS-4YQ%lU$oR0@> zNjJLYey(PfgPn8ob9T2WpAr^OiseDs$Ep1};x$jM$YuLB(*IC*$MN*)pYIp9E7t} zw&WvFbsX&uey|v`%hwbC25$qdtpVdvq2v){gW>&(zax9b&F;lZSgw#M-oFYF-2 zp9OJ_oQ|3Oz^7m-)Uosv%3kC;Qqu>H#osZNG5&U>5cchBll|-2*6vp*#gRqA9>%Wi z{rKjZB@UUPm{Y28l$KN;!uvBwxONUvayfx(cCkS%{CMpmpRN=-@(M-~Bz z6S^~98Kz#m4^<}SkmD+>6<%9$%2MZgtkNfhFrG;iJqonG6p~zzg&x-N#3&DMNkB{K zR}s})elE?ZDQ?zI?V`MaJ1)G>eftKbcrp01Be}(2*E8Z6E#+sGILc=8b#Dx;4F6(F z8Q_OYcx8X%<~^9K$dU`f9ajAa)M?T8iXSC+P|A*+GVXzlSe`$ER!HedSJc232@~Q$ z)DE*3U@$4e^9`pS-rUk(E%L1#9xyLQP;ttCbI7}d*AkCr#=tfvyt^IK{K9X9cq_Wq zptKtT0cWNFjJH&_vHy*mX znqpJ-utMV<&c;Z3VLEdx6qkxA_c$OiVIF16}8Hfh(=5S(-UIJ)5g0ehUkRCrW4>z?A(Y!*?Ew9HIU zAq;Sia)ty|It8J3QR*E5>)zA+cHN4JWfW`3vqoH`X5N|c31GL8^&F&XOE4eRxhEH@xgf@uz>%JIRx>%<`Og6Ztn&y z9;ZCODC#1}D4OJ8op&T99ivLDOq#=9_ME7LktGqEW3st6QKzjfcMkLZJjO5F9)rx} zrpx#yV~ljKR`@$XH>-@C1i@3q2s7Z)vzRa>0=Sb4_uvB9-Bd>2<{li6LvpHO4;ZFl z3G=sr)iH(ossiyBh0aTU28$G19cjX-N1#n)(ipfNnFha1eSd92 z;_PK`l4;}Tg#*lQ=4R!gG$!zxJpLKapqY{-sb9GXH`LjXw1(Z@%6dw1SCp%jg1+Z= z##UDB)+4F{mBN3-*WcP0{%esLvHj-5@3d6`l*kOUZFFK8BkpFs4;*Y0Kh!-_kK4VP zUuST;y55k;!15(7JiK-$jHbBGvxqNG5-xdwP6}%4 zOsK6nJ)#}&;mZysVn-Y0oZ}J7qPI+>$RS_vyRY5car)m=VqfpkDs$E6 z^Kl_rW%}jf86#RXg)F@~F~d)Y!j-Z+7;$f!EB^)9sjee)(Kh5L*dFJM<-ac8kS~D~ z-P%njf>nOvd^>^~SRV3BOL{-bl zuETu6J~ox}Je&g1XDEb-G#gjn;pB~AmX%Y7(9tXc0gC4|OZWD9XWYx5VcpnxK^ljq zHQ74wT@IHPCrC_!P798Fb~qXnmJ;Yza>nB=uBhNqwJVW=K6k;HcC~*!BveWpfFH}VT%nY zR$Bv-3!SW6HR^UTsH+fy)pbyC&tCXgg^<2j(d-Bbuj`%K!n~0q(t<#ev>DoI zEVSWzn%fKg*N_LHoBqne%GDt*b77G2A4OGxYp{$_s@Pj52mm6rkLJehU-&m9YbeE- zStd2MoV`m_m6TGL`0~vhi+tvIB0&I8P>QLpoO*-4p1VE<8$+b@f?o;UZ!YW>V422E zh*VY^Z*7?A9&#v`)-KMp^S0d0EZbw*7{x3|tu5p?_OvN*?%8q??bFMJx5LqLVGOaz z12S4UuIIk+d?Qt_Lw=;N9kB}@D5QK97BTB{T1=mT>;;{Db z`h7x1D{bO$x&0$m)6dGe(9-VFV&JD_1e@)3^@VYh$E^8*vHN8Ef*Kil0ti%Vv;~28 zVO_MeRO)ae5DGq1F5CmIWf?1p!xbd>ofyzmd0U*Gwy^bVX}kv!DYZb_l%XasIp{3w z(B6)86;0~YoD(s2S>+C+wIFIl=g5P=RXz}3JUyXr^8WV+iS=ACF9(32T!AM=u`t}E z{o?f)*~g-?wv%)5;p#`8iqRO+5#D1e28a1uN|||9hp6|WEZ&(64+xq0GUa&BJH=y6 z#gQ}^YLQL}Eif`bkLVU2z+OiM!{R9&0v$H$mSNABvOS#&_R>p=;B;Of1NPU?zHF{@$ctSrT|7h8q zPb21WYzOf`Ah}uiZrPTkHIYq)>1A6iwtPPpgGo7tLIZu@W#Ztl6j9lYWq}i9{buO8 zH8gL1H<-aO#LXSzY!(s}0ltP2Z!%YTG5N$0xC8ZM`avQ=>P6QQrG`mhvgNA`Xs8;) zGg?0%Y2F`Zeb9Cw@%tZdu<~$Z5&KAod57QT9kgg)XcMntuS5cpr1#E}=5GUJRw`hK zj{i<6R;1^~6I)7dPVhJ90$FQw#efRRNPksPGZpGkqChX(Oq8m>%D|+Y3T9;Ie`Mt$n*|JG^%UoQE!$;5&b^qHhQE606R=R~~lxroHU9-1%lel=rECor|V~emwtcGw*pXM@v>b{SQmA z2}gKE$=&B>hWN_)ff1T=`>Y7z4FABPsqw%Kizc~q3K!*`4BV0sIxlbQJ)gzb{`G9z z-Gzb`$F*x_yW&C&L#usDo_p($+&6q){9^5{-~0P5OCAkcwAE|%o9k*`(!MH>e(9?J zqT1=}GS~fh*LQPNz$+!6jlEjw|B>c7|Dec!&RFnpMPkG3g++erguC%nL|N8-5fVxD zEqQx~Z{S?viZhKxKQBBQ5#DS2r_bsln7T*Gty|r3+a~kodh^phy670$7&@}(xDMik z9n&sM4pcqg3+&mB!NqAQJZ&s@rb$(uwwCo92VL}H@IS-*uibo+Qt*OA+G@uM_9gP; zuB8K=mfp>hmyDmLEoi&3{;4WT8Qgs?MPTUjRv0ff9{kH)rnY-{`Y>JDJM4d%67HmL zO!;o^qsxym)d~I|fg`??Y8dptydR9>%Z6`zsjtnm7iJl{Zj*Os23?Ju7-P#>&uG`c zR5!eO6JM#}@g7NFs|R;DvLXw=0pCm0K(n=GgComU zu1}ObVC1hc57dSTF-z6GcPDAc*7c@tlJ=WAU_57frA<1}_x`Qs^Hfz=@wVAplRlVm zzad<$(e!qiZvu5kRo1bx8oIKWRt6ytjTTz^7}=EHM-yyCEp3xArSH7$W)_4H2#{exawYrTnyv zJh*?!HN(T9xHXHpx`$j|Ka|sQCs@&~o zHPOmw@viC8L55{!)!P|idkP~ZG!nK#t~wu1Xe9M) z#U6rKKsl1qTrfDkcn*2l=J7WHyCyNRo2oPWxx30R}K8t$JOi`8mJ8vlgKj@yMULBNCaXE*m0P#eNw2txXpW11> zkf8^2&9F3DYy70K9g7hG5--(^?7$l82ViS@=-N}w8CLE);1+Q09@-zu<7;nvk_ZLy zbHY)glplr&w~mquR^s)G2$qPs;W=_(sK9lIQm?xS_1JQQBskx!+v+x1F)fTj6A_c$ zdX`iy<0+KPf?0N-b6@Mfk%HBGuO(=>`Z-OXbxtJ-L%MQ71S$_nE9Lc)??bvOlwka9~hRc%fW=UoBPi0LBC5qf=wX= zBc~RGRy-z4)e1H>5yKTPG}1hGz1_*%beC{oQaR6Z=SFIhmLrD_(Zsr8KKp%%Z z_>AA@_8rU9CGt0m_Y!P82{;B1#slQH@HgwFfEsldp2)HkIU2&li`(qH*BWbhbCcXm z8=8%f0l{n95mkKw+c$}hGsAf9S1fmaIc|<5@b=5c>*;r7OzX+Y;-3hd8!&Dp4x~+x z8WCgaaq*ljc1vA4)XjN7ZInlI2~Vd!eIsH(rQN(UdFlj8<8fY*DNahJjw~|eT@#~H z;WlMjiFaO|Dm}@F@baA0_O*8y(Q$t1NMAJ$V?qjHk8ez2*4S0FD9Vu9RS28Mgn*F* zhv=5Nd)P|JEB(wtN~TsEa0kSfZIN5}TOUyW%q*oU87)6D|6QPW209SM7Pw#tGh9}S zUT{CQoJ6LFVfG%rv$^yxxUU`y8zqbCo2dIdn9&OMdQlv_wkdjH=EiH|KbB^HlL3E2dyf*RIrR3Y#z zS4owRH_Qt^Vtw%M+Mv9lTr#n=x115DC5G#8pM^S$j!qRhMvTMLdVs9~zEOGtXNo;c z`IYbAFABLvIwm)6l9vAU$J!V*+D^kgUUWax-xiW#r7A_54J}piobAG6*>ul7q_a88 zzCIwx4;&ZEv?*gfjL^KgK$)URS7l2J1EZ&AFUesm_c1-0q@O3Se zAhOE*?F=K2x4U$9TWQM#*Zrd{*R3i@`O0j9G-=L{sKd$5!XElnaae!p0G1mZk*H|KaR z7wLORyS;TbqhRx@z6#&b9QV*k*v8eJtb4CTto1IO7Sty7Z6otYXs>#HE(3UjR zUi78()WNQZNl42tbv>hka`}_I9^PibM(Ks*(M0T9CiiW-}yFoVa5Mi+O2+#2t)A32w#mIfI@iwnPf$eS# zXPL+DF}{k4>lq)zSR0zkRK@XYKQV+#uV=htcqSOBoO@#HKeMYi+f3xqHaD>qb?mF| z+-!Ne`a)omk=>LWlZ$SNsHm|GKH_#X$BZvU3+|v*~ubj}|?#8(Uo(>)^k2^X%|5mBVThZPwyC+hW%F5>SVZ_tI%JL2Y$uXqj zzsKZ|RbN@XDQ2=RT?;Bm)BwFOES#zpxYixi%Hpeqo1GKdSDOSZ79tL4BrsaC zg6NZ%2Q^935pC^p4>VQ3)Rqd%i`H2gkl;dhV#ZT&31cjV7qfC7qiO8bt<^s$u5bM+ z*>L1MX1R-1Ko63|qrGlZd-KHz?r$R6mds2gZ*y;=er=;6+*C&@QYYtw-3KXoU&C&^ zj&+s-ja7ybTE^ufmo~If@RTFAc5i>!C*;tuiPtuLn>SEfP&(B9{SOU)XJvvl?)eD$3TE$AuA7zRU@0W)I1t=?d3Z_N&(f%KjxlFlxBuA5KVe3lKF_(h3 z1;+4xGB%HTl1{ z|J&FdhC6T{zQG&+k2`SsGvfcb184a7VsAGSh??buQRDT*rQc1P;XTK9DRiH6Kl2Ki z?)w}%$Wh-X6MT2T{kJAy*WG}kC`dm;1G=IE0#^BbH20zKu?2zee&y%?qJGwr4AS3_ z9E|xJf}bi`=Jj-^Yfi>4-#@q)_b&5Y?p(5h?;q^DGjzXWNtf??5dj$iyqE92;f=2I z&Qi^$U%Spnd!O@r^k;j}sk_tn223d*+acA>D(;+^wc*mLhyH$nkt6%(oy$XL;^L}H z|9ZM?p>TQHNY&JwPrK%F(tiJ3>YtYTi2oeCaE!aNW^c^$=uL4^hhtv%T_lW&+Ep{| zyES>Y7Og@=9PN8vyZ2titOW_3*43`aLlJ?GxO+{B=!vk(c1nk zCZ=L!E!%OiO&t91fM@$7h6gBddo}ML>zShUp})i|?o@x9CYk?fPv6^J3f3z*xJ~?2 z)orROsMnOx*!zk0ok_*x?E`1(zJK=wBTISI-2JGtX*{cET+gGMJAJoaZf-s>ao+5| zHNhQ#(N}_d!JvOv3`&OP)5&l@jkp)YJhlW#z6MiEUNOYTCupezWkcnlYg#?WYxwlL zo#8)OnY#TuXO6ouU^EnBQ&1bR)iLglFZc!?V?&Y$jOuW@HHF{2qI_7&%nyrX{*v}e zo8leyzbNJ5c=o#oO>JOv_!$oA-yAyLCc{#?@*kxwv`nSHF3MnM)ENa~kp`YqVTd@v z%KBSA+CXJk>GJH)>G%A%8tIq!XdWztA(0g4nAlOWRl3I(puHgk@9gFaXx-dIwC9|^ z9`9~=jod&@Z*(rtgE3ehyWQceBb$5-Xe})M&Fzk)n&HCR+*VF7Ij&(+BveL}k4a-? zuf_4pc9g1U#b@{B#gsBXSX{Azy3$AmjPx{L@4HF)NK}lnV#&28-nVHazzbzOmlmb~ zOxQyQZ=gRqR8c%IR+*_c3X9heT`Ay=I34j-u5-57CE^jHx25n|`S>C$7B++6Qkmj) ztr6P}n;_$hCoh4P{LCCup3&l!eW{r?P3zgtt?mph*dt+*;zm{)3liJ0$d;vv%x7S5 zN(nd~A5c%pJcDlVlJCwAN`*i*ZQd3PMuqjdm9hKuv(0Fbco1bnDaCm72WUtM_XJ8) z<;&VsV)Fo}d{shjeO)t0mFvW3gN-ZmL_v>Ef$5m3q4h8BpQP=y1c<{R*E6jD%4BYH zjK%P#v?u@nk}Z^11L~gAuBBRr5{_l07i_ypQt%G(!h%zo%ca=&$QXqK_iP{im=Ujb z=j;WQ2s}g=QP`lvYORORIbMKJ2m@v;{P;*fOd<}Cmb^^pqf%vS8g5< zxwLd+Hrut$w<;>HlH?oLgpB$T?Z~RX(SFo zj#SE&oe3G-HC=+HJxW~ar_E;JzwPsuLI>R;@0)JT8skn&yI0(nO@<8IZ`C!l93$~M zpe5yU!JarSe`OlN=mShI+6rVA)6v}2GL;h?z~nHdO4r|Iy{CUH9`nPMT8@7|!nj8T zVG%B-&e*E!#HE+hcXU`--$nYQdLcIn0;+gTkjTTM;J$5*S#$tmWMwCOk}IHt)7_4f z1ZDOhoLnA!ssZYhB;kP#fJQs8wULSUrk(7)>?_4#o6S&5N*-%W>-w#-Wd#7+0{B4t^*y$k(h4VavJbj$F>x%3cLk2{9L* zcWG?kGAA|^#FiWnanG*;)Eq?@SzR_4v@_;zGlb~%j7&eYZ8F&mfuwYY+XWZO9tr8w zAwVrfonW;vvOT0>AE}|v_E>p;7zhh#xa)e*+HwC*IG?{I0sD@AN-kg^K~H7;Zie7d zD=pic_QSJfU#CP-;3`>O7Fys1LjP6=zehz3aJSv9WgWoWI$0S4CMr}QxGYSyeyUcr zc41h)J{SKK+TabjkxfUjA^{HrZYqpD+=geIl66fc6#42M7&WW&Kd=pL2bBZE7i5o* zyjG}{qv*pfRF*(WQ>mropFB!7$?*RWh087IVXx{^YYWt*QD5m;%Go-ae)@SDAql#X zzI^E}RygSif&M54ZvklyeNBxv1L9->gOG+^rZQG@HSw_}28?}a1+pp}+r*U<8qG`-wX#JPDOQeQltc zzm6wcr)D)Z`dFs!rmb*hF8O03+|;mQLS@aqb5`6nZwtmt^3D}`3Ghp_)A1Wkk`4Dv z&F43&2plCD!;Wo}4MRB~tsH|?c4B~Y+&Xdgcy{`ydAm}J$IT_PTt_e%QWyJ~&8Fh- zI!YLcqKWgQ!Bw_douLTUVeebroNrJDlqCf|H{QeBf_%ws5RF&ZNxtNR{HiYS+%&jB>9%7g zkHs56e6llvA2&vciD`BozWvcmM7?t2b0jzjj+qN*HaXH8O!>EsLKldoIKDFWwJUDG z*~zE;O7lV}*`d1dCFW26`Ger8I+K2v<6z+;lcbue>PfIyOui=N<)4h@eZ5A>$h(XM z+Xll^DS1x%d13IX`s1bf{-z1$dMzE~)>w)k0e>fy(II0bNMYBVQ+NpSPrEuG&;D^8X%Rgx3^cdZ^^ zF9xLVsoVKs$E;;r4ryDsr?Q(l(k5DYiimod$1~73UvJDi&LZ3>IBiIZeg+`$Q%}0w9X#%a1S;hP}awG5@Yn%VfCZjsJt(+FcO!u9`!0d;Kp#?2GivI zwtkn4zO@_4m{tgg?^=u+}3!j%!h#C{p?A3<9Aq9t-8doMKp!nP5R|CIr(7_Tsm-Ylr~>=gX?J zW2Zb8C1=6$2vPkxYf>-#60sN(W$!7TrYo&3fM46$Gtg#bAo12BlGnvj)$xZIX@_BH zq;sNRTO*5?pMa_Wotcq2^mK*TR+>=E)TfOgJj>3OS2I7P@1x>7$T^5WVL4sV>5-Zf zk#ta|w7Z3~%00ABn;S-G(#S$IjN8o_0_^H-cK#N5biSz%nLNt+g5x2gZEj60&L$Wj zWQv3$hf~e@rY?f(-FN8XC*urn?V61Afwr5~ZHgbzPKP$~H;x)6&$p^D2FuNfh2n+j zJC{=m=LfP}vkf@2vKo3`H2neyX_{PgOQZ#MGFHk-sTIQ{90IF}AD zfkZ}rb1%L|2^F>Epmqi10PeEQ6CP=Ag_l9PV^V>eP47|mwsMZyBo~PhP}c34D?`aU znpk`2=Gb}8#)M4%=9d%i(=rDwt!0HsPi&pvt;0C}Foxd~+;O2!JfZXobLR3liJLH? zbn5AHV4Eu(^~%Okwk`|ST4^>u5gZ$7lDIjMfT+qt6N1j6)&j))<#?di@w8OPMJ*yYJG6HS((_;QCSkBi0H5u~e;QWrJ~WtiG?;I0nil*&%qe=v z|3)g#dK`Yjnd2W#Tk16{!1uWduQdVBzA+l`v~R@A)1UV}5s-WryUKv`fA;fx|A_CU zyJG>*1ne3ND8d=gY4Mldo%w!Xx^VU?0rD54XP=M+K9@9aTi#yZz%Qo)mwfzi-W##= z{>6ooHYw;#!k(X(pBbFH!M<(T+g@P_70y=|`p$dPJ#C)v~44IC8dP za86#>%Bj_g@kQ;V0TrSFy}a<+^5dZ~^Ej&K-r4%MR-eP)?SD5hsBVACV@K~@I?|u| zDCH-}Hd7#3;$uPha{4PH>ecZlK0N9Fh5YC|5@r&SI%8I~A#3kFR1eCd0kKe|m~;L_ zl3!D1L|_h027m&eW7QGRKy_NTIwJqRG&s+xBJa3C?+bsb`1VhjA`y;Gg=@x6zacx^ z!ie>#yBJ&SJ@wLgS6bZD?Uzq9%MLZWT`#ps7X}Zq{qI~_K}o+}PKlH><3D~`pKPSO zy990G&!4(RRejKXrnRM|Zz-XKU$kYs%a}fi8GsCxv#Ya&6Z0xU6!Twa=sTI5gGPsc zZNW40asORY0Y!c4pEZRG@A{or75@GOG6i-&o%op8q-Z(q8C!E?yXS_Rh=Px9$uqxl zQ?jez1|EQ^_=#VTgegtOd31hKGID6JP`&Dt*0bkc=rsDl&8EsI_68~9&ehy8%1_m% zxcY3ujlk=Z4>}lS36&?=z)a6j&@&p&H-ARQSo6w$spP#1KEvWR`SGAr`){?Q1xTUo zeT}Az?p>^OA640HU3rKWu1c~zN$4`ooPK7B-tUsCrNf$Xe@y6iKDC1>Cu;4E`|pC} z)5DhECAdYC7Y`%J7r>cDQZO@G2i&bY#>ue>l)tG?@bn zBpKo*Wwy(Aw#uw6P6fjEuK&pUtdvr=T>Dd5aWB_W%$`gyJETc(d8==1;=z?#_BG}B zEORPvo^{Ca#g@NQ*JGDli{*uDPV`F%-hhfm^NS${=yd)t4ta<W`LwQd zQ9mIF3VZpHMB60dXQL-AYbjJI_Do3Ug*RAaFPxm3zr|xL;h$OMT#*S2@qm8$sXR-; zGAmrSc@}*8M_b)Vo*JG=07up<_IF`YI>IWQ6khdijfSFw2l#XPa|LA1r#-<`xJ#g- zv@mhKeBRgGyvVK{ZtO7_=9i9~c$_|wbUEHRN1KaNXkm3TXHN?Av_61qWnQ(ye(7;M zqSnXk)Ycm?HZ@zUX3HOu3k@Cx3Q|?qw=r2O+WWu_)t7DB|LVucY*TS;A%eGu(!*d0YXsbI4=6Z_Nvv?dwj;PR0Ay*j|x>I5pE9{LB3PR zjTfO!6C+{D#^-EvddBPmj1Vx%PAHM>ILb&Z+!nU^v5EKOOE4rtl+|7T^Y%i05YbfQ z4GNwYdQzgD#9<=oBJ{Hd?}jA==Rq8z1sefJcD^PtH8ak$<=hVIn2Ym)xa)%326bI_ z@}t#SP|!8qC9bRi*H6M#A#Jh=>QxxU#`L_`Mh>vPwtbF&)KGhK252vd>WlCJ!_pwg zQTp{?l3{&E8ZM|=MwQ37_?t$VAj3Vt{d3fBdAyENp>)r6Y_=fBbLo7Y9GK{I` z6Hz69^D!8DEqfLxfzqBSeSwi#khi{{WC4=a{Z+QAzxgbJ4%`X9;tWxy#0$$s=^RrG zD$GXv+V-jv)*AzFNiLwob+4_`vnLKW_CGvefdxx5N{b@X?$s6y^ptH%CQGBWRAokN z{x=%Wnwt9^paA_sF$4F+?1OIAO?#BsBic|xC3sV6LG z(<2rqfikkeF3-U!fHosy;7PR zqJN{Gz5cwJUUbS%UonS3-NPp9P@mHZdEG%Fx8s2<1KWF_aRdi+v`h}tri zDj&2kiiDxXZ+nb*U20`DBOk-me5$AUj1f9j7oa(E{!yTLbi{#$?zpk*Ji;tkg%xL- z?f>KK&7+#S^S^OruZng$F4K+`t1J~1zSF85L7^3p3JNn~$RGmK#adaXYM{uHjwQi` z#VR4TCS=AMBH3`Y_L=Z4+2`gKWLmIj8G1@%m zsQzaywAiy>bFtd1oRLGlTeXdU2r;w%pv|hIA(7Vp@9othj&11M?{iz9m(kWm+v)Kp zdk-#wuF%X19DU9X`fjK-D`lV}>q@o=#KnQ%xu@s762c+@4eU57&LKmz2<#UhLe)%b z8d2~`G_gBzqPiETLDh%D@J+cODOR)sfhd*+k+Tg}HdWA(h z9DUgM+8*e%C|+W}V~_5J3458b_z+Csle3?Exl((3j~$wVi%QS|0cFFpaKJ;Hl`sQQ zbP`!7P`D_7n<|4rpo{lPyH45yY48#ej|!4KY!fC=t1XX;$kiepy!B^F-I_1To7<`8 zmDv(*P%#POcnYgNKWDucV@+@PG76%SHAQX>%;#r8O+$2hW->;1fHT8$`{VB#ZU^gn zIIkrw)8j>MP}4&AQ|3hP$YV^308%zGj@~m3p`rrf*pY!82;MWM`j#HWN7fa%w*F0N z%9g{*zFI~Sko$7%Q{7AtL1~7RnVs0D!z(jz6x@h~P+)DOonJ6Ec-Sw&oe9gM(FMrx z;2o@KMo9a8Mg?Fqv+DZ}wrY#6lMfu-iK)3~Wi#{a*K!!nK8~~hm*RZRfrxUz4S%hI z%wWDHn?Q$)CRFNZpyH6t@xaYzWJ@d8l}{7Hl8gP}uJU~m0Bfz^t~Y+(*}vzDBEds- z!LmbJDcuXvZy{wGg>ZMq{vI+|zfFLI8+^CxJ(QoFZrRQ?NXv$|2+)>~@F&zEiSqF5I*DTNBsBpNO{z$N- z5=1eaR}`tTD~695t8I{(pf@`>`8tfR1eNh1gd3I@PqbIrfuSEOLGMGFb$nE25$EtO zGy4xc*i}f6g-Trl56M@Hxez&H;v5{d`8O9qM(*YVf+bL0kYJxm23a#OnM0SA-9LsW z%jw0ky&YX3Ajy#GUT{~8(8c_C2QhJtFZS@9x>Bn#zhrJ+RjDo1wXzMkB-Pg7;l99} z=GVEqE&vn>$M3@E7fIzrT`VzyJscGGVm$p>y!v@WEn@XPV|b_*#ZdSg5(m{c&A(KO z7)Bfug-N;3SpbzN(pN}}A&2mq0b+-WTQOv*^xok;xb!dSs7wT!?-3%e9pxqyXs=z_ z;Uv!7Ju13rYdYjTiBC=k%&v$&*&muoA!Sk&i9j0PU9tsJ3+!sKMg+|*xfz&PP*4&9 zZ6ai59>hQi)M5&^)-9mc$&N!cxDAMLp6h}_Sao5z%UQ-3sgX>9lZL>`MU~Zo-9{Ew zsAPp^+OYxgnir7v)hG6UbJ-4OxO7UdH&&S2t1KK;tce6M_i6UG75+1``ueF6PQm zN+_X8#>LEB4MgNON{^=!)bV6HBkACz#dzF19LFR}y(!&k`Ltr*aqqb@Pysf0yGzUS zXbf0G08~3a$OBXT1rz}+29Z_y4}ucK9A>=i|Gj%gY6FbteYJ>bG~L6o;~_Yr^H z4g=J-LShh+Qk;cuu7JJQEDYzRf(YE+am08sq(q8}IipbVq*WsYmLRIMpDu-NIyX%5 z(&Rj{BL7OTsY6X)o7Gys1rnLQc1^yH`TL-Mb ziBR}Kpn;fDzPe0 z{BUJe#&*{+)n4FruV(G?3UnNw{xyJSr;~iZ>3i(rFUJR;^Sb}*mi^-2pZjgg{v-cI zf6t3vZM=1VF~4hH{};=;pST-q%=c}FhPhh{12Pu3{SUGg6+=bjwuWzx?!2+}7IZ`= zEbo2>3I8ne>Xp(f?|ELm*j|?U!M1y$wbh=1)pxJe9Ia#3+<=0}8WxwD=#`L7ry6~$ zbu~9^URO!gEZc@>HF;~g{I{Me`wOz^fFdp57Z8FRc6lmr1{HDJ^`HNHPpp~0*R{C6 zV~dHM|DP{XA>DYNr~G35aSy)o1vplJ>Atyp*TK-x;&sXSRJgpU@Hg=PAHY9WA0soJ zURn8<$s-=eziMWB@NwiX(@?V)IalM>`v-Ar9JcUV(q(`jFD>8#ah;nFT1N^xTkC4C zad#)pcZqw97cfs!)PS%yWcjnnnE!l&F)VOUq z;7@>I-3Fe9kvo;&nTvppB}LbfQ^Bm>h&2)ciiHD$zXi4bZugC~K&6)9zgt%Gy25eX zM>=s`Ff<_S-_c=hyRm?yki4~wDC$GRM4qVhgi$0 z{X@?2(ScA(v@xIFaw>VfLD_q?bbRv5>8y_}Z|8F29dq*-BFe87Bqcb?KgL^zkGQRc zsw?)zT6ZQi;wASDIN?+fPoORLfVi2!5vxt>a5-sTjLy`!`SX{>XTc0#TFUHYpa|`8 z4J9U*73&DOTHHCh-^qy?HKR}S2i1ZFAoSmUgsv;W+D-)A)pE`$Ab=?|pXa?N6>o3E zc+fE;H<|w=Qk1AW(?)9mxX2Y3=SyGD@V;tjz>#@+P_fPXP8vW-6-fAmPR-r0)mE;H znG^+2l3|6Z5Qaw*oTNCInY=kB6Q+uB6uG%yY108OO_=`zsrtg+E2SXCqjq2|X&0YT znm2GqKPI=ML2Y=kx;D=HolJo2!k zjMY{S$VrFPFXn^YG%7{?lnfJdIxv2bt{e577-*f+?C2-1YEyGWg|%tp*yx*yPRPDG z2w9h$I8;s1hRfX%;y<&ot>aK6CW0Ivb?b>DoOg+`ek;hgiGUpQJs-izfOO!&qewv| z(1wf@Q1*6AG0seyMqhEv?C%+)3#CDq`4tgLH#?Bpl?w6m87N{Gb}V1)0=~teqEnhH zdUDA)!awezC>HH*mU}`Xn~q)U=(0gRbNPa?>WhUL`CF&y28ctHWYv3@XCD|uA}~Iw z)X{rE@t{zRn0nYp{^l(B*^TKQx=qbM5BO1<^f#irD-$deoc7%qQgZqAlz_YW^aS=C zpj(sGL7Wb?WItP)b$@o##Eme*K^wRS zMbGO!&c(no(!<7B9toNbd(Oxc_x9)uYduAv?|{(xx2IAQ+mn-G|tHIl@G^`{)X;K3y}89O!$=-%w0 zGD?0=p($;Dk8bx&Rmh}j4F_EhG8p;jPde7 zr#I`THRe&fMOEulXXxKUbf1M{D=e8;(GBbdP^q$iJV5hoK3V53wsT@jEldw;ca2+7 zJcvBOga+I<&4eLR1IEwRn^|XN)Li(ix}5?rP@IPY6ls;#rIuny=WM;=47~NMXj2jg zQ9>Y1t8ha=E`m0ZmT_c~7;AIdy__3_1O=a@MxcJQ%h^kxM>f7brwh8wMkVaTy$>i| zL9{}u8i6v>-t1!@dTIkE?C7mpn8|!S*8*XoT6SFXNrs2h+ypHWglxVBRPwh_f$%k5 z8dyO=Y$P%=I)h)OPIRRuKTkP|}Hc3d@H zGJfEnt_gaGBiSHhu^T}Bz`p(BEKJV!Uit+5RL_VKc156wysnQ+sF!4rVKQ zFl3Yv);rdf&1^cHYsZk&wm|BP2t}+@eROFgaarIX$&PoErKA092;9+tpvjV!M>Qbv z4mZU5Fec1Q9rya%G6w8uDNf#mNcHp(xbiOyB?*_AKqIz=!w(-X+zi7Ws5;mM?O$@%Ar z4E*}!n2H`vO2>B$qHoAHgo#DwRZNH!ESIGaK~njSP!X6KC`2w(ta2ofX31h$!ienl zppv;+RPtE;kIA-)?DpWYnF%#w5FLC7^{BK*4ZU@3>Y)X&8FdZe@QekQxiM6n)?E3p zLaFO}1ao`Wko;m%1@~TRVHt77#Gw}OOlsM&#^cqw&a^5@F2zk_@)n<`xGytm+ye7x zNQrY|a@4r+co3&R;2=9z!N!{*&IxUHLT5}d6n!8gr7W2pt2z=vF8%5K(-<<2{$T<; zHWW5_q!hcw?l?A%bR-cm@2czd;Lwoak&x6WHW6Z`#ru@d@=a=D&Z3m3@;An0hm^o; zQ*7=~(bGixdK63IJ?-$O3Xf5`*wEk;g|K6-%|%a*6qH!)|Kgx`Pt3eezi^1{>(|R- zCm-KZo{zVOk&Zv-e#i*jow$(jBlNFkWPmM9Rh^r;`GB;tmAin#Spswej1vYpbwtC# zRIvCKk3YLc^Qv#yI_{Y>l#jI!6stx0KrgW}!LRQi#0tb<;nM&}3@3SB{+Q za#PK6wPYCd>@)UxTryaQu5BK?9lvI$Qc7+3=G^mUwS>~COP-Opmwk_|6~v58++g31 zd%kcqFddihgpKF2tV{HFmS52vaW~qW8(qLYXeA7uq|5eaF49d_CWimW2gMr;& zu~uLb*(qoOS6$`mfM>He#!4Z7JDY*Eaz*u`BrXvrtN6!)G0ve}>aEHW!CE+hwY7n- zCbQtOGR1>G1a@~`gNM0r$n;Dz*#9wAKLt6ipOBSuW z7<5!hCx%#o020sj+|v$CII1GUur;J*tmT}c?}l zd&|kzk8uG2-SwzMHi+{^(lFSi-))09`6$H|nPWsrxbgA(+?AwuR$K`%R!jX?qBFsq zr@zA-*%GtjdZ-FIm4UaIviJ2`%ngAIZQyk(R2|z4sAQ%L$-Asr7#&CzRXh+ZapDr2 zZ>8r?ZmoK_itC^K;c5K}lH zc-phrwp=6D`6z?4oww*yU4-%k#OJB#*L6Z4x2gY4=8FAAEk*{PoE@6p5421B&D|qXr~eR5I;=u~pgs zoTW4L`T(NasdNBXqP=4>R0i-*E8+0Q@TP2g|C{FGzB+sVZN$L#cb9i`#1mwa3qu5Q z#+ek+mUw{-lVDuPura84$=nF8sWE=IQDYq5{{S*c5jzxYSWEBuUV^S7LsnzlLp^D! z0*?jK`?8_=i2GK5`q*S<4=?W1BMxONj%b@9_e55I1~4JFAeWNhmex3C?0|g{;Ltp8 zBHFCQmEgBD6i$Ao2?sYApmvfHWn)+oOl-8r7(#_4X2BrYOt|@#rd7jQR?IE|CvK#o z@iXxte7?nJi#z(O#@W~oE~E&Jy3o%t*0V_vs9v)kkc6hGZxW;qmGYh_dT(uGW+q-;se&n6t=am2|{6(+7KkxgR zPqXh;uj*a%-rGn%bp%(P=;i;czcBtMuR!jGpt(_iz1qDu#{~4={n_UQ(#8Xnzpf4} zIl7a&`|j~M?>)T0E4CQRF^}S_r(RrD>i^?%oPM9z4)?kAcfFn-cAYNq3H->J-ROI) zBGHj)^kxODi1Xjr^SmGwDV&gUEmdKfn zRj2%8tX-SR0v>vPw2kx4oqJnZi^1n2K3SeFTIc0=apSE_kNeLNkzS`fHXM8Dm7HqU zQQ^P&BINe4sM_oKMA2)N>O$LhaAlh}JZrSDz7LfGZ+OrU*_&+% zECH-4Z};$2#{SNl?fUQj_4=M4{^b=%lzDvOS&hQDjQp<;hV`R2qw%#-+{2`~=wyZ#@S&p4#ByWcK}YiU?2vV>!PJZIwG{3aTdL zGDJ;@U21$!q1qP!W#M~)?(S_rev*VEjaWUD-YpgHwocVesqLNNl+Cos0pw-<`3oN4Ke6j!ccUO}s2N&sl2jkU z+q(onW=$jhZ*6ZX2u=A#T+hizucrr<6vObX-QPp9>;5x_A;YjO@gdZU1JbS1HpugN zs)CY7g%_^k%+$k)*`v@Mm5(F4NM%Zmt=g@G{oc?F^wvAmRbPbV(kl{!gC4ClWX`0l zjk4m%O#NE>;9$WIoXxz@9|qb7CEpo(O@pi>&xO48xs(0IWE=MM#j~vR{)Tyjax~oz z!Gq5*u=YJ?0`9`uSK(T2cwvzBc&rJRmq}lo6#rsAFC~HFLf)~ay?r%MZ`xw5bai+J z-u@e#Tp{|bg33CAKs}1JBSE`dKOafimMsDn*^0K^+s(3RK)zU16@2PQi&-u0wgg^r z{*md-45mp_Ji3;!wsz-dBL&prDK6J+sTDV(ZGf?npbf6SLf-*7p#AhvU~9+%a3bq! zmrZEfG$b@pl$Cvq#0d{jiA+XCs87qVR_2mATKBQ~sjhMMvx(5q(i#;r3LSsyr_v%e zP!yW^h Quy&CNyH8mXm9%2_&yQp6E0%Pg=q0s)Xj&+5KgOt2gW0`ZErcAogL1q{ zIpG|Dy5EvZQL3s;elWEaZz%@rG zkFsiQd3cPo7i6csXiL_F`e0+I=`z7 znl2>Dx;h5H-=(-@CEm%=uOy0qaA9c#`-nn_5!Enl#;TC{Bj1b!ysK1wBoEaGUdil0 zTNZ=An%UPI5yLpkjhQqEBhDN5V{Q#JE|zkAVZ76gW8zZCtUIRP_KRDSLFT3yPXVrYOA^OFPgiR3Cbb zw@m4K`V5`;8pSN@&39OvC(V#9kgf@ah_I~X(T^kDv;+qmgqJbceHr`ZFU5a8brx;m zS{1)sSW)t~lr^A6-TG%`D*EJz?`?BO3X-<&MNkMFt`KkzwQm`&D8*Ws1S6}ul0u|d zm4ly%Q4~hnr<4Vixtxmk91uI2V`R}RGvI4NvHLT5)C%aFIoszSRLEItJK~55!&}%# z+~ToHN)&@jC1VOCnOE;^uAroND;XX$g5#)Qrp|4L=|bN%;Q?F5Y7!63$M6naSgKsR zob1DbUXPl`PGdkRDmJOt*h2MN_bjvC59-T;p4s9X|L)9TvAWGx0Ohi6c=-d3uw3S!^)q3Vy|yQMgmK+VP@WA+1J7B zIHJsmdHAc;>2mfIa~7Qa*|s=GP7;hOw6miHC7Sepx?nLNdmI%DP9FELn6W5EXt}h6 z1i{sa_6WQaW-2K;>}|Px2a157!OuA40OSdiKv?mX-(byb`{<5kpzC$Z^dnq>oD*9w zKR0Q@-s?egf{bd(XbB<{zzryPY#?^0`gg3M<^IAuYOxNAlS=V96F{-&33q6OimtJ} zvWpa4c7{Oy0P{jZsD;^Z2EiQ$2vI>f;c`(`g=GrzE<+Z-B&!)F;R(^7-tNCKC4BPn zMO%AWGSkCSg51SNM=KajUy-$7garSlYiZVJn5%Kmj3ziTT?Fd#t4Qh*b`M;)Z4R|~ z>kg#-&HB;*8Grn3j5A-IZZiLEsb9cd+;T@Da`|!bsn8Y+LjkUt>Hv_f2NKlAMcl1i#h`p*E z21D5DCCMBjuXXf6T60KAcoHTQgp@&TwAeAh9t|VKJJ^*MDVMq{-)IY|ow>=G#NMTz z2)Rx&9`_4(t0fLW-jyiQv9-#OG8+b8ig$diLd)1F+O zDF9I;a7Cbohin2ib>d&ccNre`nCp_*xtEzqb#4*|L&_C^_fgC>ZkM(G>ozsV8aWPj z<~fCO7DpBy;=+~BQ=E??j0O4;gS8WHZpE6k%j`b|4fS1J#{OA?H*kqhqgc{c%q9|_ z2Iq28Ah;o;(%)>^u!DeEocN|iJ|w-cUmI`UbPzGA=p#tTWd-oV`kDO?l7eZJjNUpk z#QPh{wM6!M9`)?3pOHklE6amWIwOiWrx_kyfL$dqrI2b5@7m&z(fdLj#sUjbqyR%s z0$yp%$@&ozSb9FKuQiz<0sfEejPp2;r23QWb?}WY;|7t%>EH_wBpIm-4X}Ii=m4xZ!lxA%wui zaYRYPf+4Qor*1y1)7CpgiGkE&CHshU^pzf&W&wx71f>1v9?Di8d9B-ac)k z#5?a@Czj?Ff zKc&H9)ofy&)f93LBXIA@74J+s@FwdW?7kfPyod3&VFGYWYQ=_3xaNOmb>y576nr0p-_7SiTMLui=<83#yTCiub3=h0?j z$%M)9e0SxeIT`I@snpu%wU^SN=9tvY zP%17-jqfd{cyk=*w4$5_#lsPGw#khVe@p%bfg$&=4 z%-nbo`m%)-!WCi(Dv{IH>*v*Hlh`14Y3r{_R_^VYu?qJ*vISo5W+S_TsWu(RdP*T2 zcyXf|}Mfo8ckM=D;Ud9tHL|1Kx6Qe3O(KbZWOlD`IW6 z$?r8YfPv#5w*(bc4xMsdpcK(APM&a4p+QhmVgVianZZBwSFWirfL}M_4k|_^nbXDE zWNP~32U8srC&J{FFH;l?(yK%IWenjKP1HhiI3nm#VP#r{DO|}unBTY4B&#pF>2oz4 zjIJv`Ti=_+yKAzJ0ze2=14r#|oS`dx=ZbwM{VIn-II{B{zw;$~~(XCv8g!?t3ac~>tsNU#Ygw=|;HE+^c7 zD!QCi32|OKl;Q&ELSG#jgyFeKJfD?nIz{2>nc%Xq(JzQbpKjnIv;@h_sh~KZ8aHtpw=Ni1DkeJNi z5;=&kK=E$wr)OWf{=wwl-Y!FZV5BVi$F4Mx!ousE5=lsnml`alw6X`*aTo!T%Z4n1 z25%e8-1pn5k^d+3+#BRQZn)OT|JC-S{8!uaI)pL!c&yrZ({rtlpV#_iuboMrFZp^W ztxENIGttM-^SKi~+r9n%_Frw!>I49Ra^Wle<$r5?UjOv<=RIFt{Q`jnd3pFk8oCGc zZV_>Qe%m4CeEk~FkH9^%`lVItp>``W-ZyyBD?QRT;e?;pW#8BCE%@H?joaz#+fVYo z`qz2?ph(~9L{IPV^?$dm-% z$zI2vx3eoFy=v=yFZptEZa~m^@aoR@`5&yrH+-VF|Dw0`u7ejt^5%(r78`Aq^@$tU z%5^hyi|b<8o2zV^^##i-Xd)BB*BVL=M zm8UixAc=ZYQ@5q-PR@;1o%r;#J!D=GRr$qs{Xb9M*ppj+&@0rrS1Aa(+?`2p76j9B z!PuAyzw2@3-i_T&|0woJc0P?{#`6gdEyd%^Cp-vO3yUB82GV?041)vSXU)K0v0nBh^3xO_+I zAWGpsXMV;$xYyegrmuBS(lw#Ub<27-nB#OBhZCQzdXT#9o2hb!2f0&`(S|nb&)~j8 z1ERO&n%zHs4ED=B{P)BJyIZ|R--JHZb#OGI11H-u^|kT=;SUfPaquT7sYCW?FUmDZ zrtV|E`gE$+gR$DgI8zQe5wzaacQ64Y#=HD6R8utLbNe*p;`#>_Fmb>?=MFh~q4}Xp z)BbeVrUL~KPsk^{I<&Ouh-Y*lS1Sco#9k%E7F3q2!a^6q;GLp`y)?d%uWb9o`-CdH*}35v80h`ohMull(kPyeoiJ$kMVO zUAJTEt#8v`N%8VK+t@V~8g+kasWBc{n+_BiSKnPKVkbOuT07ezdgiqTtaZPtR^(j= z#TKnZi*%v1jtNu`6^K+YMwWA*;%+(!Awvko7Fc5JVaMLv+Yw1+oI!%9@Lwg5Ks_s7 zz*?mjpR$n(ODy2LD9@)V(H7B(;~o@tKh7ReK846i__|t$juW@s6;17@%QItaV`%Fz zPIO4-dg1uvu2RA@-+v|d zy0TLD6mtQ)48e8>>>~DI*4FeHtBOt*0nH1XL6IPs3SpD?1qUBN(3!(pS{c-wH|JM? zA^OZDSsu++5y6S&LYP7hsot9e5KijJA2T{KG8#Or5cgM=Vv09F^c|Sjn~AAN(R%H` zb?9S_%5@mCL7jV`wEpW7969HvZ!0%7%uR)YI_dNneOsY3A8Q%9{Ca7YW~ZX9DglGa zJkg*y!eFmIGsK=La-mHX1kMBxA}%`T!jVWw-++QX!T?uyi=%@|bqh~P(2E&rL@*p! zmT3ZoZ>#2udO)fnHS7ZH_j59OU@=f~P!c5)6;ivkN8H&ZkRmdYcRACRI?01}qD zNIf_tjyS?B&=pWod10FL$eYSd@rFhhNs*}Dd(Nfqf5ohNdwKkJY-rie-ftiNE4mj) z3>l3lrwsU-6KAkfQ*+hB>u4J@=k$h;;L&Rv4ZMXT%+|(EZ8jkz6GvE!dhtP2K-2-& zpGhplUeH4EIz@P*D$d%N%=U?UKm zFj$;bnb1tlMv*g)$UQOGNoHk`RO^#Cj-5LNOpp93R11m;#uU`s7YNS8u5h0mm%g4z zB+Rg4ImrRjP#fP>7{fkHfI=7dD>WU$KV<~c3`*wDe~ou%7BxOP6Hwo$+5#UxAOn`pc_SBJ^>RgDN z72BcaLliU1V;m$J%p!2oHQ_#8augqaqV{mX?ZL+QTxFh)w2X^)*%@EgPaVlqG4t7n zgL3=noW=mD#rG!U?{$mNv#|Yhc?9RU#5zm_n#(lu6>9^;k~+5>JU!!%c!=>tCjzfi z&SKJY(u11`2(Y&<*E(}-Em(he$lWi$l`6J!t1&$5PMNEW&K)k&)1(>n(lZ= zkzF?ch3W#B3To)*uqyvhaFW<_b7?ARo!b>ny@@uc&taHPDpM_yEvTb(59tA>Tts@6 zuno_|B_L8k$2*_pVI{TD1a_Ap+(6|PFQxs zY|G4DXjttOK~h}@hTsny=AcAv0D&nUTzAT%DU>gTR|B#$q^*A&3*|0gImGLkeQ=zxZ?&gzeZH189vURl#3F!n$G6C|LTv&gB&(Ni@4y1ur8?Oddc8R9@#QZ&ejKtZ-2jtCQwe(%jPY5FGhsl# zX|gK)xow*LOU3{*IRxqx8E=7QK>Q`HE6|+`|Ah7(+UzGLO-mrVWa@|CqY@MJ5}bF!1~iZJmL8(wR_y%n zxmu1AuV2il&kci;wnxNs4+w`DtY3xbFN`e*x^UQ$r_@c%8B$;wu{4g2-pD4r$s%z& z0Z&4^1ig%Z`tT4c#(70gL76>7Odtrz*COOqgSrbj&4#x}BmHmj)~ z)GA~ZO!XwOpF-MxzYbm|E9Nu{cN{1TSWHP$VViP^6&p$->~ru<7qXW@lJ87I#i5z| zd!+5LOyaT86RDs}Q@r$D0(xPCL>hm5qd^WB>l`V03;780O2yai!k%|ThtM`g!$y~j z&=twokBqTTC0{!Pc-;tI^hsA&(@X=k;c4+2N3E6JNT?pja+>AL=D)N z^rJ)*_bdI};6O_wh;u4(8#^_HjqkcAa47?D91PabNt6fo$3NugL6H#soK!^jo9uDK zF*XoZ(57LK*9ulZ{Px&T1eYuVj^J7VD6%WKEXPg1z-CptA7ZUzNCG7r9$rcT&lVT$0C-7E-sNO?t&#ZQv z2N9v_=?Qk_DqZ&k+V;hFcuzDT99mFIob2{%EofKZ#3@>(OZwxgj z3~M2j$S7}olKGAN1PCLFFka-ivVf?d7l}HYuJ#gyunK1MSMkU}mzA{jR6-bG45G<| zf6{}>7^po&I*Ig}HWm@gN|<(F1#pD-6&GZ=h28yCq?z{1z>GPv^DTQ@HD8SYNY%B3 zwv2$j+&-=n3oK8>hmPNiGfb*m$De3J-AmYq)ALyCaGLD;^cb#Gkj~IIX~grgs}T_X zEt%Sli8O^=f6)>rJ~c}*6=`J0y)8di64tlIj7f;TD9BRo>HDL+eLXo5GVn@qo>323 zDBv0{S6$_7%buXE7qB6@Hyp@2*}fjzSU=q`g-Onlf~c8Ab@j6ym$E8Ut8*+tfIc?4 z1rTDN=>m=Q#R4k16mMGYK_&A%V1p)9bgC1shf-5;xg20Pa}kP^2oH1RqPT`S$4n%A zGoAQR56LkIYb;(;J;&`{!bES62AMHU;(`S*D&S)b znsDZ~F~DjGL539<$?lEJhsmp=uXowmM<-9oQp{=V!hr#My}~UQL&vLxJvSOi0~0LI zC|8v~3RjiugGvbGA6g)+rk+A13*2=1z4Q`i${icbWPpcD6WlWy$#smP`xS7M$pHL_ z&OG9lqCCy=@Nth~v%D}0q$|aMDk9p1WfI&i6fqfmi=|UCo@T&UXZr}9C$&EO3O z+9R;Gx-bx6-ob=3dFbRaiokbtL5uO{BD-r^N75RjL00!RCedF4wX{YCYeg%{OSPjp znED?>Q&>)J6v|`5RYBY|y>tO$qe?xqJwa%U^#hJYVDaYf}P9Z{i^Da=qVb-)B}q-sMuf7nGa- zVaKYS5M2`FyWRV>6OdKn6?f}r(BW*m=lPz`aUJAddih)sc-=m_;M?x|Ezf^PY2v)M z*r%EV!I=Nppd|*eljd~;{LP1X-aaLkbwP}cF)L=xMbC|Gw#_k-p+Bt~B879B0hofB z!}9g)-uR@9!&&hbf);OHy}5^!>}Q40mARCzjJQ?ZZ#uJkKk?e^PJgu5E5tQ@+T;`X zqT|dnPTv&1FY7e|c2PzDCd*OBz391Ud%KJ4D=Ot~C|s8)-sH=&iwbPebl&t!`70wE z;w@K*$jonc-ns{ondg%?UZe7M`^K#P_Suzwy`v3YK7P-PpPKw?_VLXb+$=XOKx~Pr z@Wku_#Fj|s+*4nHe@OcH5#i|;IQ%K=n?;Yc#L;cc_oZx(G10)vSw`Bjy;lEGHoz+< z_u8lTcG^hJHC_9Ais(X7g2Ucua`~TncXQV~@ zkQP0fCc09*&6)-ejo{4s5ollDG6$7vUDb|UrsW4X5(}+(Gu)N-!7lyBSd+aPPZ@`ca{4;Yjmz5t=pmGJw0XF z6yp?+egXO%r7!{+fpepq>v;l3pl}o^-`fLy0t%}zLJy}0^bY%n(D)7RMWX#Q-dzmI zVbY7>AR~k;Yk202`kw`{1Jny!9Y9E!U+s501X_4(2N;B~N^$gx?Q(RI+fT_SR{plDk_^iGW#&8}icU5^zuY@9ngZP}Ha|=ys!J>Dy2}<{fRUER@EdIY1 zo3+Q1_@K8!n|}*n)PNE)7rZvg%0Ncq!S8j@VGo*2_Y`;Jfb*EQwGk6piivAEv%;d5 zJ%Fi^%#U}^b;t|2M;v@yMO$iCJ*WUyjVyq2OLEP!K>_~@C|ImTv)!oBig(`err64CK9Q>tYS_34OKpmMay=<_w~7hF(TbsS0JL9jlQ0WXHBT#NfD_YbtgYafGpX{I_|seD=OXEno^n5Rw7HUob>S(eau z*VNkakcH>8^<0%U=$KG?Kd!jiEn>yOP*suAe@)=OwIF6Tk+3^{mBO3YDoqf=1coE0rd(^1*9XDCf^=#&9)?(#`w*Cs}nJxoMm^?Mmd>a20`+$%Sl(1xih=>R8Ybz5vK{#Fybf>lN>+`|V z@2GvZ+1$Od3F#3luo^I@mp9_PG@`p2lK?|{Kv{pr5(?Q0aBCBew@i4>mh{DpeI1Hc z6@76gmQ<(CMCR8`}UC@u!ZjRIJN;3aE#R@2B)IArwUs4&xcA+xBr zDu<$VPynA&4>wakv4wD5uArK zn)<)XGyCbT-oMy8It=Y{t8A=y`9cvZd)Q}aoD<6ft-OxttvG~>kQE(4bkd4|f)$%Z zbePDL@1%eVVg^PQ=H8d0Aq^E;*USVQ4fmgG4~FE^PJEqT!co!P{1YjD&TY6vlj1Fd z>p9%uO_hP}Et}zCae|L2%2MlI?x!^`GVd6nX$tOLB8kH|q^6W+uwFGs8P(~U^Z`VO zLIx0@Ykbp+@;M8#w~{i{w(eXdjx0j?V^=wJchb30F-@I?H0zg`Eaj}iqi`JM5>X~< zaY_l^G)>S6tk*!m0$G$`^}n=I&Lsv5X_;lbF-~;o(OaUuoo1o(opQo@SnH8xgrlva z{tV7Ld)j7+cZ2GQgHMzsg7(x%v_?RGV;IO!DX^m^*TBhlY=*8bonXfA$z#vG-j$~J zBXd*y=FY>OS?>q6Io}J;P7N_3`CZIn#|MyAb!XeJDb2#i>QvBKKQrx=UOk^lkYMu0 z&WkdZIu z6XNj@LGs3f7Q5yPSSYgrhT;uFq+)4LVKe!NLs!vHKSw&|K`BKMQ&zG!WfHhNZL$Yp z^q3xL@BpEa!Nr8~1~P4;w!QF>J`|G+;RFp7n7&SwGx``t*$u7kdJWUQ1O&lAdT zks8n@S*-~tN{ToKlLe|YqNJ$ye+Z_LOhDo$HJ3C4p{rmXgSrAms2h%tK)B&l^dTM` z06_eYN%!{7kfA`90ZY0ISVC**tk)$9*gSv)POfzuikINpw3k@Gmc>o0b?X>c))xz_ zzWK4(6JT=4p+QV(b#Aw;+O=F5p+*WZj*KdJGDL!5qV9Y2JD6^B*^e#diUl>_YDk|_ zPqsD2Wx%34)YxgTbOO@q{Bu@1hI9*i@l@jH2@n2?2{1~v!0CNPMwHf7pxkhPvrhin z5Z~meKu4BGHXSGjAOs;OEg`f9%6pabk2bV#Oor^cE6yTRk`!`1v#>r;mJ$79rr?;L z3!v7FDQ!RW+qubLw@U&2ml>5n8H}4EsuQ$nzPgY_V4Vyy9rRvr>S zEEh5lKjK34*%E=`Kp8B9)8#@Xcl}ne3CTN2fN4ZLs!K)h8inI~qW4B}5S7FPHNdzo zFciR3T{Hy>IRJk-b;M1QkM=QhV6*4IpOTVCEmwjVZjcij4I&mktd_aOGfZ!w3gRxL zsatZ&8$8IM3g{XANNU*)nj;DuwuM)it4Wz)W(!x|{d@`&bYkv-2*B147=bY<>bN$I zKVFE?Nm*w(5h<7`OSf~zTKmN%@^Y0w0#BSH%lYSrY-4c|>$S;+M*k!`CIiy{B>WBj z|Np>0R{ymG?h(g0{_gb2buTJ$9(!?vlz24}bX@zIQyT*Q>jz~3nIY5k)o+TiodUfx z?8>3HRQ>xOROo}sWU4yd4C&xQP-p4Hn!OL4#Qq5iO?YH%1QN-HUlOMgr}E*9wTWA7 zE1*SNLTGHDq@pYdZZ>!)1m&pkSFI@^deynj91tk!BkdhO@#@#}=Y$=ZhGkXl+(G;) zD%>aC`M6MTu0=FmgSTTp(FC$h5H^?3I!970R3#*m;4Ac0x9T?I|AP3TaoSr+K5=IG zwXi}|B1bx1S<=?i7C}e}KwyXn_^1rk z6$IRF9hhXn7E2gJ0l-mZE{s1@A)vkP9Bm(kYH{PN?(wwzlZk=|5OieQ)82}KerDFtXVzyMGVmC!{JpFwps7Yusz0|bI<6ppEZiNZ;E;qC_HU!Qm; z>a*Gku=?h>)%^3tHK%JuYUb?$`aNw2efizH5ArU%Q zkCm+*CAdrTdUsj@wLC)wbu|@AK%TP|7aKqYg$fV2NBaP)ajyszmo?Z?$efhpVmVOK z<%a1Rhmd|TgE!&lYJ)exBvJVv#AH#@APL`rg%cOO`9&D^wDm&mw zg}a{)70K~{22$aDb~NO2W?|1irnJxi8|Q{DGHss>WY^s=fA9;auD|`)5|swBnmIT_ zPy8AjOIV@2wm(J4U56^Em1owkpxfBh2@un~PqdtFHQ_W_jOE_{l4e>w6reH~%Ji-)!~| zYx40AJLz2=Kkr}N?Eh@oDeukk{>`NJpMBoia)4j!6-Z5d{t4-#Dd@>?SW@s;Mo^{l zJOlrzgWQSd&GNt>vJdl<^UBI&R?Fj-Z+Qc%O-Yywnuf*0eQIn|+^TVs>)6^)Aa3Vq zz+SJXZH#08&`hEvKUCq?!M>Qw_X45_ipTowI6&M z_={dC9f};G-s6(fA0*XeFmQFw-`xH0_de+@-jrPM|Izj4VNKrY-nb%*t*D(-m)c^r zicm#mx@kp}LP0xjoIxR@2syPLyz zEK?*&Wl35qB8ed_OVW_-cRzH_`=0lY-*vUUN_$-zlPBNreSg++gRQIVKzM0B7&s1` zWB6p=rAMkDgI=g8iUCZ))b8;>b1&^CAu>R1xwZ1{888{^cJ=MPk2bgCi-c=?k?SMul3(MRorH`RwmE;E!c&meJ6 z#RpoEt)1Q=Tuix89C$RNbV&YJz5k6|4F2Soa;4iNRLeFIO@9F>eOS6ZeN|lUU>8`6 zDY?jY%M6gN{6%@8MYHW#^Rb=sLN!FUEt`Qq3+Qf4g*iB4>cTCFmkc?Owv8d8nUtAm z3+u18s_fLOS#yV$s9SZ@9L2>Bz!3sW&HJ62H;6mARdSN;^JHI1d82lrF1LGbcPbvl zfM%8*n^5ENAFqJCwddM#Tqrc5iw9E5^G2Qa;0ru8Ce22hlmF`QK6VWr z>HrS?Xu;*@N}12v2*H_JRc_z`qv}#hjoLRGc%=>#kj3&W+kwC;8!p%dS*Q>>nZB$! zw{2fDnT1Nf><87hg%D#e01G%Qr{LtGKB)G~f{&2u1Y=iD5!i7d#5$KjhEc%oGh2d* zfjndQ!my?^?*&cyUG702g90Z!bZ$aw?pI;I^%zfgXDHzUy!(ioTE}_!EqnNvX>QJb zD=M5kL7lPjy z_VsxI?iBh(E55*G!X*cW@n#V;XIG{WJLI-g*U&+>rG@*#1rX%0TlKr9Z6jZJ!M;jK zA)1OaxDW9f{&csY1#7Z$iA;1vIvaH9CTkMhTHJsu>SXYfaNd}Wzc6n2zX>BIFW$fq#mDxTV-(y57>}aZUV?P_-UO_ z#<6EzL+I;C8g#MEJ7Q!dLpr`&ztLk^!L)VqpJib!QmC4B6kYxoj<*jhW$&tRshiF! z7zn(SLGb(GW)lQmp#re1Y}+FThC#G!T^0#^aNV1Itc|3!$qP{z$sIf7XI;z-$Mk56 zIe%yWtnf&H8G?4i)B!4)cT=vm9VQeHIzM(n+g4%5?X5lTh$-QIw?);>B!^@#IACZG z#CwdSA&YDRaa5?e_+pvnT<@Ky5O=fjqi-1mtaGV?C~4j5QubFlroq*viW_k&BL_Fa zzP#C2u`f-*i|4jwnq4?pWoYDSV!`!=Tb%A{BYO)orLR(~PP7;1u z)z8i_rQkKjy7(xP_w2dC53Ex3EKD*lTQk&lq-|NVk+@^;SiP%Q+EX#>?Y=sZ6nfE- zjopb%+o6%^yESbD+8+GHaO$s-=(pCHEC}sc zBhyw;J32Y>s%5W230|Bdl~`rL#1RlZZ5H=b(7^f)J8VA;{2jx_u1Ik>L!=n&P5~Cm zMqtu@!O7@J3c$SuJy)YVG5gHfML7^t0L~=y{1=Ah=Q@(;H_8Y5_x4(Gn2jepdc~av z-;|OxgHHviBchZmZVKqloGRvm!c6?4EM_-2IMCf791&7!*_`+G9315FzO zsDK0*O}j3q+nD>4za$h>o&RkgR{d^=sNFBC`XO{?O4)M>JZ9tqLYmCbCh_d@X81`- z#_d8XfdvA@DB$f;_T#rBOy)P`bYJ-e)y__6BDphY0hvP|F41@76p2(_74|Ve2$0bU z-CSj|4(Nz++w#^&vD3|OwTkiqt;$rL+coOFprcn_IOC`sNk#9p7l28N0nWeN+?qb{ z-a+f5gw&g~x+jy8UFBi2AL!M-0KZN5KpGuH*uq{pn%iBeh?zZC?y(bhqC7PLI+r-|2z1N(0OW*7 zVNVJ(Z~XpK~z^EYi>SrSYn{<=5gH z_#HcX+xTHQ-vT))!&iEzuy0L@o*(l`c1+p!7d)WaEJ<5=7ZkC)l*>uCyNRGzaUDtl_Q0s9V+Aw(LveasRo4^AxdN! zizUmX755EbP@J4%<|Rr;12ojc08chIo=c{b(VXsYhJl44?F;A0&ak#iwH6Rz^n*Kb zOhhVc%Nvb28!zcp=(FU@#y}LP{3{gp*_U$E1oupV~%R66>I}1(F^pDPIMCj5j!ncz<3QgGzc{ z_E;mvJyu?a%I%=Q2_>@-6-%L^Nvgr?K8o^W5^a6mSF#LY1m9Qpt|OJRr(be(C_~(0 z2hC%xww$E0V4l!0$ZKRQi~)gGvw)$92G%`=Xc4oC`>r&Z{3d8>PM;UPsWAb(q6#ga zJR5_k6%dZX03=j{rMxJz zJ52N8$w^WXNICcrW->g~ag4B146+U76qh{k{3}IN*Qw8+8c!3AkKsDc&lnHCwXLcH- z`s^Oo|J5)L(lpMe-r&gjbX-tmlKaEFXzdn_)S<1AdShc{B+n%SSct8sbdZfvbeQ!3 zfVYXa9$7c5A^%u}poF)nNOBee#702G=n@b19)qe3uMv|n7{GDR!APGrUl=9jy{qyR z%ng<23*C)uRt^LXImWB1^6A59b0OG+CJ;EMO3bU!#0+Iva#pf$YqCgKp zC|eRcvq7<8GhvO924S?`G*7Z=I;i`c4xL><!&O* z{H8O^+h6F@O%!GmO?`E*n>+D_aW}?0W)$z}!<&%6DhFFl$}Jt(ZM#!jwgn6!R{XX& z1#HKuJYYB=s*1cgLLtK%aLOF=fF)~Xap_)7f>RyJ`~H{4;clDiDsxzZu2BG{vnz_Mk0kyyn)_-6a; zFl&QN4~Gy?t(_E;GY6^z?&&<0b*L1q+sX0oX`hzc3OyVuSqtP_zK1@f-}B1*;pLS@>K~*n3dpy5Oyb#s1ra*1tCcF*33HgM$A1v!DzJm^l`BDIqZE zQqtlLK~t>X0$yJ5%HZ;lwE^Nl&x&2jTfag;-0J9^e(c}{8$X;0W9WXch0sMiHM_U? zhh78)zV7~_c((;Yvg#)@{(cm8z10i3mz~I|6Oer)gGo*r-$GsBqOjbD7yGXX^DSI+ z*#9}N|CXr0&;d}e1RY9r)!ecK@D`CemS-0J{QL8d7k#>Z%CFV1*s)I5pC_rf}=;<(zS1V9Px)|9tc0-7&wD6{uv>;DYuY zM4-v0ix>9yHj{uu)7wMkH_PAFIkPCH&tsgbtt-b*I`fytWi&b7fY|G{L*Pz-*mj^O zwJi>eJRbkp9PI0Z@`LS|lj4wQ^)5Q4i$)S)2a&Dt9hH1#g{+uw zeYaOQo9cF8lM1hSbCo6hIp@iWMDv{x51PZOJG?NHAGmJLU#_>gGZ}y5t^Y47R{S<~gAe>X| zZOerty8RlWIpP8BFe-vfn56AkGbn7ZTh>v$8Q42H6n;vyRmXrM!Jo4|t@@%9rf&!F zwT#SR0?A2u5pZ_@D1}@K5$XC!a7%|C`SccObh8s;)@A3MkXHG<)%|HISdvI^ybxjy zOeNQ(uW6Cw_HIw{OPJ^(f!%!>6|V~aXKyYyjYkNiu02>F<)-m6C=kS8$BE>8sI!fH z?p0vOvGmUAz1J}b+L@W9nwF{Ez;s-)siwvqJR0kdX1DW28aEU~gjksyq*LA0DNvopx;N88ixengO9?AYw#nZe(o*ccX;F~7ZdT`AQ~aURv@`BJ^&>! z2TJ!lfyzSg`cXM90#KktTQ>2!_EY|{O%gEyrPni=fIy`U6Z|29|F^1=`2bl+t%v$V zDA06~0a0WRlcz2Q0v0>ugLlDV!%&`pRu~Ud-X!G|89FC=%2>ZVyECh7lkw4FIxTV8 zsED=LN6+QOO1zRbZGcSWMziz8Ep^+}qF z1ke^hD#2dsXD01qS9xHz36o9)#vkq!*Wglp;3XGp<_xHu)kH|r(vVRY=b#G~I7G_I zU88o4e*oH3vr%LsZ&Q(v6q?WLO`&T?x8G@UReU@?m|bLm+K9PhRnFPbf0ykTQc#KH zaFw?Zq9pcC4B^}QL56{#Z$obi4>X`@Ts7%aW3eZ2MeLi^F{TAbITDAj_62n6w#1Mmeppfa>n&< z*z~K>TwSzSp)DT}t&Ee-bIUm5OipknTf3NIP!Of%LQNjzPWc@AhFh*nCMI*!FLSbeZyUG;feoZMBY$9m!&Hi z@QaCqzrc;v$udkNK94oJdIraadiohUJ#qQ~Oi?B3N-IH#aWMMQEt=CaA~1uB!G+$j ziA=Ak&#zIPo8~lLGwfC!+1XBgz=^f$LB*FXzg~Mk4FydGT#cBB4ef7dXUW3yo-!## z#-NG@of^nkC{b1Uz+djRE8G`(yCcy6(w39u=u~mBps^vGg40|)x#e}^)j~UgOnB;K z#}vF6b_-VDiT_38kcFL=yeVz1i^bE?dXcd+>p*kx24G4UqhF#;Jl11R3MX z=&BNsB@3L3l!eG@x6;b-KiVjq;aie=aBAg?_O1v+{BYN(1anwcM4p8jNLVh31CET}jy zm$lIBa@780cd?$@a+RMf`EBFa_yPLwXWZZ}ty{omXfQz@qa$QPYcKM56tODg(i7mD zMxe~Oo`n_2`p|j?8<*y42-%E_wVn^6zHILk)lrb8rW1(BLDW+%t9A)h>^p^XYah$I zn5p7b?}0k_q6q-?T5^1Lq@oQ1!+s}?{11`jRR?dcYp~i0?OKRz7?@Y8tNgq6Jd+<3 zYdqii`0jubTVN5F2S59ZkGZS{ld$c0(@3qNGT+<>`|5B-f=%M#zC(GS?QoW22(%hm z9cmBhTFp{b%81i4av)}Jo!w5_|qkrKACf6PpTg^u8hZnM>N`m`iMs zk5DRAb(f6@_c_&YY^O{BLTiUUV(Ae-Gx>3wY~@Q##hyX8K>}SM#<3qFcvy-7WFN@T z_@idkZYd^6%P&A5wTqeS(#J8;_&L1MlQE7@De{jLl{fTZ&m?9r57LT7ocQURxMViy zn^ehP+O1txN1+l*oCM5NGW-qv|4;Cbg#f~Oyl_O=D+5c!t7_(*3>aeTYulGJu`0%= zgW90suBvh4;617=-f5ncS1w7Nxbk1n$aA7L-e;biZ>CJak!E@M-J=#CLsI|~bDABc z(vRYP8YsltZL15NvgwXYDyo|HCKvHCCiYVCX8Pbdbt_bY%^rvPD59!bBkK|P=#1_` z&FJk~RnKjE2GI~s0`;KRl4JFWJks|CRZhv|xo^elFMy{K{8dPbbRRs3n(nf}i5vzz zs^S?aFHn|5PA8$(QQzENSNyNQ~)3Cn3VMO%XtIC=fFDFH?C2hs!T6lPH^#_c6IsSo%$R2Ftd3xp(=J{D@&OP7CS|Zel3CW0=wG2e-h{=TqK%zkG@<$d~F>G#pvHa61SV}BL zMP-g@97SrQe7JKYd-S?_x_k+pP1O5@*%Gz2o2@Bf!28z2HmIj~vBp#_lPgStY;u4P z<}(B2x~?fKwO_HLT{6UpjUbB{>B=4^&5|A?)PWnt1_kX0AhL*3Vq4Vg7O%We@O0JQ z*mNc94n*TOD9JDQV^l;V8ndxa6uD$9FE`eK zgLM|H|HAp!X}oDj%gmXb*l||~c@9+T_|M!VvJ{mryUtH(V|Y}9WMgj6p9t{$+9D#q zddEB7GhsrJNO+%UlL%+Eo7+}F6`tLVi;wHqyAbdNbXTeQ z+(j4ELJhD%C~WiS@15^}Fk^UTefbJ#%83J9^w zQ0qs_t@27V9s;2h&7M+BZp9Gf=kc+F@cT@hLz@A9t7L;-Dc0Lo_n}EST(PgX@Hj3W z$ICC-o_3Fpd1?~w*P*>g_v19^Yu(NuPV@g@L7*RsYXnoDXjuf%APE}m`C1bvZZ~&z zv;^vA4}y*oQdkkPK{(?7q*UAnHvI{lNGS_9krev>`Rjjk>SQqu<^Sc>{Z~M>%$WtN z{losUD00!(z$GXAR|G~z`Dbhje0>r1egDJ%!>5zQG|NuGFYvej&8O#be*ORB(*qX< z0iV9e&+o-I{ljK1!AAP_GYdDfz*@2Yz4X@`2ep?k3wVF8LANbmHIdiL{w{Q7%#k1VV<)KA$^euiX za*|^n^55*76ilvs4zk2)d{T8#yy(qI8l%0o+Ub={ETlbY_|DSPZo6e(^?Z%dU9(v2 zUHe(ef_tC;-COnU8UN>x_%0px4}HUPB_%2_Jm3EiEUlM<66q@gI1k>2_4Lx6pid=` zZV^A_=SRF6FtgIBI`&$??K#%+{NTeKDS;fq#rhLxMbTiO&9d^dv$KH{$L3Zr%&SUY zCPPqf{kZXR2rn=AkzfT=Q~x_{$I)#;yTAVMr+ME+!=@ee4IBJko7tMYWXXI7LHphE}yvE1gf}*dba@Gfept+)Aa;m!RojP+d}N1OXvs%CF>S+k})?-owt{*1!Wx23B~A+@6EMP3Nn zxaq>kFlMj#&w;b+yvdO-jg1M;&Td}{Hm&H+*Rngqixk+`s#nK3n6~q6>tQ5Qtq#`* z#t$oWaQWvdjL>;;1;(gCXx3c5&ZNnn7B1b8O14gQo<8GQcf1bS2I#YoWXnTKeKKUb z73gK3DBh`|LY^y#o`*2;QHU7!o~VM#$l=QGptuw~S`q-2eN<{4esdc4ONIsDf(pKC zLOJo53^cG~@8^SmN6|4HTQm*JU`I$V5*ki4zR>gm5F)JK^!rQML}SD_mWt*TnXs>w zvM*)=<7-sRscMfr@RAY#j{<=7JXJrkm##6(doIeBhd?_me{R(-MymFrblpiPt-CN6 zUMk%-_#HdEh;G0e=k`0LMV5-wSvP?5wqA)L8h8tQ+s_=o7vCsO$Sk&O6cJ-*?ej;O`W;*E+J;+Dt5#i=WT;A6>-d~+c)0$+(KM3&Mun+e?>Fzc{C*}YB9hVlac=h+fwe38B)oc6Pdu5U zxU=h1TQ|=-5G>6k8=`|ZNy+lVF6Ojl-APC1xdC#b6>~4LHx)rUC@K{1XcyTlHWmP> zDv^`J7rk9bb~MI3HB@A!+#Yfp?s3Q0(MR>}`SNld%g<1&eW()S$s3mo|3>M(EMXRj^}^IfZnoCP8P+_I;K52D0^2BcUTpwAg=_$SBjMr&)LNqG zVcwqu2fh)0Kbg+0wm=#p%~sPp-pPG+O4Hl;%PUW(BW#>l8D}$XZWs@sFrbNn4%M;+ zgRis@NJa-SHdX4I|XT~Iqyadip!C2O!J4&?5^39_2-mY!^wU_Q%` zE?qZY&A{BC>ErzOUJ<|?+X4qnZe1K-7hXyMWk)f&-loDH0>)?q z^u*ilS36<7C6F9wd@_{qZ;4^5^)XUf{-afXBsEulUbQ{O4YM+acz;1YY{4W0MqW~L zpNt(NYpXD*a}MH&*RZ|??o7bdgu}=I4 zPsg%N4m<>G260fm(r{n6au);(4m59K0PS9%FWkzbhLRG~D(ajLrboU0UQXI3hK_7< z1y8^+pl`D@FhdGiev`#e-)SRvS_itHP-6n0hjsTD9f#RjpsR%eNSzf4TTDelEd-KC z*yRF&d;FbKgm@ewJ~{ejV;%YF<2~&l;(=wMF-x`VG+79NA&CkS(;^y%$)H6H6G4I` zcy5>}U>ukeR|M<9YGj$8Gqh!z?eH4OYOQ!*GHt$(Ijj8p+VbJ%Vc4v7ja6RDUMWn6 zby$-K0Zs-*#@r}kcre~8kf_F=T&oD73j1ysFw#-v=K9NjqvCamjB(@VW`O!A$MLU9 zG#KO9m({UiLN;K7DS8@vp2`be2l1AOQB1luu=*1!GIj+O9VNpc6|?+lJ7IC=116A) zBGU8(r$Ohf+%T`rMiKDC9`>U^+k}rUdfdjXb_$DQU~h)2WRj3aMP;J(>Q?sMsRy4d z!C)c;Td&Eic=T(Zsfhpm9p9L7$p24hz=*6@`oV_AYWAMqXh3pA^76H3O=B={;rNOR z=^C}u%Kv^QoqMRnqUmwhph!#iZ97SJk@lEJKNn?n56&}0tPc)ISH(z38T5)cTM8Lo z#<{3D5LT3yDlZhwhLw6!XZYiQQd3j}LW|N>JM(kP&l}F>>zq31Ft*OSVDwN0j5nsp zst`sN%;20`kJt4Ri5?LRmTElaDkuf0K5GMemBh9UL*`XPEhqvN;N!b(k9tNS(ulteI>Kr_Ph@JS zI=$enh;Pq@gN;R`YWlEGuc`B8c;k(8gp5ZoK}8uvy_f=Tn7*p<58|PxZ123ENMy?c zDjmMEQ0%j#GyCyFwjQ#LRfBhVXY=1nt-mY~^ZluvY&SCXN zJsnr2g9W|oqPUYl?Bp4_&EfX|YK>D@MW?yYTW9itv_+9w;ZcZrPwy!^5eDiWX0T2< zn~)C))55d;)&-V*P6=$Vi4?G33+;`?F@kDm$D|7C@s!Z}QY>PgPkvnOB~R^w$K6ts z?5*Ni`cFT95DLokQ;+3^`Eo@im6p!`MJ#Tsa4_JV=eL5%I?c-u+7d&ExSDfRP)RJa z+c8nGOOx-W^LAxXIzOaih?9()tJ80v#zjX(t9E6@0Chy3JUBAM4`T?8gWo}LYLQEf zBV-DqS&Y^jEC~Rv10Es(ipeOuE*$JQ>?eVg8=t3u7dr-r<0$CakP=6?*ybG<^p!6W z{q~R@839MCv=tSUW_GPko-2V@m0c&d&OODE3z^*4OlM2FGsUF_1ecxvZM^mrW31wc z>gkOSCjvjp?)icrbekF+HJjRnE1wdvi4O3SfP{UQ27g)CaV+Gx2IupRkRTc^cFZM5 z>oqmgqe`gC$t6+@6^Vx6MknTyB-&Xdak^?-|2g92B5<@zB8v3BG$u(Im-7Qlj*h}ye{{VYW zfWxLiH17iA#MVL`>Tz2albtmhR;shN6^^Iuc`!PEqjWL*Y60$pFK_GsJd!?`N<>tt zuN3-E5MP>fB*04tb-*Z8wb_uizvEof+xhMAj`d{|jTX8{UU{FU|LK=Ix(z@hP=%wT zpF<@YqUk8@Da$Tjsp+hXNzGJ+jkRK_ogmB3#ePs#zic=HQMmAnlO_xZ5*AZd#!%sa zP#y!z0z^j9eVmKQ=C|6jBGfscXp)>&b~8ssCu3YBRsr}i7g{YTAcvc?IrR7X*_VM0 zRgFKi(;NRrzKb5N`>&dvC}1^@dPQ)pYDyz(p78s9OY0T5mwQQ7O{=V#>@ zF#d;Kb+O!jxh}X>`b&CmOz`WS=796-{ILu8`xDov+#{;{|H`i^IgyN8omFj_k6#N`-flm z&iwBGd`eL0%^bm)O7^MWX-NBCCN_Sksn3XAslna$1^LKYn=|}>m92qO;;-x&M%Vn ztqJ}C8Cz0i2?cHCc+2OC^DoFQXZx>}U4OmpGBj%Jlpnm=mY@&3B5OMc32Y&`iiCk> z%`zm6G`{5W{U8b}IamBD^f6Q3G8giX4@KwPey=I)b8}inizsoi*9gJh`JfMoUywy6!{<&ZB`fH&l+sT z*kS`3n6|I?&WlawepK?hhbmRv$D_^@!3RuVnl4KZ5qBPF*9>W&v)5@~i0*nN6YDP3 zu7`laK3paayq2ZFp4a#wi*|Hw`MN!t8Pbtp(wws_4H+K%PDbtno#zY_YmcXT{lQe~ zf@Z|?mOUe>F!OmHcQNuw$_PWY&;ZqLeOmcF>l4j>knI+N7P*)PwemNwcs0XB=Jd@j zpD?7id1E5BeedlHb&qlJ;qGb(C2j@|G=R}){A_nmZ{w!H)gOMF`Z3zP7e%fcQuTk~ z`wkOLP84vZ%D+Jo2G&G|s1dhhH4~`;S4?v31YCn`C_sZs3^GGa%v!Hn8lpbz2^2&5 zcL7W-n?U$6crR%JRH0;G?xs>RJyH7)z-P@R6rx#}UF6!M{Ra%+GgRU$IFwC}5zZ*~t099{399K(?MU!vola^k)S-<8aHMe zP2jJ0ng;h9*fsPw8ZWClbPQpPkE+TP`Xjw!&08(fN7t(|T7i!>^R^L$};FCWpZe}DlC5baoN zKk7SgB%EFaFZO5(&X3y7jV&S?EUM}+#6ed~_$R~J=)CQKXi$&}yx|!~3F%BD8s;md zux1FsEHb2P%Y^wm+I8uZKc0Y`lUcpCtRs&u*8x&70~#jr-Dsn`(9TRy4ikf4;ll8&!S$wgppoGOOx8=b8oNGW z<6`c?(jST>TZSZi`%64e$jBW+#KUWGMly$;e zY?F*s33A^tJy3g!K#u7FHu*q!5hYhbQRu;AW@VkKP22~DJs5Ogr72Xv0&Y_<&qLMW zD%nw6w4-?t1onh@IJ?SAN-q(+zHY^&9YbDw)HOiIL_=-oBMar|Gd>k()k8eRHizgI z(k8J*#RqX|`rvwD3rBH*p~jHDZYFNUV%a4yb<04D4a3qH{x&=2AUZ?Xmru+1GdUNxm)5&#L#I>2SQSxGd| zQgLLMxR&FQpv`WgKDiD%52fmvBi;)2A)d33haf z?8dt%z)h|m(v}%qf;~NLar%zjqGH%E*wxNSkxI*)wJ1u>@Z#V=5CMARHaXHC{_D4% z_Xh#DA49nBuKCvn*Z9w{j`_QQ-n>6NxNj1v%b;lBp=IdT`{Zb&tM%zyLv+q*$f8W; z5eSto8tb;!Jk4T2!N)wHf5J#)M3G!l70j?}hsCRRi4@wh#0*ZH?8sV|sUy7HwoUil z*v#*mRG?!W@-7A?9u6o}oZm5U#YfAnP(ihvlL|cO*ie=C7{gWhu_6WVu39{qt#RLY zC)54SHrMZe`4L3~{hfE+TwGuPu;a@82i!gUDGWI=&XGX{WupHCTg5{R>_$~KH0 zpC%`B5qm2O%j5RpxB8se2M{lMMFe+3zxI<%Tnq^cufn?;M^9D6xa5bFq*cYp`A730 zfTOPJRos+#cXGD&lL=UKAj1#YoD5&2l#28G`?Udu4qyOs(tHNVM*GRl7 z*;{s4?LRQeUawUU;?!~Ud0|OR-725mYgPjuFkr9P=gfhEkWnXgQKZ7e=RvtS4np#z zFzrGS{@whsiSdm#qNRk)D<*T{asI$@Tp~UgUgRK63d5`x%SgvgP4VbHCuO*Y+3YS4 zJ^*mG#5I5DGw-STsuNVWq)-j|21=okvn#`Zw`$PtwKhUb)3bZb1aEInezvJ*yAKm{ zD)uFN0Uj-3)L}x0S~Cs%waZbr+c*(e1urj&yoglrfO;|UgdT4HVa?2hXY65N0Ws?$ z1=lIsav`Z;NNqgK)`v56Bt*elNO9*uWXQ#od<20IMkveD07yGsZO7i*L`@J~$;hMEsGhnJ?w z(ZdJe(y%(a86la~rr{QKuf}u~>nR(3fUV_u^TWW5L7JSp%Z9Aw)yeqCjs8qbJSw>lK){|SQs(&! zCG{nHY>g`~OdR^tQPvWlXa2JBp8=7119b=9;&B0b`4nh>SQ=m2CdK-b(g`t$Ju;IR zaIrqc8%B&MSgweMqeaBEF$d?JByxN}8zPd65LF4;_(+`0SBtsta7mI{TYf+jd5b<9 zdeKv$|5lnGRt`X&&!!mE9u>zseURdM7NUgACCWjq4(3mb2;iK~YM=~N<#*G(qsN>( zs=Tz?p%~f)(2_qucP{Z;4@}^W4Zensqyo2D7*R-eorwitq&ZmVgn!v#{ESB8u>`@{ zt|7kehx#!%z+G}I^(i6dMdq@m#bjYEA+h1Tycm-EPl3M|ELcqbW8v`?yLgY=3XiOa z10l*~LDVS7B<} z$YvTJn)7%^HjeF%xDgjd@Jg<-^r+Z+HnLVbTVmKRoF>kk*7`bzF|j$Xpdz6?Dw6jO z6n?RDEp<^`SmV{%T|G8#q-U>&t!2x0@%p-$leBF45vhLpYtj`5p@M=xAPo7)RC896 zW?mVcC1q|o+Pt+1-f2jW#3fKkQ7Y4x@eKe+!yE0T0|m~_E}9!+p0|_=_xqrY5$Iy_ zSe*3~$aLC#rLo#Q>N(DPQT#gQ2k*34WwUbk9+AfwyOS}wSVG&vi4K|H(j~NZ^56g1uj|e zC;w$BfiGSQj9m2kq62OI@qvFD@ZY;RFzB@zh|FHl5 zze#Y=!3caM*}?d#-GAeM%=o{wAPo8!pAB07ML_&w|5u@ZaXKh%ZBRVfzZg(e_iMm^ zU0XCAG;{d#UDq+NGG^Ux;#DzALnI#sta6R6+_lywUPgni zttX58zt@E`@}aX=!+RRidPQ|9MyBp1vz3$dop z*U!iC`{f8<4VcUG7Yc&++nVN18U};SwJR$pDeIEvUJj>(Xbog6T+NR!RmF!~cjTYU zRIP6aRRsU%T+(W4)b^FLD=+W*Zts81ZLR z(Q3WtS<9wUHSKkKhWogg32SlSe(_Wdn86^Cp z;&tbvd&P%dVdX!#Drtpy#dV@{gv*qQ3d~bOFaEAid!1<7L_1(Y3t%|MRZf23eg(#0 z)%>oR4XpCAr{rio8JmSRu>z}%TG+mpZOF=H-2sx%W+&=p08f)2!-{B|U0aq6t~WC% zZ7pxME1|xY2L-joqykI{DXlQM&wmaFE5EmlTuXlxGHcO;I)i9-095Vj`)YeNH(E8h z4fWIQz(n{X)lVpLH@y9clk=YsP{BaHlbc~Frs7E7Iu6>PTkT|UGHfHC3a5a50Vw|| ze)MEI=hcD0*i`&<76VI_LEZ0+|D_^A*oQq2vXX109fZ8b;BB{cmr0MViiY>SnFKK3 zvh1$hXwxTJ4SOCY*TD#z7(jK*>*{kZW@AY6%zk%%E}XYX@{2%A!1#iR5~T*Gw1isu z{Y~j)2ZG*w3Ts7VBxsIsflZ!VfTraMm zVf8jlB}fXqL{j5DOkA*Ron#LxoR7OA>IXh z(bH)@Oz30)>0 zcs7f>LYILf+e!x4&1UG{vk<5#k%xZWFU~t}$3u*Ss^@%jWX*rvU ziTEJ59;*1b1hQo$lO9xbQlxhZ$0wK{^YHpxksa(MbJMKKBsM2@RPK3_l5r!pGL(2b zChIC2RxV@tTDotT)zxk?9JtRWayI!m8MEwOq-$`)fdXdm&#&Gr*+W~tKchTBX6dY4 zl4O)@1DQBQ4=6+{_5vr$V3)mW08590^#PuVNnlIbWT;V3Lz50D!^{p|)IqYn#>$NY zU5wemnu5aaBIOWR8HGFB;gB&{_B$cw0-*{j?n^vfWjY}s4mk|oJ5qytZWOR=87k&F zjTR|(o(znmC?j)DTE!V@D=m4j~Ex<=Wi+!!SsjE1KFr_#0+uc z@*d_9eyM!Yfg}CgDyL9A+*5YY#(Y5X2n-W^tIRmsP&|z1+f4HGvtSZJoNin|nROC^ z{My6bUoK8z!ZPQxoY+vFOq#RK%uxavbW zE;;`tI^KzkA=|3H*+B?d_&XX>=uCZ8>&j+h1N`WQj5i%sa8fm(ht`9;%}kfpWzc{>6@wO; zn(yMpY#tVGD#7cE4t9NH$J?T$&@;-K<{k*RW&-u~0T`8BJ%TYD71{rI`wVj{chN6k ze6mGM%Z#E;gq1`1zW9hJ`XL=ks!6edNMHCL0D~%rh$a?f1PVRcvV79VH$RZl$A2yX z95mU*za=dY#EkO3dS`VZ+A!XLG1MR*j>u#Y+cXVogNCz*=Eda|Stf`utsMe*hBgK{ z5d?EtL=pELYbXAZgU;ENMZTFzjO!spd2`D{#Ew+5mH>VN{YHqVVgr{3UwU1J;|W1~?-3|SsNS^39~^nFg91OBXYGvo%!JkNv1FG?ZMdrJL?AH!PhGb_cs z*WKxDY0lx>1zG8QbwmZ z1&AS~BA5?}gxQ1l#DLL^j)G3b*`mEjgPT#pun>rc6n7u0@{WQ)T5ROR6?3sg<9h)S z`}LOw4Rn4Y;^~UDsiR)ccV0!$>PTHS%wq5?7WxXfv7)Wa#-h4dSwB|4SA&N@R>c(; zcB>g;Aoc>DnH@@Bd4`jL(u?Pry6k<9ILN)

IFw??oEzOTpR*7@K~OiYouwdKbkI!jHGbSmZ+UvsB^k3$T4u6}KKdTnmeWC;KSV}n|@ zFt6H4V{6OV^wHc>2c-0Z0?kAzXen$(#Ye{RXUtQer_Dc(HamAq6_qof{-v}GZkXbZ zu#{T>$DrAnfqXIKM1Umpsd|&!SRObJ8bfgg!LM1irUO1LleMd&ByDvJY>kR7B-~2B z+#<-^**@zf6-1=yp_{3SN=uKELFtOiii-8nT4fPgby_=E4$LJ!6iFiy_!u9K{2}OW z`jHdL>*U1_flE|5{kWIz8yne6S8_h|C~g-}-G&XP;kP=wo2#H&L7=69+%#fE+JuQ2 zY-Vd~@wviFv2oCFd)A1jgr?}}RxP0ahL|K7tCahG?*)1Me9ixM=E1LorPY<&` zupR~bAS6P8;Fu8S&rfAqk!_{`@Qa*$ZI?#xn-q-_&7{ge+6j9lzGyrKUN|iUZk$>VwFd>TlKlkUXTkHSI!p|1@ExNkRKfo_B9!l51M)?yMB9#kv#4mX9 znT0c-1#Je>F@C|@i-Z3o%m2W@OmIX{>=!{n7lJZiDSRn8@X{CHqg?#jilC_}e!$Di z*G#?|uyoB^!6Cnj17CrnbDsZ-7Y~Fdf-b-&u!a_0+wtg4jc|MMq7@s{Ldz*6z#*{3 z``|mNOYmE%qfCFY|xw-*P(S79MDph32lPR1fzidL-@3DR!XEja7G(SL~wQ#G3AVmqG!g z$b>h_$d7xpt4wsRNMs@!+2oJgD!&(lMMH=YO(K#70A+mgOMS_P9*?ZI>HYBU_y0UL z^hPk+wCNX96gq0}{%jUtY}=v1>&X&)!P$)sdAV13PV3Pelh?(U0_;=GEfk>DVVP$IiLP0C+@Hh1$02Ok`M4Z zErTF#PtOZ}d*;$J&_;D@cPsEcKmW|D4_}Vn4rhGX=*3>#o6A|n$mDz|nB38O2i67l zx-U`TShYjyCu^P&*1G2R|W0|k=#Jg z6)BvqhxyAUmSygdZj&zCARz+_(t(d5r;*jsA_x1Fqa(CbNrPb|oFPdky&jV(BT9O=-)Lpe_cadyx0b3b6EJ5i|)VhB}x-1-N(!U8{e9HaTru ziN)hSlQ<~^Gr&xbnH2O^fL6%7ZR0>utbA_b2wq$UDj9>ms#aX0>PIQ3amkpXGVmZNh9x9<^Y)4|2h?)yQ5?>N z^_7I73piyb)}4eoS+<1)R=dg~NZwP9ic)v9`=s7y@9%RnRA3Ywptt`qG+P9>WYumj zIi;s5D@H`1@kuUx+?`#DHBel>fBv%<`5fKJLwK>*eFQI>2*@ACDH9P=UPc;ZdUxqw z!2uEMLx&D#azB*$YbM0;;7x8{^OYR_eZiO z0z;@U#I{>Xv76a-dsS9K+{WBsiV{`qJEo}&PV3{uZ6D@FXZQ#t&4tw~qq`C^k$pDO zi9-VF47{ET1-JmfOIJlhlTp0`DpNqJqn`*X5{i2uqzO`wOk}OwKJZn8o<@AG2o&nb zi9fDiKv^Ib$RhG!q8V6GqznsMe-0Nm2I7uhgGYT8gCNk}ZsIN7$Zyz)-Yc2(WCYg+Nd*rE79?A7==HH_h!4ES0W|vng0J083L% z<##xP(Y2<*H>h5DL-tT09cdU8lveQ%0!#n|H zvnG;PJfU;btXDwejS-$lXr7ZQM;*3c*yb z?gs;(G~^`g21ud!P0gfXpOYqIoigmzojwjbo-C5K-#0qHmX>r~lz~(T6t)HbA6H)j z)#RD?s}R6e)M@K7PO(}=ajVR9vw{`z6Kzp(Mg;~Cm{HMIM5ZcGWQkQ4+X7B4OIs6S zg%CrwRtc#3fSr{(p|&qUxPr;m7q$4)&=X+*s5+Nt|qrO}x1$9~hkM6)nMl z%>c~s zat&geV6=Fn;6cJ;#HEfVZu6rCULzMUs%{GILFqs*X}UP3`4dCciQsxo>QEvPr{dc%nHA9nlU>hC=H(q^X&~qk3mz*ZZb7I_M|NzD=2$1 zW11|h@JYu5eagXeM*NdjN2?ZMu0-e#n*ZzdZ@+L#xcUCH8VN8>tIkXGNS;h@JwL3^ zZ&c!TjoRr*w)GUA62(M$0Vl;_40c)BCAT8Sfa$B`;zZ6aMx>9a{Z@v@Z9JP1-O{ua zJ`tJ2)G4rY>>J=W_FIWhTzj|+B~sA>wkEIX1T&tdDXt*8Rf3GFsG5eQ$iOZiIYTBt zNF4TM4Fwk3U&nj_H7vOcx)o_$NNuEBDv0mgN!7$>4C4HI+|A!=7}i5VTYSo;6fBpR zYr`fI8>vhgEy_Fg;Cc8_tHba0P@<*{ivEtrDt@>z)Y>4|R~2mMYHWh7@l)*=80H#l zmz^17PTY&D-rC11*E)e9kHH5>(9w<5b&rU=^+LDsxn%k4&f6jcBJ@%6)9 z8J9iT$_q>!ZK3{|H_)~yqX{9pGM(I1){OD&ahx`7z}7$5>0+e$TF8uhst(I!i2WOy zySle(EIEdipG4q98eG|AA&B#?BFY6PqrS?Eqej;VwR;KPHS~2i&z`nk0EXx{1b}&)3kJ2 zZjK;C20=mI(AcRXcz%JZ3r`R_q7#-D06SY z79mR@T1s^7U6{O8a34e^c2APH!-Z~l^3T!ooBZ%+e{l1CM_8#LWSoBDmH!Gw`CtpD zF!m+QT>YQe;846wCY&LvxxMyzd-?dz?w&E9vuNLpCBAdP82YZ^1Xp@*@%5?oKFB#F z^gZaireNl4|6vUmcw>+h;z#_&!y2v$n85+lTlvw~(4Q`w;SC|G?=lzpt7dq;@8u6B zZss#c<8_K>{)Q8@kF$HH!*6%@jD5aYgT6e0-G{f2BXFaDscd5I=E`SYcxu)C zfiF6L%^HsE<*mLsz?lviZ+)8&iaqq~zee5B3repT5)aHjuitT3xa3+CRTm(Tv!CZ1 zH#|MKpr99XAjk_!ys7gz>@xIK^i9!qUG!BMXFEnll04aZzJYToe?KK8cNQxE8fT`2 zEl6YOar#LXgw@mZbuscKH}*S#vDatfoA9c7#FWu%--;l1mx&c4ThirjEc%+S$L32$ z%@T)o-H&6xWxd6wyVw7GTk~E2XKV>|z4Oa2@BSs5*~L(PV@qs?vfYi;dz#&bH*L+7 zcknJoyndyqMPqmcr2n4@^FL$OGW;>~FUboEY$W=rguX_J`;GKfNNw)o8G5yNiH2v3WP%)(>3NSMz zmccZT)_z_@8l;GXRYQv-OQw?DH;0P9uDI~s(ww3-v6Ij3xKI(q9XfljNS?2|6%*lzR`%Q$ zB)}y>e)>N0y5oX22_>L;IduJ510;et=A?B|B0j|txWExKpqa{uY9enC{q60WLG1n}Q=PXX6 zgdlAu#)penOm_d)XJMam{w{0@VaS z0DyQ@;5>&ybWw!c{cDU1D;Y4Bx}z zq~FXu@qk}Fze*x?|CDjRdMjjL%iIZqqn35RZu3@A@#eOaxb})1zKg@iE0X;_(aKU& zf}tIOJpmo2o{3M|C7UNUQ{YOV6vmLxX9Bc_MVr$r4$000Wt;oTX1=ZEbx2p{ribZo zW4dcJ*FpHN|^pLv6 zp?Ewe0D`Shl0iaUPnr{8hA`MOKSP#`#5gx}G0LP@Z^fo*PFQfz=c*wT-kaYTYr)M1 zEQo8yu&PxTy~AyhzSvk~Za$vQ%2egEo3!KSXJ2w%P?~7&@mS2C@BUc6>02aUBR<0t zobLK2HjcVJ02fH{Jpue~lSL8C1pceFA4}J%vSQb_ylbJK>~}CVPzWxK_q(iBUsUx9 z!gGXvC1$#o@j%v$bblK9xk>B@DKB#_ebmFhkgZ3=B(WjXT}8 z82&cN8GscY>5;SO8$Oi#sm=WGUz?M7;U{ri5#6L?*ersjFxO8fJ0?3Gls_CWPQOTb zWmzEP8!3tyi^jR6ldjtb&QL66g^!Q6e~1XDO!*rpLtFLa{jtJG^8TEVoujK>fdl^} zqleZj3@JsMoJc|KVQZ((5Gi)$^KX;aq@aC=W5R&qctqbPdnP9&GdSxZGst4a3J(^1 zBlGCP@70X!r<`a^C;h6%;$7Uykr~6A8E?Ze*to|T>18-{t_Q?a?}hTLdAM$eM}wjqycd+6XQjl= zn9IjAF&2}+>826vWTd7k#;3W9mZqwx`sSM@ zp9XV}sfq-y$#b)xT#=xEJ_*O;Qx87On&cgA4keEXdrjtKxd@5T52n%Atn5YQ+TrR@ z9)bHA>ApUy))TRgf4@a^s|l@zQ)hy>6G`nQc9P?fHZo%n?a&kO+76!~xgPBos=^Z6 zTa@syT5UMP6Ir!;@`vxQ3{=Iw*zV5C;fG`GL+A1JTQ_MD8*6PS(x&5tP8dbW4Wc51 zRj{kQ#E{=!aSKbwz9go`PVz+{PO;I~#uHesmE3I!lw`1_DV$ z2eW20L_Am!*G@n@lGAZP41gg+OV^8#^2&wL)@hek4OdU7`OM>+y#v)N(HA}QaiXhW zcAAax)-tk;Ufz~IJ|(hRrMLmJOa-(0`*7Y=n?96~9RmZUrLXc!2H7!#8;P~CR`u(X zE6pZqi=;xo;83Q~!_*bdUgv>hpdFlQ>*`McQ)ij9@d>32OuhK@S(gF065Oi~`MSZFgZ@YDdyaWQ3AT#x0{3<<`lm@B5@Aysiiyq%H{ zo_6?UGgq3?K&)b(!z%c`w%9kZi#?hon!8voD?g+J5SoBG0IM>5O*18n76oP7P5RrN z_+Bwke}mK1{vLymPypZh=&wq)BXp6%`bdsAQn|TFe3~1qn1PP6e#{dQ#{@;dp0f?%2++h~&&4F)|^e$GKx~}>weY!4Eh#~jD zhL-fuI(}6}L!#JHIc)&+ilEFaOzhUZc$YbJEv%EW{iNlkvAy7Q4kHupTDUD%M$$Ul zFYJP_Ta|AN%C)%&Kuqp1FH#vXok(qeqg zCTFR6xgsB4jzn-QBMlv*4&4gl{lXms_7O@x5hoi|s@Wx{(ga(Ah%KI#qpk?y^Bi^0 zJTWd?RRZFtEp#nXdU)GnU}m$M+6qo4=Tq__Fd11Yi??;+(qFJm4Da9WFdAK=YpKq? zUY_xvlAC~94QqN`!@ysqfXNJ@_#s?5-Rl=|;h}E&UJYglPF7 z3|W~iq)WCchvthn)3qu1uR#i|OP-|VTgdxrEfPP5+*9L%VtTXQMJL#8jLZbl4vK#Z zOoG5d#3(UKVwD69P&2g#$WS$A=zaNRFg;m%S~G=2?~9uw!TG4v)zqu}*rk`(g@ye$ z0!NC3nm8QF|GIVN@5zwn?d72Zmrcx^ch1xI;x+d-AopfJ@&3#eUUSF%UI?7=>Wts* z;jEg)iLB@BL^|qP-*6ClB{M1xaCRb|M$IX4z2WDVzQuqQIZou!C=lWiB^Y(8;(duiSr@jaiH|1`L8lV))A;FFT=M+M$V|3tq^au^C}eBfo)0gBt}mG*OxVMgAisf;tjD`$02KEHdG zjZfAB(ae)mJykxo{t~xKLQ@|;YCp7P=UwTl`$KcTc>Kt_Xc;A0eQ)fgmfPH8lyAMs zyx>gzeC`JDc1x}WQQ`o>J)QPb$~Qkcc;2yr%iZfa>eWYgGuN-s*XWVPXX$HMmM`U& zYb70zIpH{ooERO9@{P38qx*bIj=}4N-)z67Y~hv3jgRN7PNU>W3nOCF(7E!Q71+1< z3~n%aThWS~-qCQN{kDJX>O89cdE4VU4PzRoV)a#wkFe<=Uo_v*byQ9-~;n7 z|0*o!t@j%}$ljQ0`2a$Zu?mQ3HFU7NOS4$v8U~y_9@A1JxBEA z#+G!*28Uii2o545Ucec7NwNll(%8PX^GV1Awo?#ZjBV# zj4V>`NBm7CEltw7^+o{AZi)>|=RMO8v!Zx8a}}^%YH~-qocdgY(^5wIXZP7^<=za_ zCtKcKDX@*xV%gG<*V8_eb^d2Kv+7KxE@Z`joA<}o=f@jq;h6A$V&E=d%EDwmCFxzV z)GL&v+owcTaah&uf04{lt!0zb3pSGjKgpQ{TSi{(0^hJQSJGP@9t8uuwzu{CBt(z( znXFiNY05P!EBsp5q@SvH3bv9MNzGU%eUw=X0$IeTIcnHOe*Te?K;bUSqeKQJ<16Yi zeT>QqsqpolNLva{o(HKK7qel*u8yL!zB?1-nZtf1HoAR31XZ3C@fxkC-m?5gc5th1 zc%GDq60SJs#V$E$d_>F7EZAlwbtxp4fdp7%>iG}&Y%N$W+_%RIeG-`R_WC5^@aeM4 zIv|jJ9G9%aBn}n_$9=F?s@Zzcfzqigb-}5`v(AUd>#Mx9w&K~Hl5!vG zx({!Eg_bTe?bC}#!z!gh&bS0?BxXuvo)~ygK$(p8>aD@96+O!ub;~jhnFSGIjD7I} zc770kk1A)Wt6L{9Q#9pz!MLWY#FQsatiT<&C>Lf5|Yoj0}iKuh&=^~=D zSzUf;QjBHJ)|eSTjE+U~$P9x77H6CR4FH~-dWF~4BFGx>5i7|eMwCVO@_@98Pa7|~k3ly3B74mf(c5w+x2OG!UZx@47Q;$9>1%Ad zWg==$Kx`aZxijW(3L6~O)HW0(n_vBoTfg+AxnWO&XjK`sZnY;9>o@;|+mfq_nmu|v zw7o!;w5!RZkH>AQ8Vz9cvzL1hIHPBeImmt-?fjg9Vf{B^jDgS2@$m zNRx90?picXTC`8^sy;KEXV{qr4%K8TJ;28^wVA0s*8cNAp7H%DO~$aa{VhvJg_6j# z`dbTRyU@36r;hZKzU6$jW-2BinLGngfm~xcTRX6SRd%%X(f8zBZOhT!5yzku0#m#z zUn|&Jej=L){<(SL+_rpANW;MQT93>*p;J1J(uOS4!wx&7RbTXXO0N{m=8p6K=Ma0E z-&pue{zOjE5)nkWQTqO(+0GlfrLoDGg%P*Fu0{zEI%Zau-)C@mFm_rr%D1)j%G?~P z=537LeBgsIm-4IGFAQ@Os`;CSZ1Cd};{m?00+M%6AY#QKb?nQxYzYPa6$u-)6G#)$ z^wxcD66ZD|0Z=+2MjWQ0Y|5!mDtKowvEYFX(7HMaWrwy=tOio*FWpuB0z>`CC*kdZ z1K;PnFxIK6-x{mn$C`V2DanSEM|YK77wek;Mac$K)v9~R7;HxvSxdzVcc=2JQ}zcKW};;9LCK1JBZ<110GvzX@`rinCkO?hE~|@|gx$~g zq+qmN5NDvf73xdNF60TD`wFyEXK=jiW2FPhSe{UvD;3?~ZA;H`J?cO{Efmg$vxmVU z2^dP8d(1Idr9C`Z>F4a#y&8Lrnz9Z-29|6uim;FroeK7fF+T?eJo+>DC=Td0P=6kY zGaY{`7ql3WI3tCX1lFmf2?MkCQQ*#w~wwr687^6qZ>3>v3`Y)eIP^3y)cuRW8Dy0yF7=HZ#h2n*q zQ^Rmphf~=T1Xb7PPK6De9x7h8-*n;Bmsj6=q>EG~uPVz@?w&PmmlgX*iu2JXQI6SX z#9OwDMBwy@9$54wQ>0UTv&j3TJ7(aneUeuE(*Ifb+2<&k7MZ>=yf8HWipvj@6#CI5$>8*8>iKAF5k_GgF`xQAk15}z7P{mjueNblV&_{`||I5reAuuu)yAWRC z^ESYhF^bH9WJIsYkFRSl6a`;Pe!0bHC3HAUWws>YHKZ}+VPh8}?RbKi9whrq-Fkfw zB_-+CHhmH!C6s6tx_ZC;WZMV0-LOlEFpAF@9{>8OEt&e~v0}w5jm1+NQh`L!3H{FY z7so8E1;BJ<`$;N~rR+IW1n;rS8ul}GhRp_>oUTfbjz;d zIxp`;ksaa0J&V5Xjv_X3Te9Q?rb>WTsIaZChhI4lRx;RMNPvMf`6N;9_7$E|CYyaS zDkz!JM2kD4T`f)#SWo5{D;msirmtrRIu^T!ADB!SbY;-IhelgyiKshof0Fi9h@fl6 z64VZcY77yu5}5}v%{RLsSQ+=oo)3o&s1H{m&1@Skk>VcAZ9w`I`(J5E24OA0{)B|% z_6yDW#LXUBTm=JvQ(AVt8bTl0C5LiWL|XICD~~6)l_d2DLI|yAS7j;zQaC5ExbEHQPKN0Q4-s3Z zc4Q9O3QvV7jT6b534=Q$<^1d=S*@~Tl-E=jKYU#bExFO~ZhSuPxnUG_nDhg>hp;D0 zb*={a6jKm!JUWdR&YgA?Jh>~s{hJL5Er-aH*Q>T*iCAN&Kg&&k#RBJSJ1e<0v1pT> zN~m&^d>&BX^^XIylkLjO10+tN=iv|ijr!l3R6F2F&;F_49pQMqOP8OHo70w4Mdd-<%WQ_|o!S=sLvV!pDmZBwQmyEj3 z7%jZllSAAMMf<9L&dc}(iN4nAMuoNoqETQ9c6IqUJg>6;zP2t~WlQ=EI;&3wITrz| zOpNTfmi>pLM-cipkwF*EwnZ(8GiOq@!x=%jW-Mh^PujhUuIXQEJejR0Z)G1b|9j#I z3QwJ_GB`$apaypgS9;a|*PXcW-|oai#GOc(#>4-=J8|EPS-w8;eycda;7z@s9L8)Zn*lX5JTsX++3Py_YYB)p__4NB&=bV!i*>|N0Z3fDDyn-++3&JT~)* z8U85Yobfy4-z>T~iDpv2fbCxEG1*4?uJDVjeav~mcRONL7jnWEjIZ%a_uU@J@u~Ax z)canpv2xzMJZpph>d_kCIjaxMRf-mDYkJFP?zb?r{qq;!420mOf3$X``-!%-!53$& zd(IW8tK>Z67kF)Yu3fnL7-xHh-|somA>GbJe?n)6V?p&3FFtkOYx}aGKdkt1{;j9R zURsZ&Y2PN!7d7_h_D;<69-i~ZgayyZ=32P%|5{bD*e1tjr+3k&L`U_2_LA|9n7Q z``X4;*=~`y|96-3)?$M?dDb$&Mx3)Xs1V)LZcMhXBm@mzgB90EFb~0K;?=0KsflFW z%=Ty^j_jk7ER-lD3jrmFgIV?F(48;FAN}vGO+oBidEr@Y|M}t5tT@FP+u33J%8~i1^XM(doFv~rrn|oE z@vA^u+N+KM5QT}301_GLnj@OCxXFm~#Jqqk_Z+}rZg|N^$YonmMSvC1SGG;gh$n(_ zhh4up5O`iMBNCbY?DgsNT+g~%{EZ?S;~$zgkcRHg>mOUZ9upPgr3hV0OZ$(Fi73hG zrXQ-=2aSyAfR^IOG!JxNj~dHzz*pu57H{V@;HMLF1M1qiByXX*<#^O{2T{1C z5sV1E``5Q$KwQLGmXgT=FE%Ia_HsHa3pIvxt{zM3+PobOXF~ghsM4uCnBn9bOB%Ih zu3L)9;;-*DU2?C@()_u){jYK)M*LxAiynaUq#*V(Rona$>uWq#{u52@v+-#!khsjU##6#*l}O@ z&0rpVl>}Z;;#gN*w~4u$McNK|TYGcrCbN^-tN)2ULZoik$etv`DkP_#=K5V;# zRV@yiK2GU?(0wIYrZVEDc{`Z-ms_KIQ!z(FKx@$aB=fbwkx-LJf&G945~zp6D76(~tl7k(~?4g9ys zn>hToJ2YluM!PiC4B%8kvIg@*3vO4KyrvZ+<;k?$O+2fxu9 zSM&!92Fk3&u@|=$9A{m{Pp<1~Ejq@W8%U&=n&huSQAuI1?I$fNaN4x6H3!HCO5y@9 z@F%H+F$gDzpo8R99OfhKZNf7?R);0ob+^SBa|}*7TjyxKFaf?^A#n=A5ZO9xH+2gC z%z91V03C=@a|bJFC|crl-QXRJkM7~6)E@^=AJenc<-A<*=fVh?!od&UKgB$k9r{3U zU!%PBfW8V^D<6qAE-5i$VI>}vpSCZ=Z zJewCTbT+RnJKzv-7fVBlP1$dU$qg8 zfkf}X*2~+2p5#RXHFn1j!ldT_q+paUGv{9nQc0I8|;@k>~ z`{I-*kiP9hHS^AYY4We@z5qM!b@$JSPTL(c<9N zYxR&>r5E0@o~rw83M0GKA)!-m#`Fit6wqy5;>0|7fUr zfR>3JOv-qrY?YJUngu#nwbm_IQjTcpn6x>A^Q1PxHf9(J*lKz>#!AU5)+VmXR<;#n zXmo*G^mWKYNjn^RT&MGp%O{i9AX4=?9|}GD8$HI zwB?kvu62g}ko4#W&rF-+TftitJBVvLcAu(qF|3FSUENE1WN}IN9qV~RvSBY>-(OfW$!-PZTZ1lL_F@cC z9{`c+SMJ%ABR!6oe;ay{Vl5qARmXRM=ti?tBU5`1P>^=AV0JoMTI9V%vW?0S&+!ma zp2!`JSWD}Ls`|&sY*ZKe>xVOX-1@ppu2B<8t@7(=>y{iwVxc(lwaudT?)$tW5=_mK zW3*_HD=CbaXlA8jn!{N+r3qck%J^f<())rCEy=UC@WS^JL3wh=g+97tps(P13kk5J zYEq-o_Opp>^CYfpBCO{MBf)xTG(wahVOdEG9$%RCicZ~&GyZ7p6Kvbyi9^WklqRX( zlC1^1$S?iC*+)fW3f^K=Sp-;^>fxe)U`}a$A(Zr z=oB&=lYvUh6!(k$YJuCdN@}lvPEAXdCvU2lIb_I4ga=^(>k8 zruVQl&Pm=8SJ`Aor(mq1C_aNkfsXSU$Fiz>B2R*wPpQ0-XR5GoNujTZZZNf{C+VYD z6-%nsVpT&{2vL)VqLhV9%+=uuvIUzos0i5w$?i17HwWu+MpBn-ivcE)AI3n1#Qq?`p?gxWi?r(lUiBc-KTi2Sy1ag#9MBZhoy z^&&nENUtw?@7OS^OZa_GpAt@L1lY%-jy-{`gk_`Xt5j?EVhCXDP5ff zOVWErefnQ#>Zf?BRHWqDQfhxluE+Lm7|adW@1NiG_221=q%1saOJWzGGc|1d4~@oK#OgP?AYxL(SH@g98}J!qokq4-|e@L1cT zu;`S#@>i0Um$kxjx^3K5^oM~h?&_nl6{>d=JDDX~HB(o0lMU*!%6?SXD%2*R<2G78 zp3T<14V^A5qu3`Iyh)sh z5o}W+EIl!;y-=B>KXG0GN?0P}ZQD!#drW*1l^t`OIB29GwZ_XsV*bi$5+3v16NKgT zn)`-lJcQWQcU9mlPJq{I-4iok^4a+j;WL*l_v0)a^f~Ff{mpT|7dY>8Ykk3ImQ?@Z z^ZU!QmUAw<0GWiL@p0Jymq$@Z+Lv>W==|K z-cH!#B)M@fb4o@k0+#vzF{ZF=dhWV}+_x0--;l(f_>E*~NB_(B6H=9_I-fDeN7@Iz2$x~2FCM8 zEpHLJMecZV-_kf|W1-|80gKwWCMsalP$EM3)0yk>JAqQ>qPQ_dRFRN=egXs3J`_=A zB(z@GqIc&)4S*)~iM*8F@4xIgq(6q~qrd)Zl$`ytQgP=y_Fg`Vaki57J5BpVTXd(y zow`qLOKj%i-+yZOE|-xm?o?gr?=p^+}D^icWL4kRoLc^QpLQ0=|mh)foQ(~ZWDPO8{5t+R^>utFU^vm ziJSo13GL^iP9l+!^u|WGla-#6Re^T@V3`|b6N<+}&(P=Gt^Y3Y zQY1nn8>$a#O@jG*0W$g2PhPtxT6kX0yIW_>_~q{ph{Bb3G(I*#_ofSJIVtjI2b{7M z75uGQ+&V|;Yke(@OE!y~wKeS37~VZG$~?L>KTpZmOGs%f%xSUce#()rzjXj9840?t z*ODX(=K9;n4b;aJKOcXP9foWg%DgCX8G^~ot>;8~gr|g}Dv?+KN4nep+}c||)}C|# zWd`s5pjO_89W1Au8O){p%$sCS2Dko_>Nne<6IB`hIGmqsh_tdYnXLM&g93aIFSevk zFFlEtH9@M4zqa5#`?tK z1S_IxsXLRtK9rxJD;xmoNyLAkF1B_S+Sm2V{v^9W*R{yw`L^n z23LSQ2Bqw7nAr@Febh@V#r|VMm+*zc+cBWx6gNL(%lKO|n#Nr};Xo+cluEtEr~a(6 zj!@SfS^2z_DFCpQ{Qn6HW~FJS51P;i7B7Ij7s9QB8>r}11S3?8jZpHIqCh?o1LH(9fxhr$qR1X?I|oOaApu|wiUmk= z3bN8Gy(TQgl2+jpt(RYN+Z!AIX1)&=r?cVIaoBo{IC?)s(tV3+Z>3;<#VDxzctg%z z>6iNFG0Y4>7wQ)dgAUgwYL&!agrt@B$$>0k|vo7IWRYErU${lq{9n5IB&74 zU^asS%9Hz@Pe>L-D4d!TS>k{cPkj@)-tMCDi8YF^{aPU3EO9$i$1!cqrY)4RjUIu<|(&*zvY_R;K`(ba| zL$NAU@v!6IvAYs-=E-yn44qUc6CdC^)#J3#kzTOmMsY;1u}77)W*s9`33FD4GMD*` zBE^%*%G>543y?$~IZq63fP`RMTZ<$uYUvm`eW++z-yl2%Fqp>3g3%z7YfJ~96SH&5 z2^xdHVIsnz6ohjlL1ddTASja2%3>M#p~{o_U^>6A&0z}5%6ANmVtL9;Drd*2*Y*u4 zi&gcGhd*~`ps&Nq090}5m${%J?1}|}IPGvu9buldpeVY+Bc;BqrFr)|%3|`>`BO;i zs`Av|NIhMXj@E9{U6za?W=ULn@I8a(!oD@s?JZ(RIaSQ2_bikBSM=am2JOFCv!`{=G= zt=`A(Dh%i!LfaFL_xX~dhzXpYwM@l|vk27@!b4>+AwnAh;6_>iTwzxQbG4s1Q+Zpf zZ1mPHRr#Uhvqbt^`Fw2iR>)S2!5>Di5taA*9aTw0HhGsXn$olq&dz-VW0x?2@XJ5 zBIJpdwysey_tj_=@f3Cx%r@TwycwIgAC08$~i zNz&N*hrxFzMOU>q5HORM-PT}YwdJ_A ztgd@{heKh5XHJ>lDDN$vtwoU@eBH3LfohdoEs%;^AlTGQ-cWC8Y_%{9Cy7iSQ)=oT z50E-DFnxpf{*Sts6(&ZK)T3Lb86Wv=61R_%43EoJAkj0yp2j?z#?%OMnyaQNpa!8a zss5_&KdaKUy!~smvU+XZkUP+E;jN=ze4KY6@Iz-B!6$alLU&_-Lrye=!Jfr(JA}ac zJ8sPx6+TO{4Zgb+^|hZfwEEkcUMRi7MDOGhJ`mJJtx34{kPkgbdAsaD(^+ z5UchJWiC_m;L>SBoN3Ih!Bf!U{Thc2>Dw;7?Wi!Y%wbG*fwzyiR$~saVUWqYS z(g_)pIkWh0-_nw#ek|rHXNM`SwQA}FBL_}em6O1Nm8?P z5-!OEFoVdV6an9a4mT8!aS_SAE?p6*)?UoWzgZ+l>`EHudeDVK43MM& z%t(t#bO|!ng7r-sZ=J<7E%OwIqEbtie@oJ+!Y~fFW>n2PzqiUTB{vDM_Y_qg;DBIAt&dy5_OwwN*h+%l9@`jMO z>cz^ge#6Ox$}c_X9bojwilrpJ!}i#_K@gsGD`U~7iG1PNeis-Ksd**@!Pgn?e)8)C2MnoSGpSa z#1IK$Fe$$5Z7(qkpF1Sij8GgLY^04DhW*#)r~vQy3x>d>GlRUyL^Si)N$@`` z%f72P>nnZddxth~R`@-|T+ElSQJztsQBLc-oS_htO#xBg!iQvJdIEp_zuo`t{8 z7d+%gGZszEe0s+3{tgOquGgwJo|w7HYi{6UFq6NJ@LdM#vD@oK-|&yeIpKcWK~FA@ z=IjI{{N~So@6Yx5z}Mj8yFAAC6TbiQA<>wR8{IF9Hfw5rS-5z?+<=)f-=$06Qs|IM z_}DssWfPRZ*E#c(54?PPVsN{AbkU(r?)T$a z5}5y7etVOBd8Aw;=;%Ko)caw9QNI=tnB5yKU%>}_AN;&Q3>ZRZq}Cw z&(0OAdA}^$G@7go5F)oS?UIH&*hKffn~r+oKn{f3m5 z+b%5Xc`2qHLys5cR^6@pcnOcX{!zfE)I{@XilYjiSO3zZ57g^9TP~fmGj*xEO8>IB zLy=taUP9>yW2Kwau=o9Hx-7b$dDi!z2_@l#9@$m$t#IyAVvt5qi|W$14bR1y3KgeN z@^JRJ#WkmkzgqnA8GYb++ZE<|%nr9r)mDh};?ZF&P#f9n!ZOEbdRNgmxQr4{)$t=% z2qlGW<1q3!@1MK#0EOL_&L@7M!p>B;-W)o?=mhkarTw?#Xi9plvtjc^zJ`f>iF#t9 zN}ttlVtpQ`Fp>{f>b|x18b8caZ@qJZlBj6mm(uVS`dS(QIZ`Z74IqdD1(VHe&0{1b zAPaBK;*2Y;g&s}3B*(dM6G@m|I^q$81aY|*%Z$jKM}ZSrQ2ENWv|Y$CZl6kqL~yM$ z9oZ?xU-tkVP-3SiZE=rr{#0<&E3E@`t;WWR7pvL5RDGWysjK3SNq^t)M*U5ilXuH> zv1#+&dTF5G$;Cgd#QUmdqD}gp<5}%L`&EZUCde;0@1ccfeYYpjcjBtUFf?14&o^Pm zkCA0=>7su^WkFxTil`K6Pl|KiI!$Yd0fjO-Y;F9~6s>%NdRIUyaytv{FX--6ko2?! zMly_im%LU|(1JZ*5LTYw=mOMfL+OS#or{6Sl_~En{3c%3<94qN>x_$`#TpwGX2_;x zKR%>q(zIl6PDSgj)Ln)*jqN&%?!vt|UW#NbesE9Eva?}4CAZ^WnnS&k_3w=HuBq5B z*Mzlxm_XM^66xcU@|QyZfu{k8BYmF0@yOW^nSYJU_ow1`@4e|8jxSm>7H-l*FWS9e zGrWB2D8Sp0k5wh?Jgk+jvv}}r=}_@<^@Zh&;Qh3d9<*|wM0XPGM8SVgd35Qfik9|x z46af~yM?)q#FY5G_H+Jt=WHA!YF5Y!{z!@%LUVXJe$jSrQx_{KFI|>pVuIj@`S1p# z?1?LyeGF)E1u-VVb_47kuLLB_1=3rDJwV*#%w%iNqI2{SEg=eW_*s))Sz{(L;TIUG z422AMqhxv3Zg8H+hF48^V23+TLB!)ADiZ7U4v5IFdlD&zKl|-hXf)wzf8uY`kI<5~ z<-GBj9^RVf)MUoT_^GLMI**aY>6Vc+k{+7|Dx>z2u4d{gVktx@jw$wyhk6T4Wy#6X z7u-fS{q1`D}qEY?3Ob7nQ1${U5QG1X|fD<083k0@D%wEAc!$p&pS3l&vMi^h*Z3R zt%T|4RZ20@-%zAfV7R)B6awHCS?)@Fy41-&U|yCHRG-HI$1u?w{Zs}IT^c6Pv;45%5ZsmH^6VYBYJV}>mS0zJurdStZrHGX`2py&3$ z1jaxmer+gQqx0;=-^4?RcmLt7V+mC1F{*xw(P((!Y#XV19gNF)L&1`fzz5`g;ObB2 zK^iJWl|T~zwQt#k_!N*0+#F32Zup6z&8-C$%rnYZ|D{9&IUF;8Gjy2O2BXpBhW}em z)L3AN(4NJO#?9ZK6nGv7R_H;HL#2nqx?WxUWXv>(m}6j6wM^RuuBu{|Mho-Sq#U^^ zId)3w7^Os^3dc_lP^%2JDPaKehPI3@*O4cQ6;a`YG<2Vc^@ zbsy}eqhRjG?aQ=gN_p0dxiKV}s`(Y}kwSCZWJbILdXx@%M7OKXqj7+)nZVYUA4}g0Vvn%cl zT0RN?Gk~$1tazUmjhwFZ=5w=ud0rZxaQ`36Jz*)vi}vHmtTc|fXjNA)%p^`zCtduw zr(%5$j(P{~w-#>6n7b15kT7QcHd{_rSXIozO$LlYx5&q-(cM95WVE_yc5FNjkLa(_ zCPB}vCNw3`3}i#hh{r$R|NnwNX1)b3y|M6As&cQ_v=(DEnF^GB1$}3sc6jHf(t)<+zoYykR-m4Y^_UfNlx&H zcVfLOdq}OyoFoFQ&Q8e(Or5&PQ6l`T@l+xh*UtOAz>3h+>Z6Hp4o#2scd&VQG=-U_ z4-w}oRmxk8-`?nWWRP2UA%L`@=_C2V9eD~wn-W(=7(i$A8{@LXXAu7Kb-jkPoRZf@ z^0-(k51noTgM=AiZ!Df07vivn@|r4q@D*8RZ0Ze8dmbPpUGx zQ>tPa*UsKPlT;8e+~jCm*axgs8?~^&3A>77oc+w4KH;jcRfpZAR~j@gV4LQLbM3mh z%azR2h@O?|NV-MG^-<3X)LzO6pNoTkaV&<=akwwX(DP1YD$1R1%_yPDr$GUlYOie( zT$<7#lv^5~NCufO{Fc#;t;L~9lT}4RikiX_k^J2c6(1nZR%*y*B~4~!@kwq!(B(8% z3~_ygC?tWFr?iUu#|yhUvr89OshKxh+P_p0$qqlnoj+Xc4?-@Wx2=-}7|cHoa5zLAgVQ6G0`S~wN59x?}?obE`;F2ko#uf zh7`tLa=TTf4hiX6%1tU)jJ7a7k8vGg8woVT3Emp)r5X-56bY=D4i)-lW1(aW?NL+E z%GfGzo>ftqZkLmN#`bB$8~fndp48T_nDiStSlWJ${$cRUFxV+Q^v%_{i3Y$YSjgrQgwnbLC>gt1#e3)y@ z#^T&67Ijy$0j;|IY_!JGR-nu8xG)v%SZHFjN&c(hw&hyzA@B06HFV9SCk2U-o)QPd zjBPXv422*UM3v z=o|RYsohz_et(Yj75aHd%lCeGnAX01%;IDR zvF^3i_g37ayt&OC=b2V_?#@Z){A0A21}$+*g+o(zGsB6wc%s$6u@UVmF32s;yCK)!3vPRDe5EL%qov7X7XviO@A z@IPtnbx${6cIVsJO|vQ~FGU_LF6qBLpOSnZXsx*4`oTV}Ry!Cu{{we5>pi;LRGI~o zW=W^~XG2Gi>a^VSq2eGb&89x@ZJn^Kq6C1^;^-SEDBpDI>f5^jNBQmn&5~M}fC?o~ zEjo{6fJ4-&m=ln0Ys(|jFr*)dmL#VWxUf;L?SAzZ(l_c)BErWB1~xk7&V@fk`An#> z((OwtG%83|#Op;`l)(<=?81HwR!}2F1o$X5gG9xys4ATYh)T^1jc&7*9cmC9)ow`T zziznCa+*#-51Igcer0+8`n#dfBULQD#(Q09eY~gu0^fDX)JJ_){p0lKLI||L3rq?>Ps$HQ zI6O2>l4uVA-f>}{7&h@7C|e<>aG!NSg=-q|PL`Qwxo%R569rGG7~1!=77R-!BXTFX z2IZZCf~aGZv_Y-rZd050xHUNvCY&q_3HMK?&>cSph0gEEA~UUtfN8Z}t%=PgZV zgrVxW$AJ4~;o>3T9900G^i7BeVb~&36iq=~E6$PK(_s(DmgtF`*g0iG=(Xq!5b(6t z0#Pzzp0h|CfU(4#3|e!Dd#O`K1r8o;cP1{xr$x)AsMm&1Fm?KL%16wNHC@zJZ%szE zHd4cjZ>_~I*{?|*knuvgA#B}HMMcY7zyRj87!_(D-*&-Pl%bc^A z6{^TZZ^Xi&SrHXjn5E*M&-3&(dT@HLJZ<4STqM{VNm~CjP$^qN(J6~=$GqnZ7iOwphQY*pYEJt(_L|>;dwwpYjUcU zMiS37UVfv7R=DC(rY~@9Vl=mqiapN^VfVW~kBw9R}!a38b_+b4BP>B~~S8EXw8h z=L=4{nHyuEhsJKf#4!*ilJq~mmsk6?17tlI$H4_4v5ISN>6>&jz~B)j+h7%^3beJy zS9FTrbU*s{BM|BO6~)2MJnmNUy@n#vkk%=@FEr40VW^&v zP7D3NnDyirXqpFR53mucp-qg>t@%&0S$w5o)UARTp21+OuA0g3nm7HZN7%*j6Xe^W z5b-~5?*MR6Tja$Yb<3kaVp$DE?h*9v8lbXq%!ErK20KbwCgw$ZTE|^Qs+tp&l|za* zqn~tTP(cuiV1tJS$?8Thm-ns+(dJz~DZ5Ab5JG=CFm>0M#M{-vSfsP*evl=c$|+C- zSZC}g6chj_r6P&tmF)yGnsuPWojH|OHg>$3;5h6xjk2wtPIr*e5FbTd96;}`{kiEF zB53O(r>9`uvqiiv1^%4Lv(>f_*N*eYKVb}WPr#D ziq^qe0+B~*^0WlmiIg5dqJROI9VFAO*pc3l9UJ6aM_0?PMQ=o$?5x&Op&mWVwLj!I z{hxwz73@8s1x;@|P9_xJHy`p+!?`WzYMGEE8vH;xPD-}REiOJ5N6%L=8g4Z zo1d^#2G!2%yN9Zu=*MAdHgXzfO-!#{P#Fy0Wl2w*c%6}0m1jz-4EAh&{{*CPgB7r( zwi04xJOa10W7-iBAvxxGMiaO7i2njKugvTL-48=uFf=2bU{=ArAKQEd@`vI9F`&|{ z;D=UL`q*Z{j@kixI(k|S;dXVEG)Oa(FpeeIxgsA*I1=HDlAf6$n#&TjH2mBHVH9yj z+l2&j&rm;p+mynIP)xMeOyq#;WEO6DQ5CS%&_h9J9>AeI9owJ@dU&k}%7Y1^U-5rd zql`?!;R9Z9zLN-#9`Y{mWDdmEdC_CV2Vyla7J_aK_nqWqSHpom`8%6!Gcdh7QJb=> zp@;|BdJ!8J@Z4g}Kb(EV3&r{3wdplALvX;$k-RzFQn{xd+KUj}0m@|&0R(DJtOJaN zK~l)7qHn7UIi~%F?c&Wl5|{6l!PG*F(tOVg|0vyDv=F#FV|fr7j)hYW+U4+h>G}2~ z@R&fhJA<1%HEaTd83G#_JqU&7uEHFW#@loQ7vf?=ed(n@Fj7emEscnt!A|}GUk?x# zZ;_ZS1C-+m_|W4)%$LDZUDR`&{DO;)1F#fp-liNKfH-z{+l6t|9)zg6i1NUo=86$L zy0s)4Z9tua_Cp@CN!eZ6)JQ;ns=N5}h5)7nHaTE%g@vHxC>ouO&Dp_PUf zy;h^xq}SSN7*MIJ!{+aqpltdUN*?F0te)dbiGZsu)DqyGF(*?@@uEHe8qj9yjm+G| z8*=ASWZEUKzBj)3)uu&jqElto@wKUxgmEL9(9P(r~fjy&izh9SZ@8C8->-b18tNSmqA|% z@8Ae^(-BJ4erIgZ?EC}6cE(i?T&RlX^XpOG_|@CR>)-os@w{CI%Dv%5?*?z0_4#>f z(FAYVSHf!Fbiahjm;WvWsL*quY;u3zxVFgeTJFr2#Hr=QtnbKk9&c^lyA2fY`ZfMt zX3Q3V<&zIzp{&+YdD@p?Fztw-?oT406x|AaA|8fU9mvk!AGySufSjSm_DH?aieQdpCCC6fSjTlhm}%hR$_;mg~QLkA~B`pKWL!8S6;f+S@iY zra5{LTU5YbyadMT)Ec z0ESU;{;s6{WGu5DhU~@8iXri0-zI2_L_!MgcmXA14R}2t&}UHia8wYGGXRw((2JFu zP`v=E@J8rp65a1G_l*|+&{gxy*9W}??x!9Qi3J|=CR=+~9bWBBZ)x885-u6!e)})1 z?$xG8FW>ozB)W6pytN&p+N>le(xPBe@QCGlQ^zAE#O^~nb z!g-&xZq2&}?j-5pBy~T;EHOd|g+SA-@3r7u)Cc5fl}=Jh5{d&-GnZ^g!|o<6+N|~o z2e?Iz9=YXV{8$zu=x6#zd7#S}-!L2IK5c8efJ*14aeg!`4^M{{`NOkg1O!d~6nd>P zPo2M4^x)TX-=DeSc4j|T#fsRW`Ns*bli+X;U>vCg$UtJp*GBFxn0DqTr(@Q;>`x|4 zFLw$6QhvR&4Hw_j|MN#p#gSyu6W$AK{X4_2lafJsfyF5gXJ@|NL)|}sw*wwPA;01Y zjLHtNNJV%Qk_$G&WQyd1hVUqm$0gu2fvu+hv6OwcLH1A!S- zH_2p_SGI>>iGTtlmuKMYFz(qG18It=t3A1y4O?nq=_C9<+rc=AdL3uSIYqi-)9&wn zT*D!yk@iY?^QI_V3|^P|cI&j9`OyR>pN24bKPSjE>wR&W6qgMiuQ5&+HM*<6G^{-hRlCgP&lVXy_qqvwmgqi{G{ zH=~6U5paXtQ-fvM#|L0}F^y41AkLce?XHRY?*b6!M~v5-*#}u7H1_8KH!2duqCQ6W zU|urGpCMi((A` z10}uU!|PGWuP`3gEN_kk7OzRaqETxPE&zh5D5Bgu(_k>=|K$pPb6iLhL8XjW@0@Fx{xaB!b& z#BPsD<|l%)8c;%Na0LUw3Jl6-wC+~y{Sgr^fE{9CpZEOOF>&vV=5_mIKt7lEs|l2s zY|wtJG3)c4F*Qeb6zq2x?2ruBrssQ9Rwd)}*x@T~vk?;y$VyzpV`QsfY7nOk^~2~s z>aV!^>wPy)O3I11Dva_Zy4%WGCUwe+GHlyAg`pb18K`OzDx_K~GmI;R7*D1O=n=}V z4#(lQjg@_FcdaPb-RrBvzHFlo#m*FhzCujjbFMZh=j``jiY3>*Koi82dDk{cn{0c6f=e zUf0pR34Wkwkr)Fy`a{0YKkQ)2%-{tuh5eJfJeIt-A{NO-NX$Iw73*2nCx*|&qF;y# zcf`sjdA3~zr|1e4%YY4d6!4iOC)1e^WToyg6}yWMxLtZENqK5*Wbe!$f<=UyWQT5Y zJ?fYluiPE)(ytz|>E2F6deJTg^Crv+-B>iVs&Y+5kt3Y>*J;U$1AVHiIod@5R`(kL z&ZR4pxO;N6i+wE(Un^ta!49U#AnmdcOWQeku4jT&EX&n~=+d=6Sfasqroj2q!v%7K zWB75IjB$(JS9kML*@7m43HTMVhYLos%e=Hx_QyZfnua=0(jjOIfZ4iZ1|4Jfa7m|7 zyBcOBQHs|0+*`YR&q5)u-JE?oD3T3XjGekhX^^Y zS*Yw~bzqs$s1pLSo+1(=)t+(+5ZTa)QdqR82Oa?`dFzGzk#I*UTpXM%|-(R{oWuy9$Y39Oo2MiJrS%bPqsP{~S1F4uWlsdRL6w)X^ zPJp@#J0AWOX0DHSlh2W_(4~F|F~uTLV1x|g4~Yja4K&aFJ2h&4K%hgiWI7jOcl(m z(`l2(!KmV^Cf~{&dx-Icpe;b@h*sy^-c5`iszoXxbD@#%o9r=#RyKrnwFL))6(om- z$|gKgO)KLp@a!P+!)K-C!&x2bNdO~@oZzM@5Fc~kR$q|PCBliCERNfxg;-n`t&FJu z!WSzb6f)j@eL?2VmTKZa9-B*8(*s$oaNI59>zdA(nTdDFKG3 zDad}OSIk9Uo$frwJQ=|n4MYKMU@6fdby3HW5}aKl4Gl%~VIv@C2a3Y2vrt5PW{9{A zq*;+k*~W68RJUmy5Un3P4DSl=Z~SeVHiRWWexxJ_W42&@`Q=*d9$bmi<^K@I_!ok9 zDUv-67wUd!%-j$279p}6Lib6)Xv4IU0Dpo0e-8dO_td5-V9%z(-6I{9yx76Ho>95R zZLJQS-P(;wbtRR&Gx!`+93eSjt@O904|a0Z3f@nfEwUoLiUjjgjxIvgHT0k0LGoKQ zgeG*Z@^=UE_Ag!JZL_WINj!&3q4SZUim}jOBvq*%^AVbDfiBqV59T%U_8_Fe5Dy!U zB8XI8aWeYt8Pfo44xwJQ0R4Vi^dT>>Sv%Y`C_e@R&8$iR2~BIe6Np`+{&eMI(uqW@r59kvp}^??xc|K?$_3s#y@+>Q(MVOTF5I$q1ot|xl38%1_e`yHpwpg}@0 zcaPFm{sYab`5_+SUMS%Or+}k5rL7SwLSsEH1(42_oWkTJe>!+@pXM}m$BQy5j)b}O zPS3gw6K&GNcf2;$1_b65!~ryJkD$XfG)Q1I)7jJ9k7oz2@7|@;uZAyCl#H=h-+Ye| z-j7fj!&^Y}RTryGZJOlt(nVaG`m1;re!D=0J~Wuj`yFpKMK`So$qj@TNJmI$ErhUy z5Oze<9_Sl0VWdH zPReyYP#+_xi`OjjT{g~Jd}PT=>8IYXV_D>T)avtD&vQ8|LiIn*^I2&2r>k!N?sKMR zdy9TJY{q_j>4zazVM?y@ECJoh~OXcIy0n+?14i~FK$&85*f%`XMntD`njeCNN? ziPi@(vyUVO2Z?G%4cjqq9dpm|Z$A zdeOU_WiP~2*x60XOB0raWS+GhH=JDjL$t517{2+tU@V(|R^QkgQUjpW#6?Fxnyx4E892)e9p0bE0L$-4p0# zzNP43n!N8F&`tp3T(5yLW6yVRp!JJd-Ha;_&cq&v{Ee=6J3iv=LSy5V2NRgMIG|&i zB(K@-O&4Eafr@W_-B_9l3-*kz`sEg%DBVGK@649PcD#PmuQ;#a-JEpBxfxt~2wawU zO&@9`_r91#-s~gqMl4-9)m);Kll3j=!%c3xFoV?x!4lg9#4`fBcLu88U7lu<$89+U zcs&a?*w*RdmIk<*fY}x{G0pf9`XX%xh=m#3olXcwzvZv6MM4R5Ws+IUUI7U5PZT3v zoxHyoGDGj&fPNA&2Y+}2pO;}^Mx$PGU#dJkSOpfTjl!|W zJ(roHdv3@?EGkGRq(5rcnv{lX!*nzVjviSbsB%}}H8R-#KSi1FjwO*&wAaon*?U5{ zWDH{Vp1eT^b5kTH1+e@`da$mQBid>K@a2?3*Qx-+3p^w6JDZ|m@jfwH+{!vPUq4y1 zx9PH5-Cw*o&^mfEt+&JlWz@?R7Ur|DYUc>I`})2w!6-SwSQ$Cga^FSZ3-qxPs>8<8#~TCjkD&ruJy5y38(j5Dpy@!&6Xi5u=Q-;v85nO`5uO{Uo=`ID zb|kJZgx{c!@<(fbiBh-U4Y^h5(MGvCFeIIYF+|l`6jeDXy)t7RvvBv?#;!O<`bMC= z@ScUzJ6yhCjO>#BLe!4kBL`7$;vgpJ!*(1R!k!(Wn>iT|6ZHEbOCwC-gp<(Tbi+{U zvK5C9K*PM4etf?Jo9u^vD!>;Q~Y2gG<)1ccm3P0D^_BKz^w$oGrPErqxzLl}bxl|M*H=aadH1u%+bw^z~ zM+Q$^cY-QK?BOZFl$#88A^=BRSw-4KuUlF1=$m5lJ6mms+ zauR+TQh?$lB{9hr(JRp(34b(bghW zRP!Ku8aK~)x_}75iNtmJa7qCOH9GA#n|T-%L-qzOEQ8k!e@6Fo-(wu)x)4SfO4DD++Y0pWf+|X`uy_K-GtQwF6&iHq}&zZMBh`2QF801}=Xi z)#hB^!(8*YBzF2M^9@p>v=y&96g|G^TtiVm9j12{QTYi?4{HMMIPClsMO0_7Lggmq zhFO?+@^yGe7fvPtc^6K8e(H{J1GG?r(bwGy9K9WIIvwosONNuxn5~sztPMa0N;nTA z-{Lg8FkYGliwRiX*QU``V4R_=dAABq7L+>Z@U`R6k?5;b1lcy(uqqDDS7%n>6t&j1lrFrM!z2Ltt3H*=vn8sLe$Ov+Pmlx{{!S3163JPpZ3 zC?clACkoa!Pz-D%%XXxiI~e%_-xL$EDk!mO9TR~4yt9H36Sz}1E1+E5&!!9pR}={Jt52j<$DI8lyOja3?@P_iQa#*TFQCryVsep{1R527WxX&{q?QT!IQxL?Skef)l(M znL(Y7V%_k|Q05uxMEW>br!&NFG|pNu(Ge*W6?$MrN%Ct$nxbA($u8JDIJqGjXn>KqiR*is@A%1<Bt+LHT0jB`9Degw&;9{i5@LLE zs_7NBr)&iRk%(RExuLt;FBkxrAdtgQ2o-IxAq7Fz&Ms6(xw4{R-w_L`DF-Bdg-RP< zb4JsN^loMGLL2y#cc`5&CixvhSp6UaU>)Rb%)_BNr;)MH zszW3-h-V&7LP%JL71jV-Szz620{3)}#~X?ZpF)f2Wx@oaw&}H&?<|C{R$ROwR!!C> z+tUNt8=`Zpe}P^fk=|YuRy#7v-IEkoJ~8A_{%1U`av{f}&g`{9I=UWioN0L7IMJa; z!+@!^1OY6a+@N@H5=oq#P_}#uh=!CEr4nQBy$G-x;NIfag5pmLv{TzppJkc5w!%!#Wc3F&@(upi?It+0< z&9(aSrcfyuHC~b2ISxLZT(}agk1R$t5P6H@-!5I6CK%q zry(u&{-4&>x#~ZK$XlJeKcdD&F&2Xo*2AI|HuKnq-w1pk`P{K#P^j`SgeocfdGjxFAyZjBPc#4Pja2V7 zS}F_G|AH~rZ^^&j^Y->V{G88H-{girsO}|xNUrUrEZH%#B7{4($SYz?EGjnrcAjs3 z(sp$LKMbg+)-Cj;W1;%mQ^4q)8#a^lQO-!X+kAU;D^n*gj1A~vzTj?D^<0^AwYS~X zLQ-CgnGdWV@_Fj|BhYs_>p!12KRTIu%agq9tNQd8?=&#a_Lr<*LS5x}#k6cuIB)5z zOJeBp_N_^aj<`qWk4`QMSv8}45js0hZr{*AHP<7t)H@*S@#{Y9vV-W`~+&4wWwZ4xu|q3kEd#+ zkACS{;%it}TnFcM_HO`1$u_%Qob=gi7-PzguA@7fdDI^Nob2YJ`j~b3Q&Q)PpiCM< zy*LI_7yStIk40Hr&!yd5c=n)|4Q!gI6XY(q@>EtF`(UiynYyo2xbA!TwsWs|ct3w) zVCPM-w7k?at?uMQoi(d&y^YoRa%;%jv{-#O--ogJ2+0GNDJk-ACwZc^`f~A>5V60M z8m5qJ5y6l0H}~Sr_cQ_G_bnQVwY>U;p7~y`1%C*-DM&QX>)U#9uy?=PB=c}|fKYhZ z(KKD$Dl0o{H(YV(S%vFE2Z*C;(wk?(r4I(bUGNwao#|?Bzr!E`GAhZsF&j<=7Rl9- z?VWd*_f#yzL;+uN$MuUWHqAQ)9ZzbW*yNfYX47;xShz%-fs4vpepE04^MQoRu&s>W zUE(NosIv4p`iXH1=r7kBWvCDQCrc1*jmW33+x6^!d+0#D{*~SpQ z*(lwKi4_6#G5Qn&12O#hnuA_?6)ug4@-_fb&aE~TsWL{ij#N5#pS3hyvaQ6W&HRQ~ zKhaw9u&*OU0^PRl${tLjqj9&_WBh_4f-=(2rgO=dT3V8=D5jsJIhS`zY5v6@j@EsY zgWs916zOjmE?E&GhLiJ~Bzb6HKn^%$N~BiTEG1cR-G!^?YsY`us!$XbPXvx>c>`;I5d7*7}F9%xLv3 z=9*g#7w-F|8eijAc)`M20zDI8;t~Dg`c55Ntc16{ z-}u$pwyOR4kHU2HKeBWn==xi6BkY_{PMfOXO$OvR5iAyD7Z8FJNr|Ba;xM4k!P{V! zI#g;!O;z@+7EE}5#$f{k%Rr2*8JT9s_kx+RAFC?pm@ZB~Y^?Z|7D>j#*7bdX?UMC! zrGi5btW3!!I{DCSHo(>mA243ZyaDC*1TQ;5wqaa#ws)p7v=6$oWb;|HC~OsaDgwD5 z9Wpm#Lh!+Z54+kz#1Cng?a-Iwq9f=WPu|)E=FllxWoUeeZVGr;W|+dFO(5I?(fjFs zv9&Ntt(g(bZX@f!k))Eg+#3Fn9bzRguW=A>mG{aBs9^02LZu6v4Bd_P|2b`Fud?3OaiG~k15@2|zr)qhpi@wjp?4BBs*EtSU~T4Wcv zfF|rOfEA4=ohhu7-mAH-O zaxo#&&aMdANmhAU*T7ITydEyy!UE)A26~WgHvB{k@)C~D;pz9oxCZr(Fz7n7+8E86 z%kFH@Su$Nr9ZzoVSRxl{_EcHdBn@9K{MB@IA z3(3;u;lgS5o`_n%lw|+H0`*`(bi}S_rQ6g(IeX6lm|xxfyeiVXj_&pt2yl_O2mTZu z5Egv-3^dN}VUTE(^B5Vmu}1F#t}KYYD;1Bi4Ul5XJ@#P}hnz3mY{G4sH4nd{BQLJ* zo#ymrXrpf{;7inh5+d{N_#zoOhVs3D6?($M3 z&wwWl>N#PrzYDQFr`t*z6`CKA8M3MQAXztj1Vntc zUc*4SD+q#5@hI9G*h$gDn9{Yp$))u`log=)0rGDuQ`?0=ebcr^IDUyVo~1ZS3#aD@ zK_`enA+u&h?X3v2J8J|EYT1Y)W54&fVj!o|hqu{%Zs8};q(ETJq1iPg)h>eIK2~52 z7Rrh=L!E*@^sB#yi9~=KF2&kO;jjqK%!9yRH4!h#RWvnJ43AHDX!u~U&KLnYG$D+F zAUT(gJ}{OacS2>Km>2Y6ITqhn`gHg^b`V&HK&zsD*H0 zN;f7RSduL)Ti~X-bw4Byo4la`eh>MSMRIG|5Ge|PmPr;&Yma27oG{4hDv7!g+hC`u zqP4kk!Z{pc0@zT$yqHFxdHg$XYa}j8!F`5oxGx8*=8!69FI3u{gdL`_c%iffuTDho z8qlE$ZAC_6x{J3WvSZ*Lq)Bc?%9T+N&1kK)Exu?0IRc0j~cka?3zj8G;DA4&Ec-{fNRVh!_Hj*{t zW#g$&70cW4$J;LtkhfEvG{?kdHF^6X`fnVMEiKm%_yGLt3}H3<+t zNQI&f1LSEU&;$m>J>p$pR5w@v9Ir=BCruIViLdi>ljQr>gLzjM!&zp9jnA41xO)+7 z|K^7?k2?ov#h1T{&xSNy0Ad_x6@?FnqDZ0T;soR80XxHceIW61g)H3(d}xp`FWShe zk6{ZD2%cPP+xd;%q!hGa!8ChEg1zi+Eu7b*EsyW9j`-6}>6o-p`?iB_Wwzu1*BF&X z%xa*I2fn<#Oj!2G0CSrPZ?iyFtIz^;d*#D8?4)&|Q`qibOr4E`NCqmqq91Qx5j}hF z`ku?|q}Xomo+cI$E=ELMf4Q-h0tVWIA=X+o3V7rIydX`LYHwo=f~#g13=`Z<$Tv)K zQ{fJ!^(@)iE}WTZztVivpXr2FE@^$`-kvOcm0h>3GaA5kR@);NT2CYYXbkL%5P&-v z+B|3N9`iqZn+HmuQ!bBJRSP?HdIh|FMbW%Gcg!GnMh^YDBCKL>k zLWP{Mz{oKaqy2R4AQoqtz-!rf6{o*klZtFV_)SxaBFlsp)MgiDRP`bJs6|qkZTCW! zCXr?)d^3lk+saAY%tSkbomj16ze8fw-S78g6gItua8ZG5|6&Ho|_Ccc+v-(I9kvL@G zgr*R;tn&6R5eQIC-ime+!l6JI$0nf{Ckd)g)5)r#*FD&(k@Qr}eNcRT#iu>(ChYUv ztw%@+D1qjaR_5m!jhA~A+&u)y(<=@n=2_0h=8^%8jPBY=Q zHoA1h-lxt3zAV=xnzj|f0on~qbD^m@;))~DVAp;>6sZ9j6%#roi9i5_CqJLSs=KqZ z%D#uYJs1+|1{BQH#DtP-05>XS^(HlZK4fhfFMCqF&zgH2gK)Y*r1cxcgf@X#Rz#Zm zNWriJr?n75r)sC_pU26D3KK3MJda`WRs$R*gv7@h1b4HxpUw`&9r_=1G_`Kc6%AHN*#cX~SxixturWnbn@*(rZbWr}=X3 zi)*}=Ow4`e#GFm@*B%Cak`fj^NeSzj6Gus@MopJtm;RW4QR96j+?)DO;bOmF&s=$O zcqw2h7Sl9~SFZXU&Irq}lbgIKAM;Q;oY7vKF8mEVtD-7HFGBRI~65jRHOM1S3?8Uf4^fW zKa%nZHA+ck2R(;m${F9Ef3k01PyM68u6jJ*Mw?m^CS5GAd4jFo&OCh5dt0W@PQlXf z^~gK71F7D`*Kd7&WJg)t zJ=Wohq@O5jVfj|d;8DU3mL1Ne?zvYEb)Va=JV4pMl5W^FzeMpNoe z3?1W?x|#8>Xa_}R9Z>I~#|Nxz_Aa8Tz}oujqE6nrkACFd+;|47@!in;vrT`Q^;r2p zcyvW6$Mm;uY)-Vgv+nB4b=~}HzI6`=h95rs!t@hRif#;TBI=lr2|gJ3EuxV=MOjY6 z!hUf@Io$Lqd(;GfKyohw`X;AEO=xFtQ|xJ2?5i@sRt*${v}!NbvJjO)ybcb2D!ps& z@Y|ojv$(+%2W$mcbb)-DtSv(?9}g9)HYZeO$sfNm+14#CTk>>BF7sAdm4)R`NN>S7 zA!=KT)lKMCg!jm6#;>LZ2;pm84dwcfEc{umAaK!u=ISrn;pXk+-9fDSL^l{qu-jli z=agpeR8sbkw|*ofx?E}m7+qBOZ_1K)SP%{?fMQHA`R}Z-NEb_?&M8E#xsSHt=`E** zCzpjdb;WDq0}5khM186=+)dB3%uPzmj|G>6v?r|)39m3PexL1$^uwaqT|JdMsSxIrv|w3 z!w0Z=rh!iJ&jDD}$188(k{`M45j3{kMd%`Q7E%yV5T$DQdOMaB*K$LyycL@R`J(b; zBGjCz6f|Q3)SOA)u0=DW%C10NS^(mlISTh}`2kksdU~d^+|(c z`kfmM&L}%6FdqdZQC?f25K3#JJr-CeDKH4Y5($XwM0FRxgJ2&@2h8w2j5iTOh`N5P zd8_*t&A;rHqx>dxjSEdsl}@iQUfh~Y2y~>dUbp{Y1?aXJjH)<7*c?K>FihQ_I9-Sw z(|8*+$?-u&4mAgNTp0XUa>vgf)Ggk6x|R{>fvto&p?MT!&`*l~0#`~>d(CM& zJCp~KGI{$DniS!1+p=uOEVPcs#_3k)!EP{pYI^YKdieYRq#yG}qf-LM>GgEIhnUIu zTk@c>!>T_%YSn!|BD=8za5M)|>Z1XD#t-f-hGKKFp1T4wB=VmUw{o4wT*D_4x>&$VCQ{nMJFds#qS*ObR5PqR~o2~rS0L{wQ@I%**M zInYO3?;a4JGj4}O{R`>(8Ks$&(Y&am~K~MK%w^O zv0M<(1L&NmggvR_T<+8r2R#p5>0tNQS2%vJ5HseFa~`$PB_f>9!RDIP;=!?4C^;9H zEk*l|#KwT6Nvi%iLkI>3)EU9f>4vi#1Ie38d@L|j63rRwsnEh8i{17ZwaJ@7&V^x!tF4P!!jg^v)kct#~iQxxHiwt`0Fvfk@Jz+K?n}p zEte_)wh*D45kn2Zc^M&@T$;9|!nU;^IeXka2Iw4Rst9P&=m+e=Zt@|u>vWY%t+DI!qphv9;Y=VR!_31COiarG z;uj6lu!)8|l5YhcXY8B9QpRVQv?h*xPSA}lZ{C%R^9RY5Y7<_yS%wQT$1EM^kD}Gok^sF6 ze}5}Hn2!p5_R`e&O^-=iSoWls|8iVvWZQ)t-O(I$RTu#+0Q~N>%JWXod3gXAc*s5- z=Q$8YoYGndzB(O7A+6#Oo;^)`L#o@IcKP+tFDut-?{Y%pr}0L+sruhSCx?ek&CuPP z(2S&_efGde;NuXq;ZaWL*TgN>0P!(8xx*=4*?JLzroI;PH(ovCStAN*z^{4`-n_5P zoOL;ZTzcy{El|;D(xPW&`)6j=%GPsd`A~i3#QEb8ED>1cyqkwCV|H}EbWU?ajqpAT zQm{_|HoN>`BRS_}Ai>XJui+9zb)>;6W5kZM5d25_RZ?2E@e3Bluh>O0tFqcJZ5_;i zK=22%+X{H|zXrEMWG$QloW<+QVIkNIl~8Rza^ivBO#A_H&zpf$$XOhS@uLO4ap<)k zHKsb@xDN>)!O3REXT8GoLWu$sByh{UTxy&4zNx~MKX#Ii@%0i^*(AKo?zJk8MUO%H zxi_x(CF!o_M~y$bTZAS#!#X8{3sv{>waU=!9Fw-(9V2I2gM&!{hw~QQNSp~Y8M$wz z!cMSK)HF`kN2+mLZLB;NRJ)XKA_Rw!L%X2$oN`&{Y(KFwup$5q!)oHD2KA*{h`&a{ zy=TS_zXAFyRAwj1&-5Hsn4bxc&6c_W7bVC7PK`ZS`t7!%L4uVR%zhyg8jJv#6P14) z<0VUS-NhTv#=TIDZdODoC?KP zkvi6~3b!chtcV;|NArb5LJdXxH&3Bi`~m{*(_nD7cAQhE7wmT% z5^w?6tU*gE?l~v_r~)3Lni;i;6FNI7(6~)-B}NZb|BEhf*g$f4AMaGR^U5DC)1Gj1 z*H6Wrdp6Wclzzf|HnE-o$$LqWaVSI76K+r{`_Bc>p+E0wd) z$6K`$S$byJjC#m41+JVao3Zu$EO3ajl{lYn?uYl9XkpByyTpz`XrJZFTFS6Tk2Kk{ z3i+{ITS`NbR*oNbSUa4sBNarY85vCj7(X>J2fqnS@sHFEJG=%PD_KkIse2ZpC9|*Y$uQTdpGU{9NmlSy+2{ju2x2zhRxC8L-IQzdP)F;#@DB3xN{yQ+@ zu6}kNigy05Dc3spnT>N+Q@z5ef%E4F^mx5VJ#r1zWS(8KfD#r>*#jQkbZX-Us<%{2 zErA&4nx;i7uTU2K7_mf@3F_(pTOOji1cuz0mmjbCo#j)%E=P6A%ReXL^}~Vyy81Ws zvhS)7J%4CHQ*iFQH=?u$y^}|vDYRxT?VT7v?T3#oYpw;ojrJ{WG ze@R{N@oQ1vJ}P04u)pi(T0W(${+07H=ldSYo=MA=-5K-puIJ=ZV-z(@DL2LpJZdiW z-?7z8A>f%^OXV+Kd3vJkkETWZ?bY7m#ePlb1~Deyauy_Z9yMZlsM}-hE~;yIqj3yS zl(XyUQnX~Z!o-j-w*|T8!g4X$<3Yhmp1H(PISSyp<6rG8ag9i~!-^N5V;|_`zq;=G zHLv~SG$sUs?V1#QmnFQ@SI4^eKW05fNC5MdAT952bR88+RUUY z3wq$|Vv)IGdDqPZEN{r;ps#(@WGeanW8yy+47&+(op^IBK37|*KNW(#Yid;9DIgXB zE+ZDBg5x|;?vM8#z~Yi64=<~Cf}Do)@Yeq~A!#cL!SB>k4`#O#5bTSmehn>;$XieP zZdOuDNZvcJm9%6Pr7Y$b9G-!%}dz?Y+dhSS=V+zQT(w@sR zv2GP0lQc8%T3nkAtI~pRRPUW3sD_t1B}oBgh7eNF$Awxl-VspyD9}21d1~N#=tpZg z@4b?<@)j?=e|*g2(w6HA0~*VpZ-^fFxRpR{`219eWAh z=ubq|5NZHr?^5WO_}Z)I!Qweo*?vh8iQ+w)`_i86joLibi5V>v#Sbw4Cd`LH?2wlo z9%N;Z-kP_G=)UvvI(o1?e7*du5k55bGrkK)ULdC$O%h;FfGIDn&_jMHZw|5zv@fwljjkCt0 zXZnb$0Z(M}!$Ub_l@NVcSWO->v%ng>pCzR|P017{skf^oMnaHaejCg((Bc87EQyh< zi)p$HkG0l9REeTeJGncRmmSSgIrDzTH_{~c>s>+}={0hX0B+0f(B^Zu{vUS$)<5%+MI{|z+Jr3 zb+62-OCtHF(T6nsgn*M1%O7eE!AHo#1e{#B_UHpsH=!62k}nwFd;~)OisI&{E?tbT ze!8Gnyl!d|RlcZC>*TdKfItvh;UM0a9BWZpMGcH2hP>-L|IqjXHB4zM+Sd&YW&B}L zo8^oJud78@7Qxw(iu1Yf6Z>RD{#Av%?ffB7Q*uHHQo7=h&_>?u0bkVC#Pwe)v72h} z-xmNNsUZH1#%NjDKefo{14|6S@Y(d7sdCWX0iIxh*NvHAfzDzA2k^4nne*-LyBLp{ z(qW%A-XjIQ)0osOf^S7)P6J~QHXx8I+Bal|V{&XGK^?1>M zhYf_^?6#5u3v!4j5jV}JAe{tGcD1FQZkT3=Hp9I!Q{8c{0Q5x>3ow37{WXDY?H1jjd_98?ZSD6%N^Q5rWlR6sSnr_Ccg=wS-9{Tlg z5e#9~gX((<#vyl1WoWl%6*Pign#qmC`6)!vkY!L?PZTM-8G|VVUkT^%UC~DO?;D}2 zISl}7&ZT_M1qJ$^;i@(XQpy-#w#l$Q-!`ocG{XrmQi(7f_O^2lPss+xhrl3QkfIsAS%OC|x;S0dV2si|jVsDY1%^YvP+S`W9W*3K_6u|sJ*swNiY)+VdWQ%j}xhO0&!{h{BaZ^8! z8z^g_yHZggEP>>Mo{O4G>Xh4w+uA;~O(dJRu zAUG@W+K7U%0dggD!v(>5thTRavSN0EyDLV;ybaud z*cnxZxuvGh;=0CVR&#W#S}j)MqDCm>$wWm*;03b>`HZZ9vpXV%ED(%4`C~wg!3=2X*lNO zo7gV`Glz5dg!!>0THU}fn76u%p1H<8mThh++NZN6!ClQkGHnUPlt5u+DBP1Sqc3n| zEo=qLem{gVo~?#O(?M{KPZlQWyv6$&lD(tATaqF{&8rvzXD zPXm<*O^@)2^wh$F(paR-`Y)0&iydl-hs2ta@tHo?S?2A|ArogT)epL|Y5z8!A#cwp z&MI06K~FPa;YAIlzqI#OYfdUN}AB!)G`A1-q6#TC{3HjmK8FY>uHU0}W<0cvJM_#o@|4 zArOp>qSznHpUfUJDo+21T~{CF;yjLtxZ5+kl&@3@Ad4;J$AA+t53k~?N5RI*L!SHg z3#7-y46mVgPnwt4aeYrgA$aI=}61+1Uy?bIP8oR%DiSe5t2NL6|&E zEVp-*O(H-b!QC-dAXwxF3Y;1;=^=JY#m)$+Z``btvzr}|GuscREiKCWI&ne2GJFPA zOMaek93ROXi8;lD+vYx=rovmV7@f!6nrQIy{6V*s%}uoR0XIQWxhyGhnzNfZ_)CZB za|r(uLaRx8@L@Xpc&*ym#F{ETK+xAJM6G0X$iHLE4^}|!ovIFrFruc{O8nAy`m}amW_Mwpj?NF z%Qc}?9waSSUa~AYlC&^+cF6`9?ILwP;ijMdSaNW7`Ho8z-&eubOyB>ze<56*O_#lP z3ZdYg4y}+e()|u$Aeau`9OFd43mUrWx4Ap(G!I!qjoS_fiP(VIV=uUWte*X3&c16& z4qSGEvN9ZMF|mIKQT^9B%g^vZ&ODI)_0`j5?}_Ixq}=uE^YUH2{;KBD=i1uwYx;)u zOJ1Nn7@J&_yvi;%)-Q6aCVkkeW?7G{i$cfZee$nB=#mGa%Su1?@Hz(cVuIf1fUC85 z)7&REPxg}aO1i`Z<-L7@t(Tb zN^Zr}{cvQ32U&mF+W6t6zPS}wnnM7PgH)lfm zG*pj(9XU3Q`8O-tMnf)32E_gt8#+uNs3#UoqTZ2TN4;JF24Y&-PvUz3HCJ;!m8r)`u};T5F*5XIw8FBJ zoV2h%<%LG#^@Hr4fvNH{6;2<8Q=ZpC)bl*WUc8xt4lf?yWW-m(K9=7dbdnO}mxkW7 z9>g8kiQVD6e5}cnJZrmPRHbx*iOdenB%tIA(BZ?D(7_zmp2~Ns@cM`-FQ*A4DYeNu zFPZ1a8nfY&UJ#C@f!)|rBk@<4xN`Yq!Z}!HCBk2z|KEqd&CP@ck{y>x2@4{JndQ*P zEW-GX>|GBW!ES9T<$05~_4xI$4ouo_Cwqma%Erj4B<{X0EGY$(=0sv;1FROXNYyAR z_f?=j5Lsg%Ejv{PilAIAj-A24&a*rujjVwR(jB&DoR7pJ`1Fms_qxsN@+DaWAIN(W zm@Q1{txRd;+m37*q=+=(?&zoKpH}9iSvMn@{{J|8^Qflowe4RZ1QY}-lU5Lw04j=Y zohl#*6%|lym7#*bu@zAfsLD`eYBhjW&=v{Qnh=!)44E7fQic!*CSmWL7BVPPI!KW* zma&k8y!WQ(dER&Z{`{S_PS^3AQyatH-{HQl>vQFsV|X;yEv`0oo;;w^4@Q9&rUf%*jobp9IK0F2iVEM z?^s`NMpmIdN8NnIGYd-px@mq)n61RbG&KJ(A-E`|=?7_Ul833EBRgM$JgGq{W^c?; zUWm6g?oKJgj5Is?3VV;Wvb6Fwz%XKF%5Uo<^Y-;;*X5fRV_%9zsB4!n&pb*&{4S&6 zB)?mHuB#H1!QI%sIH{jdMfLOK+xXOq>7H;zal}VkshC4ui2X4MX5Bj^`>%!#vr!?i zzowhXZ4|u0VhYFM!uQ)*FdHsEIF$Ecq@t@u*65Z4{;68F_(jMAx@ROp z$WcJ&Lp@g6lQK>9u7UKV0Az5bbSkIwzk)Pn__Xf(3_X^XnSn6)8^Z+w_^C=$mzR zQMN(gADpQ=O1i2_qFttU06+tV3ZshMZ$&sWa*O;0Fr|kw;76G?S8T}N+u4sGM5!5jeYs1)iWpKYQ^?GCrXBM&VwsZEznnXg^ zUMRqZ>C<`sm=coT8zoYEtpYthyBV_|#hgnsBDLu<3E&kkdp-a!~?El|;(43>NyqiL**r9XU@dxYIh zR;5U2eo@0w#fC94*~qEa$jX?3!rNJ6Gk1Hk;Tr|EEC}uodgt->!XAi+37_QotFpT3 zeqeaLs*R?5j$Xt#8tD&wc)t%1S~|`^i3)Cm&8VM;@-mgQ0`iGD>A1C+wx_hyH67MW zW&}(g@aX8+zl$hYl-;{uKa&k5yPY?*I(hVnQEjqvfdIn=u_&b%0?tBwy)1>k6qu-0 zPEAbbdlb{}l&Y%p6M-Vdb9R%hQ@xf2@!DV!q@#@9Asu#2o2t7v-SSU+Dg3$$_lCoU z0oe-(FIo>MZGC_q&tbvI7zT(8k$03!IwS&0FX0dgaM){(KrRVBB7eN^<#a8~{ki~E z!&b~{y1|Dl1j4oE$^5VFuPZPbZ&ND^Qk}yi)%i1G;bA4X#J%;jZ_IM)m1}`^ReCm_ zRviPYB_Cin8MgPnWH!nGob(QUUvn-bS+<(uV0GclmI((W`ryIxvI- zT891@lJD8##j{~Bn*rH;!BY+7}*?MLE?lTH!=6 zZ_H}&j+szqGtg-Z^m-lqSO?)nh|xCySEJdeI|eSMU1Zbvv}~ke-c#LVH!Y(1M(xs+ zof;Qb|D+%66Qyp6m3%q66@73FOBhD5RrAt>;Tb_{%rS%^0?nU2ULli=mK_D(_pY%C z@flhp%p@-Lx?Gl5UID9*qmmW zgt}hB!KyFL4k%sgq};3p<9EpyH28@`TK+7t_2YHPo_2tLx+)pm8x=G?jxC30hXqDb zAQG03f?p#BM|ic#>k?IfVm>QrxgvncKpn7b)42L{cG?YKy3WH?Xq39OEp7V8J&$N4 zw+y;(>5R57aG>|&6rKujxKRmY)0qZZYXx2s>{cd}tI2!m?NZ+10h%MwWgWtf>68Py z=7O1oJYozcJk*Ud-!}uZ9B2?w54=k#@U47|KHC1jme#EQW-?R%Kd@LF@|yNPYT{|b zO$H{00gD_KSreT)j4TK)MZ`5kPoqy6M%xM2LX+*r4iN^PpZ|}PXaIeeOLg!YeEt7O ziHps0pZ@=(#6lu7gs^|mqiP>mZ21+jwbgZ|9-?T0`UYmEb{A%L-<5 zd`4-7zueST7!cjyAy%nw{4}J$XL0c-wfUm*HS=cxg zmlCNUCFZ%_yYuJ4kw3=E=?`3qx^hj}_9?=XE#?JG%dgEp9g>+)&(Gz~a81U8ZNBei znGAN?X7=JGn9ydDSL}G({P(d3P-Rk3UTEJo7O}-7>1WSx7A~A@&tD0~&9xh9!&cNE zzg53R65L5xgNeTsCxWbr3SU8J?ny%;lwlr{#9ks69Ia2Rg+@cBX|V;TuRcj^=QXB+ zj#JxReOz~{YxRDWj@-)r{_D!*gT`rfQhrCNVb)Z2-U~Q) zL0o~?2L#P5m@PM?HOgs`4Pl)--+5cJtjzl&NYem~HZoChmpU9H?(e z&AFbSz9H-lczZ%iZalOnAgl~r3u(;d!m?cl0W_Ta0#OR60J(z!JPiL;?r7&;Z3^t7 z+~gaxDLx}X&~!QN+ySI@*qQqmuol;TafC`W^R+75yXP)89~`TH zs@{YeE8#sK0s>s4v#jyrXz%rgz6Z}vA?!LDdbiO+Jxa+x2YgT%c#!+s;nQ9sez&Ex zY7ktTfXZ09a;Tc}<;0xjy3MfIgH*c{#@3Ed70Bs;GGD!p@&%BH=i>om$c5HOQ9h|- zj+6`PEi6|ki!CeE0y6XI=p=vv@m68D>c_5=ZB^!R=qt4I8#Tp)KLObXz(WHV9Px z<~L&NS(Fp+Noi8XVZ@;e^1Uf>aH8KWdqqQttnrS=54PBB%t3YBO$7JDC^r^wfuLNW z7MPcEFd+{5u0|Ob;cK3OLIM0#i7|pJE#V-HpVHSY9M4h4fD&rY@Hi#Fyt>IG_K4!* z@wsgu$w;{{kme^wxm*<~!J|qf&*aH?SaX!wp z9CG)euC2o==XKB+m5Mx=_dJRirrEY~wji7&s-p5@-_?{Px^E>ET=$mfKCf>`Nrqe) zu-QX_a}1^73!f5vk$ufbG&rT|V;9apz$ERo@e}2mCo2YVUia_3*cWkzZfkR`4ZOG4_P+{RiB8 zzIH01zNUKhMMYx9RVuPs&agvU24G-kq39B_o+`+f4Nr{p-m12vwM&!vJ$`_(%~D78)gAptaqcm&K&hjr(VW7gQoqK#qqR zdIQ%Wgo#wD-x+;%!c+q`s~M60+D|<>Fjn2lj+3q1;wELsQiWi?grR{m`Usw@^J%TVGrgrmp z{dqA!K{{_sSy22Do0XC+<(#22d2j@7kkb4-DF~A;Elf*_Yq{E9alTK}tc(Coep98S z3+1}y%wvo}oF#*C!>izf>84R;He7qv7?I|irRPGRGn z=O{Ofb#s=VlYy_WU#eT?qf3BTo3HNxVx z3-S|V;!y4Ye0MWY98uvVj*CUVW8jk^K1rM3kLP;( zv`xceY(#AB1G&6Ex>(R=I-_k0WICtUimTH)s$4UNf%}|RdC0KpY`ByKc$1;-#w#(U zaL=vc=9{;{kqUapsVjA32*We2z9t>vde%WfIqfH2=xtY@0wqa|ZI{bf!rZm6> zIY53g6DCEBzy*Q~gy3ZOh>4r$OoRLRg6DL>RE%(x|K7vN7nJN$Lp_|o+>}Gb#$(ck z5GqnJ>WOnzr%_&=7=q^dzLE8VBdwf2rR6}}2r5-wXRD~Eva|3vWD`~%*Si8#Uq*5^ z_sFGTR2T){;lLBRXE1Kmgm-P>$X-0b3mLNY0>qB$U#*4gF#{f56~^u6@7MD)*wibX z?ChF&KHXOVH5|izFjT5+uHh|&)#$#u8gMw(e=iuXp za{_E<7K{l`f_CARjW`Jj2`el>xt* ze4K6lS@uQr(U5fruwZZK!UPAoM||Gu!fsUZ!IvA}Eo)>|%PwLP>G6~~{2B=nOSNVkhG@=nt706(OS>y5Hdeq*|O5 zW$AoJ$rJBu#@(QvW@v+a6ekE7B|xY62>?KSCUX1JkZ^sJ_fJSf`Ek_7lGCSBrX;v z_^DQqzfO4+CNN2Wf|WxoLm}nXgzcZz!AsujoswA%cf}aCch=3>w4~e%Q`srd*NAZR z?08+Nu?|Xcn2%{2e6li7&Lf2Dk<};6pT*w%oj5~-_$O;x$~~Ns=RYu4k8(rjC#PTD zcsF)Do1)=XV@K$u$>(te%yXPW6q|G%p`l|J6eG zgmh;XtUqG|5O%KglBHw~+Fsovq4{2f$n5M!7?){}(L4vZ*p>H{M-vPlPI7Yu*0lD*{1FBOtJNYh4z3x?Z(UE>?k>W%7H&<;c%M>&wiZxH}p^Gr=^6d-DuTFf9>1r zuqLx~Z{AJN`dh>664O-PYNh`myngzq3}paIO05oxf)XpX7Cjl$TitYdhVriM7Qce(2riHro(_dG?Tv&yBx{Ps3c6%{h($uA(<& z%zDma+odTRzwJh1bF%a026ueE+^OEH9ggziy)`udmig(o`?X^}9wWOi$qV&q{sv)W zZmHpz&qU$8{>J@}^uOoX-`}}tKYL0yP#S?JD9_Jxeh(senvj0g1b;DSazsv~e~TMR zADwV|b7(wo{7DL20xPtkRx%SVbLpXqxsZoP2=`;=%zc8b3scw*o2M4oJm)rB=ghwc z*FZKjhTL?#pF;Y2&L-a{?&_DV>pnJm=@ii30oz&bun*YNm+sZy zQC%C0UH>HvfY9R;Sc?ov=qM4t@L0krBwe=PwLpI#&|^LJy!x#K7yRHHb5>9EW{95l!a zZG7ylQgQM^nPUIg4mg#9F+qxWYp6CaDPci#1m97zQ%D*1u$uN!xp7(I6YgUvk2`5x zFiQ8p!}dv19-OA_>ts(Aw!1>R5uQhu!?AAp^Z$av`xVZaa3)QM&8s&7<*+6b(ns?o zyHda=1WOZBS*R7|KjntX^l3D@DNThg3RSnV{&XrFalQWZsFdTJQ+8aeJjCilzBola zsUCyu$#du8|J-cd)q=7_jwKgl2ULImLrG7~rVHnu07DYHDx5)cj<}T47Qd;7h6EX6Zx42ZsT%bJ0!yOFlV4G8ATsp zGtp?+MoaYJ9|?fK=JC13v&qwCr{^y;CG|!ktXa?O8C5VwkB%5nIPt-P5uT0B@uc)m zVvf}(fl;&z#NS;(P2at)pui=E&xMEz`Z78J7!(jl%to2+_#Z*a8vsUoUE@vo=Jk;X z-vbcs*_4yfkc<`rWJs~Ieu0XY(UMC^N*~L}fZHHUe)tQkHTeZ4P^r}mQNA#`*buf9 z?Qh+PUkuFe2RxytEdi^T7i)*WTegJDJKpz$t`BP3sHYEyuac#vkVM(^@2facUUAcR z0IJ}IHnem+5Z-I|KxmWp0;}! zBC}_O2#+#>>{84kj4^G|kFWEok%ad$sNU+~3F@gf<$Rg%OPNzwT18Kx1cYx2Z;Nne zQ?43&IC_DTn2yCp5Gi1WPQr;BQm)4q`firR%6J$qi;Aa8!+=1DPu73}8(JoR)?w!( zU#L7lxMAwN3!%Tpr!2nqV*Bq-AQY#7DB?O-@N111Y_g&C7pM{XRzjB2`C*?%D( zuFy`Hl)suj1TT7TOc`<%dzcy@rd&5J>D(>iMt5F@!5xsbi-~9<&5jN5I#&pD+ZL?xJuw0vt810Ns+$eIXu5y z4@t1$7<>1KfjB7#EWTV1+CJ?VTgSLRJ}Aohchd$vCQ>mwBhSu#dN!@nCp4_MwXe)d z+PfO%M!$ih1IiX4m32?xd4^igQ|_n#RgL; z9`mao`pX>p>jN|YiJb5IGg^=fVE1BU2)VHj^Lvcvvpb6odK2C>OHj;E@7>DLqZi=p z=Q<;PF*E;WtXq`tmIG54T3_F_5D|Tka9r{w1hpk?Jb*hioSBA`512yTKo9N3XW0_+ zl6ue)YZbQsq4=?93I+hFQigYo92wdv@s8nbf*Y55M)u+e*EI(!4}o|}-&1W4Cn_cy>kFqL;D1kUUVDPUV*FLgEm#H z_U&kQo_W&I?48%NxbG{JGFK;R+8Q++~2wJLL@r6Wu)BmwR-denzz5hG*Ujh8Mr#e@h4J zMIJ|P*Pr*S(+y@uqMTQM3fw?6z66KxQax6k7q3sJ`%0$Es>5}FQktOoM#pNkTYRd! zOM&Rrh1tj8Y#4x=zLs(qhOKBh1w<%sIgY`IZ@vP_i5O^I^^-&9P&3+mIR&Sa!5l@U zXt|;RusYt@Ao1)?x(tzWAj7qM8_9%X@@zf^x6KtEC^ZIU&%@+9@?JM@8)$7?@gQKzXpgM1fC>4;$kk00W9V;Qx zy65xTz$MRx`5N4FS$NZh0x4(j06LhR54h3qDY}~qfxn$825Q*?e;Z7m3J_Yl8!r!c z>;qhlC^aS!h{rh-gXHtkad$J)v`-IwNxhPi-P>mjMomt5v6(77_3;@LWAzN&Wa@x@ zN<%&_#RgipM1dNrtQFDYl)~z?sF$*}7_H~~STZx62c$m$^yIstOh6oS@!Pi=vu7$`T&kh( z;*LsNCNz{s1Czkm?rS7_<3*g&d1hyT1{BjhVt7fncUC!%aro|0g^)AOy+DBp-;5Gw zBkCP_ec=ERsN#!4ct7Eugtx9m{aTm^6rJ^Qpc) zwTF$>ql(5J$UiN-F1hAY8+%I)XW+@KgMNz~$pU;4FjK>zsEJCiWhBU7P?Dw!^l9lx zRVr4c>wZ&=eq*No2XMskltxvYB4AcUbstONAx5?E)KSY$P0gyR?~F~x-K@v~+79rr zIKTlf&jHbrGwKPQHBn{4LnFMiDFCm{z?(tf*=$J3`V{BS1<78-$}PoER1Panb!t4$ z-bTu&s+2eh{$_=4LsViqz__#KI&SKLZ44rF{84a*2;0~wR^AgQW%IvYI~lGXE!!O# zI-u9m6Nmr$1IKxJsH^i*qwwlkX%8(rwPdz`94XIZ~sIwi^7`GA{1^t(z{V;_hDnh=*4d%<>b}TyqN0G?CE$hy4dF2&tPO?2P7dMH-Wh^nJAVq$-)k|LEy z?EY6nRD*`7g=P8@gc9*2BCZ`~;MyU`hK5B(hQ>x_L}SJxYZF7EiPNI(BqML4@fjlv zll=k24MwI!myLweoc|%YE;0ee&QACZG8eSN4fcybOkBKL{|eU*^>_>sC%7(NWMoJ@ zGHT6|^nh_T*?Xu??kk1=D= zsEYV7lAxnm9;%fSsK06~{5>r0rV!K-mZPS~o(18}oZ)62xqRw9#v-RBYt7yP(r3%M z#kN0B+P!y(um-TP9n0lJ-=pKke_kV=HZideAq0Y0;W}Yb;7=SUI;IR0l~a~0kG@;F zvSZblxnq{Vn8>lD5n~_Ofj-Gc@tC7}L-xf>8 zqUulWpF8=i|M{k;3m4L!&we}k$JX%|ekWZ|%s1`#zS!uCLCyAzvrLOh$PjwwFs=nLjvC!QhzI`y)^0-*6ngR;Tp66eH!lMwvh# z`xD?dw@@aod=dAb!kBPrs79Ge;&+f&>@X=%H|7n8LS7N7hUGRs$`WY>bdUA^g)(I} zUdob!=0Lb~N?;wt1rV>{{10M(d-JIID%1J8Cgz~AP6y_q5NLT8H(nhDF7%8L#ptBISf(HW;^t zN!&k^+f`A_RL zTOHi~**2f|>_UZqD24hyEe*7k*QJevT$KOlO;Z!tWaHfs7W_m^ncm%4%I)4;>~q*7 zn0mHTe~!*NMvbd!N4ZDSrFM^buV+eVJ0XaZ&ih?6iZWzyOaaGXG5kSdU~mY#UwR4{ z^X69uI|BS3bT}O15lJI&fMJvx;nv`jY>nbYojlr0h_}Uq<0zyyF`dnA$(0#rb76)`m-fWpv}?TJs>RwI!$iq3|*go+i>X3%>hK;T?>COqgcS5~k|DZAa6a6BXhfRkwri!63J5TJH zBGXF{t|&fqK6h#i0o6AX>n@(4wF!cYaUXrdI~v024~3k+ltIHY zIe1x%3>;_F%StdVWy*ONOAg@QgvM`XlM~Y+*v1_W0j|6#S3(N{)GPRl!{^0?pVb%4 zvov6umv&=zvq038!Kwf2KMMj}L25~!msSpEv-@9ap}2!yEqxQC1L zVmiv0mNct`Xt^>wMrx0Ll^NW#;Hgtqg~CG$;LOAnYadwMl(n$=K%nXVFph1B#yG=D z_%%QvCDHzRB}{}kHJxz$n+dD97d-ZoUkC)j!)TRyq18mNsXcZ6+j()xh(Zl~{!#7S z7SPv!wuHn6gV0) z!r(wlhhXJ8bxS+*G0KgET;R|Q-7~ry`({)Q?%{dVz7G6*AYB066`s>nlv@WkQC)Q7 zmD)+*J*$Cb?*q%ig6oS5!%sL34)^7o^PqoaSkor(beE8gqG~3jMm@)9zVK+qOY%+i z!`Z{t`7$9^BarR zw9664pl(r1_Pn+5L~#pVpp7R8%(>wjgk2M+1VN^yQ{Kh&Nugs~N3{hsDNP!t=V_t6GqO5=)GY_8 z)Rm%!gIinKsq(dO><$tZJ`2~lYv2Zj{ZuLve_7wKJhVZFS%p{1N{%db>3U+=rK-I6%iH?>$`RtB6L+vxi$^bS3mmoH`QLkU#rG%El`>p#7msg!e2{ zedm*FoiA6x5|%u)T>@j+Dz0-TyQ}Q7re`S1Cw>a&yn>xB5T6D^k7}U5UNr^DRhW)c zbq>pC!3BT8gF-tqyQ6SE9D>-KX+}pzS{M>K2hy5SLAL7mKp6{7lPi88?ThQ1A91?ydlus85-AjLM0~W-wKg(1smF} zb{+^1$&^STg@chulb&;c~1*E+}YURU9_1CUeUc0hNU z&@_HI5B9_XW`h!c{v>5_w7OC8cyJ^=b~sxj$P0ntHa*z(8eS>EpJ*v)hq_L74^{z; z+~Juw`lEnHte(HyhEJVxU{`B-s03{KeVpK;gJ%S!yIHQ_)?sK5)bwGTc9`30;)k2a z$7_>v)L=SKnY*EH=o>NWs?>vF2DfoQzHZ)anCd$nMz!Zo(5&00qEXK0e{eHOL3RZ$ zx*&SibNH{9+UXm&xplCw!x={Ch3Hi2)KirWV9<`}r&@?dFz#`veeI9e-xL<(q;blo zi|L3^JO`iY$Y?WpbQ)?QqXun!ykWge#=*lP+?51cFWeM^B(Rrf*R_yCtM+LdW&qAk zE1c!Q7IYz4UEvm$ts8jU*Yxge%7_otXw3obfCH``s8^-D?v6u=1KD-onE!)tN_pD; z*6|z#{m85e$~JY8D3|s`5!4IP;!NArv_~ueCLUu9k6yOGcG7a4hE5Q+VJkQgtZv|R zgHbyMqCkvENDTbjzr=~*jYt`pwYEMBqcHq(uk%sBU4JgcHCMF|`-T-TXCaFNG=<4Z zCEO2v=sx(e-tf4M^SR(NAwZFN$NORIqrzCxIcnM02fGC4tX z^mzD?u0f#7=AP)L6#B$9R*p_c*`3GuC&ZH`H*p8MkCY7)ywW2U>tK`&J+;Nd1JdEr zvr(?;!saXe$*wt5aLug)GeX+J(G)EQtW>nuS@@|cDi;jKO;>co!T)t5fV#y?xuK7N zDa3sD{H*&x$w=v`|3(~*S1wvan1}0Q)}nt6t^Xog|L=8io1RG0u?Qp+S2^L}4_cFh zCTZ`#HYC7ZaWh;Mm*H7gqq_BjMs>!OWpl=rMW*Wrx*?fmAlLk-VDo`AfyTneIyKC) zc8*97x5;M`sW}^Gtuv>rb1dP)8R+DIu3$1=t-9lIUtuvIvCiiOnb!V$sdRc9K`?$_ zM4H+lH0|?S;&y4;$aILb*ud(2`NntFJb&UQQ|n)$xAMTGwU(IpnaPgaOT?m%3D<1G zcaB7+bCsdLw1gsiR{bFS_L+hkUjt%4uke}M2l zbEJ=9RjQsFS;9}RAH*Jcwy_2fe&cstQqgI`hCjtOQGN#@&>g9OkR-+j^euoo;J7r3 z@B<0ILS{O|a;2pHOa=s-=9e6`_6tow1rP}h7mpfm2;EjMufW(Tq)hpprpw{`X7ys| z7LNk{#qp6Fzh(URHRGQp&{>!PgC4;2fn{}mGE0p(Ph-Zq{#MSHE-xz!e)9t5OofEg zP}9mw;V411T9y!lNJAUs`_%<_SaK7}(LlYBv^M4onYLS6LD4N?7m9)#?=Zn4IEz*U z5`AIrIgM6`jlXM10FMq<;VHLV2|9QmL>;Q2^AY}kak3_M2oMXPfC7RyN(kaW8fn2>oFc1uD|x#ZK+`ce2`83Z5$YKdeZjx z(Le91A5HF~+RkItQ^BF5Sk=p}+}X1By7GS#<}beSyCb_>b+ET^rUd1;QL2A})25i} z*$?9__?A)nYm9deX*rW(3@n!WAW}lL!_Z9Ax5ZMv8e&ADbuzdca+9k7hk`a`;)8t= z0wKbEvyvGorUaaJb_~jA!d#(lwBjyQvCU=s(EZdmL^zu{E9S3;6cRvj?~Va^Lu7HHI7dQXk9 z6p%fIg=YfgPUC7*5D*TE2O)wN3a8>h9mYF8wE7FcKcop|&nazXzLDURoCmI0cjaE~ zJhX{%Xy-DkBnt{&ZbNAVTth&xz;#D`&cOKkrk0p63R;!_XXE~M&`Y|}ia8Z(+!0mL z_dP&aIoWc@vCZ-Tof>qTdNT1AH1f5h4r_&~MjV7-NN zE$#`)kbkI2K-e^Vl#ksHTtLZyeF8=r?6|-3KXH=)-sqoz$*`TZ!Pd~-!4IuXD!w#T z{GLj!Ho|#9S)eoW|Bc{*FoCVoYD(@5KgM_+eaJ2k-pq|3MvI$uG;^=9uClm3J($%n ztSVeLO^d6^l=Azz8{9I`YZQ!0=`Qo@Tey5OLbIuUZoTF!|6{P#=;MD`UdF$`6b>Jv zW&ghpegZi7?G{-WIdmA=5zIP>8%$h02zxgWeThdmn`|Pi`M)!`zZNZ8atHqQod4Iq z@Amw+|Ml;i8kxc*ZV}AlepvM01i^ffC3(^NP||C?*U$z08|w_6rVKvtCpwrA_O3JD zKS{7mG2VO4_`APNK4TcsjRS8O6L*&w_Coy#fG`h3rxI&vTsd)R1fY5x|0Q4FArSTi zS~%-iP}L=eD8ky}4uXqAo@?-{;h{ZnH67mKF#@$7F!SAS z5WJ6DY{-)wESMMGZ*rRNIq>2>vWWfcD?P7a9buVHl|Uo95)OI|G7ubQy6RYY!)`4#g(Ubr#!(A@E8rnygDm|bQT?%$`sa>{z^ zOgi_|Y(=7*(0O#r@~R^aEnIg(-_xd*PbV>>XH)FJ14QGGe6i=_jsL7{`n$Ykj^Kbc zU3PEk(h>H$H#R-NRulGS-)gxGQufit8?{SUb~RmwD`Yn8t5tSh8Op|Qpy&h!Y%DPF z4~}}NXw6rkPc~kOafZNcl-hKKe-8RLpdk=2XtfD>Pr?7F8Sa&`*f+H7J7C|4pMgkD z9p-istyHb5U;Sk(TP)x7bKh4B)(sp7R)d)Eq`e*?byA~YhgJ^hPyY8$TRub{oprdc z>`~qBy(Za;8zF+?|CF*(tBlen8F6nP8hPXt97c)XVODBz6W>ADN0zSaZD_foz^SXO zXb|Dy(5IPnjM1PRFhA?z9p-kx2n1t6;vMhL<||=+#O)ip{aPj1H>xKU+=GUi_$i3I z!;ANCI`O$VsJ)$~jsM|;Y0B%3P^V(w zfLrT@R#7P9!p-2^=6-Sx^@&5u1MoGx>P)Hhu5m#FP8PfEqIg~T&tlj&^+QsGB?6khM+WuNQRwFQY4-raiob{uLuY9fXMCvlXw;MRp|n=k zxC0YqjiSuKoa}!nr!o3O3}^vvIanm1#b7lGZx#vab33EU_DoA7!|~HR!lo=>53Q8L zmi9Xl<^r8YT0TAKcnnxEGwx22^y_6hnolm#Yy@7_eZ}muT~Z1i%P` z13zo%=In4c`Dq|ihZ|J+9mBfInnO3|(`b@Cv%U2z({8k~*49hhVi3M2|9xj4U1?}s zy(Dp>g*$wVb5>``!LQAd;x8tvtbJ4%TgtTYYrs)YYS}Xu z?EjL6bHW(c%oJ$Y6-L0^ULFnIK=Q+ea505>YvZ>QEV!7$%i0C1?wFeT{0odUxVtGj z96EVk{oe93w3I3;5LQD$t!Ig@_i^!jkcPf)_?$90^fh$_ikQLYlnd~1y>LNKYaw?oq?u8U5_0YQEh=P5wBSNrpKz_W z5Fu8IFutM$sT%Im4#FK322q%D{jfjq_k|Dry8N9k#Fkz`sHHS%d$TxR-R++VAtGKTp5$ z2t25%OiT@zWj|=)G`*+Qof8^WO`hbs%g*guX;$<7m0MR|rX2R{h{^BBBW(M)gDp9? z)Gw;L-P>+9U{43>#;WqBGZvBNb#kwU3&wf322Z0z2V)~faxPP>+7#fK`K6uFr$wIyAz$SS=zM7<(^qZFAle zyjeA3wY8A;^J_9fKjU1ocMmnd^R?CLvWft+i-apnLMyrtJ!$&TJX68Y77=`nY7BiD z2Jd-Y3}j{>=(SxoyFIL%92~sqjhpSR!jv<8Drw2fhE=XEM>bpkZuP;5sl4AF^d`Ti z$BkC+=y(2fX`klhvfn@4aLqDc$$F({@ylKvV^~N{Fiq>+wjKM zTVlg!NR0uTzIP^s=nBWbU3R~CQwKFd+`l)7tO@x1fM3>=$v~TH89$!*)iJMVm6Ln; z;sDvs`cuMJg6ZWpCDcS3lUTO;3d1PTKJSB>?q9G7<3Fo)Mbmj(t9ZR137VhHGVV5Q zJE{CAk~mUj-sx-_@R8?H+hKRA*yVGZ32Oaqwe`iR_zlCLi(o1c z{OnI89C0=^{DEu3YpkcStF0F+tRIyK_gyh19NV(BDB7#}n;?h44d;G&CPPe#>-rtJ z?%5sYmyfLam8-mKYBGGcI+y90kV&~^CAj*5_3n$Ng2e)x@5wuMaSw0bL=t*kv^(cj zfA|wqvn-$2+`V7kTCHAdKss1u)}Op|pLfeX#irGto^_bFn!0ZKz|wL}@-*Z7*l0hQ zW&i1AuWZP-Klf2?pb*FHHPu%O4}3be^RLTnZ`0*>?eZ^_XEgu9nAaDZ6*JuZqeDuM zt)keGHf}ZhN??7)MwBBdiFf?*2a???it$R@kP_#`OG=H7*?uH~5YRtG*GpX97CChq z7*ppAT;6VTUSh}7AnC$P?scaBxg1xkT{KI#kJppF$TMm`xU#W7C_Qg~vF-O>JE?Og zZ{9BX@QL=qO4C(H;R@l30E_i@J38`~8po{up0vws&%JXetRoykrTLHZN^XmMm=@`_ zL8Xhnv-W%LxVmX4C%R8yx$ay=iN%dIEu?*Y@h#6bN30q6Sy&M}X1-y=oneca&33`7 z%oZCqS+UnW6!pgyKH>ITd;NKm>+U@QH>%AZ?+XwPYx418RBglHP<5-c!2@4MC<2g5Ny3zTP`G61X-rv0AgPF}XZ$7A}k(Mk= z5*!aP!VXrKd}8|1QyaPFDv5K|(&fgAfVY-=nC=?`2sKtucU;zPwqEOS^u*S{ZQr5H z5~G;nRdHOx7o2;}`=n;Y0YOftca48rylLZ(BV3ySlP2NLFvssmM;kAfZXhIwC{`|8 zF3N8;{dm>-QSu)}MyCrNCRN^z2}^xZWbu;`cEg6T^L^)K%Xa;_UTgG{Z%90Sh2CoQ zS>sWfIqlrFFN$2O)?EEu^yY)S9^<>Fo*V}|XJSmA`x&S7lh|g#Ww%|lEk2+9LGr6L zP7~}-aoF^^Pr-w>EA9pDD?Ya=b`SpCRX~s(|N4C&?+qUqTe=^+l3Q|gG^>oW!J;By zU$cqE`oaTW6Z?;agjS0^0*jsY9~Ui)vc7=b`Og8ful6D*{C?>@SYoz&SvI5jWA_x& zja{quKI!4V=SuCAr>*^M@9mG}*&Ws?th}?N9cq>DN^AM+4~;}Vru)92IPBl|JHiQV za~Qw1qP!vhDB<+!0~-(R-C&jEzTDa6vQ^S%`~9Ev1$fulOgsJYX~4v*T$+b#=9#Te zcAj5sb&i^88Wd9hGa<~wd^o_Y$8^|Ux`XMN6?zqu~ z_ge+U4yGXyMh<2jiOa0n5ncwSH_q)n|ftjrg2tMkR<5XO< z;!aSFV&c|1TI6S6oeq5Zep|>&ULcdHRfH@l3Z@vEMn3r9tQGQgLjCjLV5cIl(&bV1 zvB6fG$M-xHTz+O6-_!G*vS`iquLiVvaRF{rgUXNMi<~%CTTR|K$38PXp1_o*7g_yo zo8dl9S~KIe!;H!@6(l9vJk8sYe#U(V;T~&!(CRIuec}k8-{Wo1?~uQXwpw*Iv~43@`V?k#6yexXsjKPR=PP_MnkW zg`Rti_l93``jlc{<29xr{Ss(vWdB9c@<)+ThLz+D(~J>$`?1y4&W77FxT@7feY>5P zW<>~X#7;}ckQsri%IGx7sv~vdz1_AsSvL2Dh;7(P!SUPXhtCNwEH(XhA9G8}rdM3@ zCz~w{0*vmMRV*^QJhI62ilyDHq8-0Fd60G_x_>sf=E&Z~#oK-@cX!yrH2=z!{7Np} z;`WpoJY^p!zu9p$@80?(qtf%Y+}_zUN_IFIg^>uYHnrV?b4yLHp5@wfaMn0)RhymM z@S*jlbA#)@wQwh2@4L3uMqbhyYTj8*_DL)H{M%@hR8y7FWOSZjPsM76>x853H%d~p1 zY~a%FzXd;pZT^;LU1ZT{HOp93Kr|&0k2l8#7&x7}N2qDN<+vxDvYT`GxigWw=nJ94 zp%NGJ?#be}{t=2T6A_M@wZ(y(n5n1yMg=90Y~(?&Fp@!#_eG<@B7;Mr%Z}|J9kaB0 zD){lz<`T@HW7BAtwLr9Nx9OXoK3VpZH{!YZV3qr|Gqy+pJHW`npgr=X_l>8gO{r!! zued<0M~hwdm^>#-Hq&IffrN|5!1%`o*uA4gmS+QNbdLMzH2tN>x#t%%-1bupLaICrq#co%iXf8DizDa_c7N#HjfUp^SQh?`{&!Oagw&YoS33jhDM3YSG2Bi$-Hs< zBh!PmUmz>$`pz@T9L+!6E__{o$_*vXuAm@uSdZZ-`S>y7RFUmsqxVQAq>n!S?8H)+ zv*O9q;z={CBOsg>;e5h$S&`ZBqHmT=k|T{IVySJ=e+r@zd2qQ`g!NN{z@p{5TpC}F zkv#haR|U`VwjWx}DEi<}+XF>mk;K!aouBRwU1>H<`&RJcu!FTr^hZ4{!;Gy0>#Y{) z_B4LeDLZsIH*V1V`(*3FCFCEPe}jX=srNJTx^FY@m6$CJe*V#&H_PKs7W%hFux#Fa z;N7)zp0V}uCrOO;mc%a#>n?3tT(sne_)k7lt7q%XqSk9k@@wOzfBS zj}&z66m`^bMwZwVn$6KGYm%iVz^}TH$6(#oU+{A1) z>x?e{g<-z!&7KG3^{Hcm4HoM+@6~&Lbq@+@T(?{F$2%hr2S~Ppld-J8|pjX z@1*xn#o^r>3a?G=u2}xb>XI*d+Jbg^sspPfouXU5-@3gbWqcI1qJLYUygO*clM62U zn9@J{tn)9n>rZU{(9?O_e%oC>xvxx2RlVFp$*Zdwrz?)Hy|rxdKL3*4J@2KEM;`pn z?Dso%D3))Fi z|2ebCa zOsTC%8A4h#P#_`i6`$w**1Ntxs>@|dIpmyk-}k=u{%yrfPeAm6?O%!Z{^GfN)!w2b z13w%8%lXOYLp{ZhE9f|Gb*bKkJ*!sF*p=W--xOfi2P?=<7KZ|2C-kl!=quN^xK(Fh zP&2=8pW`=5|8eJBY$;mr#~7OFrs#D$3xgXL^45OLNnMmU;oOW(9DbpH8oE5-W@}uy zf!*=n$cr5kw>EArUGKJ`f?_q_VSjw-zGbn4)mH&}zd6Ntn(y6GE{wXOI=A*aJ@XgO ziuwXAp0AHHwft%2Be_+~$c;*q)P0|XoBbMO>>3gANTU+wIZ3SWYya8vyN_*Nw0@fK z2V98DwjTpbj(vFHN%pTMt9q=e25RR?uP@lFdkj|B_{`%GGw_^K36Qdng-&g98yKfJJm)b5bm}>ul ziSeYk$7MAIPg?sv_3`0p_LVIrrZLSEz38p~wUur*v2ga5j-8OaXK$@zvf9;3Z>?FvghL>D^0Z6Pmh9D>^7vcklJ%+& z-V-Yaf_j%dvjVm}`2^QSblS^J`PF27wbjFz;?vt&!}M+#7@Xf0+?^lf7^ByE)GBH1 zQyiyWn1r`5-PIT1_rZJi4GQb-)-xs>J~%81@Q(TB=-x}u-dGf^I&1lC^6QKHn@;aB zxi$Em$;STFoTh^_=m@YGM+;(DIHn?SIZL+j~xHob?my*Tq#hjGd}3ZTll&(`fLG#6%+#``?&WSk0pR!E#Pb(4OeM%%{eogu}-%~vS)HVtHbtqy|r<{N1E%uHt`WS91SVt*c`YQ zRAHO7<7>9#!*G4;edzJpAVHiMA6stxyc&!fICE#SD`#~r4(Ex&EL{^>@{7F2T50UK z&Yp)RCq`{{aqPm1A63@SaV_V$g~v@IYWE#KE4qbu{?4YS*}+S1{Mt@a=RGf^nP%Zp z>HGW-;SMt`xo#(FT0?R7NYMul*ldXRW0!A9euLWW$7Qfh$4%NAtvq5^aV+O;@qw>@ z9oX8*`QFt;bm|w^9WA?d&TPvF46(3eCHpl05@d1md_|d&NqqTp(H}9mSu>lUH5ncQ z@TO(WxHP>e@qPGN?5ner;^0f?C_D1LzYF^&+Umd zcajJjcT|`;RPPSjGU|uY^gX`_+N$^Lra$js*p2|#&1cRY-MZ^mX(X)g=x+BzIKh6W z&&bv>>%(o>&L-^SwOjXfS^l=>ZOo}&{Gqax7vt&CP5Q5APO;hMBUdY2x7r?lrN6nV za2@$c+OhkODkOWpni-8SUneaHH~qRYx#TDZxnbv5>6hZEX8RN*L>)Qrn)q#U<83Eg zzy@Eu<5%98!T4H}3^gQD$M^K(QRRm zZ!sybZRz{)Y;|flAz*EtYuB%znZvHX!cK<<#3n<~{op2Qw-pu{z7oZcA=>1emNJ zeJ^DB?=REVtvh;INDLA8-}8vQQ#oC8?*qxf8jI78Civa2OisVV{ZL#z9wtFZX?1P^ zdJV?A{c@4UnGdce32Dhy^~1%Qa=RtmSH}3Uu4CF~9t-xqic{10FR5 z{5}H#pvAmHZvEEPTpp5d5o)*`AN-9o+xxgl>67CnpAKz*P5hkWx$sJ7kc}L=ZSwtf z;lnsqq@Seq_@QS;L-hAUBro49@~cE#(c9(WLI%f7IME^5;1u9rmN?#Lcm5RJ zUh~5bHh=wkC)?@%xvhT%tnOGp7UCafX+NqwbN6GbVUF0z#jJ9ZyLs5Fv@1V;blQGP z=Z39kOsFPSzdLOoTCWpeF}{AyC+EvA^&7UTkq@e$jT!~5jdPs0+fLq9!ar+jVj8Mm zx_38m!^5ID_dWWTyU+Z%&;9Hz}WLuBy-Iw zyRKV$|K%nYB}Otgjh~I)-qXP?g*Le@(E%}c(rmVf${*xz{$q9D_pCqH4*Q#hiPt0- zeZ0rv`!pM36%89VRUqbeHd)UpW?)27Tm{>%Z=s zBmO4r0T2HemA8{e%P*TRr-xNN!msDPRCl!*jGvQu)&{u_`NY**tYX`g8C>w=qP_(k zm@__PXxLznU$J9}l96JA8-i-!XWUaO?Kl5uW*Y7FL@V(vVO>{@yH~mt_<$eF9!AN&n0X;Bg z*V{1HL66-ox|crJ%N_))dnHNo%{5&9jSsfAj@&$b6}NBB;@;r=rO!-+2l~4{rDQ4o z39@GIIq%ogcx%Tqo%cA$j$^AHDs2O8A6b7I=(g9~nQDCRR7L)yUk4^)U;Q;J)v2^S z`LwfUHTA~(c${;IS26jIXSX))V*93?&d-wQ1z7if_~SEk=L*mBdWqcBkXCRg3+Ehg)}Uq39t%eNk=Y~N56n4YBtvq?Jp zpx^0Ob%pafiyxjHn=8MPV%=V9?!T4%<%>3fQw(<9!8&EqIoIsN>_=WlIM&02jr-2I ze&e*=C4BqYY9hX`Rq#e<61VRBu2lBu(XH;gQfs#D^-_TJX_{5{-e zwhj|ZVz#UfG%s!F-yEF$#}Icsc62jBz5dXoukprLmG}qS{(QidkA0+zGx?c*O(WdK z*4cI8?NE$mINk8ftCX#}XGt8FsI#CY?O$MbeExc$xV7@i7F3nv)hJdR(Oyb%LA^b2ky|>TOOXb?yCUi z>2>MF3L_K6=J&1svCv({41O;TiM8=8-+VxCyiD=n$H$xeej>T)txrcDXQVV@VvI5k1qMd{RjuQ zTh!;D8J=LDZotlMUZzd1r5Pyjs|$B$I{DihKUmMcr5-xtbY5aM7ij%v&1`vz)!O?O zZv*wSKi7On43ABE?Oyp`08XDu+;Dd9=48RsUrlwE{Jsn5N-^|&=2mXGu~6{bRQIX; zt5|m7@avF)HkzwxjsEqu_uI0byGjGL1edb*nwWQYc$*rATXB=SPo1@nxzPTCmE3pp zo~iLxUnkB4zVhFj#-*$O#2tT2bOzj@x*yKTDe znfNz~vHr{}cPGnYKfCb~c}>MHre87vNsaV^u!XR!&FO|4H0 z3eFBv{-`W6zjb2V(oo{OX7D|a%P)U2-RRVR<*fJ?MtNz=Dm)uv+4k#g(@pbo^7z;% z6@~``9M-LVU_lM^+->^vll3}V_*gFP;d^Srjdfl|X6v`cT-my(X4~MZRwAx{iYOHHmgYk|f7RQ*#mb+M~1t^4lJEeGC@ z*|hZlNtkmZed1K_F;kUN?UcYVUI+$oN+yOPP% z!X24{niI^t&Ltl06S9x0VQC%!b)0*DFT4dTA@CCyfDjdtpG$ngP^)( z^_BrqoV8qKS>JW6XL@+NA8BZ8%6__&WO8Y+|1QRgj~3mT8XUQvnKbbyZw|dX--SMS zgV_!!gr6|ELi!xI1R+_I*VaeW_KJfw=UuOOZ&B22gv}RlaT;eWN;#|QGDGA!!s#u6 zkUS)mve268NMHClVvU+ZTFzPl5J59prJW&pJ8{Is_6fcSHEJz1AAu+;a1rij{E zIgn!* z8G((BA1I|sqX2YeZ4EGu51_Jq)nqUsYK*nvmWIThqE7n*trpVPZz^r~q3AE(PF zJlnS>1n%e4?eY{nLF3325ZK&%i@0u2+9kd!nZb@x-8GL6JC=Pne9c+xIAh0*s!vEK z(0w&4w~YU+*pmIJzbb!N$gD15WZDdofHk0{;V}jDNHRdt7C6}hQ06d|EkqXY zZ+XKskuY4_0IWz4M_fCX;!p(O{!3$E@2#=n&i6%)At^c2Xg4vG(nk~*i1RwZ22Bqy z(?kHlHynUz>3<&(sWV1jbQ7neN>$Qotmz$(3>`qQs6$FEvyoAS&rYg7L(kQ)i&fHj zl*I@h`#w8Yz@$5LC^hn4X)=PCr?N>}xOi)(g4 zz&b(S7R{3P5P6nI+GRpzni`;Oon51EmTU=WkbM+0eN<4?4dJq+zZQzlr;&q=yN3Ab zb)g0vsE9Au)rJpR&R$wn-@3IH3VnBA>LqVW?wq)HCX4P+W-v}bu z>u}q*ZFGFS7D5HOJGbu^8!c6+wq{u1Lo5O{7nX5r8_cE>%sgbDE?5=^xZN`QJHOtV z=d4s!5gs5J{_ChPk!LmnGkP3QQ0uP=)9sj7!K3q5K8XxZVC2Dpe+Q^eAXQKuhq zT!PEf6z>@Q?$J@F%a7cap(Ptg**0F2-=;!#sa_A4S5tBaxKE+mdIAzI0(JTMtc_RD z#Vfc%X`Qcz@jg6XAAC{n_?>b4(`=IhWeP#P1cLe@T_=77+=2C}1beNU^S7x)k4>a* zCB>Lae-%VA$ltz-1E(qDr4_fKBY-itk3tQ{`&%~J-8nn(*N9Tka?@^QQ0&MMcBf>& z{qObgte~}BAPSS+kyVcMbAH*(6142ue*Xl9@JB0_2Osu5LR+%lmUX=SR`B-bmYzn6 zuton9H@d~Np-=|;B`%yug8YSz8Ac>7xOzSzQsBl;yd-|`?= z*aEdoc}(@DFxwn;hp;8w@eUuKH#LN|aDWq3D_^l`EBUEPe)rR3x?(cQiM`-7oo#j@ z#2`Ne*%zuCmyWRmD|IysQ~s*-xH3&Dsn8e#(OAoz;7(>2#++Rchl=kC3_9HU8RxfFK?X~JL}7Jaix@j~S=6_5 z{*^NiW9_*m__DJ*X@alUeFt*wEZ))t^uvCIvcR@piPcLC%a%u^fkx$gEol?2ud)DM zSks#+3!9-DlPGP9I$wy?S$naRwmDId7mIXVo_1}MbzhyEbme!XqRmV^v0)Isd@KH7 zSA>s7eHYRf*@jaodCU34pOK=Q33;8+GuE^x!dH_$O#CMZSbAj0GeiXIis6lkNPIL> zW{Ik6KgL4JG%M-POI8*nKRj%tZHP!0BA<_6v*@exzCO^>T9Wkz^*EDB?#rb9uy8n3 z8myGi?jFKKN3&-Ida`~N#G%@#zIEI0yNz9on-DVV^LS2Og2n|V8%(BZ&0(x;-7c{|u5DSfe)Qmni!hPnmGT1Jjmt&|S!g$PpMn=H2!_DR7704jGh4w#!f> z31{s4dRag7OEE5KP>9q@diIZ&XhSOl0=i@yb~n8TLn1zYYv|L)^xKQFrVXz;^^urH zWwOSu$OdzpVYDV=Y0V}kiija{BI_%e+Ck0R$MaRdhR)Nx!pr&79!&W))Zjit9?}z4B>>7XrlJbxDCMyt{fv zDMyh($xbXHtJohrx~#|-!tWTPO7w+*zMKLOHMkh5(OvDWqs2}OYscY}O7APp)e?zC z{X-Iyp+u36{Dii?P|$P;;K>TKmj2u}XCa#E9pw5Xv%xkG!u3u}SOlGVNXWh?K+9AS zlF;VlGUA!^oT*C*fY8%!1^Y$6Nwobp(h zPRU76?=DtPr4}Z4(0s_j1`V2HOxKl(n<1gP3-nTr!X02Z*;(Di?INglDkwJ3f%k3x zcnf3uVD}%??ynS{Wx^&QLQz%xb{cD{leb-q;;Lr&9rIX2{&=xJ+0y}=t3+EG#dwv1 zc7_ZHf#$`|^yU1joEJT*DC@vrtnlF;gl~Q7F0&;SYo;5HO4n?MmO(AJX9e4yre0$c zUq#e*HYjDE#9n_PI>OHc>$N4Am*nL zW(foVlHYzzm#+<;r55l1tyGoGE&iFFse!K`Xwv4P>I953Zt z-xX*MJ}(Us=L-7z1PgQ2wkyz%dfVGG9_-)wqC2vBr*-x+@gyI@1@34am1oHtz-kk6 zg-z4yqWy^iFTR{-8_~vt9XYa~b=9K}s~xc)3^P1@a9(^2kuHV961fPQ zyo$H(Wat7Lg1a2?Pl1kAB@H?SW{NIIas`U?(PPF?i`uj(O}nCGgc`RuVoh)8$5wYG zR2b)r+vFA}ptY1bV?+%dF;Ko+CET$l?uhY9vba>GS)^@2T6(^S8y6y9{we&Cr-m+u z7lQQ1Z^i{!_KVk=ahbp@4$RiTNpFs>e%#?1Bt*v9-%qp(Eix{pTIZslDcX)hY>6Bx z^f;G}HED5!!n$E?$bs2+vvW;-fwcR^lJ!A?SEDn;mI~k=hN!^G7;s#)(C>;}gUtP% zvcBR&WFHMnk&hy&9QALR>n79kG;Km8e2Kt^u;qdrLqvddTrRp$_iRizlNYMHG>jqy zAkyhhp+bZ}dp#J}&~n>%LWn3DNvj9*UkFSa(6I`!qQ9wGyz4d9o1zx&%$uk>Lz{g= zJ945@NSqV0PAp>9)9TXlMtIZp)?@OXR>~oM_2DXXnD;+D!OQU*4)Xg*lu&DrDEa6e z`CD90LToR|9lA}11;aDoSV?L8_=RnvBS#bMyGH4MkiC?UlO7 zJbbM5wn9cEaO(M5&|}%6m_IR|KL*Xz(p=I7O30Y8Ch^)6b)(?)^BmN;eu!w%o+E4; zUue4)8x@IOo;;LZ&6%hQavykg6yup+fbv~!~M(^f%_bWEI=h{gA8pT#3|TUIqoj~NR|`_M4FlEGugAP zZPi2K$YS$7(^y^JaF5?~DqtXm@)mSJvIw_XtFR8G{Lj-7lv;#C=Fo|ZMR81^_0cGK zwYSDOsE;(geL2dGm_6K?RL|bv0Xrs%ZC*k&+B6XbjBy28M@}1sT>^BkNz#Z)hM(qE z->E76C`Zc7Qd*9~G}d$hu6g-zcQK9dgcT2rah|`X9bjWh$h>(6lr83yF(e^PDN?B; z>GXPWsV09y^@h*w{c=PO9Bg>dGG;r$ab$LiEU2eV&(l@usa0Og&T8*Uv?=q_!f5G2 zB-OjVk&>7&-iMK8y``bU=r{5)3~@<>=fLY7JajvMKigL>Jj8zo5$sHp9dbcyq4|jA z39Cw^DBtrP(W_B0-{zYEFKJ`|`gZC(j)kTHqy=bREk&6zNFLe{Q(-L2wnkhcU{zff zA}F=ZYekGLpIFHQL_ceK6xLWCoF9iOejAI_$m>y*6}pTzG{~@qnEYt?hM<960YCFb z((VNX(T~#LhBYT*3Dk4~nly~oJ?2qQB%+U)8G^<{tgh~vQ5m9*F};eIhhC-nK<)DZ z|1khO7IM2u^%6(gBF1_wcIy;ZvRop4RZ1F$G-k?=a7%7h^B7Rq$`DA2y$+Q%l^9GJnn z40uH`UDhO|6=rkfdh{7V)7$!E21~JI-BDV4;ZzLtfM-ic8SY}SCa&FIWe>{?U`SQ7 z82pI&0wbPc=Jt^ZDl7p2!J%{;L@m2dSInO#eVG8Tk|pb^!Kw`j*}1p9n*~k73X&h6 zkpa2OYA?cA4;B(lGD)@3u(90@D(3n~ z`SDdguyr=blw(cI=V^s;sl6ofFxuph-Ck)hy?{0S?bh0$Rv3f>)(e^cR`$hM`a~FD zLQ~NuYC1r&M&;68rF{q1bY6j`@|_X5J?BT=#}R?RB)h?|>P_|I1&R7ge3Yo0g9c$4SqQ*xH�z7{G2@O{vVl_E5Nwd9uFzdr;pXH8YsO5^$6^VF zbhsh-XzbDW0?qppbzB}dkNq^v5!6xVv0sK2+z&O{NM(8tiA?A~o1|2`!YP#M4aI6m zeV8kMAy({0#{>v;seAmL5CYvXkg#XTh5rqR3#z@(j;0lgr+ig!UmCOJF$QuDN$PuZ!e)zu)(%yjj zTL78mJ3#$`ssd69=(AehU*Z2RX>7Pb$5>|rAP)>}!G>yR8))ca=pUnRYq&ZHYg_1jfe zH}#;R+EmB6Mi;2@a0tfzYjjX2`KDf)vHnSeKxbe5G6TbiX#?`kH%4BQIx9wHQVYl$ z8~jqQf1}jUAncj>ru>Q-^G)kL*!l)$kER~#>z@{F2#T1(5tr-#!4co?-+O)M7dq}= z9n~B(*I#==?U{1Uz%FLR!_NR0ta9?5G|U$1hfeBO8G_J*H}QCV;PcmdS3u~okJ@eU z_S&*VQlw!6-^{=3gjt3EqGb&}xFK`H;Rfq#A!bLY8wg8=AoJj^ZrFEH|M%FpqOG(k z%i@Pimc8THZ9Tzn|@424G`wUop|5o^D$dRd0NI|}@YT?R`(U+?n z&$ib*`7ebq8|A0T3@o@?ylTl$<#kAxxD8Ie8{|~;KghaMv;QlcB3VrYzhb>Il~(E@ zZ7I_*+9Rc!?1Muuve6ozP-n28@qyjsZ>L|4&IlMA?V5R9!K}b!k})#kP8Ar`Ly2|s zW=5T$ar^yKq9Ey=R|W20GXKV|x(UkfzP=WJdw(s;bt($GD`Ytp#ik37tPRR$sn^oCMyJOXu^_Y0M}^Hb``Um(eigwJ z(xoT|@b_KW=%eHd_R0%})6j&{Lh7#a)j+W+cM^o(0gC29nQF@Z!M9s7@1*CeGa{;s zpGW}Nj&b4CrO8heeAI>-k0kZ8FWUb6k)r^S3mTT)WkO?F&QRO2v0Is*{m6^0G$E@^ zbnJr1e?8A2VEfqf?w`@GejmmjR`d{GbOTMkxLI(XGroY2<^2bk1R?sct?lS@gB#qc zkwAF84R>F$&o|%RA*h!E#WlCDIA&|K3`ACK1y)DTWvSa9j%{8;9&F%8_MVM%?d01L zx&W5EC#vtE|dk7X~;!S zd7)}TCY+%FuA$0L`~k%%^4JJZA(Ay-PK3*A+*uJ@!F#$~;B|j{B+YATVu9Qs@1#>t z(#|XopiQ?19-UZY-7!|$m5sHGvv&f&>27XC;xDxmvT^9!VVTWq>!^flhwJ{H)CS1A ziQ15DJmQk3EwBJ2R)ozdexozbeiYarT7o@Yw|XQd%Jnh4>z)RByikHboyN1)f%@G` zvfj(}r%x3CD03WTo{dN&oDK~25v;)E(G$Fbtn&E-gz!3=1CZ2_{Iic+1ljfU-yGhR& zW;+X9ddoQ4;%1C4(W*EoGDOGcb+vVqA|c-I9EvivTZ9OF9v?+G33x(&Y7dDSX?G>A zx~JrX9Wi1$Sl5}0Hl`IWg&i|cGGOYV!jR0RN?k@6M0e{;HPg%)!~CUE6{7lf>`{?^ za;7Q|cmT*C_OKJq2bvSo#f4?c#le9!N$M#Dp=-YQ*4#kAMPchn8Id+1Y>n*@v@Nw% zotqOcqAe{_+e!gbp=c~V8kvN(4+xr2XTdp#uFDi*9d!_GkwT`IDI5@YVJ%uIM_4xz z1)pFR))Wt_TWZgB2ZCe^6c5chb)JWvbQb}jCiSju%b6=S4fsMh-VZZzL`4GaUnrx1 z*NldSHBm!S^P(t{K5A@Ur*c@>R3GlEQR4qAB}l%caB^7A4t-Z(>NgctqRFSg4981d zqDmJwPek@KcHBCq@n=EA1-eSgSnJO_b1JOBp7$ zaP~=;VnQte+t$JlO}RPxLNrh>Nv()TrTOy1;1bEqy@RT6pT{$c1K6dbi``eJpFwsU zWYi^Rc>hAbYQr$icVN0i)iFlw4Nav7&bkDlt#R`e{RIrF-$^YS)H7j%`vq@yL9{MA zNno)ugf^|;#bu2YtxlL7syY{{Y`KlE@)?$M%H=Km;6aYCE=j|WP(|{Cr#maou%XL+ zp8xfj9NUBkE+CC$M>}DCL@MbnZxC7fs+VwtbOv$FIQu<$D_S}ax2QWoSWgErgehnd zPvZ!)`zJ0=+2svWi7p6K?9HLg&w+Xuk^)0pfA}NpHOG3MIY;F6ysP5uD9Q|9sx(-- zkWS=R>NYF~3!5XUMmg$<`Xv>iBV4K?3z~V!qhq?_TFR=1s4~?;N7u<#0dt|b#<19(oDAnwEoM&mnX{(B<_lTxO^l8!oCPRCL_03WMN{p=c6+iE zWtS(Fpe+S(4B^+n)(}^i9}S}dwJm|?tD4=5G8id^?qXjJ-!89x5Npb%`e@o^lKyj1 z4OP1nfJu}+<*#uTm#DI#4H34!3F%m~B2onP=0#cbg^})I9>rh^nfbxyORSlrDq1~9 z#f&MKpgEl;Xh5c0)QacINUV8?bksdN^`D$pcxF-&hnAinhPYj1avS;>u11gVD zh89?+7~yH7^u1RCCzy`zE}gGvHqiijW@S!UdKD<~IXup#g=bq&MHEQ4>``EKN`}Xa zfu$)B8o=%!X|EDut9`ojEtMB;Ra398l~*K z(YjI%5cPc*rCACidvyW^ENL0l#{oc#@FuN*@`eVwgM;93vF?r^KHiseriJE%59)ga z`%OkyGNN!CFFMLai$0iIgr8;y$v=({SSK&+Az4_8&+W>lmU_UZ@^knH^8X*hFRLyO zw@GA7C~4|`ac&%YIB^0hDIx{U@$b<34>{>#o9J{QBShPF1zY_XK!JkNOpA5x9EDb{ zrC|7=v{O?dYpgCy4;3`!CU%pUK_D3^cwZlM5Y2J?H0^`M7PI6sO~Vpk5MY*}Qe+G3 z>+@D3)EN`-B@;?j5j<{=CLWghgq#6pilAP$$UdMLBw8?{g{)sVpQK9!SL!n)A%w?$ z5NcEVw_^w<>bUpj^B1*yvTlayDf|9B~^43s_-^21H zgm@uqa#U9lTBgZQg2#*?p7BK}x_qx>0h0b&LMBYV7C^UO^U1%eOn|D2T&UDe;>HEC zglMf~PV&!!0{Iq|0Lf101hrw8P>zsJlYu0)*!SbOcEyYA5jty7Btk3tC-;)5S`aAc zqHzs2rxIYsmR?ey8RmFL9ZyL_qM(~pD{PBB$Dx~a3Q}6gg{c(6vb>r&48w6KI7Gtg zp_FNemwtYm7~03)VUD1(k3JBO1-5VP*2U@2_9Vf!FCQk>rFU78 z(_`63$Ki2--U?9n>2>hN4&-XB2;7iEFxpqN5zVoB+nnU&Zc^M>g$fZ_;5j%#7Z*bY z`1r#1VOT|(@LfZx0g@M4MVwTo5!A!nOS~|cH1WDg`AbS5WLNUezY$?k(_R76QjHj- zT0V@IGPz|BHMvn`8ZlfCtF6ewo=@o|=OQ=gClmri(J64hP>nsD<-QgK=0}6_F5MNR zE+|DNE`Gv-OD-x~99^vP<|oIARpPGVpCdGVCfO^kyg2xrELblC_Zqb;4tumHK!$ng zsnvE7W9kL!4GUUh^&T|6r+7kYRHmq-IcP_Ly$xh90)`;kc^X=mIc4RM4Epy4?Zaf3 zlRYj#IDjjvefv&I11#Y*t`K>n2&y~fb|?-;OfVT@grwz2$NNZpcde^Oh)C^WHZ6^2 z?nTL;*RpdOVxeW706^;{7~MqPDX~r0;-EQ~;wC}ilu`suNwH`n=t>WVK%5=M%vdd& z?i2iOL*=r&hI=&%Ml|D{;$135-{#ErmPd`HV}T222Zft*s}I8=7Qy&1*L!AA&Mj)E zg_&(v&ttWZTdRByX)h%e-L0LE14Mv%#^8dSgvWx}Suz-7iTZb_nX0Y#D0 zXPNLn$F*{C56IDC2|IFfMu23Du`dk@?(*L%1eNL)wEXQ?HRbsdqt)}(_t9>gMnu_g zy#X}U7h>F^tZy@t2X+QH!m&IPwreO|oD%FK=M}2F+X+*u_Rwjl5;4m7CA!FJx zw1v$eIdO2>+N%MS-zch9#7!0=yGJaK(-yt>_wbkHx7YeLW34(xG<%fhU@=VcuE0(&oS5v6#c*cp0`?k zNJm#&@&NXoBf1+vA9~NnR1fHLyLReWn5=T}HQM?{|C611J_bhSlX@o&fw341jI7@pme-l@++%2PPi=VP(>a5JFynC;ZicTiYcF44tz%%Zd*#f(h9#M14t18k zvr@Z4F9pxC59|}y^>M^NeBLP856F%&Cw+8cztO~>1-9JEu}xbIam&mQPeZ2@`eFX+ z(3?v}$36jxXjkw{lh3~RABjj;yxVB$+^BxtZ~sM*CfDdm&5mzXF_Qu|d>o=*mAzzH z603CuE%{z%Sja41==tox7jO7|*R69~X-exN%9UQ9D}U%+{VS{>@W!3;lHnUu*XC== zCT^6l!3DGjgplb}Q;z=e(kh7onM-b!=nvW7sLD6r=}`84oY^LLNlVRbxHpPo%(hFl z)-z}^S=t&M$uXU@_Ug*S=YS*V=gFf^-ha8RKr3)Vz22z++)?98NAnNAcs`?_8x&G3 z`{LQUi*N+B+F>)Q`>l~^3>WvKYY22pM^qFXN7bT7>4N4@w^z=JK6Sse$R1KVZc&JTT=KYbjD>RY zZ-n2ixOW5N-iV{8mL%4R?nn#+V@XRfMrC~R-_eF5McK#N_Bdy(UTnT8wHV1!uc>b< z=?^pCIrLYdiC z4e5ofV2lL`t(76L3e_{uq-E9|<+BTyKt)y&Kr_;=e|NBlQf((Zv6~V^(IwD+@Y*UB z)B#HtkbF%u`#oG)JpzFXQmQUZOZ z%>#{v1L;M!b#m7AxGuq=PFA?O5=3pItIJ6CbSLewcACmWTt?$b_>c`pq7<+uOWG~4(Yu-gX>#~~j? z1g)S4Z&zBVZhKIPMyah~I8mmOCW4kX1Y<`6qfEt63mfv2gwf3N{q+*oCfcbN<^C z=hg15u@hB3Ro}(So(_6c*%zRF4!jV2v)EW_{d`4Odk!pT1!Z=72*O&5_FKk~&Yt2r6tY$q@t`3yKjB47Hl~?Qkixo%QvFL| zDA!i;?0Gaaa4H(v*G?#N(WXJkE*V%G8D1x__Q=j}p#N$o*reqx)Wc)({KChur=Wm& zBm|~(xjm#D1^O@;Qsjm40!gCbEPsVtQa}u;^u??*oUVPgSxCSP882#*UcLf6osF_ev zA$p3;!B38c%bD^@K#^%cb27V$dGlyH7P)y$;{53(VtdRa`C!r9agSr#>Tme zU^&?Q*Db*faQ+o17O24A)5+YD;5Fw36w=av?(8}0XY_BZQ zz@Ua70jf6BSH+UtSX=<-z_b{ib$RjAI@r@n7lk&S2vG;BL=dT`7r9rNBv|Z7Uglm6 z*E)1&NdEK6`Cnf)3!6~bXj6ybjHFq?YVDC8f3bE>?}F9xdi)dGwXJM$oS23~;Q$#& zU>yR35qD;vY)&8@P>3_}nJ{LM_Ruhvvt((_Blxamv7%lE*ze<3gox*88bLfS8~4nm z9ijVZrqY+YNh9@SgOzz<3l(I(m`Yul7{m#Yhkkqa6KAE0pq^jAd%}n_;w~5v4`r6A zC<6#=Wu^Ry$~Z8@VCjPTWp_UN>IEn}V5vkqN1$N>0k`RRcNuiuS+{`Et3XrfI5%8& z1I(An3EC-856M##k2O8cwDuL(ih7jWzsLoPVKRy!)*g&`Vn)p51}`Z-hl(Q7F0tzq zbpzD&3Xn(yjOc*<9^%09FEa8pc}^KZ82n@0 zQvxgV49buI>NAj_?rW&oM$t(iXD=3l3^ zdLe__0>Z;s9dk`RNDo0w7bnvnw5} z+A76dwfE4w_Mzen&n2SX4j6h%Co6TAhlR`xs98{Cfx~qri#0Fl879+w;vU1)UQLBF zSTp?3v#iEy!%_vvq_%cAUfrLQ?^Fc{SQyQnt~#go3?2LI-8m1N4U-Fy zj!X!tz|e7)DQG%D4F|(sA4x{l8e74|5Z(~1yR^VNPvzwGh>02TRWtGvoSgjjQq3Uk zNLkfV=HlB@Rg{*9+tx>tOh@gEQsv2D;iYQzJaDS9hS3IPx-ipfMt29*dw5Y$zo?jb zH5jE`F{eS(3R{@_^&^z^9G;MAZnbwCf1WZx91E26{&9#el2>RAQdsQ}cjhQxYixni z;<`&-J*9B&ZqVL^T-V3#GOpiXo!1NKQQ$z^crJr@;Z3YKb|N;|SoQ+^6QQYBqBzja zz8B&f6yt_-@To2eSoN7?gVFISsAYY-@C9SkU?tKY#A6Kk-E;QilU3z(NQZ8s&u>Y2%0KFPdNfG7`XNPbEl*5WXYB5}EW=r3p! zn$AwmZef{ZoF$&*)4U~Mh+H7Q_0sBd%(CGH@b8VkVR>a~G2DltMiO|vOaT%xxm}^{ zTZ-)=IYijyfPEsuKHpaR)Nn2-@dOSD&w1_#b2k{RR=8xBiJ^&l$Mv~0=Enl zT_wXCEL-c3hgX&+vL+u18`WKS=P4wbYm~2vFPFs>-M9(t4qqmyoXtN(hUDzP^ z_};AXHH@MnR@y#Obn#l4uj~FxXwMQguT*)BgALK1%5KhFK_!7>m`&f5-1o#Sj;ZfNTZh6S@vU-bv1JN*!{8*!_1BW_$#u|`0)TI zNAvH?iI<@gK@+oJdc@;d)O_D&MSCO7Cw7_SKe3Yd4~jO8E-@+3ZlQHtE_#mLds{jy z2jg&3^2`g)$Ijtf1x4a-USCy{7cOVzM2fVV-CaIAvFO$wAP} z#S@oe{54>-YvQ8fm>_0qo|l89pPNcxo#Ug(NPP-%Sq#$*_%FHfoWdv$tfw6+s!xW5 zYSc=e9H@u6IG9Uh+!76o5eNAF97^t~IBAtDOq>k`g)w zg-wT|+Hu5o?blOC2=aO1hsl{^{DtLk*B(B|#WA4j4 z%nrD$0d;uRxvIA(Zlv*VtW3JQSB&thH&7bXRo=42YFi3n`t3J!RQ6TriZrA}3>f>coHv{gs2QY}b}3Ns=wfWS;!q=J}R zHBgo$R$0`tbRl3XA*~QXc0rQVkY&b(rEr!GWD$_$PAf75LRv7SA=~>sbnbob`~LaP z^VFw0LPK)S`F+2i73e4cJ#(1lKoL}2j!`77S&B9)NF1m^(*stF0;a-+|E=!+Pj6@C z%GbOI9nb54uT=eyj^}MC@AUIpvF`H~YrK8E*LC~<&Tr%Ae(}E18Gi8zeyKj`_5UN` zS$_nuneFhk)&Ez*lhpaA|E&vH?d=2doi!lmi3Pj{a2fw$uiyD>37KEHe#N#M-d-#A zt@3%c!GD)ue9Kdx{jn>G{rykLd}H5U@IUBtgWTZf`^dLuZ^AEuo7TJqR+g`P{EUq& zehd`tg=;+KXL`Vyt!I|*_-+kOS$~MKw6o;)%FUY-_Fv_$k2@SS7nqx}^E$G~C)l`%XeyM3N*cNX8%`i8f;%Rlf-YIRX-3Fnsm#Qhn+AKoP7JU;uqt~3x`Fn7FG zuXs6d#jwv^M!-pBt0U+ndBY1!L1ulxq2kj^>u$;dVhVl$CC~PtqualJ{o#&A@s{Rw z6{b&Y#os4J_GrC297Ln&uopF%a^`dKv)#d6fo;;w%#m)No#M^Ie(@3A&kB4#kZcD1 zQik|hpBuLDZV>a-bU&Nn^SKRppq%DMBEaBN#etAZe}U1P4%rENW4BFAZ{73Obi#i3 zeu-5{+jew?>G0@R-afx%mlgrVE^F9I$)Rd?(p1Ns!mZmT;y?S{?)F+)VTtUr z+Xv)@x4kMw(SVH_-}%cIIn}Z_kL)xYk&wLJ^VKZ&>bbn1u2&i6ir`Y6@HJh?YVu0y z8x*2kvIX}J|877S_VH5xV=LzcM0hq#7m!u2d1t8hND_RHPYFq#6sDKwTDZ)2U}%i)*3 zmr_V2<>vNmbFKF&-xkH?JEpYrSB(~2lmI`2_Hgw95G+}Fby*4Z&fKc+qEoaUV5uR2 zoKJ9<%6UXEi}~5XsPo;S{B^SF0YX0qvPJPIG+G`}VtIR5&xpW_m)0=fg0oZCZg$Nz%o0pj zW7{*?!Dp=FuD(R5+Ec;4pncu3^!j~FeLa;EGfF)U+YWqi67_Y8?3iaS2rjtfXY}W3 zWPng*ufdc6ROMcyc`XOd7en=TI+^WEHt1dHAm19og^SS8?HK&(XgzZSeaU(2=tmD8 zd^CCI<6jWLUl?(}eEsp+Cc8x+kWk!+ukLE5CaX4nYFcRKpVcHx6KihbU{~-RbIZ4E z!YoGD9*w*+I@0CNO_M95lwKzXvT`r4Au!>?u8`m?o9F8w8T|e z2St(OBwq!3mwk$K-NkM6HNnh7<287>_f-9ZJ>jJ)v&K86@PGeSp`spBI#K`fO#_`p zA$s#}q|1!sdmnjQ^vY#@|U=< zt2wV5=i|LGiRr!fc;#p-3H=0|N;gP&>$x06M0h80?05E>&r7r$4-(|^vd^17ml#sw z0dzo-`ntr`2&HZzS0^l(Xi^Vl)J+&CGty6W9y;D*)Rl@@;_3o0gz)POp?r?|9+f(? zI6QXkqZVu;j0^`+`oqhQDtyF^UI6>!B59NFk_v5DNgE&RJcC7$V*V*$M)>uo z3VtLF6&0d1i5SI$5`|XE7WuC{GVSlp zIN@alkBumbrt6blxH^{)FM=ND;R;U*{*bN3qsrRb*vbrW&Sep*m3$YdKH3tQe)(26ndDeSI*f^$8&_%k3vCU+yg_Y2BU;S|WNMP0b4K>&vl zkpTew|6k#kmDOSFSyY(PfXC!rF+l5^|sBTL?p^x{?W}0=UTU zPHDEjD+X1=An@>JIf~Q@=;NDNKwXTA<%y9fxT(pVsDA)?$Qfj!%aV zYK-w#_!RJWClMx?u&KNj_D6TCPWpO@A4Wg*mt;y$&Bz(=L)lwS&|4~;AL&}VDI4#) z0GydcVPlpOU0J^@RJLn6PJO4!FimIdUJkXi;l&v?&L zk?4ez4`Fr7%}Omey0Ra8Yj2o{SpusT`@C9s(h7ba?ZpI>jE!;nsc7(8^wYVuw| zjfXqO$GR9e&*nxns~A_-YUSwNVK7SFmzg!cCvO<&S`5+O`0$F93Rtc-bkLJp{Wfn9 z?@XHN9X6jt|Ej|xqGHfa1V&o1RRp1q zb`?X9bY@ryr4aNeF_yk+GO-$FRq=Vy5lNs4z5im&PrRT5gih22mbUXHN@HJj49#@H z7w)MpMx(D%MJ~K5g3B@93Y~(2#k{>8b)D@`X`V)r{_!<7_s+& z`br1usbx171fyw!>h|g}OVyo=&Upv+JA=s-=SbbgpuBrW-5}Xr~tXrF^ZE&9Tf0 zc^|`RR=8c*-4QqQ%^7Ns zaZaioADpy34m84IMD0P?DTMq4zV;&EAz*0heAJ?@PS__mlIpxfWO$in>S|U2ISE>R zyHvRDOg8(>(K$UFwWdU`XbadC0m0>hxH8W41c~#l@eD89qW+S@+G!r3I|(bM4M14@G|> zr-Tv9>gu~|xZUuT9J`T4rRSDJVBIRHlNzd=(cyVf!0qhBS z62en~#@;ebf(k5JBgQ{#^6&@M)iLb-Lp%v~cfo;go6?_H;{wfGmirC!3DJe?ON*L5 z{S0*gz!mTyHm;e8n8HQvYiM1t2r&BwgoL#!s|dZ@rTuJNTxXx7@|dxgW;9MuB4Bq@ zl@A2_h3~tdz|SIR=)A0>|9pQCy&Lo+kMVLBewP*%-I7mBXQnhQ$)!c}DkNyyz>JlN zTkfl77N(vgjaCLq=fRU~hvSBjA>*A*M!`Etz)FBiyC$3Q#w1}KEoyo=X)H$2_umCRYEknU z&H(#peh(w|)G{U2e?6Zmt(+?Z{W5S-QaM9&ob9H0#u>5hr?Lhw)&7Q+6sGOfUhq26 zyEjoJ$Yx#oBw~pcwZ!Tq7~e53y_xL-f9T|SU1CmdbXj@Ae;y#4rcffPLO%<3vp&W1Q}UfoqhSRwI6srg=lcMhrcJun%;hJC`z zT|m?HX=Sla4=l)r2O3kvW9kn{w*UWgYW~Yn>(w zpAq}Tp_OBb*d#bil}>5NP!Cjjja6KZfUmIGQyP6KlV80RLvvO!uvJZ50VDRi$*wo| z>bgtOmN237HXZ=yl$}hf2+mT%+m?~42~{pMe~?WBx+gpm6Ips8k}65^ry0Ln6DTJY z`))7o>4$b{QbJIurFs?pKgFG3JibV%vq5l25QsAX{*<5_1W6$!DNT&nG@jgfppAf0 zf%~`6b0^6M9$1&+oIQsyfq(=R$cU(vLhY@xr=7p9%~gFUe|&@@yHJ@=rhBEBK=Q1u z^%zIRrF(khtGhf!vj4R*W=e~)z))^EgRP)Jp&%~uSTno-X0gcYMH#dg2Rb74I|%1f z*W9aPs)~5B(s1kjcfsyQ&FQZiuMFA~gM~Bdz7U(S*Z#w-!H4VMx4cno3fctP*vvwt zL-apYq$KQaQ%K1YRfV-bg4@C|vQMtRT_w~VI}c7j4+iG>3nfBhUllEl@MIO>B1WCZ zEhIW0#r6oI2n;@}o--&%=DtLYu6!W&lnV{G=&%$%QPn+=ggE-%n}c^}EDy|mknVD$ zAO`@vf|!25i@(FUMF~}3a#=9EVC?lgS{&eSct_m*P>}67i4+Bg44{2^GcDIb8dxG3A;Pr1A zDVZE%_srgk{R?uJAv3qx@tiO5&Mti8NDrDni?J_L7xNeKZ;z{*UnPT;ll_Qb4$7L3 zk`Q*9DRW)S1c?zlkr+}AYSJoI((Cv0;00ywmuD5$c?+~)3LQt-bRl3bK*@*%NgxCnh>IUiBJt=8V?q5Z|ysh55Zn z`Buw4w62+$zxp0x7WIEeX8GM%oEjg(yH0V}ZZc{V;{Hz=nQKKV#k=W{^kfm!nee@n zSsP<``kllvl661vb;*RKzd``#%W)SEa;b(;QKU__vz+&a*@uL5ijvM>FBTi;{@H%W z!=rokq=l69{tp7<0p#Rc7;vwzfWR8>l{>w+`K0S4km0)_X5B&ZmY?Y%xi5p~DWn`6qt-Y}tTFOWd8I3T zHgS>>Xiz_#KXYs{E_Pu=(gi)UZi_Su%8 zeZlXD2rY+?y_;g=}#)&jxKN?tK4;_U8Nb}yJ$?NS^gRdp3; zPxxjzSkGkdq=77==Mg40k=I_nP?^R%=DY;D7Qh(_j8*FCM6W1DlOEkZ33Z27fE$#@ zG_kBsO>5sn+f zo+&4x`D2LhBk=(5Gr|m2I1vyF4BiY;F^RVC8qL|NZW^oHl+&nK)ceIk_za==kQ__& zt07fH2ne=bT|G4t)HU7~lugJ!`l|{Q8xEo!2eURFQm}k?4I%AIVI}v#Ij{K(o4ik@ z2VX)~6=w$Q^%QlL0`}5KP=iDjKLe};xE;BRO~22>MBhJd(#wuHsmXYUj3zHFGF9(1 ze3933{xex}*k_P$wuW~K#D^UED&-Jk=nGiSOBZnAT=kEplXRafv9w4w_CWp~#+kc^ zKlv3E{W|$M-iZh|4NA*8T9tjS*N_0KN>2-0Kvq$Jw47zcaf0t;L73P*Tl<=k7R^bF z^U7_c(|oa(r$k=2p?@osTsOa}M$;u<+9_;5BB4V1b6CdeRc>h6_^G`R|w?m9mE;tb@Y z3>lvQZqGGV{&{d3l;4XL4^qFZHh`cU6F083FC~M3C$n+rAg-=wAY3uYsFs*-y@eyu z8C2+5#<*kJLQT~)|JKpFA^Nw%UO5lvVy@=)%&)&H50}I+KJYj+C)@1I0I05Z&lh1s2O1PTHZU}5bOcGMMe7p zh0RW8R9~B)c7g^L7Zu&E`WLSV)IAYp5 zF4$;h7vHI(i~ZLit3w7EbFhbKZRp)XUQNY)HOcJ)x9o<)SL40xzWU#{c^6WA~Ypc^G9k*=qGt1l_2>{ zoNeZWuLW=eimB+ir{^mfNvpWvxG5%7QxKs*h6K2tr=So3Gk{D3PucIUJYVToJ_9?8 zv^sEbIaTZSpb%@nkK@9GUxJ^QM_TYz%30=4y}dc_zMB|ZfEOixz~*lis)ed!Ibytf zSDE~RO1>Ccfc>GVUgMhY-(eJk4G}(ZHXQfr%I@W5SXj(ke^B%W%LjOvB{L!MW#rc-swZdZ$*-$iAO$dijI5Z1@z1#;pOF>9qReWOm&;93K&z-aEF0E#+cDeA z5QB#PyW-Me#aT7NdugB)c|s93PWI#{(jYpHRK5DW%cyoMkyDUI$c#`ih|%|}m9 ziQkb*20H(+9TN_H@GaQ8vxNGz@EPQX(e4{lbCQ9(Kfx|)Z26!}Ux;_@5~0DAGK`J& zKFqYBL%V3b4mz4;28%&zuKGIfG+cfC@e~u_LoOxWo(didg4#}o&`JlyDW!&8&4MJL zR4^HW0?A>GC(oSlQi3Ri<^h8O279{*faODq|6+rqi_pWs-lYOYsYz14G~e((M#RAp zizPwOXVltrRCuR(0%A(UmES3j)4g0wq?2xI=o-F{uq}^JZ@@Au{~PIIE6{sltWN7j zHK}k>2DumX?>Y^dFmAv1Hpmgkt9rZ1G0!}f=0|CjeoxFCh>S^~x z_WI+LLY#k2yXAxBZIc{w9XwhJ99f(1De8;4pxs&Gz`J_1kzb1T4Mf3n#ffk<6_8BTkZ4ZZjH(LI)8 z;t(s|W6FV#)n$setITlj(7k2~NR_?f${%^-pq!xb^d7hTt611=+){M)@F?2(>m(qr zmv8~>Yd5DvQmpg29R<3bWtxzl0tn8zTnKZBT>N>K1g*U%FSXgRTDt)GaG|j6cY}y# zoYY`r5g=}L5V?$q zn}}rUqSgOm?xdMcfsHK_>pYiLSdwMvtL7!|?r;$fsPZABfbQ#{PLK#3&_r#r?iL&? z&hFo(a+bLF_GtSloEkfo272_RN4`D!lU^>>0MwvQS{-`1^lFe)Tkq=`foiQ2eO&SWeW#Hu!Chn$mWb(_3O~W6=Bzmc*QWa%LD!CTTf34A%5HKzqgw48Iar zvG>wGxxBBsn3d!;*KD)^=Y1Ts7I4IflFwJ#W@uU!mkpfC#OPorM-5tI? z@rCCeGwu|X&yn=vm(pv6SoiVAE&FW-?5zxk@*|6(W;`dIkB;IwWm>|v#*8(|iDRzO z%6nwmdSbNM;%XF_L?s!?{fj3;m z0kZQ~9Z!?I;8biPG8M^*)qDsA%E|7p3a98i6c8dt6EnoJ(GU?9x=ohI7&vzEHdEdr zw)$L8O~a`vO@eH1G|nw##L}>KliTU2I5yei!bNnPEge)%MyT9Ex~u<|-l9InmaD5y z^MbY)sQA5$gi|sS-vHy4Od>ADIO`5M1b90|2H=}VQlghUN`W)27@i(fRaF2a?!jbH zmC+PZIhQjv+0ysv)16n-mf}1hLmA7{rLz{0#bi)hhLgj}#npi+3FWE@5v0nJ$nj1w z=qL#{oT}a<3@@PXD5)4Ka0UM)18kRq^F=itrO0_Tkl7q=RW8(4#lQhB#G1oo6Qj%+ zk;CkoJ=28UP0P>Gf9cY(>}CQ=q9dQEjLk)#(>Iu%bXSsD`8n8XZjg}HbH}Ag>AiQR z#(RH1CR@9CQU6LVE;6TXIH+&$rD@0Sq5Dw{L(fLZHvGVVWfHY7&p!nfd&*acoc|03bByF!8s^_E0V1`QXt&etDaBvgO zxfpK^&(wqOvik43_8NB&zosT3$IXZl4GxID*p=;#d^&Hxn8-stSi- zqFN7kthb8Rl;}H`4=AE5-MaLBwYL*Aa1IwPd_<%n8my5QmkeGpWk{B#QDiYn4v?%m z##`XZjUqH~JQLr%WjK{>XLaF1J#}t#PXWaLreZBq7s^nU3J(^^)$`?xVn2*t96pW> zJC^m{v-h32=(tS>DOy^vS{GFWiITVG>Sa5xmcm8O*?osv06|oc3}kG6D^d!kI~s@i z=yWhOM%OEa=mQvhvf)%x=D?tzi;0-g+KNdLBp4g}t1^Hfo&!iFZKlr2HK3x4sfyQ7 z^rvx7z1;-+kwIGP6z039`=x{J^re5t1YZV=7jZJ-4P8ctgq${G1M$?7tcQa)g_lhuyWA%;wD(Q-ru^Hwx07*tBrC$)rz2DMZ$p`lj89UA2Xu-#`uuHLHZI z`Nw~tiGnsm^jSNIst-EMwVXlD)^rFJhWlz~32%=uQb1VAHGL^F@%9;brKL2&OsuXt zuBvgGc;n2s!bR>9VHQMrd-e|sEmK2!AT?8{J=LpquA(}TNJzo>qx$VUW7RR52Y|kC z51MyMs=ihbjXh{WW`^(&67vKX(qW42yJw}&piwC$#0>y+Q#J?`i3upPIaUhH8Q4sL zHBy{CtT(|O4i}RK77cD{tepl5-yFv&0IV_*;q*wH$M(PY4HpzNfZ?pXLC9yK;a_h4 zzvVOD>pu4mTCrxuM#z})-Id`Dy#(sE{4xil*d@c|wnq@W4{E8JpUhpkG z`M)Zf_x9WZ70t?*e+$C#`7H=%3j_-Pf0A(gmXmN+c=_)FplGXaeEfp{q3tWqtnvxW z@{PUeQ{(TSblG<~(b!uL%U80G@2RE0;7@+>LsA1oglU!O8${A=NvE8)Z1yv4em8Yp zjBUg_fIPE@d6@82ocDaY(tPtAv}oqF$?(5tjRuM8^VCHYzsYdtxkU5@Q5 zdbplD)|Ip=$`8VFPS@wH_q;6&$w4Nx}Qz*HPK4F+QU=8&vSB)?v?kc@pQf2a~tmfQGziIlU+mGCVG$+ z!p#Hxur;4K9{+^SA^aD@zF)kjeg=PLnkuwa>9aJ9oG1DdBqHoaNQB+65I|0Z#=5;} zxTvx1uM=o{W81j{m3=L&lzVL-viy$N+EcnAg}~}tZ)Q>;Ms+iZO+OyE$=JdUOam7G zi7Nm+pDszm94JcC#AdHu;GkCVcTei#>;QqS28$<63_g2TtzC=HR{tYye@B-KEV|<; zuXLowt#49)nLCc`W~kp4M1D8G+xh*_dkC+AT+K*#8o4iuOt_EYiH zKflL$5XjYffcf_p{%ebs4WFZ}gy`i0)=nhP`qD{h2!|W6-AF{&25yp6e7L|}2XJlM z$kO_Q)MB)qn8G#Rotj=B;{bXKvQT*$v36@_b;!A)M{qa22mb*7e+7P7`AMoNtdggV z1rZ|Nkx=8zNpYyJ9ZspS7h52q23$ur`sd=9OKQ$k@2Y!ERB01UHQT)YbS^6VY4WR6 z{mn;jHsC5}2bPXRE?w08nPS)falZL%k!uv=y*k*PAKYkrRJX-$e7Y}F|BlIq3QoIg zz2&Oa4CPA35bT8DQipfFP#~75LPye(nR#VM@u+GuVS_A_PV`vUZZ2b7-IWESs{Fhv z+_f>r6jI`FRc&WL+SZu7FcHoncLCV9ZH`K1_JtCliv%3FVzSKDs&ixziCa+q3_Rd+ zJd5}as!i>Z4z$0wLvf)C5sI1bO8M9qE=SXMoFU}HA*Jpek&DUCReP2Uw_@rw+f+`R z*U%ynySu>C{iXVz5R8SD@c6%BTwrT$g8 zg_KqPq;{LAEbUQUjs)cmBI_Fw{^T2IeRl24!AOYy7b@6R|N3!y4B^tl`7C%=m7%To z381Kc)Rw(=v&#K!V$ipu4M%}<;_IhFXsZ1zU)IhNU}kv}OCjYVf$qBr?lE}Lkhw8^ zzC^WHaWN6Z8#6N$Foe~3W@d~HPFiMjh+u*7{3R78kS?~oHl)J+I1w?7W^;3{$}vA6 z_(=QG0?IdI9n+CbQ^U*{<~(|(K^)aIsIJIV_0{IN{BJMzali)V?M22jjWA-pQ0lUd4>AS5xHOf61}y+_k$_tj9d_T5(@JZ9;{F?*T=5}0z1dD6@XpOsYaG)`o;--#}+9<}NaK5-Nm z(+pVN!HAaUvqn+=R1xw`u?}Ioqci*8U&JfND~^?>jaMZ04+!h^J78=OKyvG6O0Y;J zP07!)PSpzC*ndSupj#Cv%M4=>Tql$FFcx8Z1LaHdZOy=<{0GDGTgW&vK8h`td9b$2 zfFvnxRcn&Qbya27SO_vwSK3dXFL4!u7H*`@?$YX5t(+8R5%C8Whz_}Y z!2$PU`VxGeU>f1Tr?lz})6h5#m`{RZZmrp98;|Ty1}s9e^lQCc zTm=LEt)qE}aMD~AR}5ecXI_2H>c#~2MO9If>hX`1LA)(-(t2hH>(9t*StAAJgVcOf zY-_%z(_w$Sp9+I9!PJT>*EDy(#X1#I;!;S8C}YHxcKAn`F5anERIjlm)Yx4%N5w@! zn!48t;s!@PiFw2Vx)^2G&5DQ?+}mwN1kK{ZMkm5QmDhZjp<3v!PDp{&0EJVx4U!7Z zig*G2JE(>BB-oHSlx37|0caUfH~}2FD34Y+9RimaDgN3KiF=W=G8(( zz%UV(4{G&G84#(XLIe{g-TntDJOWgzlrIqPqnU9ujZ^(2HLKQ{mf3eD5dyB$VEVdW zR4-NO>|BeY;={BrYuw5qW^j+Q1))Z(DpoC+Zyi}ra^4yJyXP0?k!VtLbWT(c+TpS- zHlG&Vs|>pUm%>C;L5oBy+Uqlq3k*$Y=A=Lwx=@I0l$`J7<`cKR1 z?G{1rRSj%&sxjsf#{?JBJBwcqWm>Kd7M8y#`$6lV@IY)yQ@4( z=v5g`tvYr;G7>~#s`DOd0b@gE{|A<_{>nDL8XNOSJ`0Smc2i3sLsFGmcO{biG)P&5 z2|2nAi75{Bd4~cM=vg~I;YqZ84ZsRquwVk~OyVl8tL3UuaLn$=hd-DAZ#Gxaf9>=V z$hr)eAj$~)4cA4$z$T9lZ!L{%xGMs|A)zp&;&%>qRBBfol6 z*YC{==T!!PmsLBD@Q>$VY=P&sN9T+BcA8yPYZ4bV!E>{faq~Hb<|85;{o1VhOi?g{ zww*4)`P0Pl(R)=OSMle^`|R{30tQ_~XE?UzBh(aFL-IYn*NQ=ysx)GoJyt=SeJ0=7 zcZE1TB$WXLbi-Ja9s;8$QY$Wsfc2umt)VC&H~8A}Fur*=XxPf?1yZ7Gzu7Fc^PX?4L@eR{g;-gyNCpJcJK{>Q!+NIbTfXJwjWlEk~y2 z5%K&e#%I%MCw*&_g*b0n-qLsNQMPqsG$lYpRTX5zQ?C%uWab}7_-sP&f!!GaJWd6d zaiog}_p6})TFMe+R{*VVgmETEm<(g4mfC-{)CIjW4wNtMfpa5^oZbB9IL1n5JRU7B zh`@M-xL*(cbeCCarwH7@LeZTukjM`VbS?My1pP zpP(Z6iH2%#a%T~K&XR3%;J7VQQqMGK6Ce_Rw@1{RtxK0wH@O!Oeq`2tycG%$%vftv znF!}oM(OkHW%NBZ$4y*z+0EIa6i{o*3)v%EW+7(>u(Ed#vqNdTF{u0?(wt;t;xDMs z>my?RPxeK@iWPxY7=vs6e`a5J`3Jt^{TDx9-_$1mThxSk-p4S02f)nB&vz4U6DQ^!HW&9dU&)S$KIp?0DJVrSlOm0usPvQRHu3%iyg&L@l+K z$EE}v-8lL-2nH&0f9-91xTAJUqi$U_q+`?|oGtxhSNdMKGJ<)3tf}C2SN`x?#lya< zhHBlH6)XtDsI(pTJ3^{v4f}j>wu(pbt+`#v68qe!;O(LWZsIuu$pM=c6`V54`hziM zMxp-~Z6oXAtaFtjP1x169+vkoC?kYb9BsTOZN}xF5@)xXaSr$fC}OuyB3N>brW(7- z2u9g@=M3*Y04u}hY#+H9ouMR*u!2DVzg# zkxXG^1|S)Nusns|4N)X99?!TppAHO_ie8!0u0N`8*9@hsKPctD!iE(#=XUQW!=WWE z3xCgjZD_gvN$)4*P?`tsSCx^-$1E%nQL5Df0Fn!=u(`s0``a4w1Pxh0I?AUIKhF7q z&htC}^{*C0P=vGr$mHJ>mE7lP8fgDwOC!oB;Yf$^!$r^jNgac83FlAB&vtdwW~JXA zLOwL3{EK+|v`>67R6fD>QR(XP`6o4|#*64Dz&muMLGKegEYgv^mcPu5s;iSZ>knPP zVL4hKBO+EpunU*M>n?=NFpNf4Kx&Tz*kERy(^Lej0fc}lwKagwRQ^Mip|z9Cm`A`E z>7h)@26rQ887=EnTp0PClXu1+HXr$eY%2BB#@Ut^n<3!}B>mo$bL+&EE&aI|=f<|l zU^Bn@(?{awvr_JPlt)*7B$ncLa+M#O=hbbw%EBqBBDV{|4x&|s3^+!J0yy}$-wNPp zL;>8mypRKvmaV7v3ZVEYB$yh>adsPSs@^d^K6LWWiK|jbU~Nfi^39qU2c$Hm&JGO# z0-+XSu$jt~Xd}WdUZg)bTs9yyAP0YZ0_W~5(s4QKjIHV(Q3@$g7SZU%(^{_@(PHmqFXBZ*3@8EHSO|dthHOIt&0;P%- zP3r5gpn3C3@^CtKeH?2YB0>idJ{@1j$PU_^vhD)Mp8kaHAD43CdvhNzh#lT+nPg<;rH{P8(q4VIqtn^m;KB>xOi&F=~I!{i0q< zE-qjbafpNUWSl=FAfQ&~gJq$)x`w9Zgp^P{M5rZbfE3Wd#)J5LL+=#@p`Z1@Tg(FF zJKxg&O>>>yB!eyU>65w%t=67mVSgjl={(jv=T%l@4(g>C5Rm^8A|35*J`zP3SmE0R3vGmVi?aWQ0NMb(Fa={+GBHz02^GY3lUGIACm-sF@lSY^ZZmjK9b` zY$N~_;%{X`>ZF#6^P*CYIjzorKaq4BR@%D0Zn~}ig{C~sFHlL2nlCr50jx`4bDwPR zaoLDP(0vtGHO}g}a>kMPpVU3XRQ4LOR;zGLGi2cL(o?JAdJdCN0lhg&<;3_|W0V9; zJVYeGfflpBf?NvD99GcFLIrvz%MDJ;RDkOZXxnm11-4~Eb{gEautg;91g#AsRAe63 z!R63u(Z$0ZgbJhy$DCleuHc=nvFA**pn`0jJqxxk#bDzJxzS50ly~pG*SFSggMAfv zTaiF|{S>qEMJ-evta4clbtdV6=piC7o0+9)sw&82ok#gYOh)vCK}myz8T`z&q9Pz! zqPRQkr_W@ZeJ5bEwMTtckS#%7&Ytc(j6gzf^Jf9m1IoBb<#3 z1TTc2>S4|VdYEry);PJ!@rnl+TVuCKm`CTiZklNVl1v$cf3xkz*m}E1y+;HCY74@$ zI1x59E_{B^SrNCGi|cTV-=yEJSW-Os1>s-5j_uAOliqgwSUz0I^(2 zd)q~@>&(C)4oZEyr;Jy9QO|{A7_RZG9_V^-%bzq|bR*687ntjC#jPVWxbrinm~VJw zMoCq{MBV^Pu6@bOJYpQIile$Faqg}N>?{e*C{ro>%E$XF3tay7?dt2N0TWhKTb1&P zH8!G!OMPva2WOK&Fm*{5TJBL`t*PwS@6W*qKL*Z8-dNu?8qIX(DAfwtqL~nwbQzLU zd7#>+M$h^%wNB-X0_PVkn@!3Pp5^gB>Cs8*>4xr6BQ4obn{2n?z zOSDRalL@tbj{a*F5YXn>vQa+o^!aiX><$)b#YGzPkr%f|iV8AC4Xc#O*_w~X7AwG; zh4>c3Jtvmn9I{GMO%}oxGGs^DZmG07+GPg_8Ay^c^g4$x+(&k|92v!1k6X|mj{AXB zj038t%@$R)INH-)q#(33qL2kR)kT8IQ^iDzAF=&Gm8&cjY6~1KZx&>QR%(|^Z)Ux% zOO@}yG6Mx6nmye0}Bm_b;nEwXE;3mr$^u^B(7Gm zA-JGeit+?-w+u;ZoIHz>EwMO-y{>T20RO>`-j$@1Pfe9im`7X(d#bmbCSh`|X#rsu z0NBfJ3h${%dIX`sVyr4Q2Ix{Adja1(WaPKNqrSl@WD<@xEXKqo>q^*^*EUf!gOhPi9r*CMtZf#@@7BOoWP*0knHzM)iKV zY{Q}a9#%u~Qt_svxo zbBg*Z3{}P~G4p6vwqcFOI@jc7;v<5E@s{rFO6g3DY#oLkBXeV89~8W1QqIP zK9WdBTRlRehIeQAT)qh5Ykzx%6&H&Cx7bt!4C4|kf3?fKrUcJ;0Df2Z|K=I}z^1>- zd!6^HHoqXhT_Juuy#FZhiT6oO@!R)%ztZFbyk(9td_^p5k{0;#`v1!@7V-YQ#rw@| z{|Qsv2I6z*)p%*evtZf({r}aU?_3cS^32M;UcWo(vjv>_+gH50$|s)Xztb-^&F4m& zpZ^Wt8s@z3p;JEf41hP-zJZ&b269Gz@ryA91s?|>Ey-)c!Q(I5w#Yj2=P2h*P1XSIxJKH`bYGVb zd+q~A>idkKkD!=iB
    qB#4TthUSRzhDF%cU#w8KQIbZ>yE-t{Nzg|e)`nCMR<`#)uRfA-DWAD#5^8VWC?m%Px>`qSrMHGOlYrmw(fR};O>FTv0N zY2yVGjnk!mu~Pa^J~!sFYLIEae`YoPB=e~!O3mgfIM$%erLNTzL7@Wh*cDgu+2D!J zbosNwN*o8Oc#u=mlj&Z~KIT+{h@eAOYoB47CGQn5uaxNQOTr1914o=v)I1oDw||eV zm?#|JJq-Ki!3&SAt=}QMFx^fw!k)YJt99%(gkLP!@I_D7MAB`|bae4Vonk=r#qWg( zCpnlU)V%FJIr)c4U92e!=Zto5U1a&lyTi?s6uS83=q98U0=gu}bxQGDR^J9 zed`4TP*k%5rf>GCwZ93ABWqU>nRGdBANK2|IS1rvB$)3&Ed~ZkcS@|?NM**rpk~uL zXY0nq*HrPqjzZ2UKU?RqE#r{V!ILF~#{}hfPTrxthwy)4y!9iCiZWLx4ehj;2Q~~lwM)Ue6*xh_x3Pat@ z_yGT1%}Zgh_)IpRWpQ_F-tmkxk4BJ`g(UU$uD;l!QS^>s@$5Lfsetxfg4y9PIcQU% z)9-Ku)QxUDDm3(8(a#BXLvdw_1G&Q?n#UY1N6)1|V~0e2HT#&|rp>Nivn%C%iB{Kp zEuT{F1h$rM0kCp{HjW5NV%$^Uy5s-tr^kgb&gxA*t}}=~S+w;PT7moDIq5jRAO~Yx z$1CH&q9aO82YVC<)uzxkfu!*-8Sv&w(Y8zJkm~?Rc(>Kp7qK60i=c;DPE2eC@fDxU zg$q+6Ia-_v=~2*>Nmlh=r9+f*D#-jqJVo_Cx)(PoK&Y#S2@Prjozu55zPnIeq=RV= zWe>+=QibU8RMs=J^c4{;4q4&1tBZbkLmx_xR zXYHjlgioQWhwO`e4~8tgL*noxoSWWv7skU)mWFwe0{ijI&h8iw^N2h!7@#Lm$o5v0 z0aH!oM{qAgWv4^?_k^$#70jKb697O{8~+v!wS75d2!A@c&TgE57tXm1**-wtOhH26 zgv&0;G0Snb&fNTG3wG?x|!@K26 zI=jdQ(X-1rAA=1y0i3;veWOiB)!(C>{>PPT_NJozbJ6Oe!pF5@5dC8vHu(IVrs8Zw zz`0j-EZgdUfT;+SB^DP=0;_py3fhcfa&QsB4#FR+i0E-#ASbwAjFrINcxYMW4?%Ex z_vTS_)(qM2a3eeCE{OWCLBR=V06@SXtyYW306eQu0mD$^v|25XhomPlv8PY|D<;S+ zqxU*Wd!8&bgL~?p<@ec?cC>qsRt?@km7(Qqv^9@;gpE|*(e=M{UxK$`41@*B5FoHv zllN-JKJP;q7$U%a%UWxlhi*q$P}2afkSaAq7(LiR0my~Yhc;eztev7Fh{0n{txcz{ z;3N^0n*+*}Q*?IYc;$CI!DRdbIRBMHS68^d?f)wm-hwULMcqHpD^__NOJ@-8Vmrp^ z(rh38(r{A=3tVE_VzpnWsu5XmIG-I7{%br}kO!zzA`x2GeLR|2_pFZ}r8fKo7770H z(pMEy%w2aBw!BRG`naVGm=08otNH}+5jcQxy)XeU4Q9+TKw{yE!xu$N)Y-L$ii^`$ zqFE7WW?69!sX{nA}!1h9zTguy!q zk&YdMy|q!sqAEW#(o*K`r_TTovRFdz70imGPcQaX!o~M8sjp6?TMLy8ao|4iizI^3 zAf7af2q@3gJYa>E@E|eVAc8udMW;GP6mMTD6G@BcV3z_@fq`8El;t8WC;}b0$Xt1G zh-LIziikmkBz0Gm4QXREK43~v(a#2R^q2z|3hpsqD5ANUnR`Ywr%Ku|=(WV|zRx^r z?W&5$^q{g1pJ{$`eiZ#E+QNvwXqS9NV8V*)(lJmR5-rgvYmulU0KG3^V-R309Y|TJ zIfw{S31tS1l@Ez@fUv>KiM4Y{5&aNS;sI%f0B0;q?kwIpER7uk*abGQzYFJP+x}^o zL+{cqDSJ|Jq2mnx4Mc`?a8&$?KVJemt{6jC90u0XPI90^R|zv(HCXp8X28Ry4NH+yC# zm{_|&+I`(fOUL*>?$CWX+Wpzggjl`N$OA+uhT@iz!Xhz7)8kCaMaiRa^a#CY7G(h_ z0WbtqU=wJm!sWB|jBF7RB+=I$mE{mm^2+d>UF5^MLV$C#cS7`NUuCiB^qHe7@O?T2 z5Op-2=nF5?<8iqq4bfz110Y!IR6;WG1kfpjQ=Z3oLuI%UKq>+V_5|MQa!-AKAM1WM z!i|jgEOcjM#*(|m`xakn77q?Yzl#WLOUZlJr!8s|K;tYVOO)>}sD8po=nb~CHV_+E z%}&AzHkOC6RFDO-orG-_XAr(ye1HsM3PBMkq}=g_`eXXuW?5=Ti4o=K9cpGVltw5WFCBD@6YknJsS z&18`PcQjWWyF{U)yl@fTwn&F3d>11+Muq+$zK6WC2ygmWADd=t#Q7jkvyJywf^cC@ zYe9JIWDpnfLP{*s{)!Raeif913BaV-lR<#G*?8M@TKRpDO7Id0%%Z5jBHUF2h$PA< zDkA`0t+>R4c)2Wh18=eKJy-7Ck79T?*;9W{zBlz#)P1}S{5l;_+r!N7LOK#A0rQm2 zBkZiE>JKS!J~co)mlN&4rPzCgJ*pzBqhhs4-hZuJLZ6v!@l7JGQi{5Uh@GFkFv`q~q1W1lJcat&Q=X)p3nD;|i^@DQ z1%#*3Ry4St-~0w85Am5CLIe?c&7moCk92S6q*iZbhxb%^4I3s0?o)Fh0s|9F=0rZ1 zkhXWFkxl^Gy-I<=`NJohH@qr83_v(XQQ$Ppd0< zWcSav(1-aQ>;4R{wKj#bvyLn6z1Hyhkj#h(^T{)bYf4l9LnsmlYakBi>ZiZij>DF1 z$19d?$B?7Ha^te?_;>%Y9q$0E@o%!K!H~y2S{x=RkH}7BOUE>?-`|OJ6 zU4EZ);F*(Q30f0mYw!yUUAtX*YGcf4pMckv)&}4FB`BzI+w;J7!c9az`Sa$t!TF$U z_1Z1aA9!Fhh0tKr+mfhx{XsDS2C=;%^5H^x4wRSmltry1bHQXgp)qg$GYD#g`)|Iv zdBrDhc*5!q`aC=A-i`W&eCCRr_X|t(IkCkd*dOD6DtOc@WLt=T$}88vk_`0XO*znu zwzJOa$<%;&*HioH(WSK~$pL0|z){QT^*32-4=r()*3m9+9o+W+u=Vb7QP+7Jw<4e= zXbzSd^NhXK7{_$U{ zzFYChQo(^mT+hmPhr2#MIqQq8-(SmL5*&0r=O^KZHGkz@FBIkcsb<&Fz~!F`uV7RX z&XNaiBWthVxNPt~el*auw`Q(n{z|hbs3v3yDGO&hnv6BGnip(1eNz5{1cw7*Q2By? z`yoo|j_TLnRC*paq6PxvpBGtFp@h>U7`yON`jMZ5ZNJuB^1_@mx{j`6pZ89;IV2~q z5Y>{MojyUg;xDVk%AbBB2L4Pa)=63#2(xaLWhe1i*3jT)Z`PuGb+>|{nz%|Chn2m7_*KO)4K*18bc+@aQmdDk>cH7kenRR&A&woPp-8R4Vx&AX?Ab*bw6 zVq9)XYW?YXOU&Bi(nTB6<5X{sEZErcvg{?lIy2c(4C~zqv+O1L4yTt5i3{F|mX~2- z!HZ8Tu#|o!f9cFE&@N6S;rWi=$n>AdHG*~^v#1~=yIb+os;}>y{PI!$LrQjyto3ao z=LifdtY+hzr=ow&A!l9I^XP8Hs-;3`gRpRUGl%tn&Hq`pa)0~xmrHLED}|d#`TjE9 z{=jWos%}3v_U$F;Z8N&ge+TeLg(PH|`C?zvbcoDEh?zSl>O9^&iS7q7vgtq*JyrT5D^c)CA)B`_)kevB`EQ(CR@Xmx zE{jNv)k94q00tHO{_RnBKLG-|-$lYksJe{+ub<>pJiE ztv~E2(l3lQUM6I4`Z~1wTO!?SH;tHllb|_jJI~l20l&;VXLsjo?Upj<^s(KgT7Q2W zA?sHGOyO#vDs$S%nwC~U(t~bkmxcuKwqNjjM9U|rS@+e0Wg}F#_(%Qn zv~-~Et5iy*hnAjdBi~)Gn&DKuIzmuFtT~d}icFA%Xbg8$_>c>%{4H9%o$QWmZl?HA zN2`ay8(~duyar2}SI%4CfL!tkC2yyyTRaIrGgLDssIb19IIlpdnVx+~F1yWQu3EbKfDqycQP&Det(vYjFy}c>@#C2oZINnD z)h>Js$7EROO6Fz(Wssm}q{jqkP5`I~0q{z&Lhg;b;7l&KMhjW^u?lML>4+(+a%x8< zRU2~ChG*Gea~R=hk3{pn3*{-97Y^ZRjD>HKEUq3*Wgi2aVW6s z;&6NoTB4BUZMqWOwSv5{*-6MOtyGJHP0ecSB?t3Vy6qyR402a)Rhm#Mqh(oDC@1j(ds^RZta72{+m}l`@aL5`McC#vb_|ek+ z8N4D5=3xh{llB8E^UYJdq7iI}a?}_a+g@rvBk-GcVg2xAw|R=c(n)l_7Ti-QiS927 zd&vOiQ1so8s+c2i0A;mNqEUw+jb(yp*+g->XYir>mKIbP9X(<~NARi9 zxykYKRFvE_ek%TVsq*r=ybGhF_VIqH1#t)QMbX#N>ul z8t02`bZxr+iDj6F{JLQUQDc%I@McbW_@gij(HRhyg~%g1G#6`G;RP3*skY+GthJu; zXoN1O3oVpD= z<_up?HMdqRNlD~ABeL?|i1kdJm!ovz%WQ#hg~~06iO;P%%pa9i?J?`Ih>C1+ci6qE zfUl|5yN8>0-P2}=dlcB*f!jgWxcnR}N-nk5rQ+{Ydyv=PX^oa&or!O30oN6}Vb?pJ2Gno+>L1g!N`0A$$CVCY29(E5!V}X;O zP1PwlzT#CZ+$2Kk{sq%L-eFq{<7*)oR+uXe<`l|a-R!H1Xr%t(8;9F(h^p2`GwT;v zQ5_xJa{8N8MZ%(urW8UJX@{dO8;8r-b+=hoUX1>PDLw#x+%qj%oK|}xPX6Fnu<|;& z@r|4Wm0wmQrFXAg@Vbt*boDh}^m%DQN*ky|?*!0(a9%|DNKrw~6d;KX{yXXTKWYaD z&nEEcx)&`(&Azmzx0>in@mk&%)dYQgud~Z!V;1Ix4}QqAwJMD%ZP6|Md$f42b*!D6 zhZbY9Ou;8+GAc`%F8%NoV4CQ>!n0?jftvH3#jpdk+ z`{9(6mJgfZFy&yFvT8a5l6=|x7#a^?`+k`IDPCHfDMJwBz@x2@!zLBV3GHz+oBwCi zv8YbHRhy+U^P@%&dId2rVts7o7YW@>eHy{mgj|N%LO!LzvrhX6Yk6pzXELQ|dQ|ha z_QR_@&Q9+-Q?U(D?%kwZh>yzU=_-0Os)NTK@)~oOHe(Urf23keAjMZ2AB=cLS_zRm z$Ez+;p0M#_GPB2*I8RF1>?ChAb2y}{?Q4{j%mG0|HCS_hT(fusY**D5 zIH+Yqv5fuJcuP%_vUEo?I+n4n%|St#x6nt*JYrB>PuO@xeZuoNq}zsnXf6HW=IO}` z-qhi%Th?P=?MtMk5z&Mc-ku7IsHM%gEj0?lyh%elDyQ8|*{KHI^6~qobH+SgOy%YV z&HMZq6GesgUn2yJ;nz!7`|%+%K|FNLfsP_(A*#+X2vveBsjnRSSXJ{@sDVxwGaS2n-5ddTB<) ztj)9LAfFhRcp183V&m-C;N?%v+_m>V(;|L_d7!b*{Ph2dhJRo4I)nEH(t9T6M(%IH z#2LSP@;|x6$(aj3p0Rw^3PccV0-gz61;TaMqrh!5((WRQ7_@CWxK*Qp=WBz5_M8g3 z$gEIf!S@acH8su(PIx-#)DtTO3!<9^;~^s{3*Is{1_cB7(;U09$i6Rd!Ija)8`rG) zSIEPWEuZW-4xW~Icfh8nM5{T9>$Z?F&;Wcwrb93JqBDAgpYTvRQ4<^#Ki9YU*v!q( z*~{m8te3v|{fujYcYS|b6Zqso&*cZlf>z)4at{UXe8*jUHZpikR^aaDKpxZDw(|U} zfRBD;rpS_jt8p&`J^K5vWq*thZtzTp{8xO46$9tx?2wHuwbQ(YyNmKunfWnHB>vOq z=f0E1BcEtr$c=Vt8ed;ndTi#Gdl(dWz{;khU;cH&Q>5yJBaLs=uRE`PM-{g}a79bS zGmnC|t!j+R2uy2w#{l|~I_^~9d3NJFvAB7!HO3iWR+YV!=UKEtE1dJ93DZ}xvTt=R zXP4Fc&8bWcj zs%ZZ1Pn6i$LOMdbm8+k<@#%x%3MrxdClth8#A6QY{mtX|jXTWo-O6W#=fCTfF5~_A z{tpaUc~8VbQ|*vA`TjXP4#R2WW!h(;oBCaik7{*%ieb3TcehIGcocL#L+g97w_|hj z{3TPW9pz6fYulvFV7et3Cp5aN5IDt4S;XLDk~&M`SE6xV7GX22(2dgp5H%Vevgq_(GqA|wqx^$q;sLwvnFdGH(>yQFKP%K|7`M%1f` z>tA>3%tQ3&y`B02B?!UA?+$e7N0VYdOSjWyfMIZ-uRz)rpjPN9gjAD`plE@LUgQ*8P|*b2+`#Ng`^0btvzen3{I}YHq|&eUqm32H!%cnp9Q4;b zrg3oR^yZ)^Xw6(w`9m7(2B`om_OZ`s<+PP|q#z4?=~m&J8k4A!w&f*~h zR@)hb@+SD_Mt@$Yi)*kj05Y_rgAt+d?+jCHv(_Z*A!(5E({@NfL*-wyCrPok%ah&$ zhC8{TL9q2et?q>eLY$SnajNv$A##qi$vr+rIl1HfsZ^JS9N0gWSKs-0<~>>{ zC7K5CJ>oroA4NqB%83?gQeY3f2G`}n4XJtMhm>mlGe#+pR29$Ds18#&=xT>}qoL49 zi6yJ^EojMr;acLe3bs}N2ZL`6=PFE*$F|CM>UN~=2b-y%A9JFDl4%+Fn~qVZv&kC27%nsBc5sLK zsfj)>^$l~A{LD5BKp=Q-N z$!Yt}Ab(DOZ$*G!pRI3q?J@d1(98>db6XQ4qoRTLjsYXAv!Gj+Ldr*El=zWvH1r$U zl`Wsq!t-Adv_ep?`%G8aSYn)>f;rSlR@-{Xm?Snoioag7!bz>SVEHh0PY@-y&%VS+ zEjUi-8Nq-})BxMtyiWdt=no#u4uC)^`tq6v7F1=JzAhrF(9>NwKSUOR!h72(c~Vkbr4bhrOA-@i|g_DBIW(YQPA zN)~T0w;nYzt8IA~cT&Ex2mur+jV5QD`fx&mi40e#1Q|xRV#;`@pI_!|(_&+Fw*>>! zv8iG1$q_dg<*A9NttQr0>u}Sg5 zBqSy^UBj7X#JWUU=$fjEX`-7P(;DvF3FhO=YcEc2(;sT30DsCo4(wcsse`Ig%V2THXhJqAcTJHs zJznZ;VI09pp&nmrYv(3lu*2*Y?pb^BEBuc<%pZMUJBRt!!`m+;OfPdKgzne!&!_=l zPlh>F(+oF+w&HzX$_{5L<3qMEujGNwV!V;D2#I9Szf8Iz0> z!-Rj6kP20oABvRj48j&Pgf)8NtR(Al07u2u@^|ZeCa~>>63szANJOqE4D4)biPcQa zz-1`^%TKy@Rjo*(V-$9p1$){OhWIaf+T3HmR|^iCM%53#-d#!K0ukix_B=Fw65->= zB;KQ!TGgbGl~nad+)bz|5RT-R;@q0Xs1!3E`wD-X`KgSlE|AMJ4gx>OR2R62YG~}Z zm|>$UztP9klZST}s~Hy^hBvzu7jJ(TH%Z7ahWIhj6&IZnUlaEh>X4&b^zK|o658^1 zo%g1u8c4Zwtnt5dhCv4&chfS*{*pfooiLIShQ?B-*Mq#kV99pp8rZN5U1d&dRa8$# zQySmXRWSj_#|SWODa>v>kG@`kh*&dRQQG!1DwuJjwHi;BVjr3a7=8r)Jvt1L^m;B2 zlZ|Asog0aABA;T4v07(9RKnxlY#W;?kJH24&e(eWOamMWsM>5kmXU*mEFFJ*PO8Im zt@HEbw}a>Hzu3e#)2-3=q^cuguukN;#^)1Zq>z66<`lW$n6av>tiCGl zw7=4;qi*jIohAUA7m|8)x@R%XT)P%x={`mw)~Y_OSQw3sB22otLq`){y{Wx?h!wxO z2;m#|w97-tjH3LTcv9g^Bf5^xW_LmXQV%Li!g*hEWmSxMgY>~&6E;c0V=#5xZ=*%y z>Za1jW7vd^*wtTr>wsME8)tGXOtoYCdo1i4eVaMFP3GK= z#cJhXRZQM~3XTkZlNq>0snp$ZMqkx&xIy-+!&wrbp6WPLL4L%-M&Q}L}AHj(&<4Gn@!)zRGZ0kdzamJ&`+(#he84w&a+W zn`s|a7fI1U4%UpEb=nAcqt+u8Y&H@zV24pn(W1Mal%|rFC_JITpFG<160j9kSrsqT&rSU(FcO}y}Fge^VUdK_TaD)m22$}0k- z(Uz+*?@}T~10maOd-NXE)lW`jRPE7nl%y!j|?WYZEr;0)=c!coNU7 zmUS9i9{s_{LBdizRrw)K3X}fA*JsGn{0= zZBQJS6saB_s;)Z6ex&_bw6PZc~I~U8mGhyN+SwFqD71I!#`ov z%CNWo`Q&p@D^*J`FW|Pl()ja+2D2(CXz^#$C;#c*;vYHZFN(Uo_>iW*eaZRT%erR% zsnx~EmK`%OsWt9Y1;%E#u`NLt2Q+SZpf0(UJrZ<&pzC8yKo(Og+wz2XpyOm^qfJnZmAp$lu(*ZsgXr1w%f zEg>1QU2&8QH|gT-X+*$yfA@`5ORuAKyUMUjnF$_ld+|i;kH;$wG_msTT2h=!S6hN( z5xryj1;}bmux_yYMv|Y^Qq6y2$!K=#QRVzlb4{>O`ozi;%v*(ltu9OThhZ3Y4!9?p zsu&drd+E?H;TWDq5U+L`1aIaU9c^KTpN4aNV}DnUM@tiRj4N)dfK?u3W_8})!h1D- zxN4#N*iYTk9@&baqW3NmlAgvJT@M?HS}R4&zAGSCh)l%nB+!TQATZO?KqQ{PQ6h{tdGc}(7RKe#BA7>swp}-WfZu5dg%b3H%FOnWkRN1 z6M+RFvvS1HnPGT$*jgHfZtiAi2RLbBr8iJ=D-$a|SQg|IJgt^-y3?MSKULb4Mh3Q+ zyJf>ft$2}bSm&G4$4S(>=UJ6VkVH_SOo!8_9p~Dz zv=mh*gB=@ba>lhuejq?Pn=##{lz*8rZ=B6ogy%hvf&)}OQc`~%KvX*y>t)3tlov2f zryXN6?8xGuhFjL(If{oO94%b2zRc}V!}yYP0Ty8>Rn1L=Y^tsEy%x=tE${Zo4n5E> z86%}CLNvrI135>?fbmI8s3}!ViXKw3*GXL;IbLBoLS$Gc(G1FuRC%c5%=g$*>8v2H z3*z7`9iX4hizcsYL6H0nawm?g9rZ240{md};&)El!_HwWlAW;coa1l_ujdt{xh(8r zhJKU4ti{zOcla=OVS>jin;*kDZPz&+46a+}v$G0`cc#3FaP0&vx#Q(trq$+1s^u2!vBik>OS4E~$6ojpLOVXp@l$B;~ODV|%(_3s$LZnBLY_JbaBG zC)#Qf;dYbC)j5;Mh1l{q(=AhUAi$rpUrFi5D+MVF^8`u2^*Ey}O;Y=1-3|qu)@g?2 zR&(+8V-Y8qi_WNwpC-pd67{;Gzf$3RM!L9qc&D}f1*sT$~(U3L# zUi$EEG5(x6z%)@G_#{jP^kTfJ#(By;{|pi%0sqCL>A7+HJ|GJ2zE z9{n4m>bk7OA#pbR!*o4wbFaaB#%rYt4MUVvltI>d*o`F`1w~5fo5UAUz52K97;cAY z^5A45brwb8)jaCDU_BvZM2$)QmN-T$1qn=__~2?Th=WX=!eRWAVE{iVc2z!*71xK* z0AOa18--cxZ`xab7A5RW)_+kn z-O3n+>w6UM`_b<29fmaNCSK3-4tHBlK*mbYazi*bbb?1Py216Di3<85TWjg|z2F(G zVO;dEb+U1Ib9>2Z>4ab@n+e6JxoZx%x)u+qec#Z4e)!~`_6od(I0&CHX(=mwdFxvX zZP1MTMq!TdI;^B-Ml-^0YkZ3PVTusOJSFB1T2&q}I=-&VYfPjhQPQec_wc{WVT}vLLXM-$WyR{8UxXaUNjq+C3j(0YD1pC z{7v_$(gO)ch`5RrAe)?)3+~Z8OL6ApwVD_$-56EKuBFV=Fa}e@yiKXzC>9ZH2Ve=h z5j%%@nY2Ca9^ac#l&ULh0uB4nuu9h5o<+ z?WCx;z3c>FnB#dI!yWZD+^JR!m3UR-0z5;jFSy5*Q>vU}xbi^$;y&Is*7V;w{Er z2`4(w^r~w9=>dS`EfsAswrTiVc&mz0cT*jafQ^bxJ$QS!1r#V~M+p{Iz41*awx&|~ zDeNVtba%wNFTzpp>iV75c)^|ED!wK4nCuQY8mFQU_0)CEN|sC%&yB)b^xSjj<>p*v znLJxt`(tuTgtoEdl&We=YQ1i`;T}=ziUP?c%ZX581DyAm|M_E8F*G*7=CX(}`fO@j z>jT$a2FgogYFN0T4QX*<=zjPkWqaY;Lf#CdVW0str{Qd{Te%ZEq9l&tI1?{8mRVUvBC z{iAM~KU7k(O@KminQ=c^Gu%tbIOZn#F$aBhbAp$s#&Tkrt<~xqB)>^F8>&(_6fE9& zq;yTf0hASEo@{}rWkk?W#I}d!doTnR79jMU*Z#N7U^?qlusyb4blNkDzZ$w*=1YKW zXgIMv0Kl*FXNTqFrvttwGH{X~6E*gI%}+^5`29><+h>_!tlXr`^EH;<*I<>~RPts| z0pb`e6DF761LcM~$elZ!$$G|Oh21=5)_0gj9;P%7Mdeq$E$k}@~D z={3P5E$%`{iZ`o7r>ZI9oUD%4YASj+QIQX8;A}8e-C;z5jqo%}^8l`fXwxPDO z=sK3C@Y}g23FEQ4zk-9zWj%u|_6bsqjDJbmp+5<~!?@brd0>bHx}ABc*+@A`xG}Jl zAL48_tt|5S#d1N+ZgIg){~=K;tTr9Xg0nWyQK0c3)CYUyW5eAkzD4M2~c7~v6r&Aj~o6&{(GVo>mc zlv%4T1xL(^4O(y@IQCNT^5FM_&v0kY`A?%Ta*FeU@bfGElH-e^GXk*+;)|G^;!LSK2R^yV6Bzc|?A6}qU;_=Dx$n}fnXFmK!9m=BR7jG`&ptjY z-9D4EZAQdn!6&Z5&h^Nv#+3Y7%jtlCTE`y{CH`wkm(#g z_Y2~T^Fn=)AI%FlNG|?s(T2o7?Dy>p4E#~DI#fZs;6~s?&r}Wmhzzr>&idw(WW|^y3diFii$;W0Thnfgfv#ItColQT(DQutFNLtk6Ng8@urYJPw$K z2go`ejJr%a5u|l9C2V|E+m?h#vl!X^I}n87iyd-Yz)zKyrD`Xg_ks zra9wY6r<-@d$u3%T6L3}rDRmcxwm2;Y*77?P+q%zoFE2tGfkh-A>$%v`(M}VcKU4H z|NH=O97Q=szyA_P9`@{_F{AA=}SpubGYVws|p&XOVB zb{|U)ecuHGs|GKSV{Gp2ii&_{-%G^l7HWoeW4Ck3%Ti%$iV=lz#?d>vZxr;C0!k)FZphJIVjo?_k-(;5wh5vci;HKfG_3zo zT*K}F1&;V`D2;Ww%<53nBm9N;^dz4M7&2dpxp4cdvZ3j9G;fQ5|Hk6-;!)kRe$5n6Z2pK4a$Nqr)o2aL^c!o*8ir`k9HN2blnhoJqTuLY_J(@t4ySLR^CS4X z-Gxzvya14AFC4CCCY6s8H4QI~?ZdgS+4V|ecR?;0B(Cq4b%v>ft`SJ;5KH=U zDUsN<|JU)#+&I!P&@CO)J@)}AyXPC}Ju+BD@m0G$(D+7PBCFF#A>@!87kSpgFlKO? zhBzi*2>ciR#KRN&02au3m<_YAt;G-Hu&W17){=z-Bd#KsUsm8gr>wt!xFJ4Z{21m< zKH8SCKh{o;7~G0%x|Til>@e2HjvTo32QM22wBBLO>A9rrr-%n1I!WnKMzwQa(IWBk z>%k7kLse>$aa~~q;Xv3(CV!ch*z=0F4|8m#A?1YRNF`C*Hlwnatd0X+u>#OeHd$?D z&LQ(co0~d%GIME33K&C->x$9B{O=$rAkeBU$XtTJAxV1EnV{(^62xwI8}%^fNFS9s zed|a2N}8uiG8@kRa&2mHN=1-SpGYcB!~KL_7@5|?+vHHs795MfPL*LhP>&^NQ!It~ z?sFHb4rh5WKW1WV8Fe>i-n9-sNAWHcYG`pdV!^!i2~FfRjIPx!o~CRDi^OR^qgOQU z*3ElfrIl)Xcw3J##BZx0%5eG*3z!eNqfEVb(MD`{t2di~rNDAEJ!{=fcvFR`J5Z2P zsLp;dtFYBSxLC&mvT%5_3}WW=`>TuQMvhZo2&PJF<;SVO1ZW==5g7}VMWaLgp2NSV`BAp=VFxE zoCS0XmcJ543%7U!b&zNcglseck8igAjun@KF$L$%y%(JxO~)Bf+#2)Y_r+ktkIDP( zSc&_h{j80m6VqAQS7OFU8FQ`5$JMHmSV6a#)-MO5Mb=Z*bRd=aHa3!9ut}M`o_wW( zyjpPDQa+IY%P*t`hZv7r%jM1d`7+Gm;yk)bp1N?OhW=cs2bCJ<@Rog{`=c$4WBPkm zD{Y?;t~#rLi7QgOacGpaGYHV*nIaauD4C6`Y%jBG?mSbVIMr0d`d@^`wc;O|^*TH~ z0PRggX9+kX==oIKcRuJ6g>y(5zRf6(=Zc+8Obbu+Qb7fZ!3$9q+`ae4mk1@BNJ)h} z?1-!D;lTmATGTJd-@}|Psda1)KF<`K-3Ge2;+Hzk59{U)?_IFfkpw(0OdTv0^h7L9 zb3KK;EjO8svx{FeWKSmipha8p+&v>1xsoQdXeW%K>kqvYhJX90BQkK$546`C20xlR zNq#u*A?Aa6bt(8ayx$9`1c9qbpza$QJU0%<@7-&IrK3%P=slO^D;%A<133kpG?x!gfzh`vap?he_3L8e8&O+<31nje)X1ced8W_%W7G9)|BT2|#t;8)zM zhI3BpL9nX%ME4)OeZM3a1g|F3onR9!E za8usBU(;{UH_|RDP4!J@X_J@ard#-X-0a3uzP5q;W=cW|p@1bK_DIz~m2g=rvo4cG z6ZkRqn##-zL>^TS_Shv?2Q{b~q?w#2Rol<(_R}e)3hCGuL6nL~$5w3}O`cx%kf~&2 zoHNWDWyj${W|=Wie#i=0ynu}(dIaK~saE0j$^)>@XwZk5r1Lq9;}_k&N&3A#`}qs4 zr<6r9uIOWMG9&EF*uyupwh0=)Dvun zvtkfIFyTSJ*;+xvY_0Ar$+j$tmS`Gt;zY|*{VQQgsPPA8xgiNBJYo69DX897!{JFu zd=NHlO4i8EIa-V!$Ryf=)+88wW()+wXvWFPI`ZI4zMQD;yGhP)S-=13FsZa|r0RSr z55E>4vk)I;0XZZ*7N;vYf`5@ui9AEY`g(OvQf^n-5GpQqtD2TfbzR=AC6}5;Tj?4W z^C7m7@+_2|_fv*7{&AR;4^+UOy&Gsw|7BYh6OHr@sFu;m&8v%SeiCPC2>ox(hAYb~1he$~o?@q9wW8Ky)-QqB3=s=?H} z-ISzIx2&y!cce$p0B{=0C;JBJ*BR98L=suuM8^zNk~J&|V~VBaStmFZ@BhY^mPaNq z*QT|Ln^MsE^B3lWdkjr4q^#4Lb9pgZV5VUlw$4mp=d=7#6%>5w2Olz19Eawym-TA zn<^r6u81ucV{~WMAh;HHz$Ef zdiD}xwRc#ch4WOUE=_K3B3b*a+)$PF6*2(iTDj5z6080mEe&^-AL>gpefxFi<)mTQ zZ|M`eL7P&x($)KzB|nTKF1os(Ug^^_LGJbP7lw%%4Zs4qW)~$r8m+s{*vgvC!#{2w zD$e8-CggYPr@=lLq@`1e^FCXi??;i74jkzP0`&+X&l<=0co=_S==&ajn^_4m1gGKO zMHvAKsDfko>XnZeF%VwYnZYxb`?$``yz|t@6zK;T^{U?%Rt#T~NkZJxBk! zUpxE54`DOrJ)?bo>anTES3LHV{W0#7L65!o*!kH@pL!H>{mE5x7 z+w4`(jRb#u6|u;$Ig4j}%T%(?Uy}OHzn)m~)YGrd`6Wmmya*A<3%@MdxM+EJV8w#} zf)oPd2JvZJVfK{ROhVfmxBpN5VuoB+B zb>pYjTWN&!ud8o`-`A}f4SbJiy>0%iHS2hn($(Iu&M?LKjF>B(q`s_KrZ^R{ZCJn4 z!r2XeJRwF|f`(Mlr2OOwQjEF}+}n(sgs#0C{4G}Fu~1T4I?fyCJ)hKdF=cTy9y+z@ znm-&Q6!N~s8}$SFj@Yo=94se?4Xg9@V5*)9E6VYkP#I8anbXEjEo}$l)ncaWHRrbp zVAe8Ll51V96=Mf8x^K8I_5S+F*FP><#YN9+BOYHk>7WZMljQyUg`)|Y4Mfv))W4nh`4frAK*V z=(gnuZ)*p!A!(SHJsOdUUL#_byj?5uvizA*o!RCLaqMZCeESiBO zoT%~>4{=C*>k$HwFCE9?R zK!BJI<0v5&>MW~@FzMo{+woD#@m!w@Xp+r9KAIaWwbgyu4^N#!+dC8#q0w9HYr%ZR zj=F3cCPnBJJ2liTmJzRG`nCZFouJRA>!t}hz~0#{)jv1j#ZjEEj`t0eZXcS$vGFGA z%-d(nOeY=U{~MuGVc36_$@$q^2Reb!U!dCaiveH>bb?=E^P zK^j(M!_jcxbo6~IC3iE4ftTCQ0Ms+C1ZRH_PVI0BIFJn=LQ8?eK2F!-G?toFe5>VD zd4PGiXMb16{cJ=-RijAgv_7c#{xB&QX?P3Wd@{)9+BsO}siNd#hUXq3kkaf%_U@*9 z1(G;Z=$_hZWlt$yjZC7XEnOvy*&5GjCYjY)998hd%DhVFP}ZWY`fOfQdco3E05c*P zH3_EQeJf#rf*pk+qal``^1l*3lk6KoM{YssEii#c{iY-~I<#}ny9+!6?)G~OxV!yJ zrImuBIqRlGMpLJ1(L`b;rF>E-^2tplzZP#B?h(X94}lOE-Ndp9U+L|vI512po2cLO z0T&1J4D`Y!WH}I}YWPDCMg; zE&xhAXdvb8E_=`HRcD7o^D_CjH27>!?eCPQamHuxX=R?BK>%M}9Gyc+1a#^qWHGu{ zc>l*-$a|qBJ%X2J6kzv%Oj@!%8CO(6bA9FHr*NX0&xfqpUwrv%N_83$ze)y5({V=Y zFBzjha52t@79AtMdN2t}9xY47t>((;C<5=}f3e*_?s1=w6K421-VwZ5XFOxMw)Avi3npq1wTUmZ%}k3}JooFp!c)$xHO-3{M6B?8j$1 z+W+>*U$!GlN6S{eRL(16iC?{07F{B7wsPQ{H&3>fxh}dz2X3K;66ypJ&a3EF-FT94LgV0T1k0A zq4v15ptLr(^S!*dPGeqMmKFc$-YIRN)9wkCJn{U1@0-)gh0$&6bls37nt{>augstB z?>JY!GIyMV^~)oeYh0Qxu3fV4c~p=%^ohkG*rdBn_O{NFJ{8BK)M0VN##Y-eAr9T` zh49vPXliOiJJl2w>!pQZ*XJ${6IiV&rGh8jz>QP}^0A*i)Az(-w&=xqHBit!p%KSigid`|NFu`CEj?i zP}_0-z6BW4K}2y?Xmx?IN(*+l+s3W!+(#BbA&L^ddm2k7jA-bs=l!#9?cjOo&@Ub~^^M9WBV_h_%CN7gYt+z~N$sY#cm_=hW;KM# zzM2pye{%{4g%${FZ_8LuzbklkK>eB2CDjrgUvF&98a)y&@xRc}GYN|kh!9oZEH@AY} z9hgakYA^{nxF_S3UdV!=66N3{8er%zM9|0-CA-^3h?o!YM8Pnan(m9esR@I{%al$0 z!-WY9h0Uy3b&tGc9iY-0v{}As4|nd~6#Af(X{~!m)G==%15qP}nHL->X*`H8{_Z*q z3VJDSbM4^m##JCZGZQt8(ZX~+;y_)$^TqBCNOkyESGqeK`%Uh>X^miuU*?`DntPG{ zlqIDBiW}yIdB$Z}0$W0Reoz(FWvuhn79L=D-nn7(f$^#zQg{Zo_I7 zSXq`10oK#=K}Qd@D&$e=n1fLMIo1A=-7l())9 zQf_8e_D6Sb5H;bJU2_=VYLciT$fw2IUtkJQFcP>4pS722{1l-wp@Y;7)c z3%55iwBMX&vuz=^?IV0k6Mp(Y$#&cH7=P;?XXp7!1+;Y2RB4FP-Bn>`d4Zg6A{UKy z&C{k!A9xz6B$fa6;fAQF&M%c&8I0$AL39pteL{5I%*yLJgW_;^7Gnziji1BKWUVP^ zg8rw2D+s%MHFr>KKJVR^kcN+oE}uG`Q$+`Z=4~|ZuR1JG zSE_T$d_Ec>|WeKEWbmQmZc(bo3;x1TiZ)v;6hY*YlZYlZ79{WAN=3 zJP>hGf~#g{s&t3XH=w({TXh_%4Rpwj?r{62@C2g;|g=+XnlnM!wwr00Jt zFzA0Pi=Q#d;#LfQSO5Q%#l^Gcgat32^~}*(s{$9ijenXG9CaY@#o)O|16RBay>ZUW zUGM&{#yImHG{zA8O4t5xtntRP|F>^(20W&I!|66?h;xHyK7DD%qFDg}i{E+__*C$V z|9EWXrhwP$?14MpniKeH!2X)az>wJ|kaGkgXMNzuYxf}H7<7JhK<8C&VBd_nufFAb z?2Uj2*U^p`+@K3uJsP<4E!X_lLp~AD-qSZ*@HfnItAF>{oS}fciGXh(zqKlaIu^u< zbqC2q7KG1V>6sVXH$5+Q&y%je>#5Tr(eaD_uHUoZze97FcEmd8f_&X#&Wd}po)upI zF1%%BSXR1eVX6A{cR%tEu~8?H?~JYt+gQEn*xS+hk*dI@2R0qqRCF|O6-K3v<99c6 zrUSQ$|MgNv&6eodmr}P0gn|93AUba#8~&~YXS1JYh1O@iriDob$X44npzd=W-v)##<35?Q-EB`eWqo<)p2-|_ezFob zgiAd0urdLSkwX{V&Fq}cwukiIgB?#;yFBA%-E|uJPv3m;KTtdg@%b0}T8ztkiCL$N zZ|XWiU(Oj_%o*qw{!f_tqs-r9Ts^i_Fsa|69V~WHbZrG$_@}Yc&)U+|L9WauP&$Go%ST#qk&%V;HmQz>?6 ztuw-tU%Ra?ksdVx@jc#g#=hO@LCqk<6^GV48^s@H-*3ZHU8~+X&WS6%WzqXwy%W#= zO4UrSC#FzCaOi~v#Fp_*gaodcAb%kj5|cfQc3X; zwrH?n$lv&d+{`1Y(OF`n#OXQS$ESm?VE65+BbkY#S-PRX=s$yHAGp?VM-Ql+R&S zY%;r|JV41Y6S9ZxPf_LV{aPYe)C0lrYV^Y0cwy1vW^+|qzx~;6sdK4-w*`LrT(Zu4 z#9`a9fzAKkkuA8`JSK=KCq=^=;hz$`wHqQEs2j9M#FSJLvRH;gU%RtKepx4|y`38|{|nSwvJKWT63__*KwbC3Dn?L{}-)lIYKW>d2hF4IA4je{5X zS7Mg3bOD{Uk~~vM=ajuKXy{f&(Hi5vYfYP=_T=2FIFzdi{x3?Vw9l@+zb*=jBsu&=5d@ANy zSAb8su*r*5$sV|bmBXW=>y;C24Hs&<90sh~r9e(V^w`>Zd5+gYR?f^y>QNpfUN}Mp zNTxtv^aANBZ902uh-A6Ux*Z-qcYBVafu0mp#W?D9&rR|dlui#I_)}2T!JVM1LGP3E z&DMYQWBu&Pq^lV(W&|x`s*ZniR|6~G!Sz^H7culrkWNq;h61#M7B-p_v3X|r*@fnk zTO*>aqtGyag#kCJq8z=2t-KiZ@DBy`RM07Qx3qzj#}Ah-<&R?J;A||h z`KG?i#+JPTNvVperbpzSe^RRWZSDeXCpT+6>!Lf0`9hKo zU%23Ik>EEnahVEefyoaoIh74frM*7y@V{EZPoks*KFQJhrn6=jDPEIkDGdW;gOkwI z6hB3s7}S)8B)k|d*qGZX%B9J){bN7CG$O@cbS*6HCMS5L}w~g{Ep5 zlN^VTsSS6uN$a&NB~ze>&3&4&9n~9bj>Au1hFY0dnyJpd$hNm!bSs(Xi>v&OvzJKN zV}q&nWlE%n3tMGVfBz$pv&J>xSPyfz34HMEl`}Ydjk{9u8j1kw#=0t0g%(~+IgOYe z)|E-TEqNTcrx$Nj4Dz>d7;-hlop{yyo3N!z4GjOMsW>yDvg3@e4f44ji>=uBAO{9{ zu&8u*((N#^N<4xs%%I#>e>LVBolt?}Wz1m^C+hjAn3QQEU4CH3!>*Bmk`T!UjEkE1 z6`ggww4*j&%-!Mp{M7@R^xU$)yhao6=b64{M^n!-<_}+K&sECWtuWrJXq3xH-o8S+H`hmAq8F6tJH~)3BxHvrjQvL{3eKt|{*u-bo!SSt>2e^NyzOM|PSq9C};; z2*u_b|Fns$q1b}0TI1pkdL)IF4XtbgX8P#?L6LS} z74apUR^a{^?%106TT`JBD1Kw2F!wKpb~A2dDceWN^A)5#3Jc{zTIOu6=+FyvF;d0x zZYin}hcqSICkwI#F+H;7Y3-qvW>R|jvWs)mI&Nf$e{^+f8pKZK@`FPL$;g~3bTb`5 zXp~JqEms$ZtO3?oitdmDN)Xh;&K59w(|AIBPyuuMqQBYy1@FGg0R@Q5%HtS@aGpBH zmgOt9L+C-h=dD!h!`+owFqzZf%ruj=2dzY=$_@7x_Bb|eL+P8b@W;!d@^sJVjGNB+ zD_-tdbEW_@-QUWcpg!n_bPq%d(`;K$cJH;!hy3(KA6|KALsUMoteF{(vmd6#FH6<7 zU#M7PTFr47ir0u9Mc>OKdh;UkF3XI?BHr`>K%lPEi;2W6l;rXav-Xw@&h3Dxu^NY9Vcq#ZG zhUF`471sBt;}sNnD1}X3kHP|ciXY>5Stp_kW3Xvws(jIQ=~U%JoMqYj;2dO+VA-wV z6(x>DTxW15?5eGmO~hEFUbx+-l?3CJY$v496bl;F-uo6KH9U z#hWbw#VSrTILEgp3ZY#7ChIXv$zpg)m%{yfvWlM5=57y-uP9xcTF*P&$4SDc&on{m z`TVW=HEem9iu}7()?NW1n0$(=HVXjbVNKHR6jbB)H$MjvXL(OKomF2Cy)cVvTjX5kP9+4!7;_rrv zz>DD<0S2ofVVD&drV@nU%sIO_mjq$j z5(x&F4IHLnZvWS3Y`>5F{r9o;2p*=>aORxP`~7;oo-ZF+4j1Nj8!U>rs)XCKDje8r zoRPCg4GJQ=mc9y?A^HCdtbf8x2=D1^-mKZr`9V;8^66EG8{VHY>!I1Rf_+Gxr~Dov zv*4o-&0gZS!1l)Mhh{zEzx-4G*~{m=91AK>pejIDaKHh43(IaO=S0Su+cj$Qtgl1+Nt%w;=j?g)3{t;f;^3J?CCwnYHF0 zuX~^3KKj~f#0Ep^<^GQag}molDa{Isk@)k7H+0=2>q~~h-kTq)04~b-|p&Kc$GC9Np_s{$$B- zuX}emcpxgOn$vNP z-tCgiOy4Z#BX{KiJ=!LL7wC%-aOh=)?c-cO$qC&C0e@+=)BV|=UoOx;Q&&~I$F(z8 z>3N6wgBPVeU9xY{{doBr^RVfbCTbo`u_0wslS0GfrlxAWd-H_Qjo&QP_OB+_fuvsI$4u zqDfkDVtpPRUh`@{rG^8zmNZQ;ir(w(AI2|OW{PJN$YE~Q7Ot(1TJm}_swJeop+(8# zx_YG^AsGarP7yrCJs?^^ry!@Hm zMePX$7?Z0LDoIzNI02O2{`S+N1#1;@TA{BoK6?PKduH*jW55|<(9-FIBO#oYeEnBk zvYA7fhnBR!wVyWnmf2*Dnb$;i*NGXW_xW*^er~t#Ke{qNTj6a%Z@j{uQuq+4BD3wfWH%0Q_f%i}@N=B>V?ZVfFGh%e|R9&)lQpM$seI6cO|2r*a0@A35 zU(~9rbB``q)+g9iKs+P%n}tU4ibH8JltdJo)6#dduwTC2NK}o1nZ1r?d)3=a&S2B^ zlSQZ;msLmk_!)&KG*J~^TR(r7Frm_q#qzjnnwnVMtm(^ty@qy}6mI)Ozh|7uQI`y9 z(OwOj`mnrsPqsTnu%jDj)gfK|RmF?OLp8h7mD)}Bp^$dB7sY>D@j3O6ULvsgu&d2x z?XpN96Ys5uRGq|++)V7EuofPT3(d1B=z7BopuZAiQu`UB5}ByMl6l94Z~BqkJZ73P zKVh^H=3GXYgRk?4zIp#ndotVOf>=h$GY3`MuV|K>qcw4gt5kOlRj<*W(A+W7IX%>= zE$Pg^`LzD^1DyDpT|M264J)O|gXJv92$tt5VNQpE=7*gt4Yb16bPQ57*}kLa1K_@C zA>^xcyFbD9v9N%#xPyGQVU$?xU&exhk%z@kT@2(v__E;_T$=}`IiBM{noHd{u#dny zoDtc1T5doKHck>`JZy>Vp%*&n#~o_-aKccR?3WPb7ab_$b2m}(*0HwC)%|K<{b>HKj8x5D;iT^Gtt1Dtv2G5D7kIIBmjpjwff#^b;)f3xI1-e=XN>~n%t5TOZID|j_C{B}B`myT$1e*e!=7G?hIBVw_VJtz?V6J5m z2r&A%k7uDP960CL3Wpb`W7dd`j*%cTfRZtmk9VzBMc{y|oXDdC+#Vcu)g=YV{krs{ z37v0i?{yg@PzU-(mXx%))!DI4I^k8_+4$S?wXlL@6bI+~gumMH=SyjVLBVeQpb=HQ z@nEQto^^6N(lu<(T5$-DY9)(A9MGjU;fo`I^l)_Gc%A{E5yt_-|7|~`-DD`o8K`6) zKf%L!F#8u}T9YU0rCC5vKGEIaY}(-JAMTX*U;*f$ULJ9IN6-UU%o^>19WUGT)Tn*p z@opQ0aLbhLv8&KSdZ;f`f#O1dJyE0fSM4WTKT&MB8_OL~@QG%XUG=v0{=%oFi*}LL zvm`Fz=(q4A5=PhNq(Pv!p-fRC7hclrakSyUFSU(;iqX_@hS6d}+^nXg>~Z7*l+!fo z!Fk&!#+bO|Lps?|Q&UNwJ=;CjM+t9b9V%L;J$1fIY*2Dq6F15_JZ z7^qk0Bvzw_Y4-U*)Pnxl##X14=Hea76E@b+968TPfKFeuG{(8 z8zz21)nXg8t(!gG`8zXQ*BfkrBGF~ksLLvNm#=88sEbBOO7IR zpDZD@p6cuaGpO|(EXq{4CyIiSj9xZM`5xF2vQN*O;>}_cVm18FbWY=6*R>!o`#A^; z8eMBjazSGWN*P6o1N~GhQ(8M?NJ0$2u*$AAmpL#1x7`1{^|#M-{4!kjr5m-LJ-Maj z)!0P#sB{Z&S8R_z71)O+!|9R>>&WJc++J{lO#$00vH%N*czE1q3R3fuA1 zx7w@UN|UDmus~{uuuyA`-REcaNgZ-sT~acNO3)S|i?QRNWkr$YcA%{7p6srA*&0n< zO2L zJYDrn8d>uQ&B(}(X94jyj7?kjP?t0xTF8$-fO`x%cDpTU=-c554c!vMM#w!)TKw9b zY85+6>Z<%Kwe8aQ)w&x6>AKLY(dmv=eF9yr3g(91nT6uMnZ?5zsmXar{r z;*+oaYEOZ0!hEl4Hh$#|uR*T&PM|oQ`rIo9KVh z>cyK!&1CVm@}9Z9cyeUP9xv2tdoJeLT+EYpEND~{S;un{$af;iclz54$M>r_b`2U1 zh{n2KrT0qy_^X6Dn}&orjl(Q|_OoQP#Q%ww8G-NA{J&b}oJV>C=lL&PAGqj^SEe3~ag|sE3ld#lLjZz=9_#0|MG{ z_j&*4z=VPMD~0$hi~O+=ShO`}!aq?Mx+Q8^s?8G0nR#s2e9xP^e>>~dKZJO9zU{aC z5B|TISz9j+_?^K&?i2Sqm;bT&p!`w)OHaEtzOl_e=Jr`kvTr;y6!q$FCjB0s6)NBN zWB_CImjJA~>3)!#wIGVqyXf%+!;uc|)@Qf9pkG|3S-5NF+pFh1DfsT~@1jE9 zT(&GEU%ctjP05Q3quzUAC1&-G_(vt2 zypot5>0hDET8AgAGVf4t@G>Q5C!vSu9YTyHa$NJm#>dL*ej`}AM{3GX9SJ!tt^ZHy z554PhY=4;Zu6$&-S6Xk)+lXy7Yq1|cKL>>R?R?K{bcs%gW|ujh*Bqa~Ca&JHq$nFD ztG0=@KcW#Zp}0%Bmb&!pWrt7QlpTKB5c)Y)A7NsGOgG#2>&Nv9(_Utv>|kn_^yxL} zpT7MSMP1P^zcgXAnD3lBT#fWqbRj3Vq?mF&bVT=5Z{PuEQEfM^kOh9GE=nQLkeEXG zNMPx<;yTfSCloqLVOb#FR#GQlx>@?Nt^sNW%9MvnuOx-!7|bZTu)TC0pxC$&4*S=_ zk%m(RO{|iyrThF^2!0aKC-Go$KkOkEPk*=MZKn8J+Rv`hNz3lqM9)*wXV!fytD^ov z_S+d$!1b_x{kyr;Qk0l9AU0>!;kxt^{r^? zA4$6NK%!g#f(Xq4RpY-y91^0_`WS1rWFh$X@~NhdBHT0ptCCs{>u)2G?5hk#$n_m*+ta-;V{7bQNIL^O8%Wu-!yX0NV8+6j9n(}H+8n4MrY?Uk= zIOV@pUe#UVD%Pm%v^ZTcYk0atE)4vb#>kbTToZ=84skY8VmrP%m9@af#8>kpGppLq zq@ab_UPDW;B;!Pma7tEN>uYsQRJZWh+0if{424y5ol!Su2APWQmhfF)S zTlQi!6F>rKGprGM@IX+&w z7`uNBu7hNIZsnhc50I8H-^>aP)>`-hcd(`-H~ZqQ58AKA<+#6cAV+`m$HE_3IQI4N z!jJO_wP}oZ#4vyhcg*nAom~FA!=qSRa`O&cy=gdcNVp=Eb8`S7MS<@iF6_B!?Sbg! z(`e{g%a~x7y?N=@Q<0iU>?G}5lGo*E>JJ$9ZxSeRm70;BmA~ei$cQw413bk{0SjwL zY;7|*oo6&)Yr^xjD_U8OHUc|Tgd8G!`*!}R2$@ES&%M2HP5kXv(U4lj?iV9D z(y!jteFVE@*urf%stQfVpM7w86?03y60N-bj0vyJDIHgX(O>GB=@(u)g=d(8^lW3^ z5sfoc{C4yq%4q84m|;+jtgVg3r0E{Lf2*>O@ZYUgs)_!KX<*z?jJ~aW1sY9!wo32| z!9Ov1f<_izRWtH_`I24IrpmXXEK4^-(@W%rauj_XA97LI`CuY=&2?1DKiwL_@%*}~ zms+5|#9bQ&)x+6u+5?`qZ4^5RkfM&8&=7;hfu=Qloe0V?{Y#e&CRx0|pysq;y_c7& z^-g!mF5dlj@>LwesQaU?lte+-aj;Co!1w@AbzwZxa-*&xAV5Cc zl?|ty_f*2*c{_-d-SV~57+lc|LRu!#&`t^?YPA`bR+X>uk|>i*r?SIW__Hpv3MXEKPkdKIaIt{<&(6BU5<4<^6wpVW6lP_W*kqg zeR2sJ#D%xemeg???We0V7*zKxj%4M!o)^VoQbu2^GYD~8CAOyiQnfGoio_(B;f`hXq)1_bAk=(I8w-ih|N1Ayyb?>RCpc0N4s={mI*R?G!0}b?G|iFBc^TN z0iOTvhFo11*QY>VNi$Wk!i$xU?hyA7h0hw4=1cU4d6AD{Fu8QWnL4i3Z+>R zz=4u}she(4#02=M&R$EFHLmTMseA;MBl!kzePxg*7Xnt?J>A?eD}UEt{>^Afwrh$} z=refl4@>b6w^Eu)tR7&d;&WtSS8Ia95b3jTV%?0g1YuJyPUweAm7#^cf;_|=;(axa zI^mRb*`b1%*1y2W(*|2bqLNUAX$12|U-*Vxe|AvaTe7eS%|*H0equIG=`0S*FLR(M z;)-RU3>)0S6LHcz^;1%=pOP1R%2FxbiCdGjzGTUDe4r&^Xc;r!+!yvM}<~Rdy$8F}qaolI* z4lHyhiFF=DOM3DiE9p8tp~^ z??_C5B?X-}_FU5qjir^5J)oEIc1krmQMI(SG5g(ncYzI(y+xzxNY~oI_8@^^m8nZ; zoJoWaK3n}_MJl%TRl2;^Gt6J(`2>N@ARh7uaIcv6!E2E`7{afDvd>nMFdz; zHI)l^u;f&a>=|Duom7t;$95cU4^)pRCftRY-A7D$1EUEpjyXf8u)JA0o5jkmlx5R% zyg22!bW$EAQd%ZeB@6)}Z%)&%vZdbr+1#eC5S>b;muzm)X!Ed%=x0JVpwQ-r^-ACo zlDNP0(4Rz;t&WX%i@aypfEY%XME3D_MTkQ4TKcd?!7X0#CJ2$(lQgv#C7L9MBUz*< zlMu4XapFlKz9jre|1Tbyi;)ll%Iq%*H1&DnU!CP6ruwgX=r<%J3EA>vB3nM}zkGGj z?Byuw2v{=}w6HR8ec;}jx&C{1`@MJHKX^1?=j#DMIsO%Ym<(7v6L^7Oc~R@Q?BRFb z`_F>#k-7I4uG}6rJ2?1_$M!6Cp`jz@=M{goEZwtxAmsGRR?V`krI8c0{=o|`gh>gM zIc~RC8@m8A-}y&kpPH34?0tW|(AVDBiz`X1>Pim$*peO;SE_Da zxH_exV1ze;m#!)Oh^(WP`Kb`QZDFb)a`ZenhiC5XU;!g{eUOg1r@F~=>Vf_DY;`o;RB1$aGW}-e)%t&!LyxiOK_JWAA%JO7@zL;an7$5HsE^qGHzpU}UG zq-I{}vGj_!u9YQL>KfjFRkSQOx4*m?wUaNkpH458^tZlOxe(CBNdOU9FW^L&= zal zJw`eJkp+F}LhOj*R(vx*hHhZJ)c%#aO{-Is{mARxxRO$?JOAx9>cf8?fjVr!Rt@Iv=9lni@64_osUXJl!~pe}HV+ZXiwV3}caS3R7tI@wD=S^zjP z^9**l?Pn%srD}PJx?Ax#8sn%G;dVi_-dT=a3pDB0^(V?552ZMZg4 z^3BxXjnG!zSXT4EyqOctx_F(qP2K+XofSIy)uHEZQ;Hv`SA^HNH%$ZebZ|kmso)+p z*ZB-ynQ67yJXoF#O*}Vql}Ekv2Ifm^%4$O1(C%eqM*;r%V#w% zmzl{qC7luyiX3)=3FLf|Z@3|ZZ2sW+BU7fla2<6;MJekz(3{C!9bISQyrzQOk`Vbm zKM7%=k=v7PcmS#<1%&jN7gef5gl6*^;=BqsF zl0DJ+B=>Wzqp0+SfhL_I_E3kYTPIJ-VCvt;z&NN;VSB&KTE0R>j(x3ZgY;Oxe4&`N zc(bOxNbWoAX^!t-9GT@yN3<6jr7vDrg0<7QmNUVz5g$-{p+k?;38qLGj_nVY2pwk< zqy~r5T{3S9jyh*I2%5RPZP%yT|71wl$;$2y{JBFXjd2#vwML{-A}{PeW`(?l8iV83 zxT+^ETcAeaj4U22@+LZJ3RE6AvepV{sT|P6EC64|s~z#AlR>bBGcsS+-+6wTz*O|w z#>G?{R3`*{5Sax)9EPFzb$Q8vBn-#@sx{gRGn16buUiw2oi?NBN)z?w+&IH%rJokI zRhH1?Z64(B-0&bD*Lbo!-gSCn%r$HyK1{b@Td%v-UVo^O_d)(l?4qJ9@~}c0asVz% z?W8VAqq&&SwvCn~A+=NW&vJ7pBAOa*X@)9xps5;JnOLG!Mu&vlTO4&rd zffbeur-Zq9-f=leMPeSP-s5VsV1}slRYw$NMh{KAYwb0-5(MgORtjS5telyepDUr? zQvO^gvaOv%l(gH33d#;FM&BdK|Ez~s;cv6Q>?p7PnX|>JD$yUQS*)_)R>K&Lt2}R- z%c?PBxC|>@86|tFmCxYwj;~RPliG140=^IKTh(@4zAByIHuFvzD+PBE;vmAHVCH=k z7 z)D+j5nA7o%ScVi5>9r23(CRLYyE`u@q)z-tL%0t{knbU&wY8s#B1vf&;*`4k`MwLd z@+~vqYtm_SiAmR8P*k{PH0mu0 zhqz-yVK6KHpyeaB39eSnd)+J}Lw{Sce9HjAyi5QmeF1Cx-X$fr99lL`)3qFa)r9;_ zN@#3zZ3>5Hj5&)klv*>fRKoa4EZl?$OQvL6mX?gZZs*B$Mc_Wjl&pO)4`kDIEm;{H z@(n9iH24M@y=~==fpN%mr|czWUyF?BQh55kx$u2O+PM@rBaM23@BXRUD*G_FLYL`1 z#z=3gON6JQX;Hq17D=}_8;kEaAOSvOe1nyW3XnWdzqqfk!@`+b4ZRFU=n5lUdt9rN z>2s=|(Gb^|6KfZI&W|TIFWGgJgT|B)ju*!(yp=i9>3jc9vH(@vq`wjGo1m4X>a7&Q zPEqD@t?{RXl>KuJcHalAqQ0dS1XkzZ#TRQ_rv)?}e+uaUc?8S@C!4U%O<;n6pPZg> z^HL`vU)y{>?y)D5iIF>60IRNNBfT=6{%UBvC{Rs*rRgcOR10>xM`VJC{WC!oad6z> z%j@#SPmQo3kV6QHHsiK%j9Xz7t=?`}#zIaW8OeFpJ1ItLQ1xkn@@1_Q(1?6gst#DL z-7LyPgLd-+;s})WD#kK)(5e~Grgn%(bs7SsvTK^l5}fP=Rk78pf( z%|EOXUuI6RcErUTp6aLHO@kLkrAtlljOm2Qql7^&@9)8Ut8wE9(S?jVxew$%3D_0xf%WnTqnER$dy z)Kt)bda%;ckI*{LxJt|E*GGevU4Ioj5)`|pBV=fER{pYqco>IjZIkrvUb0+5p;&h1 zlrV9@+GNr<0AV+C6qLMe`gX2iA*&5&G(&v-9gzV=M|k!N!;?eLKG;gY$czT`wmyCP z7Mr--F*Dfti1LBE`@-qBnE?6rG)i`a7m_gHy4Pio8?1TZRD^pZA)S`tn4Y!d$6UIYA7sbhehTlfJn;b7%N$>l9LF|rUsNFM3o&El8~ zKHJsG4>4nJXt_!^95CPJZ-XZUDB|Kh)s|_&j)d%vGG|j!U|L4go!cE;j=6^Z`xH2= zT(b6R|L7p*XXK$(h9$C6|RId6oMjmpy&3yCG(Z<)Hy2)Xdg&l_! z*CdKxb9s?Hoylv)1BhG%=_P{-@}smT6=e#d@A&0cBNVN!Qchb{FRC&S5--5MiSb&Z zI8IfuN_&-&ntVUzQsZ$xnK$nM&OuiVyE}Gg0+wmT1E7*x{B6y)nPjs0*VTqYs;y2~ zu+l_Ex!lgvnDIromhLe~LpWA@(@rBAulcQV7JNWysx(;(d%GFWbiyV&sOAsLB8zI^^Ur4%<@hPzwH=%v=Cjlax;W9n+?- zqJyZKTk(xc|9@3877@zdUI<(oxZZzF)vOoiJO{(Ey`#W%d4n+l2FH7G7oHY5V4X zf<40Jb>#tpJK|TcI54Rk51YN{)EmEJ&+%KjhrMTfNsv6`yfS)UfIE5hsYuVhphx_I zXXSqE{kR|*;m(5J#|EsE`tPfCy_s_|ko|vl-H(!nq9!L-`}t*t?(;4WVp3o{Ci7W0PmJ_!LH`ut!ZI1i#P*I^2$h<^XzxE^Vf!CDr}1i+4p~W#y?hG_u})> z8(SJq?(mJb1&0&!QzfdOkvJ(#EwX=Rcup zoS(RGnU*&M_I;L8^)M1`%KXFNqsL)lKX!MA`u@w;Z_V*fiq5>h+hOzG8r$u7viOW) zpWnay0^Skt3y^NIA$}DzQRWrtU0bG@D+JKL!A^^~`iGzGlHSzxUjEtV)|EZ`v|;rS z<=?#hz`&MqX4VY64j~~K1CI-8Dd}=v_MOJ%c79ek6?omYX|Xo=^(|RT%bt7s7~POw z8JG4p(@@rt`)S9mz}6d4c_-AlG=tA8XA znf@Z_el(&g-4EOOKk;8$zlGe~p>o0j&XIc_1`W}W&IqI688ba^DL*=X=9{054Ujh4 z!Wk6OKqXY>b)Q3-WE&W-INm>XH}&F5O1AFMpCuGE%>-qQ8&->_bpdV_9RM$>qH3k^ zIo(5EN%-Uax{IdKXM$cvDVDA_7XxTShsdnk;h^B%-3d5lA0w;_D9uP>q&c=hpP29Z^(b~dOsySK7hQTv1D~3q%L=j zPJ#qK+y&%WS^PC$?`U)4(fHt?&->jq{x+3WQxnSV5?{Nx{YOgj{uDKbjq;3`AzrFb zd9_Q~wOp#hye*bLKX@)HgK6m2)!MTau0j68(QP{NdcS}XN11*Q+f&TeoYw<_E`4oS^;>~bw|4KQ>xqc;! z7`AjHC98Y-i`V>N>rd`BN}058o?#%jNSjjlgAD@1$bpRVWM$=CWaDn083CK>C}K+{ z;)(7r`MS4W_VXr7iNDKO{E%bH?K}|Cb@koVRh=8aEh$;fmc-8AJI+fTnrK2mR=*0~ z9@e4aJ>$(7dQRdUy{Zd8kVDsQM#2MWQxiBN@}s#(Bnsd-fuc+>2^w0aM-Bjko*Tmn z&U>)^%C74dZ#AKIdpqgl#B^R@TYFmN%Nvf((vWhdaGb=*a5aulzXQh8GOyj9BG{Q@ zFH@(NdPcSE?)nq}R9$CGpToq3V-R0=rR@90txCC0sX__{by*L44++wS*>^qx-c9~K z@TmjUI;AqR_1Gc$uh+54O|GhWnUGO*ABv_CP6G66gASk7WzeCNn#G%E`Z|kJ0Lz%! zNL}TxJ3V^p!1&xjV_wP&T3b@_e4&Q-FEwe4B&BR{c1bk+ow4EyEYOSFdFh-{BROED z@OO?RSGbZh3Qq`tr!}xr8sE9CyX>}BbqtSvw#NZ0`~j+-mEBn4Bxo6a@g8&ApkP2Vn8>#yk)CI}SvwzJu&au=cT)Y)D%@*J&@f~c%fMFNkq3*Bo%&7#etYE3%L z4dKA(Tyj9XQE;5dDsa}O!Bwu(x2umDfKEh3ZtNa3hT%6!0J5D;W|+QGS62RbxBLU$ z-@UoIKnskllex?-aX0VP^2U+@&nYb}YhQEoeI{G~)I?w5YhmtO^go2_>Z@sGb&o7< z2r-m2IwwOXBr7dOAv%HdiI0$=xD!=zj$jj*=@Go)y&|yr<#6PZFbD|&fu_MtBQ>WK zqR4w4?D395Zn&ZF7JR76nB!QU`?5bJnXE60qZM%Ova!~h@QmFPo$yeiwkh{#Mxxqe z15u4>X-NBgSHsv!TQ9$BnB&5ql0I+_XCjE8H%~`o=;Vmc%PCq|zUNi>siX575fz1Zza>{xgpSztM894$Oyad|eG;s=IfRXw`N(d;l4v(7?{XsmJQ*6?JJ5S z`$33&2yo8Cp)INPSoQwUJot!ftM_j=Ci`xvNcSP86qXfw8cb~og^!0U6;_PV0a`q|aJ8DZ1DNl~f)=JOpAh@a2tMGg z&DY=HhVw>!KXkf2@rCO+X%%V|aeKWS;?s@IYeiVs^OiIDET`NHe)}o9&U`5mf`-7KxyU!8*g1( zB&rwIYs0?il430Q{GI^CfzG1k?u=5o$rE9{*QJmDF!&lJ@3+>dMA|&8SfMmy%dkVk z-xAlYdnje7{UhIE%n(YS{XE&zBvAV1mJ zdS4^I*E^lj#Ins`l4xv9Ot##iBy8Lsa7VR+bPr=o_K!y2=&W>7>P^tulx@hspb5_i0=Ziq!L zQG6Y}><}LLb;2ayeM-(}g>Y;>{q|ja+k(ZV+aq=`hu?YH`i?;8-?;_u}8Eao}) zS=HmzpH2+l?E=IbCi5iTRtJ5r%X&~VxI_FL z8QTlRF{lK!dqn-pgU9l;6^>Eo|M_!KI6L2fnX_)k>~Nx!2KxEmJh?Y;o=&n#g;)E1ZzD(3{` z1+Si{AN3cSg7v+#<}KK=G;nP|<)aJZ79Cs~AYY&eUe@;B&r9~lE!n)%pS$cQXe*cg z<9`Wm)3`meUwezJH-572TadM`C=Si$+UN^Zh&vkGjr1K;y)`xvN-;d(IvqjJNc#Y3+uNG1W*0T6};7S zaAwipMuW}K!I5V1(!Af^p7Y3)m%dx`ai}5WuQS2B%N@@wPFY%Fi~h@{uCsM>nDe^I zwcQ-*{2RJFW*?MPDo3dEhmzXpFQ+eN1y;yABI4Q)HwTu+n?A)#gw=jnxj>x8Rn5k@ zkeJVcO+-;Mo*uV<%F`Tr`lzY+ALxqo7;V2;j^{~6{BAym-L?D833u$euDGl+2Poi* z6qCbXJ~>wA+AsaG$}b^ZJyl?*W~sZxwQGb>kgFi1-k=muo1WHB==alfV4mvE-Vg3< zNAbl-?yE4|wnsnryO$`%(dE1Y`Y04Nm!!O6$5@!o`&~NIu$3R4N?K5MCY9a@yLR(@ zzyQ2Z2J{qL!@ziT` zZmgT7+3T3d^_4HWCe$TgH zZ2u(P)PCV}N*vKciOdcrzypyHU}{9W*4EW1?ufO3@BiiC|dgp zA4_ZwtjKd;wFK(Z86Uc^BqT*L{|QW%(@4W#w|M7YJ+r2ENwil@DNoLwI=~% zht5bGAzLsYvvP}8=NRB(#vUrny&D2p@eRbPY_>L#RrC(8s-#^Qhg|%y?&jue*6WcATfS*xsYJ(v6nS1TxM0jsx*PO=ryksjv&8%Dapor5M5#s#ure{s7!zj8MCO?=bVo3C?d8DILhZK zTg`X#n*ldw3cq52XCBP}%|}g)-!vsN=hiH;ZkVT&-4%3vp+grj%#4xKk)7Z-1WChz zD?&P!J6)rm&d~33U`;DS{mPJ9_by-dk{ZH4dBhxjU}@?W-3`y7wJlghaiPGa3+E~q zt_4yzl5FA8Ws+y_=bw=u#6F79X~D5FD?RfMV2O)o%0O5$p3UQk-kGeM4L->T3uK?Y zn`+-T*p%!Pc$6MPpJhdBdSOnVCK?uqXH?Hz}8WoP?767Z-3;9Qf zR7(^u$){+^7+pI=hO@$XnyZ~p^bwybWWdP+?UaMSpDZ1}2j@Ib0&D7YQ&v)cVWv{8 zZ=XUvx}^YX9Wj>P1>s|Jl1I_^YexFhiu4D11Eq(UfEM!p<)C{0Z(0Gf0|_}%kNrqv zik9>O>QnTqCdT;h_8PKr0?DJ29Nfb+eVlgkw`3@p9m!uX0vE!Frvgo&tc)XAGs(!a zrN3Tz?HoBKz68{`Df(`H2>F2HOs5p;$R9>cdt6y6P@EEu5i7S`6vJsvAZX8mmckF> zeCh!u>r++vHEVUHp5*bW(eZHQhx2}cft$DU__(@6tg` z8O3{4@CnMyU}mvU(2-&-3szl~>k=IFm!z%nzeIg|l3Y&948KZOz&)$_-0kcXjmlwM zcRJt7`$*jlCEr90qT6_$2Sx$xN}4&}kjR01y6*4JljRO{cgw1iFoB!ni!+4>D(7;r zsMn~Q-s{m02}s-C&dwhxHp_z(_*SZcbA)N&H(zZm!Nes~HDOJV??B4$sy4mfs(E+% z=D?&!Cu>pbYO@cKqbRgM0!KWCv)a;KQnl`}>Hfz3q)pH^T@JU?i!iDzJHB|26BTMWUq8`JHxy(H{bi%MqZoJjp?;>8!=+?!xI8gl{TAy~ z1aGI$x6RZ}I)?rc5f_rf>2KU6g=4)NZx$LL_1`2_1G(ZsZZFwuEXov35B@--i0MIL z{KZ{{JCI0I1Y27H=})3X7B4~ovHkj$E!T?n^sthkz)W!^xCd1CwAS4%o@ef(RedcC zAInvU;+6-%kNg?flm|dYU=i+1>8m1=e5Pd5UP9aGI5U(Ol9#WA9rjdmC`U!^b?(9s zl9a61S^EMA{zcSV{#WV#xie7saRRKb-Rv%PkOmzEEFc4drf4_aem?VvV5O~DP7c+L zFAhn^1@HE9nMu_#>L5FQxXalG3E_SG1^s^q{x-V~v$x@?bPEu-Nkx0ctu98Eg&Xmp zju$o50sbaHcGGk+p*BNJCqLmNZR-2T@nLs%lxSGkwV75PwzeI!_O8pzx9Y!=-iM7F z@4pFO0tezC=4AMWRcJ`bXFJgY8(oU75nNy`2K-m>F?QfdfAEN|eQmA|Yf#x{CvTgJ z-&o92oRWf7{`p|p=v8bhJ@Pe2&1HXbw4QXJiZLaLuC+3PogQM}Rx`Cxkan|DP}h;p zByo>MQ!-fmq)n<%w-4z?`Cyj(+K+>g)JQlg3CiZzyvk{v6#RLYC3ged+vN_A1(Rhj zu}inP?xN?j&SI`)&e!blrbTd{%WXr2uK(QOF4jyBFLndmR#6gcV77qylRU~WS1OA{ z!#D`XdFZuUyv6gHY94p*$4Lj{J9z|qI(w}BtsgvabC(<#S$+@uqZ6c-RNh{6(qRgN z2ZFsHO#F%C9Q_RgQu*-49FUYcTKcgnf`Y5i;t|=qVW|OZq~G(>KwN&&e^I6u^>Cz8@_}FPcdthJ%`7 z?JaaOK7fS-Cu=ElwG0|=IQ2^G|9dJv8Fd+{kB1{TT{78IrJqONZe(kkcp;H-IZY`l zXVbZ_Pk9=}BeM3TF#wCngi{lbGlm?%0$rV@$V7ZNgwfDe(80Yv4PyMr!Y3f7Hj*`I z<&|kqN6kSYTO9>@^2T-6LdF*Z*kOYs_qeN*?y?z*=pP+Gm>w2o)IMkKaIoDGHLvx zJxDdyI^veSg^#ry9-a4Iw~3^B5}6bV*8=P8`>C^Ym0(R!>Wt1I0&9`pcGlN=%$+bnS$G+*LgO zEBr*x{d1+RGp?miCoO=DJ4cFlGETbHi1>yq6UDj8+=%v%+{j~N&*pTERJfdP{i<39 zP`|2{!rANne8U}4E%Ddv`u|t8^nWB8S#Mw z3r@~oHgPE+uoFO5PNQ|n<|ms^EccNjx)L;>^~u#fo->im`To0>~^H=@HVF& zIzKz$pZj0GCE4fKydTctk1sv#XL2ARp=u`j~b12ciGJSgPNU1+?w%kYw@JqbgU>ibKuDj<=vWNo?+i zC9q4rS*)<^ad=XI=Rt2ljUS(;wfPMd4riERygZiwpUYrbo`L}k2n*{5_D!CGo|Ah6 zQ(@(2>;B{~>iziKYpBq43PZZ<=QTmD6#7kLXh^S{Ck*_TTI8offnPQ< z@jys4)%n>*R~+us)$>F1+Bh+FvxR`0&*B?5IQ zD|kt|hI*>D?I%ZL&Nxqn-H*6i-5Zsv6Mx(E~%H6 zOkzacymnO1h&3ih+l0L6tHbpbo=p+m@5VE6qt|H3r~Dm}5r*MGrg=+7%{v2Mw7-S2 zxwog;3f-)0wD@ixN54`~KUmU&#%eC$P;837UYD0kHE4ALftzk{;R4VM{kf76Yxgf$ zncTu$l!5R-h$56Ag{Zx9N*pI%vNerA?IDQva7t_&eM#$kPEFgsgo%%>qQrO#VjnsUwiR~?L+pzfrlIO0>*b$kE$%CtcYbDSo3-Rg1A3Q4 zmTi=u_xfOQTUl4Vez`_Yi(8pXQnO(XTg`|zq|v{%>I562`Ol-^r$;B~*NJ^KnHB#O z#oc8jAj#7F69u&RDE1vtPFIvT!udE94``hK4 z^Q4LA*ca7f+!=Xm`yF-rJpQP?^~Q9ZM;q!G>pb96wO_0rz1sebJA-=6VHdobE#N(= z*1{~-%g!!2_%m;p$sW&t?|Kh!ca-UNC5DI$-m6*Tm1*N18E?0~nv5M8m1(ST-m7v? z7Pd0!m1)Dyao+AMK4JweYGZe%r}epJGyZipNTb>=!tSypU%-EW0B)dj!?ziW6?GS`nauKG!6(E}U_ zLgr8bta))mx_6zflDSDL%1_y?%8CSW)?wB)q}i&A)_cYB&vw4mn5|VeT?PPxk*<#% z?@g8aD<_*i=t*zNt|ry6h5g*4ocjvlg4GJQ`h(b<(r$8(k(L%}8rM&gPpg~1jgO~J zQ%Qe@fY4yAH9HzZ^O~m%`@@obHKU`OKZrp)2# zfmfpnnoc1Fn%$ervuLA$)sR2y-EJ8g(=1roCcoF02{*~OqFL|T(SKv;0oJOgp=<)aZc35a1*MxWjJQCR*SFY>ewFO-z+_nXUH65zg!MsD{| zKkuoNn2RjdOkG{Rv2t{zr%X7($A)xbgI85;R1ADq+SO1YOpuN>6(?mmnfh*B!n8-Q zO?UT)4dbONl0DjFkkhHCOz-`OfXqy+d)xGBRIkQx5RtR> zPXY;%-*Sr|u8t?#Olg|(6p`O@1>4pecDt;Ff6)$>J0}iHK~HaXcP?az>B*Yj-B3l< zMOa)T?xAv_;c6vSRdJU6zVzPDhle|#bZ=qNesPGoOu(CU`(pC8mI|Q>zuqdVn~uu5 zjqKb8Z{aVE6+%u+w)}EGBN-VhT=J>PZIyLA*-h+2Bj^RSl+-4ckINGyEF zH33APTPmz>Cil7V;tchz*IaRUwktP~UP#}YX&uuaY&N=%Dk*Umknb~Xt@K-&_0+m+ z)B(oq`7E+xjn1Z)edYdR=WkTc(cmOebqE4B79LRP#5O#;8{MglSbnWyahg_tfF*U6 z3kTJj2CKaA;aH=t#o1JE*h26*Zta!W3`USf)&zK-N3YA#wtpxzEkSc4A*Im`Q$W)`|kBYMx2Pi z??g{&LsGo?>5O#$N6e2GXv;ohdj}awpYe@MY(}LRjs7O+_#J;r^XF~?{U>o2-QSO* z-5VjU0&m%u*~BnxDPR2marG{6QPuhXKXSE1Ys<@88m1^JDZAUI2`Xxmg$cXLB|%`f zB1=R{1wt-kIDjUXN(L%t7!wAZyD7sgmzmjgxQOSnt8+RH}qx4BK=Io9zyCEwCKGE>(R0=9rN zt+n&hSkdctwY6NZG288W?L35mbzr)_M&;+LuFMdDBTvF%Bl%-ss`I< zSXpHLW>?MI_gl4&xd~F3om~7{Yle%zvy!;t5?MPw8>4S%3N++$-2>%ZHDjmmomw&I zptaUHv=cKM`&?3J?xwE*nhp$-+q@!F+0ua0M0=t!Z<*{1tG*1bTG>+kd@ z&TQv6r;PSY9Q!QH0zcmQ&CXv4_I(@WIofMIW3hj&kDPPVjYh`KREVW>lA7=;-O6gq zSYz>kK9L*F*|}ePHkT@HeM{S^t~%UARZJQj3*9c89n}>7)kMbn-4H2LQQB&2*{-<% zf{e9K>qRIdw&p&%ZeiAbaoVS)$$^Df+k@!tAg^Rg3z|@^E5zR3EU_PQoagoQ^QfU*#fCPx>`5=r1#e8x+u*UN?umJRek<2c_-y~e zW8Hl3xBWI?RJb(dy~Shq8-9KjK6{r<3jMZuUnuukxctlczw@csobYeo@C6_J=T$~~ z``Zz(y7-Il9(Yhw;6W|eIJ!9R&ES9g`YhXT+~>7;fgB#xXn0T^Q+k~ar$3xaUa``5lT%6eJP6`x<;0=BVTyYHD!BmU}Qz- zf(KretJbVsyZmb1noBFM#w{(e-dUe?_PO7CeYzsjaNrNyJBpUDS8OX>U0d?JW8ppT zm(~@%pz=My{_UIICoUJifPmloMZfL$zHpf>2H*5@iQu%iyWWswi-D}s+n;P%6yRQ) z6bYkVcoc1}_uKTR-}f(jLlamyTVO1%N1x=l!Qls$eEb{F^oS@Njk80Y-bv-QcpwQN zTp9b1jFsDaFa8wM=V=`3u6T>C9j82kG5H03UHA@hZTmN+@9Um9MOcSuZ&|ngXE1Gg1>V6!n^Gc)Z2Jhxq2!6^^*Y!f@ZL0ri%i|eJ zz9GIzzB=Zq$*D^^5}XSad-SU>7~cPZg$4__Gz^) zEzH{P;B^TjUbw0z>$e;B8u6$8Y{caqoN;bVRmZtfx{Uodfw`#vJ@yZ4O+-45`C-Kv zB5IR;E|`)_J-Vsd>{*|RIIR3oI|5Rh;;F|Ke66JLrtVfOXufcv=2&}+ogZ4;i)Z&L zA-ZL1z#qD+J=buYHJj@$X6El0bQJwvhisgh`sUSdXs^+`l<-STPa)U(o&3WE8Qf=C zLxkttvHJs`DRJCs=qU{NdW$YHJMY(i*ATDYTyRMDCWlr8V^>$uwsU8&dS5kpB_mh8 zPeYjQs^6vt>FOJrw0pyT74dkfvi5AdCav#39}i2Z`oruZIW!sdj`jk#FG2EBw)l0M zYk(b)Ab87DZ2}4h2Quf0-r^P^Q+Y&)PMJ$g@Ig59lA6`aHU1c)SoGHhP>!@6@JV`! zdMbx%sDl>1wZkEB=vU^qd+ApK4k8?=X-u5%HN4SH%MTXFf(M8t?@`4=6C_VH{#`Y= zMd=i8TNo+gGr}7URPA%Tgk{^}Gqic5{iIL`{{4Wi1wdRe@aUibX>;L*aiF?bfJ1e@ zlKoNanY6Lvcr0s7B~R{rEFKm5T`qYdWui(pX(0~{_IAlWp@iFlD@mSxkdJ}FGqajH zDA*iA3)6uSnT>r(!fEj3KsSudBEH>e;Vk5m3F$0K==O4vSE{Q6vKd%SZpYc?O|($H zEL^OVUZ26^7Oa{)6~*UAG3G((=CDI zY70gu@Nf<+WS~D7NpvcC4_evx+FQnz+JgIT)ZPwAx_H3NIUYJ(`n|^DN zHL?BEp@icXA%sW-H>gTl{JMQM*|`uskaMG(FkP10N95+tf80aB0}jRp(_vcB&|B#$ z$e#Hs+)fAq$*Z?n#`y1@RCUR38Unr~gf5Z2=(UM#CoK%6$!~$6-4xbwiHG{20qWaR zI9y9f(Ij(9$e_PP>eW>FNTn{1PhPq$KHdJrPRFu6Sxu3f847-l?)%8CZ5m3%OJPcE zxU7^j{V1`SqlKfVGf>pradt4e++~f=rG?Fz)t)9yF&0)vWoHIeE1Fcinv56F16oWz zr;%+%@s9A|&_I#sE-BnUSafBE7T#4*S6t5R=rzZwO4?aCHSTz}jcCtTBTcw80#M4I z1wGvG0v_WWr?0S*+(nAq@ROrknne!!iqYM!5kd+%1x>P^&caA=7hLLmvR+mEp!FDz zulHLyJsJH2J`Njuz9NaLbH&kMjR=Ar_)t`bwbG*Lg5uYPkIlh)PF>}oYcCDI2@PCgi8N?OUh`A;l>Lkm8TOMqnA7vlQ zPtWTud9oqqEzfKy;!H^G)4231|IDUwqcMlS)4iHY{jAnOP__9^Qb?7ZZy6(mn$Xy% z_NKA}wuW%uLF1^s@u<2GmWD& zupc^}TForqjM{t=g6@QnrDrU+o7&GlZX6Y|GO_n;>3Q^5({Or@$bDGJZ&SN%)p6D5 z<(ZBwq!NYjvAMEY7$}CUrKK#B?fR*T7r9~i8{}Pwe&B~|CQ6=9h;@=2v9ytW%GBF+ zO`=uEC(GS7TKR&iU20S_ zY2~UqkL1PS)43X(G@5a;X$u+v6La9Jv>HJ0O)vf^DU^{kFeI4wSNUZ2_g4OO5OzC= z9>SFW9G->MR&HPjudF%=+)_~}wiR`=jz{e$tGQvO=1@zycrt?@p3+nN+D+t1o?eZUwR1=-sh9h%SuH^icJXVt=u4gyap>aAf>HY07-U#nbUAF; zryC%&&e2c1X*6vgmVWgx}Bt-bAcIdCCP(U2Ohb(MJl?qYWqIeLgMrTMI8!_2v`NoxL-~Pj1rG zte(i=rdq-<#~b;jve;n^ayeW@T88cgYbsYE-j%F*mboxBhL3rh`HwxSxO?OH-0y}GwerrYUbl(PFkiL> zMCqCKvv)NL5T>UY8aA3k(~^+?ZiP3hm%292>u`(?oUfDrN(-wuw<Rwc&XA6>)?;(mBX121Dn_n*4k~glJh}O~!Jp$d zgz%3}KTsa$?+oF;Z?X^~SIfzV+e|r%B2LSgGBes%ET-ByCrktCFK6h$1Wl>H6s$p! zBU9RzVJ~r0+O-#AL;#^FGFeRc-`!QBEo$g7t~vvX{D`&O)4<@An7gM%Td0|h$!pTk zZNd5bT>b8$zP0G%Q_U40&YCL(CLDPz!9(3myd4~|z2-?nt|If5f5Xo=F?l8wV%#zO z4gLQw_+j3)0`8hY*gq4JQn?XZEu`RNZq4qX5Z#N@sR}t~+(AgEZS>a`tKvkjv#A;K z-_>bIpekkq-)r1Dfd_>K)Zwk#JSoE4?gDCwcUE zp6q`ep|cPPUHH!nAq;xFgntnIR~-D=^QC#do&paf854Zh-Sax^eJIp>_YUu~hvNP- zFnahQ!U#+0Jn{b(1YJu%fFL+;10x7N>E+=b9H+fj?ZrH~2m|9)l#6(;d}C_f60cQt z^Sz(+b6ZL$y|;Mnz31n*&}W~(?*ji9zuZ8Ne|qVl1wQNhB@25s{=~xh&piE<=h}tK z7yNG6%jc=J5CHub3x6B7IARVJBN6!l@8Gc8+Tb0?Lg+7k%JNb+$5S3H{s(I3)O+>b{A&O0>X!=_CrtVIEg1EW zlIfRRJomtB)mq)W6=(H72%rCU<+aQeFE=c{WQp1If_z~(%kR7rdSQ&0=gK`)*`3mV z{`Rx3p$fW`eb0N<<}w-@R$16#@4c<%G>+d=`?Cgbovf6OLAbHv4$|nOTvIIm+3_?U zN%58;?gu*9K+$?Du9Lzt9+5w3c>_OCe^vYU$BsZ&l zFKo89pFQKtNs+f<-xu${+Oe6wqFS`Pg0Scptx!nT$fu!tHA#XIa!hJ%KYMRoj@2UB z*sR~u{K{Bj&HCJqmlF>3&qjN;SV>XLNR*G;ehsT(l+n&IA~@)FUw=&o(b}TyPHXx1 z3NltscS*ijH}8|PRZAuw`kW=DV}yR#%_AqhTYK-Vegw<-%U$A$l~=Lbp#?&|?WN}j z^p~@Ew5Z`NNpMYL4UgU>`J?mzDSMy1=J4K|RsJTEZ=h>GRQc*k-{jr%`;y^-ZK7%c zq_s|6W%L<_5iq-W`w0^!qZf{(P2-FJK5FzI+f3=@^BQ8;73#4c(wN3PjH7u?t*CSo z?6W&Fszy*=S%0K~IGCcn)i1B~an7MjP-XI&)g0i=GxdJs{Yyu`Be(NC5geOg-3r^4 zH);aI(m2|rqu(4Njr-$Nr0-CV{>bD5Zcytf!V4p-1keCsz|{i-pWzs{Yr|cFw}lj# zDw{5o&!m&G2H(BelGGe^qb;fZ+_2x5R!yT(=pTT}kWg4Wtn#*O=B9`qPVtg$a%tP~ zSO#ghMmoWO*-tkBHSN>V)IIc5&7Uo%{)@4=%9aKi zZ-)K+FGN+k$$EQ+;Y}2Bh%42{6)3LB)owUE2-Di>;jx(jsqY1AC%e(Fq9AuFK(KH{ zi(UZQ;5Y`QOq~8WKUW+C9Y+MgW7YejG0)NF)JrbHAT#So$y zP;o{Nc(&qlg13tnwgmgeDPfueodGA8+Besa~`w5-vRH3R>6#zx7S+azz~4^&40H?tYhH}k!xS~EIJ zbM%Y%Ge0vv72tmUAeza%)frUXfBSAUga}}qW*iiYVqp$O+NR-PUXzk8iF_&IH%2WDZgNlw_OEF z!AS#e2LmB8=`qPnNY|;TFL~MRx*Y0@VY}>%l3v1L@GX)vu1F+1=4wJMQwxRW%IoeW zJ)jcN449v{tNPH2BMxTdQEAz+;p#{~y**8%%mRyfR{e)=S)4LiJV1*FW-6%(Vk@K$wBU`FtZ-D9L}ZVsX!9qO zr%{%+0E zl|A^|>z7Rn#&cW28I z5I|`vvGcoROHldtfVU@gv?Syqnna!O72i87{j!_qpA3NGnbCovLOqk(Ax(+B1CR!&NBpTy*eHdaR3Y-h(Gi+$Z?!Y^3% zzvT(7lbPqnysSb4SQ@mfn=vtICi%;w2T5P^Mi(K;%zY>vET8GLS5GLm^kx=(Q`TqL zm;ZHN8Q?iGMU9d-`nX2q3(F*#mHo)^gtVim&AB2a!H=UM&+>X)D|Rwt2w4|g&Ah=3 z5gsMvDa>r%c0zDJ;1RClhWkM|`59>4Ox*Xb<}rmNGdq{JTX3)9UB5Avf$S8tk?I&Hwbf0>vC(j%fjOhS2v3y|^#XIuf@7Q%PGL#JbcusBWic*C z4)v9GSUda2GoyS`%sQ%p+i(E|Uy*0P!q_Ri0 zqpg1Ta5zmYvs9T?BIHN2aU*D+H9zdKg5I>LwegepbgKodF#1|bPMzN{Si|>@awd5Q zD`w{q-=bkeK`A*_$CVpQAg%iLy~AW(gL|Im?;bQ$W5~{Cjxgbj0zx9Z(R9fq3}m@` zQvm|8Gp!^hMMlneE}U}Hu&ZfI2v%jbC^6#WXb(@Fqj^iIvp*i;^Dvk5=hGH=W4mj1 zxMDTRl73k?bSC2}g`~)TAdda4bfcKPGRq;Og?9StRF>k%-Kx2{(*+8zGp=?{5?GNo zJ9YK3|Ni;-R)=50vWR%*>)q}A^u7q~=bK7zbGB-j_D*KU=PnVTs=FXNJ_Rs5|)R_Yj!{6CObm0jJD$DGuy@u ztwQl31v|UBsn5dHC(+;F)!%1cR+#+{=_UU8$&0Lv8QVDhE8b#xD6Rh@+Mtt9G8%?yaG*ujR8j0c}kU(JWjl5ZQ5PK?}nF!hq2^0!MDraoV0Aa zs&r4jJEVfUV>8~-*j(*7^C28j-1E8|h;Ct-Z8W#F=w#M#IoLw9jF!4s;;rRQQ_{eV z>`CRz2{Y8C28P{dFp~`_v*8v+?!EfHvQXfl%*f}?N_B7a!)T4*MQvPf`2%S>(UDX2 zqT()jm1dyWQ+^dBIbk2i0WZr3cZlhVqn}`;8hPWG%mbzwjVFx2}TL!5{DAQ!!eQJlt?~(A*)ecywyIo0J{n1K=KJDVkr2r%p>A zAz~fn9u+umz`R@lqv#!DT0jSyICV{DW}(b85q(Fm>@-eD!fRqHqxl9{Y66){}3T-BtMCZtiS1D?(k z|2u4+#jtrA!=}Vz-plZqLXJG^Hk~qh$31UOxwm&>q)$z?|N4=JiG`LJe?`O6 zJuM&nvgnb1@x6cg_{NSb+qN;`-%Gaz=JdySdcQrp>Dqpptz8GDPV=+ZUKbWv znfOdt&V-^LL-;wq%OmCHbsI3HSdHa{TRhIa{V&(22Vp^V)RrXr2EFTKXPov8?6a4A zddw#~(>uDwo4XsM=34JFtJhx}^n9{>;+z38f&{Hs^mR7B9ST?MgA z%NiE$;OQv&Si~riK zmE-cIdt6)Ve55N4uIyS%qo-Rv;OC&Gr{+6n59%xT_h>o=&VVIi}d#x+zpU>--94CBd zH=ZIUQG6uo?viz3%oJ`2xN6kBkvZP?O1ahf`<_RVDH$y*DWX|LtMgG-B|U2 z@;b%u68~%6*Kbe${ZGIA#6{Vjq?fohnO#HrYF@te33Ry7pf1VEYj;Vsh}g=1&D>9s z!mNC%TlG*k28`idvObZPA8&(6TzZGBKL{0*rleI={e5(IBG=?TwG<2PEet@!Zjg~_ zYUnz{%EL;|s%p6XVlTI!R{~hNHm}9R+;%VySxeR!Mj~7zNjN3~NOOWINpxhjnE1O) z%yUit(ydi9)uW<6bcvfN@!P98+PSo9jcQrR$PBmUN7Zez_-NEXq!FXzN&=6Rr);K_3>Vy!^0D`ziUTPie1yQ#vgR2Cyc#Z7Pi+Dj=+r zzhs-Hy~rH9;)q6D#@mR)>U51_@4@W`H%bA-e1+2wxb#>(2Ef^vjJ(J8qUaJ0ND87J z9RC!hu9mq;vf-pgdqaAvOR|m@1b2BLNERBc?LJDgqy_sapZLoIpU;}V+I@YkuJUl# z>0cN$h2c#m#$|j!)@=ZYhC|hAPEqx_)Mf+-OPk0cD@w*tgMc!_DW(~flI)j)iM2Q! zG=*A0EYkiRWxV~&M1u$oQ#F=LNo@MHdBz!b;6{`7oG98;W2L1A;Q=4H`*?-cY&Sgr zah|K+beMQ*fLMzs+Wg@y#AzPwqfpY{rBk5-t7{nMEwW*xmmRs8hu_Y`7aWP;qaBf~ zOQy0mS9hNC-8WFR_#T%04zfU}riJ%VfmZpBCT`&hrok#1NGJxMuYNa$A*nbjuN#F+ z!sU#TJ=b|o9JBoa9%0pqL51McGDZ)>tv(Ufl<2NRk+w*!Vt;HDw`)$gKa!+)G`7-5 zuPk%TnULTcCq*n*&2}y!Retgi1SJNdbgcmujtxhWoF#w0t1C31Xn`t@C@>8%wbVsu z=G?65rWT*VIeVb0?gn^2!Bht>R0Uo$79{3F(0LG_&-stelLl-hhViYW7~QjK>`07m zl77wZT|qgX}IhHH4L3vs?N0nnt-6)8A7$A{*xW4UC5 z4&BZNU^5It>akkd**Tf(Sng6G2&4HUd71)v4;=#~AE#v=@YK6WCip*$E#)q8QkmVV zteQwcC&u0EEV6!7`c?Q3#MZm$9pllLVn>vX#k4E^de)AC7wcZ!(AxDmW?5+uNe8E- z1655?33Rixd5pS>G+6POR^EQ51}T^n2mCBW;+Xyn zewZ@ug^!KE^lxTDyt;PjK{QY@vpG-;lW={_sdU#8L1Dil(vxySQ+K5+Icsf^+l6U{r zdFKw=`wT9p&_rD8%{E)s^CS=NOdO_VQfu+bjj=;RKPql?6mv-#zncn*KC14?aM6nC zMBHlIh`NIul=>;TuYq2(y+BUa(9bhvD6^IEl|H8UC-J#QZ8{Fd(&3G(StZI9A?CcHRj_}x->skK_ zELmX1zGPbj(6vhF> zf5nSdq`9g@%nlCiv64*Dx}1>*BkYrcmw)~;+3<8uX5dB4ciAVVqvx}F4ccaWf*fdw z+&H}tAk|K`6i_(59^HO|_zJrVl!WT6QhUk}A$>scHoLF^6PW(z$1}*bNq{=-RDrsVnK-BKfu!2 z-Ec#-Hj(j5Tj{I!8A;Gw`%&SXCHHv{K%*h@o>jF;u`uMw{&Cn9UyA^atN;$ zgb#8#RD+_G()~{#(v8B&;=R%N$XRa0tx@6l#z+x$6k3AiQeIfE+^@paz>R0_lw@76 z0A?5FlEgb3jb{$|z?{hBl_f6?|EC01j+e#Ylc(X_X6XT?vM4*x#X;{zQK%$N2=qv@ zdy~*vI1E^}(W%Ii$CtWL>%o1oml7OOaswUPFd&07t=QVuWwLR1RKq9Ku+ye2uHGo7 zB~vpfZ8{N83)SSa%JK=8rR=Eb2KBiyr;4a{5ViYbW!lmh{{T&A!!&5i3ntxn_}Vij z<`xf{p%AxFmiC-}HsmQNQVi2c9*YzxIm|ve+81~B)OXZau(9RDd9h>Y$Ahy~^IZdD zUMbZkpOG`J&fi#NjCyKm40p^CK65?F?2+S@^d{`M^v#Ap%ab}=s)+d(`(RfTr~mcg zo80#k9fynk`Diz@TN-Av)mA2VTMs%uefgAGdb5cRs3g_-Y6kd^kr?>G8Ss-_qPWH3 z*2KzL)yC?+pK8{zTA9cOZVbVhuQV^&Dt1>;ZuF>!NIHtyQ~KB#3vVpM&H2pCiT^Rm zDN}JN`>%4k-mRRz>G7P$Z@hdGyyyFE*zfhKU*vw@-QM`&PrfI<^nKN9_q^i&S8>U( zV1I)zA^$%@>XXlK=KpW9@<}gm#3~p3A073cC&)a3lk=8%top)hjhEj-uV?GLeEeRS z@;wwd#NDD(55Eqh(y$t5v2l6cf-M~{`+ zf@-@_yyg1yye+#Jp*!RDXF`ulzx~2xEA)PPol_gL(l>BU+L7bC>5%uqPDi<|&X2$7 zfhT;m|le?@QOGd(TNWGwhpn^7Tm=4keqD7p?$nDFRCy)1xBs z)XKwVfp26`PKu^j{9*puyhG+Ax3*Cu!e1Beb*YcxlQ5gk0z1p)P#1rXGPHdCI9;!Y zLc|eWYo}_bPDU_NbT{2TdLGIQrXnU=wH36)HA-i6wPrAI9utf!iCFEm^8;npAu%bpA#%x z-GUf}qe}7;ypS$M$2rRsPPR3YO^F!Vr`1JjAl6+Nd^b8?w;OXyGzn$){*+u&bjD#o z0~GRETgX5@>1ipr-6dFeNBYzCDNG8t(MOO=-E(fD{ouY^MA7vI$0Ncs^dbgd!Ep>3 zDEx*Q*hbby8uwSR6*HVwUxKA|&-XwpC7kyAG7+9#G@w+jk~4e;sy1rj^2}C32v80q zvg#K;u9z(I$eIl}EM*7ARO$YuEFEbKDjLtdPl;R5_1rY(H8q>V4JDK6da~IoD z)F5@{>)+;c-{a41r$pZclC1Z*W%7{hzVoqAnY+!!kBC3zV2^F?D7BoFq(10)ZBEsG zPU3gL)Oj)bD1TcBL%N_vIWXZlpV*ePY>%q#xB~l0mZO08DeQ^y8Ewbm#)n!X_?Cl$ zRjU$F_6ePSLVP^Oa&)BrTe+(4sw8T`c*Zo2kIcq_X<~AXQ|rg5*v!E$;TW+s6*Iyl z(Qxu8{lsjK&f_zpL7|?|Z8SnF2{XQk=VDWh(b(F5QQpMg6_-ra4mh6FXHDDg`Nrj{ z&UXVVgGbL06Awen@^MPQw5h7NyH!gg2I#sd@uC2*f6%xtGf%B6RO@a>E(?!$W9})j zAz61@6pKHLd-!3RmYMl?ugmCwbw`6mLl2x!)}rrE3i~`qH($Kf3fhmI^3KDe9$IR$ znrcAo)+dQGaB&oxKtgVDwAsYo8_xVO#mBWp>D1Yw9V*As%9l1V%N#L(hO9lvSd6N_ zivowgnJIsy#e6F&HvdZ~s$As)2|35%>^OIV@%my$W4MBDOA%8OxX&fS@$ArWlqa_8 zkaQD^)fD?dtiFI0GeDN<)XJ{Z(VE5fR6-mQ_hXSa8cmwGJ5t68`ib})cBs5SQydX{ zR5$PCuErTcHc(TP>l#3F*a^T+Y@F2K3}UfH5p##Slzg<v_zwnNNDUJ%EBa%{D_DF6KMI%HN>Mg#PZNxN`AmK^pse6>*)Xthzp#p-ACmAZmFWWdYcfpNQp|SH$-; zT|NO7R@GGedN+14@{O0Y7rC#+4wQN4qBH(w@){PSQmoklw;h~tolju2liZFX9xXFx zqBko`+E|c?_hs33v9hzsC=M*NSP3~?`mVX&j-qbqag1PCoB`86*9)4k06GLZ=;YHQ z2Ai`9bup>3r2}>0(@6%!_BlJ-tCvSz%5G^RYeZfuG!46Bw-80d$Y#VdD&pgbzX^Fw7HC_3d z`DGOIphYnwTzAWTQnZrN0Y;0<#Z09$P<1)(z!xRuA2Z@(0fw@*ptZE-)X_&>ns7)J zM`{Rx=4N9_R5o-MzND<7LiZvsRKt5eA0Ii*&h-49^loKZD_IwtQ*En`!u54?v}El} z-1V<-(n&oTo#lgurTY=0suK(VRu|i{?)bc%JvCclv-BcfoLKhf$xE~mKd(Y^Z_E=To=iY^fZx)ZI`wanN! z_R68&uXeEv`ZdgqtH2c}>ZWDK(CRUW+Pq7Y#3hmd^E*gh;J7(S{7@-mVX%J&sDI|7 zy$Z1?K|Ev;D^tIKwj4~c)3ZT&W=d+uF0Hk<<6Ii6o|a7&w7X_2T;l_V+dJd4XjwDT zUDj;iz6Z#L3Dv_^*BEa{H6iA7Q}qK$L%a{9igkY_B(9OR;)p{g+o6;2%I z*X)i@Yo+QO50oJshEkJEFCK=WZ;}!DT^cbH8VpTZmxCvEbuX_j_^9p=gNHy4%OJ#q zaj)B^RnRcUbx4-tS-ml-!q%V8KAEy}vZzYh$7Dn#DIkBV)soT+?xqC|`SHIzSCJoY zo%sn1DN-6dH*{x6j6I*u(paLJ1>q%oMsa8d8a8MSQ+W5Qg4zxd$ z5k_pw6g{=Co7nr^Oy@{kLCr;~=KTUkD@{|_`%Mqk?BVrFht zt<9TFaC(CXF`MhcIshMGkywhk7W;JzFkSari~20t754tjN1dN48$Sp)E$_NE5I{C; zbA7e+C>k)wZzxZN_FGD&{j=R&l8ayy-^GLPL2<-jixiBfEIJiSxmq4?clNN9JaG!% zJk3TIo_gTan58v;jy_6eq1E`o=I?Ks@Ny>XIw<>NfnKX3;iGOI$EN9 z&`cmhB`V24*x(a=BW{L8GA%iQXNX>@zin;qzHxZ;0Y2*k?OwAG~U`AP}A8_g0(EXdEzYit6K31=|SC;xQDlkg{C^xWp$pL8OGW<7j6PM$J26V zvdo#1sG6##70KfKquf3D?>sDeG86j|O4;{nesgFp`E9yxL=97$HG>onwvGSRIvt0D z3smCh)S6xCxl|*M_XJM5|(ZQ3q&5;zmE04#g|ct zXo4Iz@4ClI?|Hvt2GDt4|9>$Q;mqgV;mkFDE8m#(+vc$bKHGghdoLoHxz{7r*YCnT zj|!iC5&!nt{-zi0&B=`M^A8B{k^26)aP`FrpK!GKrHm}w4)yc!^r96JUMp4zR=&ds zB+(ZC6#3E!HF((8L-5%OUum0-dEI9Er|0&sQAsEpHZOdq?xWafPw__PBON`j_-mo`y~B=NR&hW-hL( z{oKK)0vt7(WAUiRysT@B3pnf;C+klK=1h;bp9Lx;c8(CbdE1ktB@LY0=OUtflF-*C zKUnP_?Ji^M62A;U?`)~HFV+krCJurA@2b>E*(J70GEf3#V!lJ?SaT?Qetg{iI7 z{3gQQub})vzqzrpg(j~zLEoFu9mva|8@uJdf2gGoSKn2${|4UQdu06v=9J&~fUKWD zyXOoct)%M(jIX(JHHUeac@9*X)i<@Na6QPHczb{1TXw1eP-%BvxZQkSOs$oh0T(eB-EZG zZie7zk#dZu80~sV*_;k;Ys2pb$EIv;vMf$KUNr2H?DKVe`7o41lV3n`2dQ6~O6rdnS ztMAgHNjFuf_TKE`Z6~4$QNs&|Wm5L)*x7YOPAPX!mU!onY140cS`*D)$+!<`kVizh zMZBu`@8%eIHgO#b;>+;!hN~$(<@WrP8DdXU$5uyg%@PaD$2sJdpB{3iR)%1MK?|IB z=_io0fe+R-GJ!2f7#bP!I3@ns-Q=_JhW1q(d&#SF9qV)OykO>0`l>M()EMTyq=$Lk zNHsDqReLU>dhwnX9J=lbmqx()jp6{^t#0a>0T|Hc%uU$_2I(c$-HLI`M>q#yG7vGn z43>vcL-EXK4u=zXC1O>0K#tGMO5cZ57fY1{Xq*mW>uEzHFnE-243D1`3zWF&C?&pY zT(#f9h~9RXuWdx41vWpLX#`;5`|?2jMULKoeFpg|Z(|6oHVj>bsJrhp_-;;=%raYA z#skYdy~$cuKEs!DfMY1%ZkSc+Z@0aonN7fc0Z;>Pcl86+i5Qryi(#Zsy?Z|Mt2K_qtEmKDl;wS@R}4ZX-PyJ(6}j~ zIO8Pc7B9%qs54>POL01&%QF&!r6!3>cTN{fN`lWUk~3}sqE>o?en88a7HdkJJq$Qn z6V!Vq-ZuB>$HrGQ%$S+iVa;hl%X6=<#c}z z5hpzXd6qNOWt>H>ZNPL`@#mwKqKLe?njPuEXxu|-n;7F!^kDmiCQ{I&tl4et=_raE zqMP_QT46gMV4}K$SxuS~@c^pS>)IXkKPLstw#oAd2@f$GB{~YlL3uJI+kfZda+pqb ztSBRA6gNwTa0%n(Nfw@smUw2iN4+WI@5|32vQtMJgZmHLe;$Ppy5Ihjy_+#p&G2_5 zSizDU!tz>T$i?Zl<;KuYi8krF0V*WaRDg-T3I8Dhf(TN&GrNJ?$GoZnq81!6*cy1d z&8OGq#iHC`?j?@JW{3xeI0wa1;!ON11GW!I0+Vfyzh^^!8QM7T3;Pv?`BEL4Lt2&D zjM%Ea@uRey_)GryOT>KZVgBx;^2Y3k5P?Ho#Oc1A!7lTNv?MUWP`rK4S}F}r!hEP| z)4%Aj7!TOG(P5ATH|vm;k~<8Qo9@V7G7Tb`O{W?d6UNY7q6krBXdFzgSEo`iUNHPC zdK<4WnBigrn=DV>+N^21l!Ru@%xv6Ahq2mw>>6gDyeHPV#!ra3drnF#ea4-3*N@i3 z!7k}e*Y&Jl*3^Fzl8Zf=$@r(34EBow;oPIy$}Z{F)}jT=|9%G^-ofGso_LbKyMV`A zmDw$QFFBRIng*+}%DqVy<&vp$Q%|i(bUqD3*m7)EcuchzQO9FYFX^;|zmr)z8QR%G zEXMUH+5tf~&Ey7}atl-BC-THI{M}(Z7)wW0y4y2u45u+V6Z-XmWFMT zL%yxThgV`W*Bmv6hy`Y&iV&(ala0mut-TjZ#Q+gsYD~cfi+_RecooKuTH<;aZy8mF_qi?tCXUzCcCrJ4};oZ!z^%)RKFt_ zyIFeitFKmKJ>h6s8g)#r$mY`jj4zlDyy!^go)fki%GwwjA1MvBGGe<5(!9H|6fGHc zA!B!jcLZv?7*I}0oD>aVrlOOr`dKH7g}nu+Qj%&@&C-prbCkp~K{RAzMLJ?4t@l)Y zpWAvUag}bB#vY?$VEveER87~%}xFO{2{t^qWt?~MjOrN;1>DF7!af(Br&ah=flM8h}ZNHc@p^?x=aqZrKsI6y|nlKUHmQhWA=@ zzrgsi4Z8uOMtiP0n$;AaG4vz<-s>)uklL^uW1md07``)(H<^F3Hkb6x+VS}_!)~R4 z;d!!vVzu^icROR?rwKFCex{kex8OZh6i)))s@bADFm37ki(__@w<{%;uH|n|qgDXj z4T$efZsp&mZQv>&bdt53f%$QNvPF~lSpn+J-MK{e0yv*)^Jg=I@=SBwU6#kaq%hlL zX5bf!7APaJJ&sQ_-nK-!vaDD<#JYF1gM{cohr?kZ#6bl=l9F^ewiJU8?{GAe54*yw z57;}+4w5z|&5$yRvQK36eeUd5X0Zn8XXQ%sRFt9S57L(@8JZ&nrqZ2pVDYvxwFi#~ znOVz@8C@1WqAIJ0r9KZ9@r@@qu%ZZ4n1Uio>@Fx}Y)A)Rhl!`6-n7AuXa{RK#bE0< zVWNI)%>HKgFRtOJe5W!ib&eaztjtt%Gc_+8d#69uh?{D5Cnn=!G_hBz9X#IqdfXE= zr+Fp5NsK$;u;drMohs2HQz^n$8CItoBvl@T=(qn z7-(7uMl-Y?$`l2UT&O#|Kaq4>GEv z--WBb6+XVtX8If&_T$9E>s2|iFkt>a*8BQAo&VJGh<`6!eqrf$Z||j%PpwV)ua15Q z+rBr~uzY)&fg|<*fzFcG*062iC&3hJleYAJUpDTQ_eY04xr1 zg?Zm#xreyvudXkam5MHUKmDq+GC=D6tY6@79o2u}`38Bv6}s8ZdE4O^{TB?5fz^fD z&&LM8yzoC0o+9V9^?ug(=c;4|KZo2u(sJ5=sB@P47RNt)JYi{G(x;5DSa;~z>!m+5 zw9c*k+OU|t(l%LFAzD&$Y~J7F+ybLgzU5Zy@7oc&pSbnYa@ymP*5JKrOM4%>`pEW+ zJ>IF*ixQs;8J9XQdY5j|egTT_>;Zw#hyD_*f?;bBCFwfS7H>O6%CN>3F~-_J)<_an z4{U~O04vYEHrW}JY9KGCIXX5tO;w$4D07W!pj!b?p|MJ>oJjYAK zYq><&1QDw$y8Rvb8kby}s`A}Sr8Z#uo=7hRrYM(Q>1L=9bqR}vpaq~q)0*LYg1X{e zyEH;c$TAV|aBdlN3ASjqVwb$NeJ&nblZ^IrIZ1nM*$gf&7M!2lVhs@EDOjcp-Q~hJ zM;&3@rjgE4S5o$6auZD+I;CK2v)>DTv!4C1qP>9>r>7AA9BS)uQlyVD9=6%djBQr% zE+Hc%-x=P_!>K3u68oCgFa6z4h2)hFu{T2VpwV}4D+X>xI;f5EEyXr8_&@g?v@{Mc zxETKVCVEfp@e+1=bN`i{5Vs^LG&In^bsp+u$K-+g{*M{rHtQGoDSxx85nygd;CSEYe%R9}%}2 zObn}uG31=iU?Id}a~?}9QMHylczisEgZ5==(@`p45Q-%^v6b_~V7FL;6eUMn?X>bT ztiq+Ag&GO3%!04N$MgYaQuM&EBa!}fTyQrL)hs4%>VAgR5o#h6q0>yLPv3t4Nf)%y z1}5?)M(Y#81w?J^>{AkicG}c8`9=Wr6Y1JC$Fgk*SZ-$4)_4R#?Q|(9F((CNaJSZ_ z9w}*_(4NblJzgYtU<)dWTA+qm&gB3WCmJ7pMahExf#M$>n(BGN@tc)h6ZIYMuy9O7 z81x3wFk|}IT3nr8vP+Y)@*n+&Dfxt9mGLqF^%F=2*GL1U9o20}0!j!)K5o2NNM1sk zPQ_n|gFCP{Y)bC7)!Y?hiOJ}k9c*6WvT(Q8Gim7_MPMFcO4!H-!S_dql|gyqxs3S` zP5;zLsEP+qq3cK zl=2gAJT&k*Ce&(11dIQg7URyIj=!P*U%?OaZZeDZjws$%RTGoDY%5*>oIY5SrPu>+ zfQ{XvEgqd-C{JhmE8_jvIz~{jH_8uVx=N+I-KQ9-AX=w|xvIrfyx@d%OS9N*v5l9f zyza8<4gB33k_y@skJEsj_XXuPT-R6_fN|d4eeq)TC|?AuO>fus_Ui!|p}wBc^!$`K zWz}dK_x&UmY@`#q7Y(TWl)Bmo$yAPg_D%=CH%577eFxexPk{boo)Nv!G84|3v(`ndKQ8?LWdW_%;WGlur-b8%RUf$x`q zDy>9#I6*1tN25pe{s$Hq1g_TGwha*A682TWiGpTEA%45JQ6;D0EZU_ZYzxv|8i2I-$?cx!OffnF9vXBiIkM`G|jn^RJ(tU2sc zC@nkKA@W~uYA*`~HYK89KTH(VSdMY?%z3d2i8jFzR$5pSGpw|spI)3=DmHMuYY&hCVhd7+H zBy^Olb6dMLw4{$&cF5b=Q;fL}{}u#DEIT+8Ch<-~8kQi_D0^tZnZ8?-*Nh#B^nL?A zEF&|;-)BRsh3hz6HSn_@necu`vpV)BcjqLJw^%c9)<4WZN}CVRwT`S(saZ4m)vnGJ zo!^97x^_Z-->>K|vmL`if!9%_nxyLnEcFk2HJL1jlf3|&&r+(+I>NZ;p!7^Hl8@kp zS;#sEtemjY%K%GmL6GxAf6LlD5#-02$ByH|8DZAfY179U@Q`FwS7Fnu+Sy6~WMd%& zDHBUw{idA8P>Y$ob|izlSwW#rVo;@0CoCz6e=yi3mz$C5Esk)&6CsS>z}ky<<_OA_ zg!!a!NZVkU4-=iU9@6=zl+6W(y`CT9$j`X9z8}igy?bKXq_?&!M6X8 zt2cpb>dx1=6$99cI&D$g8L6$Jwo-L&r_~B5#c^pt;a(LOL11nxIt7tCDrAr)jt0;c zp|u3MB%~t*%-$j)BM{Pst#FoBNm7<1b6b%@44Hx<4cXr3#Lm3;edqJBpMhEp$vNl$ zTb}3pponKA@#(pZMd_P#Co`1?0=%V(q(oHZYoGRjUp=LBORz~w1;7k>9yJmq$yf&5 z)7iQFSjYGO+t)iNi0wGl*D5vN{W>B)x2-}AFpNCIW_pjw8Aa4^6Gh2So4xdV7B-Ee!hwCH8qPdEgon|=Erm)V(IV%J~)rYUd3OZ zEMy8CE7+e*^2}xc+y<*GoB{bf#+~^Tko*kswtSW*31A-{Bfdv z*ujG1aulwODfM_O3gKu-vE|9wlPR*H-){}S*ls4|5&2RmHBFEsYEI!L;~VLItZ~!z z5ljuHvYF9v8~-);+^^FW4DPsF+HquREMQB=-P;ecRGAv=3(*hN|7jv3lguCGpR}~Q zo95zjKiG}n@Amu;jc?DZg}YOa(qV%6&E1!Hgi^tUskWy@6#@|)Y3 zYXX&co4HYJwA{|zC{f*9;lHHB^|72(X53zTe*r2cwhGhSgwjr+q%jQa;YO%{eQQ_K z^0U&bKB=h+{J+x9S>8$!?T%rq}4D!rM5n0_8||m zW0w5eslH@Q&Q_RsZL<*;n(b!fq+}|c)mi|_k1U6cX_Y!VKQvz3U8PBQIwxHke%Yp` zM7J4z4b{+MAGE3-3T0aG#2oDi?c{R<3IW@U~+lteB+yBJ?H(V<0{X{IuS z_EK6Vk3g3WBd8D1VoH|6(6_qUE`|te=M3J1eLgIFOSYWVdi&2!Jd6}ezhKv1Y}QP8ivlM8Z748l`aD z(Y14r%s%?We>`q~BzeKsM_zvpI;fjcD`!ntDxt4f+8H9Ux&@# zk^h?Nj_k=zZ(n+FtmiFX`>MBZFOBvQKa-0m*L=h7Z{deGzy5dXcZ51SOk`jAwra8F z7$y4+$z4Y%&c881%g81@Yuy&qd?@6b;#pZtBNDVnAqqLix|WBlXz7}K1Z|&#zLZcv zUz-2%P>+7aj{FW%c6dd!@x14$^cB0+Or2?86Jh*pI4i^>q*!m%s zhjh>^Tzl=alv1?j2BDksHJRVua+sFZlZ_5S-dWatlSF)AqdzM{CfZ3f$^)yBk1M;S z`)&U6)mHkG+e^i249=N~P82+WgjD3p%Mw;++8Jgvv-*LKABD*o3r;7!H+XWdTZ{SU zp~>80Niqs}Pkn_}uS3ikDHiz^=chZnGpR;n8}VP;`fo&U_(Hq#^RCh#Y7{8hPZ3Q> zLerbQ$XQbq&{`GOJ6eOaOgPXfEqxxdgh;Tq$U%+B5DAs{s zyR!A;`9VBbSK>m}B2^LsQl+`JyONoJ7w|7(hn{2;ylP{F6GLChZ--^78OD7gCBctT zngM~5=^yVjY#Wpq5&}z5@rjO{D$}Bnx2e0!i>K6OQXK>1r)7m$XqRNQ2>QB5&Pn{YfVp(2H#0 z5DmGM@~x7<>JH66LGlp=R^~lqli=9vTq^EL@3}C!GFnoCMMBtQmk#cOG|27HH|4^n zl))%<;W!FEhCye?0a+o&1?^!*$RW|oFS-X=$Scz`LYY<4Tw$}TjJ zG40aVYN&$F;A^F$Jp(QqRt z&RatPfVj=eB!Q;19Q^-urBYxGg6jeMc?G+o^tk1q z(P6=W*E)(P2i6UAlhR5Z^9Pox4pNhAj;iU#Sw@$}OkiU4IDt}C{?t4aZj%*v7^gnc zU#92Tv^cN&Po+N5b=`Ei*#~K#QTS!JFPabus0C6pExCy#PO3aCFSDpmgO=5(BJx$a zLm$AUc8jimHRq>rQjnQUT$`Wa!tvuIq?5B`Lp&z#A}gf=c0<}cTM-Ba+c#U%h*JNc zS4!uSp@Llu=Yk)b9~q&4-oHrhDehz_iZ9)AK#U`W#Johs{j>3WdSW0%W7=IMbphmx^o$#2Ck$gBM}4}?$_(3oefNoO2Vc>TxFy=AQ04LviY znGB@_Q2A0C@nnoNQi|U3mmKN=(Gb4D!Oj$6DPD?@4j?3SJc1E1)3QOTDcPe}m%DaZ zU`IiL<-<5UHH&=0idj9a>E)G7%P|MnV-Eg{7+l+T>A@&9o0GIM28C-96wAe)QZORY zx8KrW6Y0+->BAfx@Sc)9fWv@kD^VG~vQHn;JMj%EY&-7E%vvmls6`&AzcoL9fAy~d z4=KG*G*8QTXHQj?rO#BAo%a;#qbdF2B(16$sMB=OSC-y z8lk)Fwz8Eom1iFU->D*G9Ckbh@1xl5@$_OJEQxad`T+rdSH733ly@D`F*{*$=&qn_ zFS~t&={ucefhT?Sv909k{4Oiqh){1WoNZ6#soq!lYi5R`+xq+4UTm=*gxTaPqor-! zb%({_Wsum)0_5eQh@^esnwFnY-MQ+t>*fTzElF-LdxlV< zR{Xh0juLCoT^5&F+WN8+r;se%*YL`x50L(H+ey4)aYGNuIaz-~;6>T@HX+Y1HS6~Q z-=whIC;!SL!vq6@$ecX#Z4o2>poCGG#OlJ@P1=-YPZ=`kh7s!N3^}5_bRflgyD#nX z(Gan2tLhGDDh%qDGZ0QBCp;;ghLaDEh% zQf!!%MI7%XUn!aGgg*AruwbRNNUO>?tZFUyP2njaYvos}qoieFdLQFT(B=Ym)Zoij zk}`&aKJG770b~LgP48+B$pEG&PxD~H0R?0Gs_63!T#R9Hg1alH{(=!5UhaB}>+I*nlWS+DHnT^uOP z>mKlMkh$ns|1Ho4N!Ri8cN zaAY3Oj{4X^<;G%{srM3xGj$*UepZ=bGBFSnN}tb@q5rDm5Hz)DAvj`lrT0iCOb#|p zZ$KK}59y}aPAxm*UcYtg9n((bsxmDmXRUYtwFsnA7Qc}`LF5^5tf-p5D@tl< z@eKI~WU-WhOJ(VGWgOVz0900sPyIQ3JFOYC5Uw3?D-mk zG!5Czadv5{+v4=xAmz=b?@H5?LAlU0&Bc41>H<+Qxza{*^Sc0@yDyB)++?BO^XQqX z5H$+jFg_|gjOnCPoB!&KXGg%m20rW@2yWMl+@Y`IOn(;n%{p|KHXw zXw`znLBF14Eel7+;vP9`N$}zIiym9F4*!q!=l}k9&|)C|oMp^RSnc20J->PZ{DF_| zsQ8B2+4-2A-EW?IcEKY|qj$)=@K-@k1O$hp*V(v$L9Q}%+4mN0TgTeEU|-{+0M>#0 z!1ODui=N;^4}uRIb_WWlSp0Y9mqqKB{zV)jIJ`WDbHo)|Y`#3a=r_XeenY&rAvE*p zefyoET+zyk_9vdpTlg+oz<@1pY|hy*_x!tSAC1u19~pcPJ>VmEHqkG!!X8`+nOyM9 z;?Sq`tk}ev6%kh!{3&>g^fn8Mvr6IYs=x19FcY+*_xWH~$chihjEp^5J(DC4UmPFVDarmYZzcPQzZOZf^kp zqphm-9#Bp1SYLj6@~T^zjYJTm&dcFdyDifNy&ld}{UXJ)ot@qPyfxn5{|ce(eQt1& zXtb*dmNe$D?#T{fK^MDUT)Ccj?@2zNkcHKzhE{M+nkw3}o_mwJF+#3*g%H0v@D_dJ z7?C!@dWO8w7!(UD-CL~v;Ot|K0M*3^>s#VR&C;j3(y3LjOxcwnW)CR_PShAZRT$lrqPjxI{PIa|QBZyOmaASX-hh|H^t~ug~sxsgblo zYH$J**xh>7Cz*~OC~BkrWFnGg1f5?LqS+|k_=V=g(2F$Hl}0zu&r*SQq3K=mAjoTL zYFqTLkJZr%06s5oAVhCSY(23h!5JI2gn$!QbUT427Q}HV@J09skYv-!>~bj zLCLgYcjhP|N=0vqL4BlsMYKxyl@i`!ABwfp_VvZ8o(o0B^&FqyS&a1GsocVx`ui1M zwtVoUI5E)WN3dcubpB4I*PtcWyU?Jro4S2x`o;QdL~*~Y^DeLdFQj}MA+~2x!5xt) zrg!T4rSFCDNm(9E+%W&-=>1S-=yzKA<|DO8ZX=s(nu~6Bo>K{8)zMUQbI^V^5HrD* zNM+~@`qo4!d6<$XVjR45^=T$Z8tV`2Ig${bIV#_Zjm^|~v?~C}w<9(aCx;}DA>iZ| zwlh|PN++y;78vrke{!_;{-Pva0H$IZHUq+Se%W!1cy5Ah?ii@C3!(IxBX;Nuspd)L zeg27a=<9xdhF2AJmad(E2w^AQzw<9oxnWD*V zf7o;J(6TkC3}mMn1P5e8$t!m-8PQBIk4MjYBLm`Fl)x%~uwYun+8mUAc8uERhpOGW zUnGpWA~bE#uCY1Ewfz)7+Qd%wOzevJASQ!&r3JLITfoO_h-9?=Ieqjvv1oeagV<$j zbC)H2Q}-Ek#$N1Q2f2H<7*R0;i++8AcWg%S^6kR<*iprz`grWS{G^Zl5 zFbxrkfFCHiOFEaWVInAPxW08yA7eIm@eJ{P=!@n<8ww4jT{)$U{Lu>5g+|?=&@S2?b?O9oz*j}5pM740DyJiBhl&$37PL@E`j7xZH?0%--*mR7oaYQwq-NoyWw7(>Q$#Z+DJ&B{n!3N6ubNv(1)4jhWyUjg zhn+zxDWYYlj=Rt9Jxl+6yj&c`>3TcwYTo8Ns!c#C^R^0hx@2jH^u5wS{Jn*~p+d|k zyYnyp89FU;h@U>1bCy&ys|R^^dA@kpa8BOzFw#6b{OOp7RKBo$o?bJ=diL?poQ$J9oP*tHsrfJJK`LQMs_Rln`WrS`#oesK&i^*izQr(VCg_`IG z5OGy>?$E7NTmhJ0i6D1NFKRyA43%3-QO(+kh+T{{qOrt>RA$xrV{bx!37_e`FqHc%16abGGaB!i@me#KYe)d}ysTerqW$n75+ z4$gPBR-Ey<`V~J7t-FR|d1m^lG@px>PXLjX+czP|$)rD#-W`@vU!P0v*|&)quqr;? zf$@_R5n{|O9=aQ4hb&VFr+T&zHV(+4N~MZFsA9}hDM(Yv<6jbFAo@V(Mez3RTM96dNguBxp zrY^qi=^X?H2?>|Gw?Mnqj%f7E$w#h*Z>djkk^rzd@c9MnwlRH<_O>wH|2 zErPw@>(@?jI;s?Bd6>=b!cS1p*L@2z+<2kZD@+JkV|O`12m8#~T3V5Qnh6FZMRn(y zJwAT>Cg$s&a;ueIF=K(H5SD^uB>%z7G&W*`Tj3D= zKGin2dIEGeqp&SHlWKiyE89e;bf_ssJX#A&sjcnuIWT zt_4dpnVHx7V_lw<6aiPE9H7DDY0> z7b?5Y@sEY-sJitCksF5ru(Axj?T$` zxbJft&BKJe&_&db4L7#)+8MFPbf+dNVm>vw@q;XD@Di#@Gt1v)%D3M8T6MnTA9w%I z!rSTMh73~*rCRWJ2d5Nje05m^eT1#B@{Z3WlNnB^M0p`=r_^0n28Hy~8o!))Oph`F zkJQu3!>f;antIBHHX@6Wket?GAlz5h+~Vn{3Wq*%J-{BCku=AhDu%(q=_@VjE5is| zGT%ljum$vWb=_}`YPoyIK7If0*JmLs;{D}^F_43>8KJ}zU2T1vHx*ic-Rve6e6o3* z1BA|PAso>&z2#>{3AWWtixL?~3a0FgTHHr-j86CEBDCFbVyh)XARHu)BkE`X@$h#)H*~B8M)r& zHXRJl1p{)VCLf#NKbeWPF2hwreNAjn6ol-bmbGQlC52_N)|>p$IYHl(Nfrpy$92z) zbEJJw&haoqXrJM|k>aJV+}cJi9|eC13H7P|ahW{QSK4^kpUDUb#4Pz>P<`*X>JDVO zHr&YIDdMR`HgY8T(}?wjIsQQ|cw>-c`?zOj#kGO9)A6Jd#!g%5Y~4b3n(z^)T(I&G z?}Obdl*aw)ckd@bfH0CiN7RhlI!&)6`i@q~1%SFGOiVwe_A>Pe(yK*o`3#bMkH6I+ z*sZq&S0g*JlRRFRh_NHpP{)jgFtN_|EMM(qG|nsc>h!c+p2)-ql8r`7!b@6X9wnc^ zfR|(CUlL*`s6(&{4iiKy09sd>(%h?L^v&XgmH3O@tkFUk24E zFUo)E76Y2@@DD?~FJ-o$D{a#iFyoDCr`ec>qKjQVoRUk)jS{RVjXVF{W2R%_H;iY+ z-+zhMcm(|*(+pzk_qcuGBMTm5w42N37KU95Uiid6+X)f zS&*L}xTi5Ncsr{)D%BR0vM7Bj@Z%#P>tlk}FJ1rW|9F<)e$e2Sdvj zJaISNx6;Gc4{z2;D0bw^{s4Oz-*B$g51}`J0g=8(8xe zR&&+->g2d!k9Jv{w=v}BWfPpFl@;>k(Ywz7{K6ga%RjCrH|;^kdHuU}tLxU+L6#> zXI;xVh&jZSO?!kMR%P7&3go|8j+pHs;yXX#XkE2q*Cy8SFVfc+p3h|^g%@+~EUMgP zf!MP8e%Ypk9I8EY?=FTJ@*toocfR<`{3;&@JlEXLO6Qd1#=^Gfd;}|=tn^NGjP>68 zu-7-ewEqV3FuwV&x*u>>LiXq9bnC{6Bhv&CWd7EX*?5ZxmQ)h24^2xC4!!O`d_mm0 z+;^XpCJ@Rnk=11O8pqzT4?g!6C2f6>oHOCb>MkF7kdv#)xg8YOVHKX4tF#1F8|~-O zJ+P5B6jaHco?xgP#+pe4My9)inMgY}DTA##g>h9|7sPG9<;X+gUU)HE?R_+1<3)#G z*MFG4f$+!<9mYN}lPD90o3<4zykb)z7~D!t%@UY72I8Gmv*IR^)Jn_psEuF$z5C0X z1ZSKg7SC$2naFk!%>v_l9VSXqyGAslk2?l2dW4x+ectl~O?>Ja|5bF))stgrE@MId z&KV^|jCT*LLUZ3{9e9-(m820OjN2szc)j49=)MwR)>wpH=SO>-R__xd7yjA=YHkNM zH$1;0t^7+qnloD)DYZlPrQBLnBblA6=%}etYP*QOH^x7RB|nO(e)nj@_klm}BtISH zM|9jIWF7(dPxIry)|uXGpZQ?-x-co+ZOQedchdPnxNggDG!x3N`9z%h#;-o3l&y4g z^Ht@R#xr$oyq3DAC?Q2DTbj<)F%wBb@jOj6i_uvQ63Wr;%bSuy89=-ejT+-X+y&f; zu8UIY_n~L+E?1_UDony$Qx0EnrnTbBx9A5Or>;WE3lum5S+xlUT7)aqjHuo!*h3Nr zQw8)QXK9+D30ynify^XwHLdmQ;3)y+q!6XOL ze=EfNKCs%%oEJdjq0H!Dk^>J|e4)dmf0!j&@+E6dXKuL6M$@jEAzF-*@M5il_lDzP zxBgiY#`RitxkkXyL@-2VL*p;eZm#IUgwngk-22B&?}a5lx&KyoIX4W8HUalZc4P!0 z=A0y0uA)1pt7_D~Lu>0Wm!B!$OX;9loawEattbCBLmp3YlAi$b&gh=8JDVWo*29>C zcXeN&DP^jB)o!U;{c0;`R(;Cl@Ljv@dbM>vsp1HEU7FcYD z3B_n<*4^GpOuZZ6*_JVO!VK(QqOf1ZJ%lmMXzxIg8G5NzJElC;^>e5IBO#;X6zRX{ zC?UiM7Dmcq-^D{mNriZ*5`Dt`sfy1vmp)$;F&$tN>=tCyEG{WI+PWF`th2{#t#N`) zP>}s}mz14^86lCp;Tz?j5Nq#$5c{3(+0RJXeHe?h1=yZ*#Vs{Mbb&^-34&5}=R%`* zGu1TFMMIIb@X*6-hwH`low)|+l1H^O77!}VGUNGHxfKYxu=_F;j7U1R+`99a_KBV4peeSoOp8>ms8D*c8=x@;wHuzd`Hr#{HzVF zz)YI#1?lZy0;ARB6B$B6syWYWG%~Q926_V2MMi>LZ1&esnH61@N;rrJa2%25Fip2r z^6&L=B(p%~jT6mSm$#OuX4?8CKk1%n!8#Z4qjS^7cWgNI&vlWXIH=1b(1&I+tJ7lU z;>U2?h}WB)zA{FIEY~)=&x9wBHl7(U`^|wC(?dLwjHBIlOM!;7R72c1PV2(oaYzpY zYO&F-F^y;qrC%liROTzyHvX+-zO_urEcb1Ig8JV+YCw#|cN5hV`M#!uiFqM|;%&kc z%1911tsz-fOS-AbGxrO=58DXIrWnDFQF`^n2-T3osi!4=bO*dHgSr*NM5+s-1^>Vq zalLhf%ooYH*_h3XUsKxsf9j30y=Md2k5*hPTiMQNcb>sE(_6Q)CAxra_bzjAGv1@M{D0%!I_(> z(-&!}8rFk!YM_Nuj-7-8RJ?ZepiH=rRxXdj`M8l>-7*xld ziLv_i*vZtB}t;VU1#ZqI>C4c-KY+LDo$tc)Dr9hDJlx*LWJ5 z8OZ+XcRQGLoZRj*&3@;U%_`i@yLzTe2Sq2m2-r5jxr)p_@Q!U5vZPk~>?tNfZgOAB zoM`-t({+|wU{$kqbNukqq~S)YPJ1ULn(cM}s2CN-POJEBD(y|-iEN{PCwOWXDs{nN z@9jJKuKW&Y^A681f67BPI2fR$fGf6h`q1~qsjTT&AMB(H4|k2@ws4SlFZ=Q{rAacuY(eu#RC@R zrg$qO+$EZG>~-8k^YndE=8r4bnnKIG^l=wZj7hakcRh42v$|JBD&0LtytNcGM{Bh1 z%Sjmwgd6WCbaWn*Xl#6FeWAf0oxzw{866!n&?)HVwx0t=d=&etL^R0{Cr@Rc(;`oy zi{YL#9vY>4;6*3%%35C@M0=nfB$_e|{zQb2x%Y` z)i`=ouzPcqVw<4nXO-z{2!}EJlQQ!}&6_;HchigN!|GDu2KJ+&F^DdOIU^r)X?~PW zd<61*7bSJ-@QK%Va}zgQO!D)?Az{duTtx*y}k zozh<3Ep(!(;JP`P1UOo1Wv)f+(rq#L3-pt4fwpyX(~>07W@uv1S`q^+fXSHU<->~2 zc>3*kcTLNMml8zOu!1RfwUDP9sxdaLd)t`f(|uLaA-mr$YmK~luixmxGc@(o|MvAb zaiK*x=%q>CyZ3DEGX9Cfc;9$8tBIndVL^?2?*3DwDfr+A%A43;dW$V8if$C@Vg?mQ zGbd`ZPj+Z8+tc{FL0QH`f4f6msx|H}VAfa>-DT+vP8-%|{nSgKf#Xbc@h@t#lTH`f zk#!Zv_y$VL&Wx++`;m5O>qT1%4kD1t6^5|@W8c3NouybJI?>?WTKFQf=KGiXul-+p z#Mk^}(FLK`7A#p5$Xb;gxFkO4`Jh))Sy7ALhyz(P^w6SnL0dl!IQ+{W7=Xp$QV;&X zj{ocaJIH$X|G592K}sXcf6Mm3{I_KB!Y3YIurlDW2hX!Yg4SJoWZ}Ai7g@0%1+!xJ z1n!v)UOpAL|3UDT_rZ_}{Pww@0w14TFcEZ(QFq>9Eqmnp(g!nvPo7)8Mi?6X_H@XL zaZhfVU$yt6z-5ouu3ZT%0)WK2^)E)pxx*4(Ox&~JcUOGd{nJk3iV8`sSnyX z#5(X_^P8WR2Ki$bH!j?f!E}`Y-$q8RXUSC|aa|3r@O6hnraTNA)cMlcj8*^8uHHO- zWF_b2;k8UZnYQ%MhSkCiXSA!kyY9TOyzT|VxfR^?e>C9TL|+;I$!1pIucKanj`gu z=w5BfpAuHR=EuA>|1#r_+HGc7%Ckch(Im5aF zA~>neymRs_waECJe(9UW{C8_B2}PK2WGHS(7|LyDe^8*H#JSu>Rv7!rp2+gd-VTZ# zEqmPL^_S!hH>;5Q46K*-(elSB_i7EZhVZc%3$D6MAy{*CYI{#vR^^$a8w%Mf>c(5T z7=2z(S;hvA1Eui13&2is#hubj44D%HIx-n*Vh1f|Ljq||`*O6HXiRca0rRJTDBCEG z`2!KwMtlq8k!adME_!g1ASMURmy^0Eam|_!={ZqpCXv)duvNiJbn)_{6uPAg;gE!~ zlict|kM+ufs?}OA@0W=*L`loUD0!Ljc}GwA?a*j;QD<%> zvd|u8ca(L$bgOHW`mA-oQ=zbyKZ}s5u2J9~#iuzEKSf$!@kQ#8(+u!}1^OgI9l6=* zt}%?^IFK9(6h7TAkGMAIy&Uw1yH_7YS)5DCyliqQXIzji(g=4B(Lay-*adX7mA)mcz4EpmsX$4JVr}LV=V8|d47*O<2#C82#B_`wtj(eUyANgEC<%fDOf6oJ=UAunEGf*OA5z7r!ue&yo<}+D=PF2Ll!G zKch&+iX+~O&q^4p_7|&j1AAm-v*#oc2uHsxmv}{flWx{~WRB)91Y~WF=jq?MXonQN z*fqm5b@Q9S4SZOzK3z|Ipe9q%c}FqYWpFDccfdNz8ETbdrrI|Y-wcSvL0ZVnui%-H zAep=8f@Vd`{783YJdYVr|AO$IBP3((d!0V{y*K#`)JqNr%_IWgi%KoM){(h}dR{;m z1M)kD%3#{tS-x1Ghjpr2crmFmje#w7_ zJC5|gkX}@;w&ZLD7z-r~RCULxca^i{gQjHaL)4)LOEAwtt_Cn!j?1*dNH3tACKCnrHBWRNK<0Om1mhX1yUUB8Hsvbx@1)kbE|Q z9<}2ppe&j!tDIV>(d^wC(REJHwaw60Gn*g^yp{*x4#XOzE^W-%hlG@QW9PKLaWZrx zmmp>b%0+t07tPZi&!0NC;hmHY#B%{c=U=Z5bsv_qpUh-TBDi3IjS2PW)G0XXsBDO&Q8^Pm%ttjZsRK605}t3R zt>K<<+Wr-6*uT3Sc_*SKxaH@f7aq`y6 zWj%#Q7)FS(X*obGlaAJdHKfe&?`%g(ro$Pjv2hXUxFg$EwnRXFZ|?$HJ;e{7tdG5u ztlQ+A<6lgLP!&yL#gap%x?8Tc2aP6BJErFy6aPyU8HXp3ubHPA;~SG}0*(2-1Jd3R z!^dQR%T36V=IYlt*+U7OG%9d@z;tye50(>o`Q8FwG9|k;OQsFl+QxER)O%y2eL7fK zD!rJTFd{Tc#>-}O(M)Sd$u^}khzaywV~ADG?%>B7=l8#r59hSC?~)R$;3R*ZV?qFj zu`Add@Z3y#3nZShB?-(8)zvxvt>adY$K|=OqzaD;i5&ONNjKTY4^8wOB?8;Go8RVf z_p+y3xXY?YSxLgmcOAInp4ZaH-O%su@}UPy>#FF$CIq&uhC08jiyLCKuZnh6&#C;$ zPO1VG{M|OBfMQVa(8dcy^!)ZtX8GrTg6?-aH0pxPI80G+4?z?PDX`W60;;mQX%2%5 z*FX(v%JWXD+Kb_8tPkgLXhLK@sxDV*-Sw~54Xx4GWZba9q~Wq9ngKkAT>MhroFLTX zB(6K7t9Is6Dqc|$EfolN@E(GSHKXG+=V4krG~Z08HpONSIb?Uv^+nfmpeJvb5-x+yqeUwEg0VDxa!7Q^;TOimlu(~?Mu}@_p}rr$vOWU zS9ZpmE@{2!O&-Eis(H*Yczpwa*}lHi0U>8nJbXH#Ffun zfuNnv$hT>wbA-~!uy)$?-DfR%#Z$P_(nP@QW_JLG>SyivR0Rf$-r0q5kmZ5Nc*Iqp z$n;Era!pbJkhUwn|DI%NJRsQUNr|G=COF}-0>Vj^?>ld>(aHfL^mNiNb)v1WHci{D z%O4O%DUM_ae&5pdv4MHajCNOz(jtovtTJYwf3?Xa=q^_wj&QGrF%;aP6cC8o)ci}Q zb>PN&=c=2cAj}6+2~=LWy4dB97w({y538yccAq*!n-8iGB&;1{$kOvttrd|;b#R|$ z13+!pkV;Vt)ktY5c{6tMGgkF!Ap%j_#|_PU9B#$se6<-{(}~5y(kzE^eE4)V?_YHB3j51v`1A zw?H~qaVAo(_jSa?5V0l0`~?%}^OWh#qGM3~ruRW%?<)1Q=hFhAA89I0EUa%6mr5)4 z@v*I?c;Q7^f~U-zX!38PV8Kk#(RXCJm%`7uQ$svkzi(Ib3>XWYz{3< zOe~PklX6Po#ta))_A`=m8MHDIAoP6I{Qw@#;Hr_BXv1}#a#Z82o5lmqV5ORsuDRB~ zo)=KE^->bB=CZwY9T4uM6jF`jm{+8wK}w<~8_y17PEG56n@im=WGsEkVG>+mSS&*- zWCLo2a=Z2ircKys25^`g^n`|D`S#8e>WkgGY0o-3CXhmh(NI5SCOaDCl z!v6ms_{YKy8hIZSmmzBu_0^6$s75}0nS;pd1`ec1d-}@Jh0Rh_Z4bjs9Auk6$aLM;Qfsl#i`;!iZhOs6$ zzOiRA<9*iRb0G-^$*Omj4=;M`H`4FcU0I(V{+B!R8Fvr;&+k@neer*IZ>3zgzUN6s`R<-<$fJRLGw_s2Iree^;2#Y`sgT^O+Q z@r0|+663elqwF=&L$_yy7W26O#2#B* zW}8S?)u6afyZYEIN^B3h(pBDYC%Dqal||^5K4q-{q+|K&X`;!FrFng2ciftz+A`Vr z14Likxu0uxzJD*e_Q>SMvh8Tj4g}0wHa9N9ys{LtJLGX`P34~#)N0;8tUtlP!(1dS z#h?wpsp1PPmm;daTuR+&-ufm{O1}3sE&ts{Gd12s1i7}2?PO0I_T<5TH?W33#MOUD z1Z~o7U2fG)x@JgmQmyrKjM8j9jm4z+ByrupVHD@o(VswgTSAMO?K4!9;5y(y} zucug}KRCf*WA2{(!S3T>3b0T4inx>dcxh1-qMGF|wDCi%^24GTMcSR=`q*LR`nYk8 z>T5-A#!2Ecf6)S#<5ICXga4|(X7T(gyClq(Cf~Bdhy`xfP;cD&LUR`-)5GeD;7U>I z$zxikBWGfP!K86?mzT^}A;L)*OCgcNWh(n>APWP|j_3jTG$q+3q<^60CzIFJ#}xD; zMN=&5dVyN3vze;UbjYp^J*%cnm+g$QaaSGj2f-({P66vX*#i^Z_&yH*Oc>=f(yXm$ zxv&b2C=YKASwHWgWyYx$yK~}+Cd}Ub-~`0MWK?0Y7vLLd*I~M)*L{_-o|B?t zUM>EH2RMS2nu>L*#DFQA4p<=6)O9%qj1W|GxYJXkTl*yy;2G6H2*e)c zJ|br#2hW*nhrSrSu;jF9=vnNClCjgkxn2=cL!A&h$i^DY1q8T>25vP8?TGm#Ckp}X z6XG>zBI+BHZNL*$D|R4tN)#swjh@G8a!j(Z(1ORKokb~TP$OQgzY7gF@#Uc;_niG@p9auE2L#_b(HTd2DdwZ zQyN#4+||D&ifpXwS|_)|9NE(>Myjl~vE_r-JKy~yaq zlcvhqK7Vhj+%Q5ND*|6cBEf26ZmMRn3&$$vvCXzkKJ}lEGZXjnuu_mC|9i8qwcKNv zqhwds4kbiv9eRds<+mv}L45w;7X68vlBKs1y|Ugvc}jVmN3oh0#&QOzz7YVHQD?Oo8n<5RX)tdr~Z`+R8mRJ+h{ zrstM_vBPd~qQaIe`QpVb3kki6y#xK#F9Mkl`Clto>^Oaj}NxjPyU{hk2^Jsa~T7WCb4F^*|Us`0C5 zYi5LudKN_1jv=r!nEJH@0q7ez?SLcAS~gr%_}hG{A+tRRU=-|^B1`tWjR@GV#r@;A zk^=$$*;^4UF;%+lC3zqHb`^H!$AJzT;Vz5BPgXae{alwvG+U*!*3itf`Ye&0kRP5f zmU&HOOF-~bu9Nk&&suBiRc+LI8WWw80Z~BBa^xJ+V*gT#ZrG}0=&yt{gP~_kSSr@q zuKxI!4VT-q(deqf-)YZ05D3Mb=z0cJzJAOMGs$N5m=;k7|LzT8V&iKM=h=*l2Y;)` zpmXM{D$aOP^*+0>HOtY)T}-o^hyajC;-mjTbRwlLAH7RHGA}A7^Pm(@@&Y& zl3>_ODgA*ec|+a0sl85#qhd*-fAt3jNTx)VnOWs?q!hse47Y+qGw?Cz1xmukr6+Y? zZGPK5sEV}l4;4=k&2=3kLlyect6Jsep*StruY>$d$+;#|MF$$OR3ZQOnKNzAv7Mf( zEG7MDdRp@lk(@pW4)k-W)kR0aLS~j3M3a(PW}wZG48al0dsA?mi2;XPI%C_d^pATu z@IxE$$FV05?{gb!%e$B?seB-XRXO>3C;4N=mz1kkGO!=n^nIXzfVIIi$R~KyX*+Fz35k$sxnFo2Q*k!w4 zvG30F>(A!U%3OThmRU+}67X6*VhEbg*z8Ts?j~O6-S2$^t)-~9_2V~52Om#OwS$$_ zbZZLKISRc#yMRFSqVQz0uy<{em=df^U?4P8bEND{Eu1#i z@_mPe5bJr}jDxYe7W&hMcm(bx4al>Tz2?O-`Wpc=(^F<29&Tk@nUB8vk`|1T7;RZd z(vJLubNef2Fc?+cdbNuTd zV}3f`Zpq1Hk}ovG$NVRTY=zdmiYs;kU1Rp7>0DaqG{XVU;utwN({`5<8uP#@=a$q~Z>p|B2)$JI8H zqum-oNzqGBsTr;*(~;H3dXF(EA1m+8IC)HBX81*h$vKg--{;ZrFP5CQ?{#8m!4b!U z8LIO#UPmT+6C%}HNqEkK?vo#xZ(J-yho^R5D!{B9MQab+8=P3Mo5_$ zW=tg5&7pgzQ;<4^4Z8Vs60?uS;@Up|#YjPX1mCa%(vYXa1_)<`B}s>GfG1F-?0%%J z#%`XDCKh>c^J{UE11r`H@Y5=wf2zJduIaqlgq^K1TOm!x{}P+f3Do| zs~?Z7j4nB{Fob`5!{zlCb^EO=$K@N|nL2zRkW;sN{5j8w>9q@T1irT-ufzpmU3uyc z$${Z_T-#2kg0^}{!xBDhO#gh<@4Y;GH8nghIZ!8O%_oHHXFqTr;Y&geriFMPxI=cU zLf@V~yfj*OWImK5d3s1jHp~%KJyu4ZL`Vyf96wsw142hM0RBb9&`Lw@bsMcT{mG%FPZ{wAlUGRmJFn0|NX{Xzu%zdba^-Fxqu0%YwRCKx z1zvWgwc=S7I6pa=n2J`pZI;~f&yoM&8zCiku!~Y|jSxf&F(?NU`oy(?zIWeWb~mEz z_g$n|Ucb}h;)gn;;=4;q>j*vTu{Ju`d%*O*XO3w_TS?_Gzj~Cd7<lGjSx5o!&Y*@Y#NGxoSEN6dw2;U3I1vgVFvT!$7gU{$NZUh6hkzA{ zTNRzc98fKW&s)O_r~L4=?VYeY$wrjn7rQb+zJd4TtMlocHVrLleo*DK=W)-}ncUyr zlsgHT(IkIGaet*VKFQC+ox1I9kUbYxeM8<<&>! zQ&hvC6p-~(|Kh1bJ~Z&86#pw%ZDYp1hC{13eku#=k8}BEKm{2V8{~*Q2J=H($!eE;M&QM*4otEYTz3SGBX6Ok%sqtF7FnnUP9t%+pKx zSx)qysn&WGsymOhke24*G6AK5kNO)(F)~F?(+Cr(lOiHfmq|G%#~lZ zqJ;J`Jcgzo4(v54@EcDT4fb3rB9!8kU1kGAkW{z5KY~&mr5R+z+UDyen7+DfL);E@|Tr1eCxvCrqgJu^ppatrJ>j#=Qo&fEzFhnQv2!+r>5so^#;>Ipl0* zi=Ze46J?@bCBY!b&<3NPqxHLtlVu?#o0NT5-L)XzXjKK^zuT~Kio>WkhoScgV;x{e zr!aKVIdppMt9GBagLgC6dodDG4UA0PJJ)ydFkt>#GovO1`nqG3`{yD}??oYWQJ5Sk zRT*P}I`cHd1B0+iV!7?zP69D2+iIcZLj}YQR}>}*`T2TMMvZ-MEVMo~G4HN!N^{a5 zjRQ`mp*}Knl~4^QsRpZ+ynOfw&`bRWTa+ag9mi<{${HIJ=0xE@V(B3^@f- zJqhL;4=nq9U7(q5p(vi?$3~T$Ag||8m+|N^ zOu6anjo>N61TA2^OhkifxfsSa8K4N?$a$Az@pE7 zK%k_Tl#TLwO^&1bMDKPYkZr|ANmjnkk@Wx2^)6sd-uL^zA_rGdcks||SgnG#qS9}> z)+!1@wYFek6$29lwpz+61-?}S;}GJMgH_Phf>=#R2ZRVYRV2v-LacHsJb9iK38^5- z)`}DYWCckIIsNWW+`j+s?{{7Q>ss4kt77uxc|Py=ec!Jey*)kJXQpEjaO)U&NT$Bji}lvl3KP+7W?X( zU%hoKu)jk$A18M!>`cQ8iEr4^YRn!7Co9{UHTr!XY#)lE<3`(X#h~gksXpnEeamp` z$>crrTxsvjfby)Z7d;r(l>S{PH)S{l0#=tY+xZ^CZ9AbTCIWw!+}w!FS{8LpI6pxN z6;v%-Y!rl#4`&rF|61FZl~uLOOqLk9-5rAAQL%+chWVL&m`E@&~}g&ASI>U$pj*nDVI%Mu;aG!;L<@{IcdUN=CTC5j%+hd~-f z@OAr*W|lx(qcGC-u%d8bv950=o9D~Z8hEEdjkF&(5%(BzddF$u?g4`L?32GS*K!p+ zg6y3a&!(oNjK>~W3_g!EZtBowFFkc1oB8R-4k(y_9VKB{pYQgWzq^9Mk!( zH>({LU{Qo>1gp4XEWac0%Z}KYzgx+RxV8Uo1@m|AT*9Ut2Z$l@0q9XGe^(P7aN4Rt zB?F0pTSZ4grvN^m9hUstUAiC%LL%YZ++IKgM1XJBobp_UVGXT>%RX7>P9**uYT`1> zrwiA>S?aa!O%gy{9zoQ@Xm6kG>%Af#d;XH`wf~$~>4evzOAF$>Jq~&u-tXgcpwGkR zQzc&(c+Jau036ZP)54|Omio0ETKVRFXo;qkJGO21^!qu0B>;)~7lB0?dTe3Hx!G4V zYt8Bdi}$Ch#0;Hr`-&rg1b`K@V@hw9-=N&d~(Tbtfe-_?BLxh7EMDO4Lg z_b0bL`$5|dh>P*+=dj*x@{8~+)iim=!`*0Vk7cdgq1&uj$bR;)wBzu-jXB)t$-ROg z+f(&_FWh)KZS$h2^N#(lY}4#dc%Z+6wu}+LfXzQl?OXM@bS_SSMUF#1mwf^$hsg`B z`R#N?D(%q>6w7-7zV|;dc8@&ukdpoP^ST#C7}5B4>SxAO>hlNv`_We_&Rw_V#ON0{ zDMkO9>bm~7(Gy>SU+#tI^1HUmTltq`My0KN-us6tuJ&B6ZS*cRR6Lr-QI1o71VKe> zY5Sq^Work^C#L6D?8=C&{9f#)T!_h6eCMx(uS`DPDySr;2t8d7oR2NLqZprpaU%qT zvbibe?vguF5XNNK4Y`qC)}GqmYemi3T@IX*Q|V3L2&hLlDWS;Jh^C9wfr~pB-9A7Xw{9QTkOYB(ZQkX)3wzJULPCVfUvS} zXo45k%GA3l42A3Cg{$A3=fKmov1p3)5!Y|O1Mi0Tvf=xCQ68+6j?+jPpkYwk5OKkk zt>`QqJ#-O5yS723zkn@c$?Y_Dnag#Uzgx^O9@9ftLT9e-e)nwY#eeG8Zv|BoeRAWl zlMbg|o<#ae^1#geY_R*w4Qrb4?;!gBH~w0%URz9s< z+jp3jD3$xN2~(!Y^Wf$7Vn+FUqj*_B8XidE72!6uYVYj$svY2y58>QQzT`A)%t5<| z1m*zS*7jmZwlecpfD%8!-F78vR435hBEl_EUSD+kNoS^K$7zYgW=bt~1D{y+3pZhgu^$?lCl{CI09 z9bTywswZiggCrLzQ9AH{F=!U-xtK>R8`#}%?I?yA2R^qf1_Nb-q2eel{_QPWI(0)e z{DYRVTF3X#xs=6iynH1YJ`bWdb3Tcnw`^$lJ_xd40wr&GwbTjzdehf!cE=B_xo-LI z{h1$bA{sDM{&!QWYn-jtUgtL`!DN`Ein_YxSBJB1Vi{Ucyw4Pr%*YSZ!m$ah^pHVY zi>H2qS=$xJ^7ZYX#sD(} z+MkH5{1PbHEf#t-^EwNoj_fkJc>*MUmXY7!eyNAL3dh*GIq(K#A~&?xQeKipaHh0} z5$fl}Lw<59z)V_gz3VdV(`&yI&H23lfac`~pRlomz^QoJqLjB}Ml%if>DsZ0BHv4N zjb^ASERbBk?PucB+s{WJi!vlXCbsj!+~tm%8Ls=2s1;|8KYJLw$Ak9=Udkhd5wS?2 zu|o#Z+Y9-@oM;b_TEr1JA?j=s9%FqMA)Y`Rgo%p4n|_?RZ0Xf7p6W~n?0D+6omfAg zOsQH`Ay#QUStyh#2&Khn-@Q4o)`~~CZt5l_?n?!dDN_T~0Q`<(m8NNc;3R^LeL3_$ zT8@-9V~%QJ0V)Eh;yi&$IB`hsBdrD?#{{wcxf))$E+en=yqpw62MYfx&*tGgIgIVD zxF2vY5N$uo;9uDj{q_Fn5O({FLPR)^6#$y5~}{AWv7_5$w`kb)k`kKyE5JODnBf?sNeynX{n3YToCP zRO`oacM{edpIfvRQQ30$)e-ELZe4>&!vZH@MrZFE^~bqQ#QTiWEgh;;b7wEw0cog58Ld6pg~X|a zuGOWt%hNQJr2YHCU)vrQEpcSE-ZkcEMN+4d{`7HH*;i5t8ZAJGl)pVnqdleviYL;1 zOEOyuBM0&@pbk>9*aqKiM&`AQ>?}A+$>zNBXyVx0>W`dl%uY7B1Z0zhA6{jTAP z9-XFxghMrravnts2%-tRqu<3DkcV9PX3BHW68c?woTuQ_L8^+O4N3< za9=z!ZnDimvMuI`;Z0&%awLfd94Rd&K68~++V!Qr&Cb-gp|?2Ik~&3*=A;(}Zx)MK zlZHETO|RZ+fH|WdbFRivn`>q@7qlMBq@)~F*_($@WuIC+L?Fn6iYIPUF@k(g@64k- zxxw9a8eyA~7v{Jnt$KMHH?+1v-d5RtEyrej*pH3YT4~tN3En=O|5dMi+d3h#KwJH=Zi}c-O7jo08#K?*kVb{_=3Gz+zQV2;fe`!0YvRzJ=8FgLzcodEk`Y? zbH*P1udI2aO`-ym_PSkD_`BID`<3uN^TH~vN;%CT-yQ=MTTvBWq1I&eWl||3!r;b| z&%HF4O@hIl&`GIqasz&rAGX7>_7Q?~AtZE+qtd|sqhPtoBT0iM@`A$07mvDy(I8Ta zj-s6*RaTlDJ1V*T>Yf}5(9%a;CsP?Q1g8Ahn9(``-DLyqNc&g-6J((%e7X4y1gl;PVtxq27w7H$HbH35jM zHpvfvtodyR4h7Joqr7bJzkj68JWaY5BLzU>;#qszIHo#1?vD52calMQhT&YCI4BA` zQb9}fH0`0sKa)4(j{jhCIMxWERA}cbij&2LZrQi`^}YBb=#VW(-#h&HbPXinmS}TD ztHP@BkFTiOIUD73QR61aNDg9CYEE`j%q5E6b`&5#n<7)|cIA(6Kl7*{Jv`CBr$DIPvVZ> zQ{-psSCPdJHC*C44Dqp5y1}m0{^lm{wa+^5;n)?BX0^D)fpX?kE7s>6L)V!|`RaXQ z6*zq&ERv1nez_?}jG1~+y4Tfa9Juqupc)9E2Bx(FRC!js;cC{nUpD+$QvV!BgH_Dj z#ON=w(yRO%hA>-Z=fG@`PJ43aD9QQnMtM$&y~#aZ|(bRYgt0CGEi z;LiUoE_$!%Td>%3^)W9dT>eZ*{GwGmRxsa~J%1x!u}^jonsBtI|IvV*&u{*h ze?A+%bKfl7I~=&zwR6hrIpC{VTdObR&Xs=m3yiA?O`rK>-~IZ?6+iRjWgnW)|5vkbC@ACk zWd!JY_S@c+jT=93Ubkee2+)ph@nLU!dh3?KVgK|Of|f4)^DAz#@q@eXdV0O}>(RlO z2g#R)HyU5_Toe0XL&R{fXP9QVVPN1oa(S`(lFj{MILzgWg?$fASQ*U6KDL=U(y$of zkbxAN=4-`GvA=Ddb@#jREz{&e-08uOMY)%*Yw|+A;&yfnf_SKbPa1H=Ii?U3InntC z@LI3a{#`@=I{)7zcfKp8ATO>HCnEkhX?$(|ho3B84r>>%JG*7WS#zg?N~s%bst2{6 z!!5(-$6sQukMORh9c8ZbufFh^_PTeNf!|_%pS})CWJ1*mn#VoZu>2VS@)fl&X3n(! z39h?_be>C1QejZ!hIp>c_vq^cnIbYdpHiL)`hDGkgOGf2TMkUT>|va2FChDIP#5KR zj-&mdN4%x$4=l`Qk2Zb%)<^xDhp4~rjHG>ZRr<9dO6%yko0KOjrKO*(t)3ou z3#+1f`AbwaNX7>BnV+=vw6oFxsOV$g$zriG0vFw>Pw_91^67_kYw zgQk4iC(c%tYs>DB;EoRLw;8X5U0Wk2|B@Cp7==E@6u})PmZB5C=TYEqZ03O9_ZKL~bHR!TOcIre_wtlAUrUj$P+ z5uV!r5;KXlCL0tCbNeZIB$@QCF=B)p^^W|plCMTO?|1ayF=Sp1XSCOc;-=^?)0q(i zBUMs6`)*NB&G+g#mw7Asaur@|H2MZ->LWN~Tb5gNsd3_Mp4kklqRzrTS)&3|qL-?E zkCsdVa4&SP+2hGZJF%m9Z24L%<9FQcBSrzrTG-$u@fD3a)1 zN7N8ojklNuCZwP4`U{GxPJNfy%1FxjD!x-6Abq}aynUHx9 z#)7~Bf6OyxtgC0yMUC?ap(79zT%mu2&~G{~2;;!2NEu#Pj;6m|)E5e^8Bn%O`JBx1 zcPo-Maozr54q!BfBO_$<4bXbX@Ltyw+8s>Gas>Mai@r*RZ`&3U1kD@o`c3}+Xr$JM zfN&V#t#s1bMKc_k;e3I-D(vCFI-#oS{dhLO;J^lcA=^^f+sYnHt=c27Fn_H@oe3J@ z$TL3}h8M+wk=9l*Mu4C4+=Ux8!r3*+lT^dl$yK{_N&M3hTLnh4^xg^v4*|Cf+#N*H z!j((N-~~$X{*Nci)6X_1U-m#LuvTKy78_VC7jdu_H@!Vc*UqcTd50L&=o{c&4iAIDgGG-dxxRF+#VIZND$yy}CXu|P ze^fHGow@PEchDpG9A~}>TKvieaoes5>a)rg9G@atlhmXjSWZiHI3wRWMlPk;Lhs(T zPU8q5!i*$DU!^^aW3F|?(Jm*YaLjugCqkAGt!v;VolU^}c`zU+Igiw>Vz-U)XB-Bo zM03{}%>ayUaDxh?FWNbrp}OkPle#$;enJZoe;oHm|2C<5_#i^yNar6jT?zZwa}%eM z@X#Nj^>4C9?ANrs-MQRUzRy}H*Xa*$swW@*7@G*4!_->!{s}hbU|S=dm<<;FoTcOI zRHI8CNAhR_#NeHFZN{*KWQ`_m z7^?>-ATz`tg;S{fF-PNQE<-@8<4mL!^X4(I7u_km=10FTUIO!A11Gh7q5@)bOpf3k z;bu91L4Q(Hu}y~0P7`{8+IDFh+>Pj5^t*uiXX&gM#4%6P2M8Geh6yMH8gelsx|N}N z(4Y!%G&b}0Xrjn&o30U(ibA|-Be4Pb$vBLT-U~R(LeRlkfStgD`ZCw}F^&H2p@xfd z8bo|&!Q7Px;TWF(h^h%TKkVWpp@_Z_K99sllvf+CTY~c(ATb5TQNbSh1V1=7OB%X4 zl&P*zmZ#ujY@uJx2n8er43}1VFbhFuI9}$EJ z@F%c+MWin0>U%{F!t z7Aw{W6zYyNRT6^rfMCresmW~VR}+GDEN=D($u6n#C_fgY5KumZNd&xtm$)VNB+r3% zJ)0D-hN#SLIyO{%8>w(tCVn$L?wB%sqT^F8I2$&fP^yx6yW4J7+_k`X1B7lJI}sXW z{buf!J(BeU>nDCPFy!d|X!u;q1f=EE;_6@*LHc)mnXBP1Qp0~i-VKX3VUF1HVrelv zm#A_*lPo*rmyEZNwQYDKSC>_|S$Z(!zJ5TN{Xq*ln#ckVZ~i?L#5JIpQOrlG@tC~x z%&;2`)KaoUJz#I+>dWle>BymQ=vvlLQ$AlwNuoyt!O{YaqDaSyRc3F(=Xln@Z*m&= zdvYtfPQmt)s|Q^776hObqb{ZGp0gx+=t^8c!}6U*RZO#bMO?Fysm;?}RvImImr+g0 z6HpPMJNU-F6} zZuhAEyltfI!{AJ1S|*dJF)BwUnG8We40XFe5G;zr<~FN!YJ?1vu|WAnpdJ;ftC=*| z4Yi-o1unw;=zGBcu`m~%V6_~I_Ry3p)nh$3t3%x0)!f50Ax}%XWfk{nIgT!}n8UmP zbuhQ;gW+rK@6Jy*B@G!57w#Xu$xBWH6)h$1yfG$WZd*ueZ=_tAMSU!kk=`=Gw4h}1Y_j62m~pleORyNfhWjlx6`$Z| zkl_Q7MpAlfmI78~a^}mFlq}iWQ0`^!btWP9*F8hl^HK9>w5>X+z{E=?1DOgjr%5E!pLmt>tR(BcS zl2ZAlVfKEJFP1LCZPr*iJRT|&E!1VCF^$4y>t#~4^hhi`bV~l-K*h??sY!k?L*V3A zdQEiYie2#D3geNaY8j+u#~dUq(^Z%t+;5lXwJ_4-m8A8@I@%PPUbm2&bkUw{wG{aZ zNr06D%gg=FS7*$O$k8C7WbF@&md^jA$mVZlM6Q&PuLUmLL$hT}ZGo%!4K_4$btt1< zG1PV?FOA+a#3*q#tL{rETqbH|G}G=4q2_vpR%&e~+c>7y8p4MDtgScq14d808U^Fd zSA@u-M~|F+#P0V$@37Pj3t6DMiw`W;xZOe|J&JyVX1Is`$HB^h&(wr*uzkUk>GC(v z{~Y90$dqe-?oK@}v#fU`aMpb4wazV6)-L$9=f<~ww(uFRz0f4LulLvho+|LE=e$1t zm(M%?3wVn>*j|^)y}kB$Ry5<89SW6l?b2s1c>2bJ{NecK$5o+dCfFA5wJdJc4#zuF z{y8L`_QN9IKd?5QP9*jhfqB=pq{LmieG6Ifn>eQ&+}9dTRwsW5#4g4oTm59nUs=L% z-TFJ>&I3NbxD&nLZmH{ow|?XK^FBxAM(<7ao(F1dmru<495nd|_K^AT>p!|psJ-02 zjpcLR`R~~I&o+3AF8QAFE#J9$p4T$#SuOMpH6L1CB3){X_uXZDXHNNAeZaoijmJm> zNcFd-pZ^fxe;jSG)~&DcpFndg+upKl-)x$mxb*lZ)V}mLd9Im!80%O&@42@kzuW0I z;Q6uK;o0YNNpAP+Te?;k;qrv#{Gk#2t~haNfo|mv{i7l=N+lFd�CAI8uLZo3U8j zw9h_1HS6$f*gt2wbh{LUvqlhB@v#{t$%`yKc40A zQLNlIKPcUj-5hY*mEIH%fZP0_EO4NCpUb7~D6*+PiArMlM?#z3R+2j43(3c~{dCCe zML^S->jvO^UHRyo82Q(MA3M(oE4<8`1y?VU!lDGq^EchH1XKoYy7iC}WX@1^e9C9U zsP$nfn7Vo+Kn*zUA6#2Kh2on8{hK=c>S#U8q$D}tYwz+$f)gexa=pW#1lfw$2Xnlx zBtSSUYBV-453rOpR-&C`YgZ`=c_jAVg^OEu7%o-6y)~W&5-{0*qsED&8~d-IOqUs@<{kTDSP>?$FFD#ggwORUi=F zXGD5O8|`I2VmO~2)m^{lI*bcjwIbKc7T3EYyk2S0iH9$yFgJRXb3N(8++Nu$k*V|z zi`?Iho2FzHBs!t-iyd2DpA9s}iXHQln)mX@SMExKKspBNKZtm=xIW1@%xqqJyH|^GP zbdPB7`K|iA&$S+|xu4oLD0dTW8TQB1AYw`m40k9{%fBTlY`CP4O(sueXIx zo=G7CmCvQjvMsP^4q$5B&hVaWHT_iR9|-0Bg&3`INQf0;hV0-x*$;J&GPX|Le zZ10tx&`0SK>{Bzm6zdvmH0^C#4TetWL0C8{0*`jf`qu{pGtv?5^+}t$cJZ)`kx$6B zsq^1%?0oq|4pW;JRP{P>Sxb*uFsgMi%zdVCG(Z4~LGS+YAva2Xrm!sGJ3iIRp3t~M1TI}VnnEf^DHCU3XEmJevKc0nFN zHPNr-W>dAJ%;H=3mj5n=nnT^7_e_PMYz&Rgk$F|hTOpBJNlIbO*PXe8BNgwC;6~os zStgqGsmPPQDaNQu#&m5>uUpFiYY%QX;wr>S5z>TyZ0#c}UDHSKC|H0VH|;+P*oa;X zpfHf=^}>tqyEAh(vqwEHk8F^GG(;uD6RKWGJ>7Tz68lE6O60kCM!Tp#m68Qn9`Y9h zMAb;wPqs+}sr{9JfX`rR)Pv2?sD8g(dQ=6?DAL^KIE+nkTS?^*wyKQlZ*Lya~j@B*pWhTTgju_asnsK30-^5y$nC4>uq(iy9!IvqSn^Ly60S6 zup^ykG_c1NS(7kc#vOq5vgjjj()%fi3C1I)LmAxc!)ShtAt<6^&FCRidAd6jaJ

    _3q6yv7&50tuZdzRL=sc4xToo=x>rOWD0$clUvzoWJ=DL(w ziV!2{Jsb`1^lWb8CN2S}!2TsBND^BPJXNBbPFuow)DkBsI4%cKaNjOpXf+PNOWk?& zI9Be89%V_UYl!I$kSmH=pa#KAt>|IhhsKC?1-ju9=~CZhXf_4N@+vT6K%Xnu*776q zz2z~0GnbY)wcBkL`qxd+tZ*d+@);a=Pp%3@DI3@%lq3t;O~ml$*cvSmvR!Am+0B_; zGaGL>!VH{T8`>s5FZ^diyq!Gaonz)kbia>wsmJY?U)%Bqm4**wAfwgT}f zU*dTKeK~5lg=vIY?_S<)@7Bz=EdJ#id`DM>9@AG(Rd7bC`l>3EfKwiRr*;>FuHH4S z1+rcq;Duah7j@ zhQuA@jY)7yxAZ^zt{Vn|oeP-dP+FuzsMiy#YV(d&fI1fIf7a-3xOYL887t5<=t#Vv z|L$za@P#=NEuF;8XEqt(aOw2JZ+iIr-HB*#l*GNiKGbz%qdix z#O0v4xwWH|$KRozWF#jhwbi4jZX6Uql2Sdmr_Wthk4w-3n0;;_UCl2aCq9l@psFi+ zs0LIT*i8k&17Bgu>6#*UyX`-S;Vc|Fb$s>M-^V+&OA8eG&S-Ge1;&D0{r5GC)8 zbrw0Lb>Aje694DK=-08s17&=FwoaC zW)-C>{hXyLtl)6}`dcT4&n5%RtwQK=Frmmhy;m!rqnhR*mpihwwPHX6VCQ(I033SL z@_Ib0)T?$ljhEjI60Ht5HoYBHv57mC2f6R@eM*+$K-H3ad9im6PE6QPBQ5}3R&spy z0}j+i9JnS2(GHP}r{qX0KZKns{3>qn)RItxRx+B^dYe1Sh&1BH{wo~Yl|78^Il2G~ z5@pdoqmg`cZ}N8>e_A{aUa_ZC}sm=~6MkFL{{))`?KO5=-Y zPJKowU6(ld;FvB0d&9@E$`Wad1V$H-=^XS`aKLIRgSost?&APe6I&tKGQ!6G*rE~7 zDfxS{+#ZMaGdjyi`qsS8oro>X7vMo6CPkg?XCf>2+2=3*TVY&z01D#_p0*teUj+H) z-~S_+RT5$B{NF0$B98^Xh(|BuvPkdM-izPz-s>G4@AHcVM=mW|6z>duq+1J?mzOAr`-!8?8f{8&?q0>Mt=?0d%#zUSfTJfTU<3%ep%^SHP`1D!tjdNao ztN8b){y3gqG=F{JDw-9wEKXRl*claW^mnLA!p-X!eE%!gjjFeyLpI5Gdi%%Q_1k=+ z`TybX{PW-ZorwPa_3HcvPichji_N|fNuInWTW9O4l~F5p@>iEM{L=USPkc`J@_o)X zFjrpp`}R!a#<2(Mm#uod;#~IQ`K>-%f4b0hcKf2z9e*lcza#g^xm~HH;bp<47x#Lu z$tvA(tLWHc&#;z~ng ze6HBxdB{IUQw;dyrhMar$=U_KX-gp)aMI=pcb?GS^{rVQhbN+M7h4rtV4Va=DWGMB>4(_g}1Ik%nyfI3^ zJAx^#PxQ9maO+nU(qH`Td%Av5l6emkrZgjNo3>u<9VXjgZmP3`T(evHftjk0@G3Rd z*}da@*E=ptg7hmxt$C`wWV4St`+F;QS@UW=H>0dX2d*oM7ZJk#`B3{am^&MEFcf7n z*CWd2X5(z2nWDDLF~!v5(POO`{H}|x)hj3uIc{>=P4#O=sU=D(uD_CABr?C<=}L5C zSU;m`&E{|Cnqm#ZML0;*H)^XZsD@F#`Y+h2NJ{2~-uqRTS2R(hZ+VwiYOB*R9y9eM z9hg@d{()|(A0V@N4p1XthDY}?c=S;GU5M8-T76|^$()+4EbHGtzLkzzm_H_Jwk@f>+Bo&2ZDR)Bdr7mG;W$ES#%AvQ z8i=x3swpH*_79D=S^zd$0)jV3+E0lAHo;O_MdZDb^^xLL`_iyDXA#q$bg~f2WHV}e zW3V%985I7t2Y7pe504O#1!r&PnR@WW-8N3-(r*pGo~(tA+H1Rz@%HeNMAlSWg2ed) zdjy@F)NAb{Frz|$1s2FVU(mDj<>60Uc~`=YK3Bd#j<~G4|8sepg!)OBiGvIp*7YUy7JCSLSpc4k!q^qzK;H{dzm#a}ECuUimm{n6o|u5e^I%gX zVDTgbA6Xl@vxowj@j@QVy>J8Kuco6=6(8;4ctR>GS&{)4k~s?zky%T5w}JP3pz&6h zgMloI+6YtggkzLVhEXL?5tkfWv`-Ak@zxea zZ2EXuqLuUMdZ}%KalR)Am*=;=pW6B3$lKDd3;^xtRfXHSD&)qdte}zB#{xh()}n&O z%@k*Z6-mz?PRy&gF@nMrho!x!LAQ;kAWt+dqLBOMOvh}6CmzQ#=UQU3t2GC_<_icG zsnVmlS=C;|sVj*pfaMU)^w~Lja(iejx^6cAxyT0C-v|YI z967t`@fD#3F8B*kz&KAlAVb6DLh_wEF-|4~5?I4`p?g*@m@sNzAod7JRJoG>!=5K!)HwY%KBJ>;^{D zwSDdu#=<2DqGylDprl%1R%z8gGcDY8f1dqH>)}FQb;5su{lgunP;k`(18_DX<@0%j zd(xEO>|Tf@V2MRaS!XA;<#QC4fzBVS^A3z%DS}i{nh6Chw3jI;?!l)jK%<%omZf=B zNhYkEC}-8caWm4NA9k9 zW04q({w(?19u3Dxkw|j}7o+ZXG23s8_Q)@A2l@u9O7eI<5S23qSv zTb#V+o=4ws(Gpo0U0Je(Fq^o1!R};Jfm~UvtE3w?rRmqrCR~sT;PMpD9xkd;c8$^? zuLz}G|C&kY>PMH2pT_u&2IP(*L6|xLg!%+D)vOHXr1QeK4JQM*tdlydLW5>aFA zw;{$H0GM1#{_fCOtUS=~{vqy~t-5t~bJaUm;@FNK)h3MKHaP?DxX6M|rwZTa$5j`e zHNY>XqSwR_!9%01vF9nu=MJsjA)wc=0pMLrG;H{fLp4P42%;sLExd6R?{u^qUgkiB zU;W9?3v2^-kBnt-Wdj%H96I=$GhzxOHAP=3deQdI9xXjPYXHp(%}LTc8!s&8K3&&h zvNsi+6TIi@9e8C3&Ao%gdqb@$#JY{OkWKw9O?_fWE%s#%YJX)UJ&TpPokDDpCp$$m zaD=+SB6N)+iga@nF3H|WPArc)xRc<|f@>@PkP@p%sfo1f%%e057Vdh0Rjlv-e^t@w?ws62Sy`P6c$`ctPImeRJ^}m6=fO`g4lk zkTy#hS*)_-yp@(RDn`U-v^OrdovWmqd<%qlzNcGz5!wi%I!`Mni0Vwl z8pqii^MR-STkJk`O|=(SZqsGv%oZS74L#79Agq;U6?qz)!8;vh{^o`kq+Na8!V7J= z*=o*6&QoP8Cd+GncYKLtGCM+*GR^QsoXfpKgD|WO9!XYWNpcAXOEALd|hF)Xrx9dIXFSD)4t54gMa;Zkb$k|!^mjVMj@+<5e zak(vZ!%9td;=ELy$(dii-ZAyBY_cC=QbN(|OrGd*$OKh{$a!ZDHLnUiHjA5k5<0X?O zIg^Rk3^@E;$H1H(3psU!!NZkO_%myRgj z%jl16O;ZV1HUouVY*k6yR&s0nSZ!pg4XK?MEX<{7jW&fnKEYJ8$P3tBYK5O&EeO`f z(snoN5zyDbG`KsOH*w8U908FWkyrg^FkK665-Z__-Fp^p{J-bLb{;Z&F?+^GET|p#`W?78Rh|`zwKG2Ncnx0g#?a_lN&=LerO&kd z$!k?O+t&}$m_~+Me==4iI|1Zx!MEG-AaR}&DPX-LeAi%qOk=lO~_Uf)f zh%!Yl%y^Di#6ovY1q`#Axa{-O;m(KO@12fbU{ln({%Q66dHwu>7fyP=IPS@M(_v2X zIS^_WPKrHwK17&Y^p`hbTzzlaV}4Ij{7G-B*;7dMZM$a9&A@>b$ z8Cb#P5G9iL?Pq>9{(elv>Jm=Fw&jsaL-n1fj$iTl??t@DQJ*w>d(fATT=jjL_sdcK zQ-WVXk}SRRL1o3XXGB3I@A=E7!=8IJ6-Gi3EH}O2@cd?DrIEetne=i~lCNLrxZ;9w z)dk+!&ec(HS1S1a=i83O2^TeQ@6Nqfd}IE=;)YqrQ{!*UIkT`LE%%bgJAFiNxTZ46 zC7#L15X{ZjT`u`5cEDxjcTNOnx84=BHhhG_wN}l`-C|w<)pot^k0U+p?nzSj{3FUi zGf&MbR+bga7U{dM(qu?cZ}kg@Iz&k$@@yWVjpStX^M)?^)CI40YYQyRqBR(S^f6@Zt3bB zHkFk0N*kJ9kHhkJen_^ZFZb13$?*Q8_|k@I^|3eX_c7D-3{+RbtlkU+?R4&d3qU-F z>U7oaNJjLi(?y!{+^S?*dNM7VwNYNOo`K*Ku;OfvrlkP44&1RY*5c5Jq5f<05PZs+ zI^k~WOS3d$msXle)xAZEA*COvv|k`yw;Lc8rtYof&&=jAQbWg?gAFToHIVVKAK6Ll zGL;>taW`ZEfZ3w+=UUws3cmdAqDvqYii~r^-?i-pJG+WXJOVwmFiP8wQ?pzCC=-fjiLV zP+Eq^SWq6#tK{!gNWsR&0QNS+voNxq~xHyqFZ_3Y& z8?m}&iPm(TX;c2VwC=AwH^rTj5F30j#MeuMero$=hmSN6=<|9a`m zrnRsr@(b5Ec1F`)tjknf1J(bQ@xF6x3^M$;{m*@^tyk$6Zn9yKtwLY@G$gq>by~ed zTcf7yN!s=@{RN0H({mLZ`N^fv@R@2guq$!mWoy%jQLA4KoVoPG7~Ia}P&+eL_)+v| z<+R2@%N-5=pE_d?V0FzqtIbs$p ztU!<}Lg@LJrk-NO4e(bq7(u*cq$&wdIefopA7(SH?9jHtFEV9pL{$%a@6DtoAHcwD z5$x#m{swPL1krf-rvlZ>)@nW+VUwr|OoN2+wtAOX)m0ed7NhWtY2L2MorN1K0mL~d zJys&TVQA#$6@Db|YvMjxdUCW9^<|G{jTWd$GbO!G*E}{i?br=j_XY&Vb6~F}_ODBI znMTCWSNhG&qJ|l**xinn8^eeVT{=`PP+7LBJtRs)uQE$ydZ9GsyspHdYgDPQ``xCPC8^UE6@U8O*mgdYnme~eoalB4%P>2!gH1xW>! z%+PG#u)DyFIRUS)&FzH6H+D?kamHbS=9!1L1&=M7hbmSH2Lp#IWI4={kpv5r6)D zX?60~u#e|aqB*nuVfO$FdZ)=z^?t0?39ks~ivw*6RK3^6zEE+86n#JtBHvOTeEh^~ zj>%GwC2K-^ajr%^laJpb3WcBQ)|uIcmw*G?ly5T>9-q&j-wO|-U{7TIg*kJEsp$BL zu~JtHpvpAt0p>tcWA@)*QL&@m>Br|#FladU7}>dD z)TBzx_PI?#^tMWd2Um2OK12Hy-eE$dHdjmo#Izm{rw|v}JD8gyoBWQ9L)MKI2IRLX zF~1O6B*bYQ=~o0xqbZqG4SHdwgI$yan3 zgTF282Eo+UReHpxO$E@6yZ0kBRaxb8WmEKt@C`f$UBMx(B3p<^Q461nZYX1pi;M<}pp?>6H zm%s*7hT(AW8ikzv9|2RNCje-B0$p$md&Rg^rdm!$xi*9X=x_ChM|Ql0)gAJSw${t< z8pM9d1!C=*6T*$$mchz*r}7E-SL-Jq1|Cr6?#bCVH_jDnC3YNjWbgk_c-)mC)=r$z zTwXFI&te)gi$>=MD?J~h?B%x~X{D}&($6b<87U%fx;ZQFPc!_c61Fp>r7CRodROT? zvCgV@Mu8-kJVwWpyhrIhV4)T6*22^hf4Q1D1-Ih!1sYUkjjz~O5J(B(1d>g*mmMNo z8vsu4Vbd%|ax_X!44(*but2`B9gEgVQwAtO9P#wl)$Cy5fTM9=lE?{@Hssk#4loonw<*Bw#BQ09h|M$fYM%C*s)xTbXhiXm)XIN; zkVNhwB&RZUAF!ACrBl}pOjla={K_3Yk`v>s@m0H!R!OTQ!Mx1&LiMY+nw7M~VuBaX zKyu;@CwWFenCv9Nq4JCt{oNC|sPq~5#BfKOjwTwYm>IrEYZOoL!XidAx5rgY#RhKb zyd^aARK#X)56#u6KQrlSOT7)6Pb%2!80+*CE&h#QvknONzagimF%3dPlwviA&*! zvuLV8S4sV3Y{MZY&dDTgZeeO27FuRu(vvlmR5ZcVTBHP(%-iGU6qLWWP2EN5>W$hP zJa?sGKI|xG;x@aw%m+19VX9dF{N(@P<23vmnfmp@P5+h}-5Ma#8%e6M=l|6kFD+QS z$jf`hA(CZ$!TXgy@4cSM2Nx~zj>+{5`TtUlq|?!cpWgewwZ^NC>!j1svIxJ!{|_#8 z@y7(ggA8N2H=&4ndxrTh*z2`F0yT~cp2pbt*yqw`K0cQ`#t?q2_Wn4z+-s@d(zVY| zd#xN^?k8HYqk3XlP{6NP-d@A2-`wx%`*gssJJ>5CHU35O1;{oQ4R61*-(%|~5k8j} z%?G@alJ{BN4%bCnK1S76_Sz|a%7>6*#Xl_ET3W09L7CqYUuKU>EI#+Coqgf>xvSvx zRJlI*=sL`;&Xc#i{r}5x;Xu95f$wdlTcf-MyYD}ZDe}`*FOvAvI?a+-BD}BQ zuD;$Vm`{=XHfgz^{u<9tmQ2@*WcSt{o|W+(L`=9=EE_<(`A&8S6;)-=`&?hsE%(1zqWp4x!-&VyE=uvd z_~Ca0FXYBhKV$u-|94hHn0!^fth8G^oO9xK&l{+M{CrX$Ii5Oq`F5c7!TCy5$w#-o zo~PM)05@E9%{aK+&v9A#_nFpAH2^rOrf?SVZ7|ZU^(fAG zH0kUaREA&nKMhwpx)fb9GfCpRP1MquW;Cr@vF~wr!GfpKj3x?I(e3PdI5qO`EC&^^ zZHv|`g^_>0>1)Ny{Xs+2=+0#N31u~rs^xsncrbYStrZ++M6*NvlQP!Uc$RLMvU=P{Or{-7e%b4}&;+Y{yfZQ+}Tk%I+bVgDMi~6}Zb;)fhFmpy!0?Omc zTygN$iDkpufYkQ0w{Di-wFb8?4idbhxDvJ|_)<^SC!hTp)$XVuxu&giY1MaT`RkMH~Sw7TERcbeV>8vS~_uZ&dq*QY+!{H*U{knnpn_MUb8E zbESaG`0=r!guT%nRzkv@c!=9M;*~q(9Go^b?o3*-YZl&_z}c0d3bL9WqQugG{ATHF zP3tD^I7Xv?7Z&cbPZoXz5}X%{gSDy)Pe-v{R`{SGrqr3JDPGLMZqn19b7~1gZI7^z z^hkaW;#8>p2bQ8gLe!)6I-X8m?o&^#_0Q9-#UVT}kFRhgl8LG-)y~j$dSKq?qE!1HFiq>9|YH2 zKI$u*PB<)D(Qys7{x`cN-)grX{k}Xy1^C2-DoHVw zYU#Q!l_+boEXuk$RZ~e6lAN*~=Hh)1P!KMfpoPP2(rflMHv4^A7R;<5bn$2qUZ7E! zm=zB`Z_OZQv#RzIY`6nifZPGjDI3G%S=rygnh(e!S1x&4?`|&{U&)j)oh^|A%@NYQl4XYY2SbT36kR9m7-x#&lA$ImL9D zrfnl#qBL0bH`qGv13;<>j?w>4-4vV%|Kag$+duxP#o1faB-gdwQ_tHof71D=s~-1SWtD&8+;(QsY^I8>pxRy5!#LWt_OHtyop@RRdb0RlYG)yT zXC^$a{9wM6zI~*p@I6L;FpK^MWl9OS5fL&klfuq$J-pepY;CiLeNby8i({Ln0Tt0er_KxGClKT)F>J74PbXt3EV#+(?PB(3wFN>&gNB0@96r_a)9uSchn%A} zBkYDZzEdpaqN!A&uG$^zaH@)J1myA<7Ncf|Dhti;H8zWKn-NuvrvJm%n}9WWr(N92 zYDd&*i~2g_Rz;~`WoBC23My(vTdgt$fl-9av_+>P@>T@~SzS%KjWjh;l0Xj2o`XW}_B1~wdr(+JXcItZr6!+oJ8&c+2Ods(kme{mRIfd_%u_y>3Hk+HVt*- zRE)Y%;j)opB6WU*X$J0$O$mq9{|h+p`#Lr-Avd1=9|LlI#vC0ZHd&ak&$=`Un8&sm5o~!Kg5hzP8$dn(d`zez35L z1R2?PLgShmJ_(Q^tFWTYb2!V@eK*IQ5eNL#B}JR3Chv>bhKlee%CymO>%J65@)j98TNVj#(FvzX5o9Eh-*A~> ztGrK5!X<6IP`+SSLa1}q6xq>fTQ34nuNWdM1$UmDTyXe_x~|mB9FUE5{f1|CjldMC zY8hpZrqp{ZD+9Wq1uKKpvL~!a*jIMaH-;x&vzn}Dx*im%KiRR_1qpnh?*k=ED?+*b zc|I_>?jQzNRdr29a8jE{NJ1LD&AcI;BqB9SB9z3mh8dY7jYc!Ye+SFUu$%f}1f=H7 z1$326d~@J2b+Rvwp~D_bcw{O97lo%Whn0CxzC`^nul&etBhZL*_!PU6-lv7smV@%-U?VzH#|2l)c2oHVE}yK=~0usNggs-T~#A5RM%*dh@V ziF@DB;UI~7xQjLCzoY)Qv+L3uz{czYeSw>juMKlvvW>ASoXs%xeHaGUwYJ&$EVwt? zuWr0|XQ2M^7b&^&Y5nS>l$Y^%cgWf0fya$6&7`+i2>{0V{B4$!-B=+w@Syj2OBvNR zaIASOqpvte$;!7jKVxR)8x`vFbw?N0ybk+a0NL#e(IjP=bg$ zzpaG_+H@)z^N89kd}rU#=DJ=4AD~k;S$R)I554NqV4`KQl46(TR94kz=<&1U#?vxF z;+Uy!K6#Q6OBlhQ6XVglR5AF-{ z;cfp^v}j?q;8=9RK{!rtlF~;3bruB4F|BAvNzB%-qOgccCyDQ07 z0jUQKy)x=PvwjFB7}b(+SuN||HL~icwWSbNs;wswpb&)!a*2Tg-?riTIya*KUcd#e zo5Ptb+EJNXCv#KLUmeMR>-QH=hV?xZUh$Y6p;@I2N%i2=%bzLR5`vOhdDrTqt;mHn zj5G?4^<81)g&jA)`uY}=nUP5SJj)nf-VeBU>Gnvf0&&I(re-41^;|Whs`1&Eh)ddR z5#dmN7FS3P-fhet`04?fJt9$44ox5g+e6Zqh{mFt=XB85QPR8HC2i_!$-xXl{_h+x z4u^YNFP@$Ok)nr^cdEN>(i!5GLU^NI?&@&P=FhX=a{dq-)-G>aQ~Z?n!!55iUjbS0=lzQRNmn{|?%VQ$m;*wIYAMeWL6y z#&|)JMLEjK)MZK5u}!qkG zozjt2h<>kQ>Pl-q))jgH{&$X`)5@4taOX&oOV3HKdGda3LO2)kl@G8^lg1bUSX?ZS8)1r>E(66msvr|$0`7NipyVq3KhjkS9KkR1F@Py@!uFV$BsVrrE8NPy=c zO+k<(a8!}l4E)I^^;W~M)oqmKHsDl-QzEQ?>7OR_Vz!Rl>*D-UZNhsySet)v2$wI{ zI9%dA#y-jo9u#ahhq(sYCeuIJ2~u!+mT0@o#CVTSRrMEbLJiWYyxqwAs5;AzoiGBl zrD|lBPdBw*yrsL!SegRdfyP9PA+D0~m|-BXF@Y$+JVqj~i$I#SCo?eQol-I7X|j#v zPLS=yrE1qd*9f#AT+tv2YQcd3XF`6q{(y(leJYza+^xG;WFzeQ0sMqDV|J$ORo!bi ze1!#<-XW|OOo#i+s7mDr)3y0iJ)(`L%)Ee%P`f7IVP;gF-A^6l;u>z~ge*RQJXbgp zPgiTy<}ZVSL#qDG6rSJ!b%}W5r|4@{F3kl+;)e~!a9cwK(&9X0R$ph9qI_; z;@d9D5WtSH5Dj()j335QUyB)^@w~Vio)K~uR3Z$V2xaoV2(%$oY$N`Kj8@43)$gq%!bej-px_?llmOTHiODDj6xz*YXKrKUE{h4oR|H zj971>E)9)VP49>MmQU)&o#Y;StF5Rv)rnwki>-^ShNyBB$|!B!sIP}Rx{+C-Ck2ZS z9h*`pN=Md~>5O6%K=j12Y5=Svg;5T~ML;x0q7wgj?M zw&N;@K`TXqJ?)CO)An6e8Fn4M`^s!T{DIz+8%lhs@>Uo_srE1`F&JFeL64`Q3o*`Q)^GjicHSs0uUuS%9V zXt`10|MJW;Y!$FVx;U~Fpk>kP^NeNP&HK;*;a>N)6mqQurb23raB>;L`3fhNWMavf zftrU>1($PL6y9Gvdp4!ATzF)bYtjKIXQ{3U>#;Py+i;5&XW?Nd)d~W@PpDG4Ca7aJxZU@*T!Xm*bNm{WyN%5C{?d69D_F^G;XMLenS>uPVRd> zB~JiucWkzikqb&xrC~DDi|GUKCwK5xq?&A$*luwQv`-KG`}@TzN-=He`-lu{2M1~Y z%n{~VHZqx#(Y6~M7QrszIECj3@Csr8rkqV|dF*awaMeS8;#3wnEEa{uGiJu}Wm*w9 z>cSnR|A7xo6IM0VqEG-ihF{uGVF`E!Kd}G*EB-c@s>&X`v+<`#?Ya7-{+FaDyI(>2 zMj`C)|L~Az@H^JW%6?=&2x zQvCHBE0&KA{KoX$XFbTqaWnpz`TyHEF7=#O;qyDMc^`X*c>O8XXLG3U>cw6m(Xqc; zQ|Ii)zVQS6%k2N%H!8EfBKyWE;#I`}y81Vszkhnp!rjEEil&bz<}C4e9C=1RpS71C zo4eNIPhLB(`g-l$?Tu99BGi1$!QdGRu?OfJu1BqV-&n}Gx^Owl8SnWNF7o`F+!HVZA;eZN{HhY^!NVTv{;o`+p7n)xCJUy}884qwUNe zn=_dfPTSv?-MR3o*NSHDt1T}bA;pfC=A53^xpJ=q9E7a3qxYK&`RH^^Xv-nm4l-=$ zBskELP4r@#mJO{u>Q3K{s;BL}rmxrM#Slp0x_9H;`SgjF?D{>n6Qmz;uVc10Un?xK z)i=GsDpf|?aO(boriNn2?6aB)9gbqX?Kgg0TXg*4zy9~zf%lWoP^wp-Ha?v~RgFCW z7&NT=Sz~u&9p%}S(Js$kSMu8Pw-1Xc)e1p+_x>rdf5F(c+g~EFm_yA)Nbo2XUq=59 z%g3zdcY-vvuXtZ>%4uoGoo3&HRi-lLae^MX(p+d&+dq_ji2u9dl!drah+0 zSjCpWx`9sW`zwqXQ73Qb@%|!=pz_L9pKdg~l9BS^S1pt*-A-|j( zeD+-_%O>hFecj;GO}0Onk4k^i9$K&rt40FVzUK0aT@c(y+#T40fWGYN(KkoWpqquI zq(}z2dia0qhdpZ+{f*H>L~d^7380Ruth97;rW+??-WM@d#X!l^f!VpjC&#Sqif=A= z-nw0U=OMr5DyB&+fm7MXhC75e;_W!6g`|G6vw8)LZljENB&2kZB|R*3g^iV;q-tb6iZC#Gp!wGC^5zpV^5$hTD2koSG?vv&(=SlV$9g zf+htvwpLgNq3n*$QcZJ72uDLWtCCyP3rhzeJ*#Q4T4v%!Y;xIoe`rB_rtzsj$ZY9l zhI6vKh#Wwbf>D+$DxwI5Xi)3GpU{7!jAhJcL=MMk{6r-CnY&gJ8yQ2%>mxC^)tJe4 zwEK%gIHZq_^VY;=_9rwr`jYsmfCzBmO+yUNjx;V;$Ggpstl{cSK&Jw}JDHP2$p#d& zgW34s%IdOh`=%zWqM6=I!E5Gvs;?;K3Zrs#uR1iq+bvSn(vm|;(Ab$H*wg7I8A?GnvDthrpu+ZfL(?+YW!1~^kE*$AN_+SVyb zNi@_H)S;$Z`Oxr`*(5v`hR~rx!J=2Kwq@=FqXyC-6_G83n%*<9l~-7Io^hG3B~O9G zDYe|$30wofyeOvNcC_~vyd4Z~ z>4*$Jk$~4ZChKE9>od?V{^+s#;lCrFza51=qOc~z7^4;=a1&p!#sFILsbr18f)@nIk>|l%f^PT>x? z$fP=J)2(e-oE2O1cbXXz>k)@GyK_bLgH99pUaeHi2+QD0KNAN^(Yj+T) z`cm|FMIloN5g4EkV@sLA#ebd=ZjH=HRR85I6&R-mN<16ZP!RDTMZYG`)3Amw&+}tr ziz#tN-v&I}LYB~kTvp*TIkRd=q1=dUQnn?f z`woBa8@Xivx7Rk71v%iTyQve)tFyzTsWb0woxUDU#aa~BlFQx#8z9?&b*@|(p9BMP zkcp~5Z)2WWiaYiZVg7Eew3C)Z)zLz;_`#)`5NmwcBl`+8I2u@dK0<8u27PAh!QEh)9S<+wWIhh7$rDi;MY69c&3XJ4k5Fna7oseL9L;o-6|U{5oP>4Z2;BKv!Z|W`--&`bgd>Y2 z3*M95CK5(-rsthD-z#+WVFZ%LVbR+M%D_2Yb^5>1-AbI(Elr1uCnK{Tu?? zyf`jWQ>Zpk7!K#}85owc%ClGKs&QHoYg4Xg%69h}ScM{tqgqc!F7$1wNr|FkQMS~7 zmx)pKS1yi#T#CDK%^umI=Nfg4Qp+K7X>-2HJsPq~{z0@PXw@I*NT!M%E-ii{{*o&V zrexU&_ST$%zO@`>HKmF@T>GwN`v}u$>}_6Js=`TZt=^Ucp*pQR(2SLVn3XZsbyhScM^71u(M9Txy~5d zns@3y5-B!$v62V}{OG(W35vgeDK&%7y%&6bUBMTXeOGE_mt3+zeTah*f7$QQ(m9ww^I+A(!U?kIEB>QT|+;wCE^YL&uFs`2C`H_c@Ywj|y z->#qa3R>Y4;2iReBt?hbf=Qnn1*p|H{j%qxl?wttp7avVSwHVL^ZzvM`S_gqk1c#* zYQtkYeO6qZ=eK*@+Z5%!cdzfl<4AS{PWTq4dB2B2t@(*RAWa>OW#GMe84H#t)Oh=} z`K_w&hE`PbO9Q#8ZWtUQM|KCR3O`TF z(Jl25df!LRP8m%;vf#2jJ(l-iQ|R0xoA^TyESYozz%*GyI~;whH8#gssp}j|90TbX5cAdZMfO0;wv)2OVjHBTg?j!xta92-}z?ZPtq@PzTr> zaOv4kRgD%OeCFC*027C4R^|>wWVC*&WaeZIwo6*B{PeG}9|x{}{XdkFdAeb+t+cec zc#vUz)A-Gno2+;CvKi+@Uy-g|`R$ti;_2JpY@yWZ71z5DNKOrQKlk8+`ZKH~i7J2V zI4fbUhn4b=`t0qeo8!REoATKW1Cr7AJv^O3*ZaEdqDsGTIVczADLCn`RCp$?Jem-X zJjeQM6OR9tQQHkhG8?SVc21b{Pt-g*{Y+YfOAiYxxH&mSRGUXa&6s+`-f-_Q>s$ZG z2HbDuj7_r=I`B(bU3X9d`aQ zoV{dGC=kZ|+5(%}ixKQk1j7`tCin^6#pN=^Xx`e!MQezKtC4WosERJ>s-q@w3M7DH zqqKq8Rck3(9}Z%YC{s)x?hoR_FR#RV|o^Ah62c&7P1ktTOl>fn%=zOO^dh^s@E&WKHq zwxC7ary7lE?a;7)NzA#zRy!RZKGeOOn+$|@JTp(((RZc$IPDS2WmNaO*T_OTVPt+4cErHV;+-a*;8k9E*Fq!>HN$POFV+B@hfgI4rs zKI#u*Hc@y`{Jm7Tg|BUWyOEIsT>2h?a2%fS4Rr@M1Y4ug%6K|rISNWFJ#R} zb}OhHi$yi3rsPfic5VI#$YSD`;TlfiO5IpX6zt@t;WViEho0!_IRhQlqqQ5SEp2Z~ zY7wg&z|noosxH_kgazDfK&B=3&WFYtvY~e6d5`MK`N?7YH!9(bWmm?|wth}dRK2c0 zy|PFSpD0=!mQOV_=MZI>98jW`A9j|t@Ztiq6H+O;0`06ZdL&k4U8lIXMit`7VwcMV z2IoN|&I4c$C~?Q?6V2Xs&6o$dw!P zBlHf8fG4bd9{_V85A9W~$!Z-OfK@Hn)n8)kVC0?Th+?@y3s{e|+!3DNgml4joG*z) z6rS6V=a(*B!HEw*DugO8?O>0Fg5FVYYC74e0AedTC{nm;fudK6G3P_MwW!&_3T?XN zrylw~*W{*p!Pt!%(&}uEnpqs~_9)~EcGSgyU);tMChm5{Db6mGj?U4ziwg@C`iqeFFtLv0VKOEA6usE38V>=jmL$ zud>PIUkF9VoHMuZTCUKE*4Bk4ASF3X+iLpUv=Lpw8Dw_I`XY;ZQW50Fzsm=}5O)uFu(F7je3PLU(cXunjYAx{ER)}8Ne zJ^Z>?STf2PyT!`;r%RYWu`}Fh(cv!{&!f7sH2t}*W>*4cI9Wn}UIrcxs^I-C7cmzP z9Lf7jylAHwXYoef0?xRS23`3xQV?js(o5sG(^bD@%ZU-FS#86v&HRghasG5Zp*Zk^ zu`CxQ*Sq(RBf^V~WSYWHq*d+)I+t5@U5qNB1~ZB}wA~2mnhQ?ft2eq-C)vw7+Edoi zE>Cu>&6^*Y_~GzR7>sqsgR=g@cU>qy8XGVAC{^9PN73N?_qUHQO`7r}HaX!EtDF%2 zA>PLC7k03pbxl9|?!Iz+C)nm1x_mm3esenxvWZMNnM<=6xdh@SjApj8OLpmJF}*sM zMiBEX7|_u}=;uX-_cYR{h$>#Z=T4s@b;*GU0?u?+;y~kar{R)I-v^8yT~wR!IyRjT)x=HO&0_unva&cmCQ-1NWhPEo00UN(sgH^ndCr);jn@^=tm z54J+UC0-g7@cp-Tk*ca;;&mi}ie)Q2d5?(1K>7@4DLI&(Z{W)YT%Z}6y!jcD$-R!= z{L=%IxjNSj%vSx3e7gw=XH{H@w=*WT=83nmWU`I$1^ zhmPE~i+BsS>fz7`b3&AZnhC>|)=(%iqIj1G+5udbngp>)R2$DG8B!PhA|z-UkZ3nn z8f*X^%lD~CqWu0OT$K2y42 zrz#R?XD7)jnF?+v;uAdswsCy|VjB(N4Yi@d*+K1?uw8;ls^L~mV!!FWCVz0)+>S@% zYKS(fspGr|^#~MmVeq3;PBPwf|a#$Ksq{+yGO37={ zIi8J~W}UV)()P>9aNMvtM-kY(mzjUMZFHMX5m*8y^+Lo26bVCT`SWwshUPToq5gR} z_>L{Vnjxxhigsq%nB2irItrl+K~&wuqxSurEVfZSqYxfDLuSG0vf9%ziNc){d28N- zT6*QJ=29!xr#-?jARXQt>|`(3=OnR7!ni_SJf#y3cfoNCH+^Va%X@elO7*1f1{a;> zQH>zr!myipV^ODbg2-vY^03All!o0BeAS>*co<6y&+6|FwuPtRarNGJ&Y$(uv^+U3 zv7&z9{a?qo#q_VMxv$~g{11He9$C$KY@F+H+0(<@XTHzE8n30ED{6ebJvY{PdHd|G znDb}fee32t?&I;6&jv65zs+4u;G;44%bNrO$)>N%y`bB$d=7r%H(!j;A@EV>FEE*T z*v>8bbvj()o(=;QUQhZ4yzKCObxusEPf(gyWWAT5e8yW);fa!nrb)l>6?VnK@C93E zcHH+|z5ek>tFh+$=E?qhPkZ_-;f34y{=DTuGsfj@eNW80y;|=1N`Pwpzt$z!{Iv4h zIUyJ{wW)aIV?wKI5k9f?-4SdGE=*<{dbj= zd|5|LmvI)r)98>zIeQ+^{skFT58fBHXEN2vZ*){rG67u9@Rgl0lMD z#rwQxT+fQ4shjnSmh~4l)=<@1F{p+?STKWDOZ_972>hg>SObK|O3PVOgOz+5eqjH99)FwLB`>9`EDA=g#SYEwc`KKUQi>8%4vA&|xrK5t(^aVO z0oBY2i2k``;SNG3u>$8_ACOI;f9gQ4GLiV*P*YdmeKON(7wrg6+vDQ8yMB}Nz9{W> zjGcnS?G_@EXC9Qb_H(mlPjSJGo1Uq7-DRzGQdN!&lqYxS6RmX*ibuA=hS|Nk;n}|T zIq+J^8jC!?q5jYh!5hZXE}z{El#l>6D4EFAcK(-g#W?ALWvCc5%wS$)We>=~sJA5# zK3EMUi>o9y-j*DGU}i2HD^sYmv=B@K7*i_vBCu3(SWcPpn-J5P?V)5Wo{A%5Y}wjV zgLGwGkLXBZ?)l&Rl=s-R4=*pB9-w3=f*qyYO6j>eRsR%m zwuK4a7WNg{!pMq_zHZhEvB<=V3hHYr^b)c%g;kHNOq(x)cd^Ef?twIb{I9U(hE+#X z^X3aD3|q&Eb9X-^5<)I1+j&Z{KHG)GO3ut4hYDwE!%%J=81NX|D?c-xa27j^S**Mc zyFjN9?@0#CSodj8CnbwaVtqzT!a3pkT)~?&gsPZAkR@6{(&<53hBN#5=y>)2GE_>> zAR~PXWf!I3*haEaqBDol{yNe!f^#j=>RWkTL#qyJ1UnMl$qwGzS$sp5&KQ`7Rh1?7 zRS$IQ8t&qJWSbT4kl|C9p&`MnLYt9e7w)Kx>qd*Aq2P-iz%XDKd7hCt!>EiusFW?&Wiu)>(=;&UTbP^|L#_h6sSPC) zYSO+(5`yH8fPO3J2)Qbp-NoxKH1s%|k4T==k+1cNJ+6ix{aq6{yTs(2oG?_3$u0l3 zFv2DMfm++9A9N= zRg74{Ts{K;S|!NPgi=a^pm-HBH*b;gS4HE_g#BM-*Q!yKfB0A&o(wP~X)q0gAtrB# z3FM-t1xHMTSn*JsapuiGSV@fK?hg=ZEE65+q-JgepC1CUu|7{rT-O+n2@3fFIR{8) ziLNG})0B*RupmDoW434TyPza(Qg8^3J;;-mMn>J3&o%fKrYiRgOe0f87G7A=NC7(G z`E61yQgPsWBTcGt5D^STRc5mNXhH|hGlDc!?pZX9Oue~r#%&pPuVfB*IC0lgho@ne zkUHwA*zbg24oA1lEs?%+>c-Bf)^`o{Wrj~lqaF`O;Z$d$&Q^zO*bJsq4 zaKGkiRz}K$_puB^>bl^c<86JW)YjEzD_T==WEL@0`F`SR#0T6Bex}PqjMC*tO@f`n zpor|U!<&bq-|HLFp05MDG|f%3w6|Qe?1u$cZxHNMMDB5As7W=OhIcwdG`6cg_5pfa zuvM&!Et!|Webf{ur^GpfLjOuw`QnpUvBab<2#w*l%QyEw#ha&UeD{+}6q+Q>LQS?> zv@@q+H!uky8n#2fDYUJCAu4*E$< z;uW?SzvYmO+_i;V3y+u1c7@Img>tKQb$nh4qREhYE+cMY9Qxe#M-dt7}l!jYzK&eLv+LBP;G zt6}m{k(N!1`s3JZ;;&~&k~OL0ro;3?qOqS<;4_e%@yHMzGV)OOAh!QiOOSn_Jpp%O z*9qKrrZA;*>PewR3bSF6iXhWTC(p3|u3R*-#8o1d zW~M4?0=V_(RCKvpc7-8UizY)fb6%KsJ8voZxdidaOvneaG0<6D!?-ou&uSc)`py*1 zW~2%>m^FPnQq6)tiNaj!%`u11`)vcP!C+PwXzUKt!6U~RZ%L@=p77C+aYMKna)nEH zGo1x~(h|HriOK1u&!v^yIqH`V)3+s~dH>U6uZllDqI>tjVf4_)ERDcTk^{CrKJ8E$ z^~(=iy1$UPHF+ZDxbH{hl}1uu3Ch#K{H_Fh$?KNrLJ+^<0qeiy(6fWQ(@hTyD z`2Gjo-TL^_$2q{mC?t(Fe?N1)IInMJ6xqte+IJykelvV1Y4RP;@Tp){Y1XJTGv?ZY z_&)fj8=loBqv11Craj-+OIO-c#AO48TgRE(XGoepSgR-%?aD|5@;Qq+sy^uUje ziW&kfkf#Xm0hx*WON%NWGp4VFlK?A}jj{N!(WujfoH*}C_qO*_H1 zsK4QLV2OU^VRg!q#Epw|q(n5xGax%H!q(R{w()QK&u5*=bgl9buDA-+khI*KmKc4> z5p!VfN}(BQ$!vP{N%Ym2*g0v-+Lb4)qrPnJzlKiuJJ%{XF(_pMG!L zmiDS;zq?LV{%M`-Q<@T))_w`H9-oy2-x}>Rcm8p-$3hF!&GllR-7iqDeL6O4@OkfA zGahS~QZ4p<3znx{vkYMmdyTqbTnztYOAe_weniX8F5aakqDu6DZFpT~vXPO@tjivg zjLgnWxyZTq*9`}}Ga!X*$T=|2I$VNHRKD)a3_3pJUc*K*Ls`6FJWc|gG`23oK>vs zBp@iJI}`M0jl$a~O-ZG*g3&yTOd=ucL5D^}e8HMw)X)*4n|q-e-rL<$(;ahsYVj5| zEi)flm#yi(t%?fFOt9cAO!_05FWTJw5peM7BV6vnpv=*NI~`-5m%AO(8R*$0=Z#dg zWE1=J8LH|y@&La_tXKPPZ z`Sm~Y=bDn38{(i4>aq~x>C@l)Uq+=-EWfp>!+0QCNIllT#VO}Ft(vv6HUl4$|7q<> zsJL~2ADrzk+lj-t_0yv6ZlBACDS0rQ)8v>#vR#5*1Ma6{r9x|Z;;_*t40W8PIMYPq z2y~@6KXP$|Nk#fN5ml0Hec;~s*+)IkP3^Uza8h{)XAE;eb8_moQjVyk*H>k!{X@qO_m!iB3RmJnG@(nflc>Q$fTD z6ApMmVa&DE<`=4&sPw}n)9Nij+~$j-D!+K|PfWFW!&V5yLyOi~na>1JUg0*5a+fES-y=gW zzUR_ahjTg2=iOjQERJAtHFe)1`1bk+LgFp50g(}=o!pz02HBA8x_S#;X)g1H`P;$; zPYZnmPSbNpid?pl5nGcq`J&-kOq|n#Z8nA%aiR};qpY#y-w#{wSgPs8(k(cKi@TO=jj>abP-j4Iv$O(wC=WOXz*%vnojvG z(ucs%6{qkVTxNoSNYlbgQxf3-EYP95 zq=oG_j5ve>dI{!`u>L^bheoof)Zsp0*Cr2X`5V#osSDz0W%mU;H@KKh*bwNtd@re> zt11||{zPE`GJ(SjSCQjUDkC>nmy9R=7fEtuwGQhDHXgMdkz0S58j186=|_!<&9HUA z?Qk~SMZbC>mM-YO`z3P&okPQV$HKJ839@SM>d*Oq$Wop$pY|6ukpnOaHOJ(T9v*enXQWm;YaAMEDRkoqeP8_9;-_d$p zj>c*FM*7q>dY%sLWNc=wYH$YO$+%ps4Lh#bg3uEo>ky{+AD?{a}p(?hKK+mZb+$VNvq+S!w!j>st1X;@tkx77 z!phCO^`fwbyojTy>xl~*(WN+M`S!}`R=putmu7PcLrqvmmxdE@*x9UTY<-i-Vx@@$ zOQNW@V6hhOmQ*qAkWVeSB>;gVwK&@@S|zV~STuQl=lGXgV`El}2Wl-4*(I}HzlYR9 zFwaRf8DQ_0JME3Ga23|PHrJ3nNY?yBlunb+8R_^uBeeg{rZ!E+@I(5mw6Q<+CuymN z&Zb*M3a+}qFCmzdS8k)P;$1uX;1p^M0Z?JfVbGB4iNF>QWAnl>1t64eYx9Lfb)MhU z7K9VHz3gW;YzMJogvS|&EcbF5S6~;Ck8tSZoj#`<3r{TZaFWtrS$d%>!Fu@46DtVq znf*JBS2GF~D>RuBgY21KO00(VqrJjomJwVWhCxL@ii+Kl(5p!;9c5pchzCH}jZl8l zT8I1XnGv8sob+%k?rE?zFWHJP;nWPd^s-8Bs?Q~?&sG<#6&m8!VDD)^p%Uygh*1LL zjy1>X@7nGe+}EV~7ZNWcpPDhd9EKD|uI+f(E_2)$g?!0=%$>T{{fh3EnAsGU*)Emq z*WmT4Pax6Z)FAgarxA+CWm9YlT9*CatBnhPkgS_Ljq$kYKFrAK&IV?h zkbl{3XGa{Sj)+V#BBdJNT5Q|XSV{ztd6 zoY?81dDHW(DOUL8FoP*KZ8m?mB$q==2DE>jp4Np}==?3JlC|UbkG%zG%mkXpaU5G_ zVXlk27RggZJHS-l8k41yihFqTXU`J3H1>zV8jCsq%;1ehrpe9Q6#*aN45`S{99$^1 zC~6}f%$)o{wT)Kr_c(AR8t-PnID*K5aOZxN!8TI-pPgblc8W6?`1CM&KKtLTqT&A= z`15=`YR-J0d6(xb^;zpR?~3PA-@OK}1DC-AjrBQ^SccF$Rh)l!tNZ;J2k z3bY#fT-tub%je8IzkR-+_^p|W#w;1SD8;!*1(WIj`Ymg^ZuV=xx8$46-U=VCU$Cm% zKf*b){=$<%UUOaxPI7Mg{Ti<&t_}Y@;1xK#@g@dO-+-?jtNu0Y8+piAa1q(P^?yv- zy;}AC@~WpWZR)Q-{p=ev%WvQFni^i{leRD#c#rG$O>@%xwi+jG&uyz;$^|F%qm{~U z_Pdr}?+*CKkbi+?a-&z^pVxf!mp;#<|4tmLh#82vzwW5{$TzPXpO@gka2fNL%A*di zot$Iyg5&Nd_!Pe*-e z##8zWW)go0uVQ2g4q<~so28FawJBSn6Sp+*=j~(%Q zdg_{MrE$T(H2x9wCSIjMiXA0SWgJ?(72eD9q>()xk{}#*87=2wT${;?W98Cf$2lx* za6cSw=wl`5aUISaDbRIXyj^ATo(aZCX+e2g4}0a~c1ii_-Mcg&GJhE8P=T%T4EkV% zl=o2ZqIT)J!3XJ|)F7CbVl4cUs+!K&`g7jL$Mr)JlyD4C6>nV|R8kV$oicDObu#6j zR+UY87n2WJ<4maDoGV zg>Rvi|9Vtz)uY_Lg{$mhK^DPLDguNYH^4#~O1^}ui@r`*FC{xQwYkyl8X=txDVby3 z{Ybb(xGdN`!g4@(3!__|!;W-&4j^Y>anDp!?^e@QqFFgxHo$r+aP(?<*pmD`@`cfq zgfmUW%z_-3Zs{)`uBK%fq-CkU!nYHd&EQh`dL~`n$zG4FywZqWNiUPz0NODh%aU-E zHn`tZiIy1hn=c+wqdQH5&Q)n(_QDrk#e^G z#oVdmNwQbx=}e+s`y^MqWvSt#9tqMu4=Ki&MuI^bpP6_>OJ_408%r@fa;X=E1@mHt z!Q=9IOT}B>=fZjTyETHvVEFGo!%4(R$RXPDCLkiGsej%t&hOzrSH|nM_R|$4Un$(Ic*SZYXZI?VP(4_gnH2iCdQ~>TUT19;|E*nwRWt!I^Vq; zh67%Qpq7(!m=~c)&0=N3vd|nR&+o+HR{lt%_QT00gzSCav+(9?t6No85_E?F6VIO$ zX~1oOC$n$K)ͮr%|P%SD;~d7YY3zLjje%U`&r-mu5T5srE&Fz2HDK^B^Wqno|r zGjms=jf0XV*(vKKM;d@+>i*e4Hi>^g@v3|*0Vl_P`UVp-Tl3C{1KTY~*2)l>a2q%_ z#vWD~X)mj72#Tj=NxGzJ-3z_yrf}DoLbNkFy_FZL5=ILeU+Eh@yKoio3l_3*P85VD z>sKANP|_jN?+55f^wJ0?ag04f$u+Pv;vjsnk05FhxQfGUTi=86#+2Avcto9@0HGgr zO~k(3s`?0Z^&~n87|J8=I3<53_TMExy@hND0G~ zN_*^zjB%#IV0+{RZbJvjMN%q0X-tHI8t>+Uz=F+N7c|0te^j+{A=B(qhb z=kL@{m+k7RU!RS8!MobyoME>E3L`g;!wD$>;LIGtS&vjzyO&Yy%}m%u^wW8FPo(Kx zuFUVgYQaK6(?+ceV->yX?Jew?Qz>~XwJs;3R>>_s%2~$YVS2#8sL$Jquml9_6>rxgJaZbo{{n|DX8VT;rw2R92yZWf)Gz{lqei zN*$r_^A}w7B(_uB+-O9);eCgxRWEzK4iPGzo7N6Tuqd~-{@4(Jbj*Gr1 zxt#r!VgvzCy)~8RX`ML@@@D!cyS@!zk8TTTpNp$wTyk9QU-Xr)|v_6+vl{yN{$bQAiO`R~A;?>!CK1M={&cYgY<>C6;*1XfCC!kias|RhG z%5$#L*Nr2mP#i!jqa~LdSj}5Jac~|xF~TUak!@3s$wAQiv{@b|D_cx9=BiH7F-}ey zTRAJgY(P%1z}V`xk5CoaP!Mf&3pbwiAGD&EQ0%v6Mqz!jVi6HG+~DVDKnTP0 z6eD14b94L5k%JyqJsJsSCJUhqqURSHfgW0~_Lrmm zBb{)fWH$Zy!*+GO{Jh^KTJAbUz#Lh%`66ZYdB1Z8{px89r)u6|PSZry^d`I_AZrwB zrxRNj!6S6NE3xf=YzoEM9MY|EWqXhquMoLRwfo_8JzBDOt4cxIgm69~#dO2#xXD#~RJ9z-4QYXwzAigsk-UTNvR zePl7^_EnEiinCIrEZTU%CU=W3&yQ6+cV~uF)L9I72VzrUW2aG%OmtS`U0s--;FN?r z_2&p$CyV!mwWjud3(|ElP}YRv&NQ5qJoLSvXDlA++gts~5+g*6?HPw_ z&IolAWl7_4iS2ScC3{lyBT7f6iPw3SE$Z%h6k+3jbQtcPZj`~9QVBsf!T!mgB}Fzl|6LH)qtBtc?O@? zJCrM9f*m^JDw`i4){eLL?)3~VX{f!@2)=HE*xg3ks6h$TFdpeazP!ZEC*K<_hAOfe- zOc5~^__B@*OD0GuBb76Z3j@q<$jrntObc7^Sxz!bvdnbKkpsgp$u_}kzw0xdzW?*T z@w@T6QIA7;Y@GSb`~6z3>v`P@(SlN0G%Eq=1nQOx$DH%mLjhnjk1yB|PtN7KnF!4I zx(p?txi-BH(A@r12&thE8i!R@_45Y_W6qw`$u?oOtW7jk)3-RmeZ~EMw9$Taf_hw0 zKP>U&SO8_h^nKnlo;v3tj{bM&H*dzAnKS(>XDspY+VB5r<+#rp|CnW1ByRK1_{Asc zpwDUl-P`^BUlICVT{`BU@B*4azHhT{@#-C;zWBe`Q=TQ(6mLo{di&VZrl z?|*&e`<)3R^R7jU0=BK5n0uiB+eZGIKRnM4;$*$>L-gE__-p=X)y$3zIrYOQ|6Aal zb2;b8^`(z;c3;h5XWa^B-Oa6(_`HxCr#bQTw9gKCUJUjbSa14VO3I1h2L>gvb_~v5 zcwChevtWImDrNTUol= zK52S?;PNre%-34xMc22yYwgo)H-3hk_>vCMb2UMPAULD{s#No)YqvgZdhyq<(IQiR z{jsk6uWz(ouoZy)@ZA^rT2+(qyF$cR`E9*N-i8w^sYpENSG=3PJv3)wPm$P`HAm2` zQ8z4DpOus^MiRri)(a5I4W)KdBK%3xT>dZ&;NTU&XP@V3T#D4k&~EixN#S6BVISii zcM)wr#Zg{TMTh8%<(lF3cWt#MucxpFY*&3pRmC_f2ydzK8|SCcR|IubEi3O2|LQw5 zi4Y)&KAP&goZa15Gy%COr}ZN7*MW`nElKPCalaiEt^CtemDz9WM)DS;T_LL2e%~9Y zTW6RTPE@Jpj2Ia5`hpEZYb@uhgEJd%nE76o6Ry{(fB(5ZF@0!V>n1%Vo8IF)Z5y6B z-jI4BYbRQ`>t1FwmZO8)-9x`siQ??sD-Nf<;449z-W?4aS-D_G1?Amn`84W(DalVr z!yuYU@;EC;4$=M?^N%;5A-x&l`M$-s6Dzu08#UW)1Ef$OmM%+Eu+3v-jn|}`*)~cb zCvT^OO5gI3Dg?1Q$+EQ`aEc&1lyhD(Tb7CegIpY{qA>G|&nw$w+T z$aGrp`)aUlpoiIS(^FNqzQ5w0=!XJ0Q3Ihr?B$KU1d`jmEiC9Tzxau4QIa0*-vKq@ zZy7mcxMEC17pr^KsO~G73T5#&AEEilEJkOw95fhl3dzsT^}W>_xc9rJa12B`=A+m@8ULU5o{IdYfj^x>B zF**Y)n#Yz^sUtq@>rXUThp%@2(0*j--noF!p`?o7Zk==(h6wseW2W=pf;=n@<8(`> zY{ajl8R-SvGLy(!6ZPJJ#ZmfDvD<=Hc$m6-jCqOlofFVdL&v<4s7#@yCf$Pd+Q!!0 zT0$D@c~&FYZ(9N6#6^-|vlqR1ESZqy4(;-4NMfQDvJ0Civi5#sY3g)8NTFQLQiK6d z?q`<`t5eONM*ZD!3mXQbrRW9abQAaO{S3dSk=s$FS)y)2>;Wg$HZokWEd!eAyQ9*z zW9cP6p~jk+ZeL4>^6eYuDzeh;M66;Qzdx&wZ z{cbu9$b!A@eDxSnJ!;G>+%|B$QC`n%5-h;4CIQ;LdMEL0qM~mti@XD#xmt&YmA5`5 zQZboI3lR9dFonEhu{EDK=wW5IUF@+C0<&h(J90)V5vth2B?Km5tFv6!#%oP zqveE6-3aGIPo{21Gya(InN<8BD8f^4{YVM}6gZ6l)Maxbc}yf?MN7VcGWYb>WmwV{ zL{8+XN3*a%J2VJQQbOyc2ZR%PG|1F3`p-^yV@lp~fw#8*4M%J*FEVtZWXcJcMEQ=o z3rTVf5DluLfH5c}?46zB&w<#bc?T>ifvS z#dsf3_$>z}`G2`r{Gb%q$s=5J?QBMdY3F zxU%7JXGo?#v1sYR$UZf;X;~-^`z@^(l*tH8$%PxVu~+*|M3P7Fh0aHX@J4mTVE!q0 zxcTDhcV&;)_EK{mxSag(%N;UeJy(pl#i@Y~nY~yOT6ZS4`OowdxxF2d$J!Sa1R4el z_dS~tRYf>WR%Sy_mm}GJgpw+n(`d z{cCW1ZFh`h+x6e}dB8ZBIgB?T&=Jl%{Ur7g*f6om3Bi%Zia(#`VJG)1Ldlnd_OEPe zvqz}uY6q9|XJ`FAZdBwz;Wn0Y!PfWM^Qly12@i znJ|BgyuC}`jQ={b#8lu2oPPBsk81+I zk-bun^HKsogs@TBb^!sb38#{#fMC~|ZGGg)A*=q~^k`XU2m(cS2snD0NX2kU<^quOueKMw$ zrtcgSVRCDxVF$p>4*l==Z`?(320C{0ad+!QZ37h8=n}^GrD)Jq`D!L10AxmS|0Mt2 zRqB3oG%gcYD8!qoErG`H2uHIqPBo%N#lKV89>9V{+IuWRCs{t$dNw)bPRYau&6^BW zQms%F9f!~&LpfR(c08UwGGHSQb($)oc#~9$5sjV_f*LC~+?}Tl0HR!k3GRA1Vn8r# zoUk`LWII>nWXM~)a+$4jmjtU!15#7?+Z;mV>x%f`e0sI;4kq;`? zZ))Vu1(8z{Vt9zW~2_EzPb7mb2`NL=87T z!a3r|59&fWBdBftLu7Wp{MAOzn|(-ZyH{6qJeKKd#Z-`rzWp7Hc1MW3t~SkmCOeUF z2|lPxm3t1D;r8(=3nnE zol3IlT0|0knOD*T05!lOxot2Hir34iyt79TCE7_$_4_KS-41@=nOkcrw+CNMs;s5`qMr(|JNjebNn2Y5Cg$P zm}D8mTKW;j<%w?#ZxkRuV=r$r7|83Lu%6bB=`Pe!5A-7#*xyIUu5_}!Yqc8(fe8l- z7`=CRdC_pl_rfZ~3_30C7v-tZyS<`CP!0cI^t|&wZo~)wwKwef|KA(>JhRhpmiOHI z%+_#+-*f&i@0{_BPuxzwBQs7O^hx%f^Nr73#*OGvo^C@nMKHR>-v8bk{@eWDxX|Dw zPt5e6@f5Q+oQv-98PDEu{1Wu2%buLM%(FM#<{O28!hJKg`fT6-*mu$W8OQwpis563 zzyFzS{)#b33j8kJMnIt^U~$=~?~8#!4f_|Y|NfUb5km_$+?W|KW5@GH8s@&4JrR5X zc$pW@qoTh88tIPhfr3{$?AkqE8JFKVJ>%Ug?>mkj`NU_T`#rAD>Nj0*T5byU55DgV zdjJBc&#pW6*H%pU?mN#kku%%#|8eyPFRzc^T$xcA~S`UOR@ z7ecag=d!j>?=tg)pZny2_u|`^msSSdUXjXjt_|R?5pSCL;V&Kx=&`)5xx1H_e#Cj| zt*a9}ANH>YeO_SSTg3@?qD8)bk6mr{yL7Pl`nG?+bVC-noqxj^xXwJmF%Qi>kS9Me z9|8bRr#G zXOS|fDccPg?ln`kZVUI-dMNlJe(ubq{ia{;_#!9d{JJZIZ29)@2>p!IrjF*``>HR= zr>uwCqeloob4iEvdCp6h7w_pQaDF81AKPs#arXUM7=7bm{xqrmrHWR*RD8`+{CVaz zqNb$C#34IubDM!sb5 zz~V!IB~0hpS~G@%bMo|sGKhT4)x#y3W0#-Ktoc~8%L@wAFAiX!qrKjC4svZ9qQ|g- zzo30o;u`6sufe68JAx>}=)w+uu==gXP!P6V%GwV(Qbfz)c;Z0rpKPt}qx2^|9iqSV zpUGUvCnclwbdE{;RwHAE%-wpT<)2ovauu{+9y8>fMyd)H14~PuU;}ZNAGmlrx7l)Z zyfRZ8hzo#wj5)io`9H8YPTi6#gW)>?kxU1-P33B@x8&|UiKC3Z6AI9HE^WTDsjl$r z*8FgZE2pssY+_HKL+IzPTyNcje#%vSxKTaZ`FrZIhIr(Md{=$5V{pSt+Tt+0|2? zVY8&RUMy<@JGKGWyjAJL@5Z2NQXGot_{AQBf5}&|43)l@sDZgnXee5I5IExz9QykO zd%T3P#SS-8md>qC8%Ah5swfC7#?iZ(yU69a3gV6$!F6RFcYsgFF7GC*d9vtmt!BxD ziSw?+RofxxSX4vST-PsFL3?I9V5O@%#mh|822{qk5t3L1pCgj_vIg2OiQi9V*Db`d zYqGt4f9As#*-+G_&^%-lueX_7_iLanp?Rvk%=JK3CBqA2X<=3GWQ-g--o_noxZzbt zHhgvq%Q;=@XvmUoh3%xrQD=F!QRpZ}qGwL_my2#2EgKEX=0%*dR`{f2>a+)uge zl$D_`V)o@FQzKc)gg`HZcu`sO)%_u@dsD>qc8?637Z-2%bd#8PHHEubHOxyo%$=*Y zik*+5%LzVLs^lKt$?Fij8lhX1NeMDjE9!DnXn|ymylG1$1*>-!w8TMRj4(Mi07YSv zdS1ZdA?5WA)L@`kNeN<@0q{=xCRFd3nhO$`Yp&&-O)zhpjF}{4Q-Y~zW*+^}H3%L! zSepX#0VaST|CGA(;m4i8(hT*|!m&idAW|NpwN<4qwSo{#>gwKW93#B$voXJ@JvVDn zkNsZyWqEnx+yIenxkn21HN}Q1^8@*guU1Qjo|`fh(^4d=kHO3hW?KFwx*l7fSL!(3zbDc9agR^17=J$SNv9 zmp|X^Acb5q*O>m@-8}h*KWQRTsRlL6Ojc=(y8Jx|krJM%psJc{siYiiv0)0CFt9iSJ+)43*!16n=a=`bwO7u?VDoE2gVlLSuRF5mlm z5_sWLYw<0db^in(ILL(tc;?cs1yj0>@3oVo< zTMFKWW$GT?)M=$}hcVhDT4ZH})~dJ5?|U@DA_%QBSt+eKiLceirVv6^14HtZOipy? zDWcIdqPY+voX*`WX+K|A_@ME)h`SkvB)7SX_hO{FY{>0W+&#N!nb~yB^j+#Y{NhyV zj>}p8jn<^!25!-Kylt{BU(iDeQn@4Z)26#<%(#eKqE1CxcyIf)Y9p~QR@ch0E2vu; zo<2{II+4fw%Q0r^MGK768(Q)p39IvoNobx49`$kmqhYk48W8xTtJN_aIP8>8%${(z^pVy|j~*a1b9hoPZbCJ6Bv# zGjK==CHzg?g(q|$0s>q;lrY(dS;P=!qEGU;A?`*1nZqF1POHCXYU^}AC@ojJRS#S5 ztIs`^@C6|>*srMNb_FRk@Q7P_AxYKfI6kA)y`FO79WKLHp>KNNB^88Fj}II_dDNKP z$bs?2aoP0EDUUqvkx>FlG)7Ejd z)?`;i@{IkGu0-zI^{l3R9xZ@5`*wJ==Q9_EDcNuH?g!R-z`TTTCz~k^jBV!zkuj^{ zL?)^jCGbX*O9oK14UT@mbRaQoJ@1530c%l)2q)k(qjKjB>e86vP*y@*hd@jSadf1y zBO(aCn=8*x5*R*>9Qi@N=m85NNGu6XERSDk~r3?+s-=%=kLT2w!nrzktzvQiZhS8HK^M@m22fiMu&T(z`Cyo zeoM#XZOo3GoR7B?OaBb~h5i3m_}k20S6(CkrgqZ!uPKZgbB}b2?zK-mbeT+{d#UO~ z?oyMXW#*8B+dfY_l_dh}?X&(O_dT*EcB=IpcIc&J*^0AVMccI=6g)r zH&2xR!RTPQuj^zoDPVx>W+Mbxk0`G&5e`a1m|K63Oc}Hz^kOHnk3@kJ+^7xUK z^Ga#IYrdiVnwhct5VxWQYptBfrj~+ZBKlT_Cq}*CDHn&nrKGuq@rE{U57sB9sz)N> zoIfc4Jr5JNMW^Pgun9bIjV?*ilaqM`U#)fb|CkGHPJ?;4Q}`u4qdA8X#1TSv1WoXb znRWSmA=0SvC60Ef@abk`!fcXCl=7&z%e%;%T@}<34`uLX7>gEg>2ju%UuZ3fNqG0pi9v}CKkKlwiWhfQ`s_B z7%fQ2f6^E}*3<;q`9+acMGNW*U(X%s!Zn=XB5xi~r3zE>AC6Mxr$3`PP1MaMy1IJY zzm&0TgteVhmUaTGu^fW11|!Kcq;ew5_b@u@30}2WcfbSq7SY1fatN)!hDhcGe>e?e ztC!7A39NhaO%tMBzW;%yry4*1UBude-f;)M41F_ZuJrOGOFY3W8vUPNx#R_Jgxe!w z!Xq)AIdAEVIleRf-Eg)h6&8thS%jYiIvbJ?B#5{E~#B`6~p|Ide60A~!y`>b;o1 z?BgK*+2GUFbMw`Are1m~cFmWwR;JGM3SQ#<#d^ilZ+SnldWb{5Rrf~izN^Z&<@R-LsPhIz%?w$66xm*O&bERWP*O6VOL-tPFp!J#gh4+| zG~}}-tw3cjwwDhl&R&}4k?WQH%tR!UGH#GH54`MGEppeh|8sFX_(BpBbrDj~FWTx> z8(TkYI+5c_-cNrqgmLp^;Wr!<)-vp~#vc_L+*-|)ksdDkHs(<=%2etymlRlz@1=Vn z=NnLU-yJ_eO6|01l=6qu-$&S`34Mo%u0KQ1&?7SN@4@P)4llgjC4EU#-b_sy`imS~ zx@J`pamVg{{UxxR81g? z0V|5V3%009$-wyhZElZnPV+5$T~pppN^C|RH3MyEz}#Gc#Sv7A$$N{`X7QoKD-K(1 zHeH>lcewFAw!4&{hrLs=Q4*eg-E5_*FNIvnSr^9H)7Yd^-y>({@+zu$V_bPRml7;D zE#`3qvOgR0wI;GkB$iBT4011u^VZIE#asi$A111LCDWarc{-x80v7VjMN8E-soAP@r0O#BtEpGB)EOCf5M5K;9gw$PGbmir)soKcW9J5FZC zrWAe^p{h9ZhT8>-1GDN8auZ$Yu_;AgAB!$^J2Q#T9#D5;xWs4W*hQXxMED6u>7o1B zOT$bjOi$E28{SLYF+Tpa^}}?XJ|R4n2ZrUX%mMl`QF?4IxhYTjr*NE4h->KfEmm?i zDVbZjqW!#Xc90V5CuS1>wa_NO;vPv>GQ|w4n5^85x?ZXVuQDwoJAa8t-1j+jUMw7l zY;4KQ#^IUqvfj?2zDsLRACcwdyTo|1edx}ZsV;hr@%z>Elw#IKrgeC5i7C(5ibZ> zoF^*%xM|*oW@GWCwGvEbRfOQc;Nm3grfk`*=Q0zP=P>rC_-#{eZF5_0cM1Z{U>wyT zschqrI{(z!O*P9BoGdMP=Y!g^C-mjKbs2d!!khbPdVBtly@~)hL@drpGG<~FIXsn$x`_G8RV@C!p$W!%Sb^NbsVWHHz`uMM}{Cn(qway zf=$lAh1cnuF&?~!;J7nzF~f-5+j1UMk7;M~v4r1~>0>zOMF(D~S5ty=50AU`ociE4 zceFO07KUq6S?CEIK;ELY#CRTsl@Z2@5o=DTpqbhy5<9LnQKB^w)N3mGrhqru{%>9_ zVe6ASOmY$Wy>2|B!mdi+-V|&>cM*Sxp>Ct0J8d9ut=&nz4LUc5T>IjAkrKvB*!=cD zOu$knvZD1U7=a!Eb8C`8EF=+vYP{c*&5!qbM&E?sG%Sxmai2TDXv35U-5AQPPiC|U zsqN<^vHB^eiV~(Z!}AfFak2#qZho4DdYlzTcp==5eUIYr$pDVEJi?8F2bX0tO$!cY zl5w46`N1RfP07pDJO&(2eS12d5QJjwr=xEowk`--ON4FxqIzyrbad-a}7t4O# zwmun|0CC)BO=BbqY@$+P9yPV>h>p8^wrHs>;5 z)l^*D6^n$t!X`KH4BWSpJtUGE!%@814APpaQF$;AZRgb4+Mst*DS_oa(&{XluS6O~ zLtR}x@rII-{i<5djf21IIjbh=n(+RUnHbWY+q=!3@Ec2mt}#el>5ZRbv&yRHe)>T3 zb^k~|kUqT5cju{T?vZ@|a%z%Hgmbro{9XcTY512V4uL~Hj^ zhiy$Gm_@GU(~SrjWw&2oGe}^pnxUiK0xRH6k)k5)puM>Ua95Gev*V&}r3qJL4&e<> z7rjz%cj~_C9|CfB63x;O?&;9>3)uP!)kacqADWqFm&HM(a3Yk}4TN_;g_z(-cN4*{ zHrF~I>N5=7NQ;9Lt}QQkUC&^S%B0IJ4szL83RQGOP1lZNKLk4HNh71AL@me&jV<); zT!UI*kDj|htxC3N0EhJuC|b@(GmK)O1RAip)H2;_%lYb^SR`cgB9-^IyY(u@pl2&M zwOU^KzQ9O}n()y#*M9yy5Bju|YTibqF@@MUOHU6}9F}DFQZu9DII%|?>%=(&rWRgr zMP$SS&Y^P3@L`&g8|hqaZv80d2KiZT+xHUROR2G3sN2xH2P}3fXpVyxjAB1Hy@uf8 z)bgF(|p%8Ha32}9QlY}LaUaC(wMmAO~#Sxq<+@;^1o5+1l(nAoD#yC@} zr4c=jKC|$3iCaR8&Z0hUoaC#3G`OT}XJLV)+RG?=udJac(GCfMx|}WN^qvfhw#$cx z7A1Lx6)kzy`xyNqC7g<0k)!QxVQpg8MsOgSVOiIDCYbhuQ|W_RM?()dB$6_(5v!O$ z9?EKyQITqoo+1Q%*f{juw#5ndUP_;bCPzCJ>ZS$qiaM?t=}bLb?rS_tMWoT1ZyK$L zTANBXn8a=8`VF)YZYy;F!0IILbR04^@N#=eo}F1FF_zm!aS7S%q*d;Uqc%om{@WFg zVeGji*><-q8%KPfApZeWTn=NZ{wv-NW)Wv7cv2GU%Fzq)aCSayNR7Ylv}f=S8`>*V zEI!YWv>D~00aFRiqQs+gHN=jlR;QX0CJ1c#VXKXqcqWo#5%}49v6d9Zn?M|#Btng9 z`f0U+vpI{;you`S1L>sD%!K@62E8c_4=5?@m;S~9K4BIU7o8WVZzy4rifFnf|SaB4ewlb^_V zsR@B(2h2QnoJHYjb9btlV0*NM6T;oFypXpj`5TO`oDhx+kn7n~cq;3t{!iQ_2!8uv za?aXcauOWfL94C3CQnl8Zs?>0tfNhjGe*S9L!B5xB$+qRxBIP%i{;Xcj;ci63!{W! zC%eCBDo;iUV$1QaDdf3((y5TlB*~E}@*h(hR8^iUok+Cetpzf~PSrrl5u`5rM=Wmm zl^&iCxp0gZ%tAj~_R9eV83u-+VkdLQ5QbAuDxrV`MGm+!%2Dla${JEg^iq-6f! zY~NTpIMwP&LNIC|E}N*9f5hTnluxq1&d9y4e?#gZwHhfgL)e72vf`dxgu6E8vx3_e z>eE9yV(BIfNLPRB zDbZ4*xBMNvYWRO&bzb#*ZpL3`MAi9k@|#=f{eoXancvGk%l>~B$z-&vPvSQ>{`acW zabhKtj5z828)xVFnX{OX#HC&{=3wdh){LhZS0v&${s`L6lQTp8mt69V^7mP(@!1+Y z>i@j=NtGWm=<;@W`<|Mi-{kezQY!1D1lr6nW5h z{x)RIe*f4{G(B&9#A=@)GB+r0+b=#+Kqs0n&kWAofqHEJu%A*C^Gf30Cz4{9UTB?h z?Wgi%*}U>b|4N6i zGf%eeAIjg7V z-U39H9}sEP%+D#sDpV2te0Go`E%*|%HvRRb6^W-lY?%L1(pQ^ruiWLm=I_DTna|~| zX+2Klz14Y)4j@ag5hVjw5hctA_vO{2>_lA3D(Chjy(F`r=>_|b(8~=`l#wL~i(xY!! z_{~eP?M_uk?b{)jsF@4__S>%7U~>Oq!h3pCx9rqu;y)`vx0~Hj z_snw*KeYFrTG1EwrS7BdfTWB^#*af|>Dp$_YYNWuxQf5yKA(YZmlHasC?RVrw$%Ck zHPQd7S~c;YsBRz3ij(DL26LNIJ}H|mNKGm;Ghz4rWG%qkfU2sPVKyy(Rq|?6Dv-!F zv+HRjXfa{MPzM=}Ou&UZJ=aRg2PcpfWG!{L!s&KvD(Nfe?2tWPMu~Qryq<0<kSC z!4h?<)j3D#tM-kwU+fLTwUG@SlEce`YQAdY5P}ytJ-Qv;?kc)w1H_ST>lxzj4~my5 zoWE51MJZvZtkCIvOO>r%CTM~9zQw8W?xu?39Hv<(s2mo{_@zt11q!A4sB8I@|w0ofXUzO3vH#_$X{b@s+LDlN6@1$ifhYWlt=Xj-tl~G*IF;#@lR(PYQV*VbzXwmqea+Heg5RYXxqp;`$h5*`SxWUz)@)k8ta+SI81=T(2-_LFP z8?5vb6&SG>yC*<_UAbv5PBFy!Md1Yb3=Zl*CBXjAbyUJ7eFEC>O-= zLX2`XyXEFx1D^^5x76}BLp)Xq2gklC`VKg&M*wQKQR_17kdUEqsGusl=Nx8cZIPTv zSs;q5J4Pt1MUX^hFg>`cC4a*Fnp)_r*enk&a3xP{b%XbCF-x1qljPJRa$E$zxXX-R zmWJ-joIOU1rgc*?Tfx_-2Mu284c|QHa$F3LrDn)!$%#qne-hr`g4RoY_#mds+ zy^O-T^jf%VjzCG=sbgpb$Zi>2^oV&!gITUgLeiJ@#xZ6lZfC!p8GRxXa2C;^XKq=f zt_BY!jfry6YaD4xC>^b~ihvAhn=|`pM-{PdImF7`ijBEgYI%3(J|e0z`aWbubW|nl zmrNlhvrBhf-B(nfCo6i2P2c7jNb$iVs{B>ia&HyaXM#yVY2Bivshb(hYebz!4izn< zvdiB+lCWTp3c8*y-UXH<_;Le6XX0^wieT%oc{mU)7xn*%oX6M7fpDu;$arJjiKhH%B+1XOCI$E&HcjV**aO4nRnRgevlH5ASZUybL4?tSguRn95 z)jQk;|p7NC}=OwaA4E5nT^rD5)N_5_|n{syXgfNCyJod{eA8hoa# zZ0vwAaH)r(2_Y>ei$;c=i^+3zZ$;Fxek8-&@pG-^$%ne)YGzev=WUjqg?H6M?6Tya z)@M_drk=vS1UW)(K;}2b=3VW3O#ANR0mL#X-&pbRbK6i8QSHb`R1}&iO0vhLTjJWN z-7x`gn_Nnee{dmRFWV8wIIJmoPrNNLO#SdD1YJ)^nM0|#=@?_743uH4zZl9lsJ7l7 zokVEU*#%oBm^r>mesv#gkwbXR&Xl4T`HT%K6;$QDCz!xVW>``9!AXc5U3p+F>+ugp zXe5|qQVXY)oEe?Uj;ec(o8>=#lp)3=o!X>!U74)Dz}hkYIxCa-)qGM$iqk5Hnh1UW z<^D-p8ZKksW0UWyI?4FanCnX?DfJ{1z(Q4W+JDUzG9PWFHy_#dM)PcW{QSt+6y@e) zGCF925iMJYJNuawE$HIAP&P_?%HG+;V-{YJDUKo3Q)-p8UeGk)3yl!MuEzX6VGn|u zGS+trWWZ?Tl}Se&@>eF|WmBCLJ?e1q9ENh7KUsQ9Nkjj^MI?hARTOUPab_3Hl6MlH z7>|;lqe;B2nIsR_L+X}VP77qrOu2Y7S3wPqO(HHr#{@A@x6Cg!9rNTVK0vCdWbE?s z<5{nD**RPKhjz_sFzUXcEx=M~%AQD5TfNx84&$8XZsFsA*E?}>rAyyALP&c_;iy{m zO(;~1X%E<~f=DA>ogoN53Z_NZXM{LH&3&(5URo84#s+}d>vrqp&mtjgJnArl^%EGV0!NG^i1}2Wc{BEk&~; z_%L^-%33b=50<#6k6V=)DiqyzLtR9Kx~0TIRjjf)9?((+gS;WCO=?Vnb2BONnlR0h zj7R-NW(y~32ame|e%{X$u8X%0Q{u6B*{5s_p|WX7Cneuxo1U{!HU0-Vw!ir5dhpi~ zMav|Mt;Uw(o$QbckROxJj>m!2lL!igO8afO9?dGExpxajh0O>rv`xU$)~M5C zftde+DzVJhmJ6IDMONpg)}7LW_7a=v_;f0wi}X#nVJK-3|M=58+NDRJag1*4zpTCo zwfq2i4#4ZEgGb1gRmngEkdN!{s5%R3hN;v6CmEDs zZ6k7BIk-a@NEOL&8TiNDDKfa=sy)g#FneFn(g zBO*1MxQaseAPB>vX2}X0wYdw`kGfN$K0>w0?!o)&SxMsp4qR+3uCg}G-yrRw@vF_Koz#rHxIQ^LX z>dvMytJ6+gkks6Q_?i$Bpm6q{SGK;M4;VK@@Z-PfY|JsGhq89A-3ggxTq0 z1knA=FbpH%2uqF81z_x0FB)oZJJsoM`o$sj-y*{Y&UH8*OEL0=Ge zl4LL!lZ42QT6NC?%v9Vh{OF)VYWlF=yq|#wYrbBcusl=iYCe&Nwo~4k-+x@Y-EBlA ztO|o*I>FL%e(FH!6w6ABtzf+MBN0)Y=_d0W*4nK}j)C@`^oJd(vY#IRosdeP)zDfw zN35bTUexgvcslKP9AY7n9;L*mr+`&o*OHCPK~IalMobAIukZqsWn8p2o55dJu0 z<|?n>Op@p{Jub<7W_!sjFW+QHt8;>p(J|wH@fY_0KjLpQe}p1-iNwdt|D`v_eS%?= ze8OkAeg0VKeawIRGJpTI(Z0o>z%}Xr{S`moFZcNt&wIRl_QEHGX|sd2`T8}Q z=dB-k-!gx_BY_G#CK6r}y_m*4`y@|@6#?e5rd>sI&B@|=%m?)e%yv(fJF zM@rm+6xPXSw)`~X-p8(EXSe%2d*40tS)ZrN9PClQ)q8yQzTh0>Z9#yfy`uD0pH5F_ zIP+g$ZrS>fs~l~-eqiP78G}A|_XO-l0%K*rAmEp<{&^*`#eW}Pv_=-NL5c*%MVucl zJzpGjZK~;=#Q8f&u8jeHSkZQFdlr= zhx}60KXpErWDonGT#?D2zCU}hHtV60;iNcbADp*7_C|qd|GW)J`-fdmKmXx{>e9TI zEIVG}Pl$pGtQm!3$!;%emdg2T3adGL#7j~#UgFN>yPocrvobtvNRVpGTByW|zST{a zxTT!YAhOzSBxX1ZJES3>C<1>6xK6QE9L@i@dHn1`E9?}(q1*Y8o7)o$(ulX zRaDQu@Av%;co4w>!4LYm>oab+p4`7ENnasegJHW-ZE}Vp7YBXLK`H zbPkcSBQW^0sVA)VU50B3^E+e}FA{>Qf2<)I9uu{n5i(bL-zO7jF<(|CkBq;+hN?Qs z&A;!ry_a0yS$L)=%dfbLtirH!9q|Q!&cY1m)ABhh8zx&yRY)%BrE7FUi{9xWYq{|J z^=jH;kbh^ zjb5&Z$lHmo^6uI)%NV!3MM-za9(?m%4lQd6`RwfnoVOv<(Whl{-!o@bVekBv+==k--PTMA9Q_esr+`$KaU_Yg9b$9`3{ zQ?@8h>f~L-I<~h(|ftl0UE?TUbF)g^AX+H(EfbU1hL55%XL)u78!u{mAG*)-TyF>kFW z)`ni!4mvy}#;yU|_0P*=0w$B1b-UC}MSmJ)liv2>PosX&y!ah0K8RRhz8W&#OzE2J zM(z?eDF{>fMd6^gNdxI=-%FD%=O$or+>|zFX^Qmj`ec}I82kn=0_hLy?O-@2Fp;h; z=k{R)BUMo1NoMhZ)g=Hyvg|NKN1V)o7860?j-l$?`w|tMW5X}qGkP_TNN8!_P}vjE z)rgI~iZckm^6ir2J&mB&s|bIQbeQ{WGz!7;NnTdGGhI<6LjK6UxVq@&Vbq7^1%6JH zS)=$~*#K1cD>byfah%00W>i80ZeObw8Z!jZd(hyVE%H5J>mx2jofv$f-&*z}JAS*{ z3Bpc(z4k5IOA}oaxXfx%ftA`1R z&P3I)g|z--2==2JETo95xH~a|l)q4x0sC(YA(>1CxE0k;8Lf_GI;vE)5CN&;$)Pzx zJ#=NLN5@5qPkY|Dg2@NEm$Ul;d&!f=97|hqLBeF*?Hu-&y)k74dLwoS^@g$~KVA(w zSOb$o@Y_88bFYuudhiQ;_LhTb>?GEm&Ew5&Fh1(Yn=rv1=g=?Kq>d~sH(LnN#FYHx zQFVJXf@>?Q4YHbX17%1g4N8r$*}HdCkN`1ZKys> zg|pJA!Y7Bud!2(O!?{@}VasX+=zUc6@Nxfi*nZO23Ti8oXRu$B^^i6?0~3kCrUE|- z6{aYswbE2LYE)3F(d#W2_hJ)62C4T#DBMf74|GxDEIA`CFY-I-fvq6kLKnefLMjj# zzgioTIiW+}tAz&`nTGhDM;l=*3P%9~@hC46zAa4NMeO)8uL&sQhM4zCmGAAWA1lsJ z?#FONFzonubnGNqB{XXO)gObJ{Lg(w#;KyM)u~C~gB8@^c~6fMziM|}FWHk#-kHiJ z7akRFx7<_qvhlK%)H(wX4y`Y`L@Uf3L&s zI3%ukUz5^$$aQqGn#CQh8jCneO6pmmyt25$0N${6sWY|OHqmS&q}@l0eUuJrUqT{) zcJ_)b_~w^pl&pzYuNXuJ*+_GlsQ_L=BeEIeTMOVFjl}$NLU14Iz7+1o>a!3I8_K@m zd%)MyGw$_B)G=m*^VAI!^F(@ec6Bty0GMc)4l-%-pSAzVSV@gIEI~HF?#;{Jb;95|s1hRK=G&SaG~9tJI`8r?=88D@C!8R&e3Q zJaS-)y2(UG_EHjole>7Ii`=(5iN5J+(J0BG=>;3WWH`_el4%74%Q3yKUXp+2elMq_ zJbITeaKXqY(3ByTq~)S%Ka+5u2rnqEQfDmwhiKT;F$19jmO-I@nS}J4B`2w`L&Ozu^|ix z45Ubh?-RD|Wj|RSN>x;=yU+Szl~erDr5krA|MjpSKnpT2rKJ5uC)M1TI>Nh=g%J?9 z@Qr93qvB*1Fe{rHDaj~=Ztm5L&=iP;li6?sFjHqH6A-91>v6o(n!)84+ziX-x1f}k z3kS@pInBzVfYm0;wa`Xtph?0~X_(Dx%SFk~?QYv|Yjr@j92oZv4a3$-FapJ~ zy)?gvJ{PLSH=ZfwKxa~QuPDHbk-rDyH0XChwU>mevEyw>3t<^OBpzOrC=G9W<32{& z{+|q$hWihEeV3^weATL+{YNg-Qx zm}}%lg%6Z1OWKq~VYhn6YEXY1c7&?rGIU{hDh4EUWj7xqd&R?_QZX{al9jF;hgw1C zeE2sSBLe$zOm`-9dO>{*;KZJM-4h@7{~vW{2%F1sG=~5By_NjW&XVabfBJoAxe|$y zeqL|Qc+UG7zh%p3yv+BD^8M>|@7;d;EB)ep&KCGD`#mLc9(b;E=HvhS^M5TXX9W)Z ze_mGl`K+vDY?)P~zKhQK@1FKAeaqj!)c+n@)93vz30M01PQMy(_?I<)FFtW8EpREr zN2>Oj``o_87waDT1p{cYb|Vf6_FNod!aM{xzK{^iie<76t4Fp@#UhY&@635is&l@H zIdQ=#!&E)|na)Qux|i7{Rm*&W-g4jC?DOJim^GF!J??lq8syZG0`a)>SxlaXn1+-w zWpZ850*Ny{=*7Rl>0J9eZ!mJ0n{xH??ETJl)ehiuF!f~s6g%$!B&BC0w|B@wKI8ad zH`z`ImY3HZ)i2K*Bm6SPe;v6rqTenB5dJwnhp6iLaI9ecXZ<^0GkgRBxvWz>Q}+g4 zy>)4ruK5K)e_X64GlWP!_J6Nq#+ ziGb(9pk0Fp+J88=vcU9>5hkh=)I;;e)@SYQhZQZyBy*0a5%8u>HC$_0 z*YO3_pL34KBPB<7>UJf4FxB@-*el1eS9KMbUm`B2lKjwk_&xoifUanyY8hi@d+{jI zZXsl$S~&Cb?@88Z0vp9)I1QpL#a{~?CEobn4Ozie;Zb$R(tyKB8J;n?oBjfp0{hAM zqHpc_i{d<-7y51@Zp;j*s|=lWUsA6a;4sm5=FF#zQ=B8B##~Cg zYVl`Aq8h=VncA?x6rM)X9p+<)Gb))A9!GEutv7$gibAzH9yd!eTF*q)yK5uVDQKOg zD(SMb*VQNV3pQx&s&}STO(|)(G_#WPm$F~WNDMB>Riko=0Z&iKP}{NELFppUTyZ;L z-j>}jnVQfV;7-M!j1^z>^+65bX5{LrLu6&fByppOkhDJJ23{s*VA}l!GGwlsqJ0k_ zH_Ng?w>=5dgV1u~ZR&G10J>e4il`L4w6%0qG9g!^b7ep(3i`H!8X9$#ElHfSIDzSn za*=p3e~SSs>;>DTiHvsyHyB;9We0|x)4iH+c6gfPTv`C|_)x0BTy>|X?cz@UbuYUJ zy-`!2mr&i0b+4*ZL>4?5>IA%JN_8&aT_AlJ;HzU$tscV|n`pMa!!P;|HFyv zq_~p`GTtD0PD-4hAZ|BNV#js*j%Uy=9%SkyhAbYqGPS*AKsSF|G-fHf#qXf>F^{jf z;Eo~rJCN9jvARWv#K!D`4@?uk!`Mhs%pgW8xosD#-*CHs1L|_4REfGNw>AH3{(XZN zi(RqViD~<3IsIkVr%~B$s{3C#Kf?HvBK*_zE>7Z@*`aRldUNB5!cvy@wk&!&(!>B*rTZPzhcux51cMuRHm)a=$7J& zr3q1IJa=Pb4=uY!{veyh1H-!X;Y0_O6fDkXrGTg$&-Gq7^xRPcL1X9W0>iJv@UhLdelyrxh1 z##A$=qp^cnlq*Pa3|68;C&St=BF!A~by6aO1Gm{hfM{PmZKFkh{>ds&Na1cN2Q$@~ zkzQ9MN{zr*9VjpsOYR*Gs-_Q^|DA?jw&04-M=P4}{y;kJ_wvZd-@-Z?i?JD_1?sS_y8!`-Af zmvJ*-h!N8OKBc88MT101S(?-k8rsf(V} z);pYzGu94q!D3T~lj?|Or4!OYuv??2G&6@eNBjF@&IR1lECt|2U0b-#m)nZFM*HU~8x?sxsi5yO^x^E*d{Oj< zJ~bs#CPkXm+uhA0&ioJJ5xp`k;cY3){iZnymINm0cW?1j5}=XDb<}|MS@4yrtJtME z(kVUB_6wHNc8c(s%p;_$?Yw=_CeD#o&fCYib%pxoa2XnXq+Y^dE##T?v ziab~!Wzno`Q^B3kNHPs70##?TLjq-da{-mY*$juu!g$%T9_miA3>z2xUV2823Pj(} zm=3&s^1DCwa}Jsv!nuDl76e38hg@kukVq&Gmyk^wyH~V#mej_%m?%rw+2ARbivr5` zZFeN3YFfB!oma5h;6-I5>Zj6F{5!Ve(ONfaVdob(na_?8l89s?rW{e`&Wd14t@)ds zsw-r%cxZCcmvZ)14{KiRlZ$7ii8={!Sgww&6x1sG3>w}YA`k*;Iz31u`EByrmE#G<8VkM_tVXh=zKahl3>MZ zC-rckgA1d_1H->ZRh~(QBuORzV8TT%XE0x*nX1F4B&aFD%@i=trH-l;Fj9GR0j7Om zB?2*ye9p=}%2zOor9@+9tSUV#$(D=iy$JBbQ*R#M?oRUz8YBg$Y1wrzsfCtcIOod@ z=X&C)YAn1y)L+LwiI!X~dLnm7DKFd$O}IOp^I{r>o!$0L7KM`PywzTfx#x~}Va)xQ9X z2l?m{-I?hsqsb?7OFb2=7FW3P^yz&dGKh+*9&Ez)QjD{yU{JGx;}d||yqmEj-q*9| zK~f3uugPBj5{tUuM}T`C@T^EYuo%%y#Q)=0nVP4@BH6V6X@Ve>P+xl7idWAeF~L*PXENg){;0!hY!`3j zyFouNB>y`;zT;I7z+Z7)74bB%u*af9a5jc|pikR93G{W4WPm9ZCY|(|`7TRYNo04nn%`I76p(oL6z^CCi z!u^rE%%>+U;=zqKT8r2A7+^luCnd_vM6hjdg3ZwyV3>o4R4$kmFL1oBf!DOiZT14T zvAW3$XJ-KzXpu$McLyLrLFGU^u+ml!5^Y!bV_*=wASkf91TG6e_VFuy2pA8ESM@W2 z^_RN9B@fo8td*<}fIq?yee#^DB}{nubE&-?^2(eCF>q~hc!k64J|N)37R3V7%P^-u zd5#Vkusc~^OQ6Pt47g}Uagl|TC-ZeDgb>{@@2y|%8kM1)29^p zbTZGb&kOLw0;HG2di7X;B}^=)|w>y$MlEi`7Xe5=@hCPTQ~Y9hbuAgw-C56|}nnh}N!gy74svh$wHm zKQ1(0wH8#7_CEr@g=IXtJ+p+JWb8iG8SQ1q!h0ys0u0Apu!%Pv9C8q zPIc;BASqyXKN3!QBoE0@FeRv56%r>XSZ1h?;IuP0XX6#1>Jj+OL9rfusC_8GFFivR zcF(vYrEMAd@JPz1|8VL$nZNjmlAl)@$I>!GrwG&6|@AVI^yl4_8-0XSvkUwWzWFRM7c-|0tJJxLVW9zt{}$ zu+QVf{)dOnrvGmII~X8w*0Y+OSqXOYt&;L( ziTrgSF%MX`ok8R@rm?INS^>L9oLGbQkk<3Wh=a5@ZlzKNz1%zi*c_yp%m8+8Z-ry~ z7}6rxnQT&|ezH2GK(qj9Q@4SI=a9UPhpw%KIsyciP3fRqK8|-Fp zHu+{3KO!XgO|X0CdiV%ur$ui=at>EynA=kNgW0iqtN7(`YxoTi7i@m`l-aoAp_p0^ za&FeS%gBKTBu-1oJ5;xqj`E8iENbWDS@v-sl8pU>KaCw0-kop!e)2H8xm15vUXa1V zQh@QSgZdQW4}HIVC{sQbj^&}fuO$8d1-#R|+c zn#)Wk_0+NqIixvFuwUUb=T`-vjUS6FusDFf+W)^OAAxM*ZB`4OQ=p$4_!37$TipSA z4e*up-GPIABbpMAeJiNPxM%?QodBM(yt6iWg{53o&JyK;O-kmCuleRZ>{pe{mL;2c zw%=3RXKH#$oz)QCY4$e7HgpMKgbbfFh-G}iutLg`D z3qURjIOX)XSfF~=aLd|LR~HxK8WOALx|V!^>O2kde1o2xcV}1c0AWCkxdN<4-Wi`h z{JHdZ#w$i0`NiYUNl%<%(o2M95|<+ueu1bwqHnW4=2OX`u6Akj!;&4Dv{e>u{v@lg zjDv_=YD^m7yc(d1;r$ZNuYj_$Ihn2A+`E4{z}EN~V6o9W#iCIF)8CUVJao$0BMa+xT#+w$QY<9bR{+?{)IyG(ci0qB z@bV+$r<%Eo0AYTih4xLG{*TFnH*PFiCMQ|Lq{};dI~SC@3{nTuEaRW-=XGk&aH(!_ z#DJQ;09*WpY82%SkfiM78S1VX-^|${6E=+r$VP4KU%f!bnFCjPuBC1p>x5uzo>4Xc zj3fZcP9`EEOVLp)lUS5{wPCTZ*}MK};(-G9qar?&6GG$RakX7*fF;ULTknp} zI5*tURQunhB0zlt`|)Jrn=Zf$vWd=UnXb47M(Ag*;aOCCYvtXW@h8{b*R(Q{_l5(d zvw%;epUP#E>swj*oDuwQW_jJb*GOFun4RF(CL$ebwMNy1;vX6|`g232-CTDNFSMW7uoCoR?dOyhf%cL3FTx zjYC;7&k**B?ah`1DMthTO1AJwFN|6JNlsHgl|`PDQ3JDQ4(IcL;E=yqdudrl4HUA?k1INq zQs_4#mQe|`$!x4^iBUnQAVtZc1@loc-Mr5CrS71dZyK0o=j>PgRscZW`QeghBoJCC zJs`Efk4SuH%wJ0YJBHEevR3jR;9OhamL3Hg*$ut4^6uyW5ozf;&H8m=dZ@im0Qm;9 z9YW`poavZO41W^nj}sjK1&_wWm9nNZIwv%K3T%3ZIgw1D(EH*yjFkQZ;C#viW`1zV zQu<55xV^hb(T22vDP@t026FD~o#wHocd|wRf2D52YXDS6YYEpRFqfH_`JnbX)g3{qOz(kj*FwV~6TaiA_0xNaEXhrbUlb(@ZN zY5396QDYnZ!b&z{%(_df)sCPOvf<9+sGrpsfHU&IV4^0PRPdq`s1Y~ofh!Z>L02pV zcu?Tg2I|C7e=dx~&9OS_ZY9h%SjqM-h>y;eA3RA<8V&|4TW1w8RSGum{#*ryaWF?M zBxi5PdUI#2;EG($agB^))s=Jr+h_9wfbqx!&DEMc+*#jIB@6Jq_Fo4@0^{9aS^=ta zsy0r24Om9$^`jz#D>?gV?O?FiwbuQvthT^T%bEhK-6W&}pZLr}X9yl1C zq*Xw(`O9b;E6<$v7wuE6n{ZR$$_IeJjVU8} z6nm{_^7EZtq=@QJH`OOIxEE@f0I1p^Y60gP%uwQwUfR8UUy70NcsSVgH zln&;4{FI=#3+T@6_)4O&@kmq35+h@3X0nA=o`be7AI7DJMdaF1R+H5|z%sLb(QZdsp9x$8c_&RY$us{u#9IDpF;U(Mb(7=NK@y$&2Wd0_HX zWdh>c{@52YoWCQ#uy2(ZaY_X3M{J$7Qv_~_U~KD=V(TS6HO)Dnc~Ni+gU|*mm!1Nx!LQJ583J5;mmqV!n;qq7@)E9tM5x52u;S>OdoM#lhnC~HO zWp=JF*Tk?&1kTx5))`<&rnRw)$L7}83RWfulGQ^qftXp&I}{64GQQqA_iuna%B$iN zZ6>&Rbjx@!xf6`K8}3|>sxX-j2ljhj{p?LpY?7m7MIGSg-2@|%<+z&4NIIwt8|ZxJ zE67HYCUb4@VNq0YD_cjFf(YcfJ-3A1!GEHGV1r}<_DIA3lf43g>;(m~ml8x8qU8ir zhv@}D?e!pgV7n+#X_z4jVgkE)1}gnO?Mu{0NC<)i-{9x}SNqzkAfyi2FRUX7142ZE zHsX5!cie%?NeHWmNYCpDYs1v##Kd-s?3^os9u&0)Z5A<)MQmrp?g`;KMGyW36Wu!o zefVM)Oe(icOR3CD(<($^2c*%9ps+s?Py0#$Sp)BTq_6AHL9 zV%cIq>=My^d_@pg!-?SFcswj7ZOLBI7xvP<9TAQ=GG8j1J-U*C>_qyPD&2RI`m$>o zf1IEgGv)XNqWZ%AbGa586$YA-u$FrlK(j63DYRv zycwz<%-mkU?1kFs*nU`h4Jj~ps!<5pDqT_8+&6< z0k%~5`25=Z!E~@^Ob}t!8o4a4#x3e z8B+#KSSNV)F;be2FUx2erEqCdTdP5R51-ok-Y9!;9Q-_qE05rF_h{OW}+u901F6ORAtOYLu6oY_|Huld2PSI!^>mPbc z3Fy9Q(5-s?x|U+Z74z}#+EN#e0Xq_~K-kd*%f4sht>s;Ml`K;KGAf38;y>t;usgWBet?@}L`c~5U$645 z|AklKx8?+QhmeT<#-abd;Lwk>7cv2XRaYTnG3|U1S%o_NEe^dl2TO4S^_};{9?psZ zS-2ZCOLj@CWK4+`!!KS(TppD{7eS#h?Fa+UF$IS>uYVLB6uaCjL=~®6wX3Z!VP z+^>tE7nZ^H;ur8KyXz!uG}+#ST$+tNxa4!FTY+UH-bR?Nvjji`YY_>lYC?A? z{Pd)!P{l**7)_{d`r6^`PSG5;vx(Am*Ee#So zt+Xv%_z@7RMNGnhuUte#f0Nv-$#o$;$u00nU^?Ge$@F)Dl}rMxWa5SheUG^kLlse5 zZ7~yh+Fr%4^lX1pVc#& zzreG3u&L|_SIAv3gn{Y4`ur1;1d2>Gk1hZrnNMaXX34Uf1?h5WA#Wx%u}D1+Fd_HV z(UD1LGFyr9@WWg^oP zzyky~bIMYz%>;ez?X!sHM{7l(PQ0|AOA|POrj59^^(@GUWpfL8Yn?IUb${w`wJDbk zT#-ezdLxc#co?XuS!^l&jc!o-^XB~}HpxIU-HijI7|Ycg@tY%YL54DsGojVE`b$0D1vtxZOyr#c1wxft2*`++oplaxEx?_s$ue z;0bUr!F-2x&y@+Gn?4~iU7!VL&SBMWvfYzCvgoER_* zj7y~2Y|CL5CF*G`p8d)YTbIQxo`W5%B%1TUTFNfw0sjN*RT*}U45ShksEq}4plrL= zafGITj4++Fb^5rvAA?C@__vOO$wPGV zJFkbU?tUBNpzhUqDkwqfVFN5Q+kI+xOF6w3*g|05!Gm7NW48~Jj}Tw4J5ud8gpc5D9|oN$93C$=0i(;BX;!kIJHv z$;bGG4e^3$Ar{d!K)&81$o(wx8(hZ}t$GBsE}b19Jm9&4KN}5TqaX)J@daz>;sEx_ zcvoCKIN~v^V~>jWlK|f)ZaV2oPEy}^51Z04zLvNWnF@Rm9)uPSQNhLs3mi`)TXHD$4J-Tejxj}x9&jMofa^CTY#79uK;y3lt&9s9XL~?(NGX_c z`V~D~qE1l~-<&E1*kK^t^aE$i8mD#VgY`T0EMN(Y%Y1k9Tnm+Gmr3UC@n;o|SJ%>$ zc-_8Sat|vHIPLxEHJ~*H-*^WAAkRhcYQwEf*2pU<5&#;JM;E+4Zs|#O-iqL@6zGg# z*yP)ECsY8RW|0ay1gZ!fms?ghvp8m61>V_f0@_Sv^Bo?^VVyJp3}E;TKl8MRYf0A< z30MYwX&}u|SG$@W!imeNk7+3Z82=kTGTPd5_Qz*&3zzx$XD7J<{wodD6B$z@)Jgv6 zTn?AAc++#>aX2_6v?di^jo|DVU~f6kNiQfTBHBDS1)<BJkOR zZom04jZ;0K6s8Cj1C)q-Ct!7sDvjlkK@@)qxUD-1%->PN9l@f&h^Ti>UFzyuYq+!A z^$@tkx#TF25{~u%8w&Vw`v#ZTqGJrFL5hC*qB9#5GUrW~vjcK~Z<3J+YXi%LfUDUM6J*Jy#f{B%;S zg?s9f&m`zF@LEf77sNED8Oc|?L588`5=$@kBwM7kwuSr>wf^GA6We{@oIV##ys*+b z{319cI{?6tI7NOTEmZJc8PqE#1IREr-meY-vN?aZF;*M=^aZj`&Vlt-=Bl$`P->L6 z9TX_mZ@{{^FN!v#aUdxQBuD`!O2MIjLulrbO}aOtbJ@4OfwdhNF38SBNne221mZ1; zSLfrp2qNLrK!NuFfD~rh`ij6cS<6uov}Ww;1R^ESell}h`D+YT(Li@dmm{F%+8%Ay z%myWYks*x8oHEvVz(Bau%Z7b+pPo|9KE=xv;4Q6@b=acCIt{Upy}3Dks=W2deIt)#c`nMM478vr*`r}!Yc zSIS!&V`ASPuf~h4%+U98FQgQAPfc)+&jHs0c(<(S*{=M$^0m>y@T@`qR^GuGkjuxH z^Duo3pn9)au@%BN5%@5hh6EpK#nIJ@+XSLhWYuv5x}J71x0QJ*xL*)t;1=+BoV@68 zz;OY7S<7&Zlasl7{jB?e#9YZCf!EnR(D}9$D9qtu4-4Y~LC|NVAz>8k@?yh5dVIPo zO+Y;)jSc>7LnJJ?ulY3d0o{~I0r~5tB=t!XQqlKC{Lg03u^xb+qrdX3QH`pPlbm4-$Bhn(IG0_QW z6&nJgxlU@QK#UB;uN&_SZU9WPZEHHmfwz4_aZb9@?-E-X3)D#d^8b0;_a)a)CVp84 zJ{c1b9A5{)aZp{wE}kl5VEs5CKS>T|fO_NVL3&brx9`7oO!P?p7sfmNFGR*@unCBm zu)T-*P48v^*(+Qh%so9aE((mYG;ncJ(ljE$6rV}7b*6y1J(Hccu(99+z*DBcThM^z z3A(o#L|dDBho#a|P{q7hbjo4M^HMwrT~UfU($?1(eR=O|z){}^jN9nm|K%qqCo`!1 zQ7KqF6->lexTFu{?W+(R3-dtejrR^o27&@G?2V$liV<$u60iV5NSR`6x0ap&kW%dhDT$?XkhtJ`c|_( z;LoNoCb9Cpkshq#k?L7a+}spLf&-LP=0JK0sFkIhr05I~QFXO+|NgSN$o43rZ5Zg- zK0eJVa{~Q1E8O^D4i;g#p@-yJb}S>g057#z!=?!+LzSJ4PDqZ#~ zbDbh!U;)DDG9X>`biNOs2P4IC&^=WTxNHD;Ru=J)RZ{h1Aq^Yf!_sli=@cR@a%qzl zHyEAJB78-dJ7|vQ_|cSN4AzOZ=exEJ$4@6atO^Qyxm^Fy`i5J5y#_>ihS`HA?y1@J(`e*sDz{(CLGX$-Qri;ZqG z;I$yTJvrlZpd_i9m@EFX4L{4{IEqLd6;NGcZCNKChSFMk-M^CbiNwvhi5gVasGOY^~ z$I=Ak%{VTZ@^EZ@yI#$E?AedUxD%3NWZefVpuBDD)J6|{XM;EG;L^%K?g6hQVoOeU z^6*9%!Z`NoY)RVEbZ9-7yqc9EycS35YPiNMw5wSofB!y$e_y0;vYH84i)7I8+tEu5 zTeQK3JjH%?{dckHvz&sCQ=Z^@48K9z* z7Mih61&Vg01rGT?LO@Oeo()P`dpog%{}$i0XCJ&5RQ45Ut|K@{%} zOwd7MSuP;^1J27eV58g)ssJiPrNIhR`fFMt&t1tT{5TZRTMFc*7Xi<4{eMYJBMM=Ny)SuAUiQb&e<^;=aFpl4|Y`Ot@c zV1$uH-q9^n5>|1@OG?vI;5%g}l14Ru?k<2ADA`m4Lh4``kdF_Zt8cs;<&KIE-w-Of zqzo`q%2|^F;_>=MB3(f{+SKY zVv$>4m*;d?bed*1M|TPV;IG(xfs$b#3(~FHLb3p<-jY^Sh;85QIfp+X10#J8#@b#N zp5wggsilHj;x?n!pU$kBOkuO2P6OD<^L3%16fb!&>T;~-Q1A4Qlq&XLnd9aNH zK@b~^0eaLw@|g&#pfTvHV&%GMf!uCm~c=ddzI}?Y`C%5aL3&#^#$xm7zJZ6 z$T<(XUVGUuHj=)i0@x=8=S2?CUck%7}SOu)zTZ>UGP&5$H++a2x;_W&TTr)Vjd+Z{rBX`nOQQq34Qj)u2bV-vTG! zU2vveUL{t}gIgF-oxTuLS9bT^_Y;s`Ya8#Z*0EK}QxJrv+#FC|QqfqP<0UY!mlS|M zJ0&3b05aspo?RME0qEC7R!O*k_2M(UJZc^p4#IN)Z|DuWB5ZyfO?LWHNNdY~#aUxG zy11zK4-(lgo$#BxflVuk)CtDmlkAtHK&J1`tnKLKc=q}Mc+Ad}l;2De(zvLU%kr$E}E?q&|> zK_-(^*e6gBSfHh})FO=CiI)PSsA%vD=>Pi#Utv-EZ{%NiCb{*yx_yD7j1iU>Mstb? z+86N}MdCh=!S_(iY~>C0AqKy2cG|u=*VV-h3fFTCqZO-Nr4@saLua%0>2q`CZ4z>^@5-V>SxN`=%kVz=bH*&Gp7)RgzWLAS*_ev(m z-7CmPArF2w_rfAab}1O8`Cw4qk{1<}on;JsZa?31R8(O%aeWMo*gYHW1D^eDLti7h^ zP^Izs9<22>ocU(iZ!TvqRXo})Sz&WoeHXPJr+9VB^tx1tgh7z41x0ah$|xX}Ui%Ro`acrn&3nuk}n(g3kWhV#2mn>u0`t zy>`ci9W!=qCKaycZ-ZS*ED$Pp_wi)787c0zva86 z97Nmyp3@lGOvitp3gsq7dIwti%yWk>=c(Pcr+6+zJd)t1qM?H_eI9-C`7YuShWe(Q z!m-f9SFwYx2@j+VW=ym2wxW_pZ&7yb;cn}4Z^cFUm~WbEBS;=Tz`gtzh8~lZ|HDm@ zx^vS)K~kGU$J)`il5TSJtK`K!InM%`-A{`8t0dn478`iHhdI_dXJ)84QU!UQc_mrW z1GG7{)sh$k?w=ImaFDhAKDiN+k|#nhF-IbchC(am6737Tb}>KqGFpuWbUtW3R-je} zC`k5suQ9p$p$0{_9{2r8hySA}k)0lzioER8JP)r)8qcuiWn8R68C(((eeQkV?-8=P zVE+q5jSs%jIh1$rHtq$|b({Bq?3t6Y)sXnq_f>VODDAruMi!9T!b1`+Zs>?}TQa$h zbGt*d^RDY@V3@_}O7iD$T60k8>N}71AR^*c1a1ONTk`xE+tpjGMOR*1+6^l zb2UGpT$YKDthg|GH`g@L&d6LEBQBeyhNw9c7{jvjI>2%)T$5+vqMyLGN=vo&8=mYF zflFTWazKt8Yan1<(?fr4X+{}ki?OU)a_>wf&r73-x=z@Z^WD-tUsS%7I z*Diex>E6TWa5@#|Y@Hx&U}7AwB|ISPne1#1H5LUWKu#gH5sYOlRm{R+S3(23nv8TM zrH3){1jX&>!%wd5=&nCp`Nj*yKM*uyo0_48R#-^Yn7F=dlH0C1flGqw#shW`G}N)f zFFPkN;ytqWOW@w5CRXi_tBNx83u2NtQYc2nnw@m96)8vDR(DuFHulI(cjPOAYR?w; z3iJik<%#y)d5ISY@QW@AW)2cDeHwfE12L*Um0X+=mmP()V@I|<#TU#z8Tu{%L{!H?Yt;0FA zytBEUZn5>A-FeThBQ)uVGYl!aL`hlZbx1+4la8dk7f$EPgVWk~v9Vc69mw|{0d9_U z^X6F?9Vr{=2t6V}@@}?C>ecR5XYGsdjvkc3KtFox;VoH<_x)u*6d)9LIT6I%UPPyh zci5{Egs&v+Uwt={@3x_X)G zc-cSF__|}mHM1_>D>hneVHCsviW}_xm-YCW`&-Bj@Kh7Oq!j}(PQuNE} zk`;s>KBocWS7YXELyyQS7&pVqZM)2TgGzu^>Gkzh~#aewE-i}+;8zpvAQ zF(+?wVG}BiE3Jl66;ZB=)ze|_f;WpwvaK#3i2&->7Drn#=7rF$&7!A;i!+C!7s;Va=Y0J{i_DijUP=dU+4y|av1cMZXWFFl&M?1vIOcjGE-IShwuLMF7I91A{Zy_;dHgNxf9CL!0)6IB z56D+bwJ5z2Ww{#O@0P@NI~Av}3Ah z<7O>~N34)nva^sh>QQG^r!lrOAr~g95ZWcOoffNxjjlcCggQR$0MVGJKV?e z7DYuT6|Cqy)%2+RpznwW^<4ochi{Z@@77VtmsV7}Lbty!u>&=j0y&%Az4Z?Ufo{Jf zh0zFBYjZkGIe6Ro)`i;Q=by)oM&?7I0qZW9$2!^{_e$MV^h|8f>Z#Z*-ELN?vv3)s zo#*xu_Croy6`j`C8hdbry7e<53a7MPv>bIe`at^gF4F-=$`_F*@8>e&UYeGk z7njPLpTJhz!i5)+*kD5;isA8!Ja_98a!$Xg#drZsk_IZ zHW%OsG6hLhkfDoB8_c2tZvORHcieOb-qtk}E**|6Hn4sjWSnb5M_T#9Gi0rmsBN^P z#0!|CPZUrZ*?1}I5V)Ke1(~fzOxHSqNJFt*YY>>DwYdE2sUP9;ACdQQaLvCA)QJ^J zHi#+nbd`i5G#vTV$ibYTGKv^P5$86UyHA))Qmo-h?Ps@z%^wR!l$FQ}C7;lOtLSe# ztq-~6Youv!d^(a`bpXD3R_Rp~4OD|yYFcoIjLcJuG+*4<)*@rNB^MU zeX=q^vT}y^gTGyqyCe*?uANku#(KLbFx!#U_CES(DSM4XWhF>le{WkHYKOS0Xf7w> zhss2&{~zp|s6%i~kI!q+V?kzq4_Y$1GGe{9`{T4r;IgLIFMWQEJFF$Q4%?jaB$de+ z`WZ8?{RXb(5}5R;qHw!ErZH5tR4jI<=#?x)++5!+_*VJPpkr851)_I1>w|Jz;E8*= zm{*tK;>MfYl*R?}TU&_I!_MeunP^2^RfD z_X^$Wq~rS!dxo<=#OeCBV;oTi$)-t;1K;=Vcn5FR+e^12V_&E8l#VaED6)E>XP=E8 zy1j3c*E@M#14w<#dERCx^BIh(JqC{pY2EIz%juaR>vYqd5Q3|ohB!t({g9TuxwEs$ zF5(koe0^ie)Rn0}A13#jWY$_9Ib#2MyKh%}=An1pktgMU&se$_ow0(y-l;1z9TeHU z#aZ_m-mn#RWeV~^btm@iTe#_TS1%p*REX z&^evXZ-l9E5e2N&Kf7<&z6<&y+e^SyoF5fZLLK}pdJJ=Jm(WhBzHJsxO0z#6l{DNj zCy>1mJgvmNX7K2gHfLuK^n+uT@~6=c;&`*snLis`p65e4b+@6jL&)WGnB~C(eq_6=83WU!JH4Ui}E)AF8fB zL-?9oWayS)fsn)CQMrL<3ck0WEQJ_!2se_MM zXL_@iD%1}8e#2c}RXb)*NW`G}j(nA~yD$G4i2c02?D#0^& z>0I(8^=3QNpVM+8dk7_Ob@;eU=Z|1BTTHok{H9&coum@V$a1iE4v*YJKhKL`>UtsX ztlwhfZ_6gnoCs$vOFTq+^!V#emCV)t4L_T4sR(sZ_B8RsjTU*xk5qBdC!WvInmaX~ z$b738!D(I55b693Uu_vXv{_v>H1LFK7Yj3B7@7gK#7S*y;icS1?XXoX&p&x_drGFs zrOrJg*|io%3a>Vq7L|%0J}K#KI)AvzcnTJR%FNhJ&`1haLESgk&Zs!GDn&EC)NTlK z;d$+_#KFxRC+m+e38Ik(63=`azWN@=TSq*huYM@qdQ%G{D<_{Ue%MavtB8n!%S*rC zk8V2!Cw-h2-|UYfc|dk8K|+V7q~NE$_DeNPnR9MwCLgBWNXmO0jX%R>*u&!=I4+>|E1{g9aKz%ofLezZ{F-h2)&|80jkjTVc)#neVIhadf7W1pIuZ zW}=X@_C7C#AY)aG;ZqZhL;keK)Rq+BSZH834wpj&~iF_vdH`h%9nY%9PFPcDLboT->L2l?PQ({ znf}3R_&I~fXw(m6GEZI3>9kl$3F6IlI$28whHQ4gUJaOSY4Szs5Nb|k?}6+wyv{%9z1gE)iIN`^B9Nc; zHQLak@5-n4sdZuje;N4gvraCw=rn9dMtfG zNNG-5R?Zfo`z1NxW0y%t*vDtbI#WMig}lr7(+2ISWQZ#`+%h#fM(O9Wo=zlLVvJ|E?AYmqgD(o7)!DuIX$hnMVTBaIU;#3X z3Y0&)>*2i%4nj>?R|jJY3@7na9AsulEUVwFC|`RK{rFRkr9)8gZns_2tlSFdo+jLY z0#0Tx@>PXR)UC#YbWGqgHnIS7XMB^<-d{LnaiMucAp!COCW_jY>IlPJxxMvm?7Pb^ z@PC*=x)2X^Wud$m2412SgW}0)Z#9(5&+z?Be;jZOXFVtX8zo%^IXNmHaB2)u4~HKr z)O~&32QG%Ya{&_g&mITp!_8J|g;wdw6%BP?@>5zds<=%r+IQZA;xV)DBow8mxbPyc z-}CBbH%HP`9t&A&h*S*4oc@H;e{-$(a7op)D9+kUJkQJz1^aV994~#iRwFtPPD=0z z&#{_H<`Ilpb?Pc`imS7-w3!kyQ-Y2V6F!JCYSNa%z&71ijsAIzrl8#Yd8zUY>bj|@ z8(z_;Ruz1MmwoD57EM}jLXF7B6j>i&S7bjB+Rzet5{7nnXk`U@%6e92nbe-+iaa$= zVZFjLctxnufPcBzqq%J22?-hseqmo52pSre6{A zXlXMuw?rwm*FybC-n`GlZDtiR#!$a}$!iF+#QyxM56$?tP7wQ7BWUL-SDrWa%sH1- zgOgprM@%hXjE`H*IUPQWy`0MQYQ{OMuHayQU^tL8hl8|UVNVAuMzoS#=`>0CU zJSzhv3N8hOTS&?eaGp<68{&}dXpNt3`+76Wa0ajB+xPiuG#$(w`9(}K(dFsGp%g1A z1t!`6p=>L0{^0r87TI*}!U<&*Y)ux1*-@KtMgzV#+wtL}Z%i9F{6ps#Sx04D$8nvb z8ZG$}t>;ZL`eRpP+Qa3Zv_0<l3W%YG3out?bMpd<5(s1_miyuY1%iz0{f@Zu> zu38`U3)3b3?kmsan)bZZk?>ka5$&vts-$@;xcxuf&Va5@^)jsApwr__fKN`$z(*@Wz9kni#Dw z$66oh;XL+r!Cq(`x!Gwq=`aNeNlQnG`>N^zlj?Sp+q+}RGI5Y2e{zIRVkd4-yMZ|+ zW@n~2R!`r-pMdsr`e?AcPd?WW*ABGfEATIjNUXy zl`Vj1FMfxBC&y{S8%7nh-SEzZ2nD7GJ>5%2(^X0h&2yHNw~Pt=W8;u*gpkxI{a}6Z z+^stw4v8l|i?%c3E{kuw---~cY>6&7Zh+UhAp8y`QX6*<1M!#Kcfcrc<&#mIF7bd{ zf?hLPaUSuenh~Ma?W`9HF4x|){D^jo+qfdBar;J_Sp#W zqg_Fi#*+lp$6+aN)g(FXJkev40m_Xzn6v`hRLKWr?@u+i7($O=vb@j!ln+QSSwg_S zHQ#eaR8-8ZHB4MyONVC*P;W#8PNNAJVON`|i z5gmxfZ)Ly0sev-uJ|>;@+Axj)J4Fag|5#CLh<9Zfen&>o#U@)4o>53*^m zT4R;jt=e`JY*Vxd25uny^1#q#gnD&LgOk}P>e|TQR{TQRM*_U&qhOui+aS*C6v{3Y{lOLfW$K4gzthIA z5)s9Q+(B#$Ga?bR>5H4ZiF}MSTDc0RT)U%^XRrALp}%KvZ-vSFu7hRyzUe2NQ4-(1 zh9tHsYC9SV?-i#X&9QQYJUL8Ubrn~Hx&<12Kn@t$EGof1Aj7D;p3*T2y^!aDCOG69 zrbfT^FiL0KdJ$tFAzrtyF6$r26)5pLVo!YL z$b;;=u`as9!G1DKo$-jz2<#Z5V(%g3hf{Gp`z>CFwewd+5mvIVWSJ1cI9*xkx>{ws z%4Yj;IX7cJpM73mjP3_$VIrKb+qJug$cQb`|4u;IyztQ{D90N8_EPv@pz%pho{QR+ zZyPMzj!>*n+OC-D>KLZ@{)dXPPI2LL2e|`gO5a!+7%voB`;04Ux7mubO3(8f@3eXL z{NZ>?{ldP9{dE5x4acT(Cei9`L~V9-XRZat1rPtl+m3@O$=RhC?MEC+`x^QHor zY&Q4*i)CB62c^pV5v$lIysgbk1fX+M`P}a3pbwDD&eR#X&1k6Qo{loUNjPlvbh`#_fKiCkK zS(kkLf{T1Qm7($#;buQ?!ZXXN92!E)j$KQ~z_0B=$KAszO>W9ot=#S|HS`o;sE<>% zSJar9N|!=-bopx@d@`Fo;FsM@u>JP#-4K+LG?%#_af0|}{^m)Ze(M~gx1jQF62j|3 z*SfdkI!32C$(5#Njn=s=ogtw|GO;?fouYnh%CxT=fiqYV6UALexydWMUpo9LnU;RB z4o?z8_+;~BGph_>hIb-d@VDeO0)3X$ol4B_7_sout%bS%FA;w4_NOQ?-4YGoU%_3` zkb~$^p*2DXze(*!`~LR+AiHGl^l88L_X9y0z`k+{4~3VLjBQ`%t|)) zW;x~Oa}G9V4EyGAO6k=DIe~uuoU#uIhe9uEK3z$2oXZ9AaqFY3QUbkimR;bs!l5n! z`nY!{*~poTUgEro0yNOc#+$8N+8{-GD^-w;ipZD^Heu1+tCg&7+9adECZleU_0ol@ zn@IJwU+44dCSf2QH8{%^K8=(R+2AXV-uSPDW*UGS`xK^LR&>%trUmV#c}F5fJrIaB!y!5(nO$ z^}|V0bPrJd!yMuZFv{`u+?P2n55wv~l~eS3!HcfywAK0^?n@3OWqm9x5^w=0SuZD< zM7jAeE~%Ge=`~&9hp(TlNOp=H=2DA5dMlFJpST_i+6P&MnOt^BWCUBcB=)~>rb6`p zN_4PW@U0xXu&{MyU!_By)9h2sK@ja2Rk9lMX}kmMKvR`XXG!LxHU*~meg{JJ^9g$ zQnUegArm-tXOkS;{D6nnAefVv!=|-y@}n87ti?4@_Ui5nkb-W&6X(Hwag)Rj2p2@@ z0p}0TdCpj5Q@D8DsHy1qiv3-M96{@AxXsu&_F6cv5j(n?d%#st^y8Ny%3t*EY$$5u zmi=piWKr9uS%4h3Hqx5QC5M>+wx56m#huU#&LP_I%Q`h9M-uIG*JprrcZC0l!3S7B zV{)JgFzv0!`2e3DFijT-Uef?Oe|(nF7da06j+E>Ky5`9^6JQ(%{GW^KId^K6?BeFZ zM;ONeS+B$uEx-o5<|}~ zJzqxt1g`Hfq!p)}(>;`0s|SToeoAZm?ER2b@S%6izM|0Um>FMw&jPUw(U z&ZB~8C<~W{062?Dgyot0lJ#qVZpQCfx6c8!KWQTWDXlC6XF;)p25C-W9sNj)@iZdh z%f9j$pdeVm-zmI2U1RGWqvptpiADmb3cLi}Pu8#^h|Oay-238;xFv+QJpwX;=Pbki z`hIcUlZPgYq@yxb3r%z9ot07JV#Yz|c5Ov-Dwe6~`xY7>F9LmzTSQwB$3P&HdC(aR zPP%01%L5cI&V!<_T;B-!z;FV*kde;_?)Kigx3@hrkkSv%s`hjyBrmnj#s`*}cuO8zPaDK}4I{w?B~W)PmF>htg5&O8-2!@fvN)SkDzEY( z1DdaUj9%WAJ0+pGY=6+<2cGeX2}etlNXw2$S+Y#LiHS`62A&_rMVcGeGKUdz$>a7) zon-;zHz+oXAvnL=eh%R?g*HiWTq?BKbqFAX!!MD|h&=#B>aQJ$%5YBmhL>glTnEBH zkV81dAfhYvA35lO6yXJUQyMkY)x)aTVTb|gFN{G6GI*~$5Pm9|Xr6mIMa>~+@xC=T z(UuzwkOF|Zh~?cHn)`%FQ*+hOLv>5m*=#fe|BBD1*Jyn37n<%Pf>^mamN#Vkp{BiR zVs3|S5E_xi+E$b03`6&maR~Jb(Jsj!gzy%#E;0_46v}Bmb+Z?TF^ZNjb#LcYc|9Ub z_s=>!th0U8Lwu0?a&kouEe29T6mY_6P`2GrO*Lu|LDqy2Zz+}ct~cd^tN6Tg?&a|Y zjPIPYp~)%G*p{KkzL+Qido=O6hI)>ZK7o)p=kpSM51Gc$D^ii2x-yyVKTojavauF6 zH0mT|VjE2sAT4n}mcy&$a{z?X$0 zXjgR5-S$PP&C?n=7J68kSfeJf*&y20u=yuPPj4cNK^bY&`mMk5HMQ1M|;ah+0fTm2>+Uorj9s;F1E%} zWe)4qggY5J1<2jXy5)8TBFHZg^}AmJ{3LUTxjzZ0>yY)K@mYwUfMazs7_V`A0X|cV$@xK2Mfy=<&JqQ;ry&GKMAl$r> zv>brIZr=Zm{u8!t_mvQI-r}~Jw9Naw+h&scVeg&uo&i(dr`}sm+U z1B%Iuvp$j2K^1cfpby5m@0YTVyLUGkj=Phy%G9pRv%T6DF~_)JJ8Ba;?=Hh6@UAyW z4ryO@Yd#cYyYVNKw842b^UtL1S&o)%+einz1CH1m?s^}OSYq7x)AetE@ce+N2Y4}P znd%?(pDReo^X~4v_qMKlk@O(n;*(ZmZSe~euNqzLbQpcYH(F@EbiWA8qqW6$q6Pad zc)MHt=)P1-li3%_@c+s68^Er3Tp`M^JE?!@`6N@Gk<)Vn>$)Ys{j!vnN!lp=>1kpC)v9z)+ zVeqXt$YD9kmx|ELEAX)L98lo14F$Vj`?}P{wfY z9UxTB_f<2zA~irf#5j;!755cyOB<-=tnn{xknA0(nN3&^n20g~kpLrDOu!brq+j$* z#};F@-s=kbjF-v(gXDy#g*(xAMGt7k4pbBma1)-&u?#{C!Xik=iIis91*pc+*ElWr zf%iR$y7Tr9!Wf8esQhO0r28re2H)=gLW8qL>>UWnN$}mEO*qhxMZfzS%FD!+1Ja4> z`p|RVj$r)rKlgoxaEjv4I|a0RF} zdddk;GDPc~rCOZt$~xP>e)}sdSVIrO7>zGVwnHMUC%7>s_bJjGmXDGsiHx(;z`C#Z zXU;da=ask+!rWw}xeW_iC`Dhz(3g^CF)q}(UIh$7VGP@cvwCYrcEg_vu=JvAe4~h< zyQ44Jr+xR8hV^7Z%|cfP)lfmLokZCMA(%KD6P$zZz7c0H zVFUBqixc65%N!UMvV^!EWY0c^USzJOw^FTttFt>36GBG>7ov=IyDMu6 zWS-J0pB=_HcZv&^7%}6XGHuH%g;*Am6ctssDJq|XTe(x00DYKC$UBeBQ1oLJP>;-? zV;<~-3vW?-Z!;X{F6{B zJ?4BfmB@=hTox+awRH8}W z1}K5d!%i+2GL29`EN8tyEBh9s|!&6R0;l# zz`Irp_zN~sQCE-V0n*S;KPX+hZ@Qz!W07cmgTz5sV6hYGHE4kamQeyt89r`-*~C;t z&0(w0D5d|2#6a38H08u{CB%dQ;NqcWE1iZy3e=IoBTo(h#CZqGwm^YKZYmUaTB*y6 z2TZMhO|#Oz@5I#6BeZq_1wtTjsS#upHHsmPAz-(mtdmxhgZ0w1=P&^qkQ8bI&fTW; z*+N8%9<33<ibD(^J z^VS2aE18ROc#GBM1Tl=p+l>-94WXqP0v~DF)eeiLt=D*;x?DXMj(FrlQPp)VdU6|% zeyhRm&SmK72PRawU`kqfB+=B0w_mKdYrt;Kme9f;V=YsB41Oprl2m9j1ajm_9{{Q1 zd%N!gZkA|(79qazMDjWxZDadJz#RetWxZ5UMs7fv9HzCTzzVXMfD;IME8=-2og(6r zbJR9%5J32y2}K;jJiJY$2FQ9U6szfHT3c=kI7FY?#H8gT924X-bhG*M%tK>K?FA2! z&}atzlyk0U^5LU=2mKT1DE7SE(D-gboAUJ~x%#;HLY(46N+Ke(%uu}=uz1?Au{HHH zCbAm6ZziLy9S!%R&BBuf_BH-_x{JqPz_H>2own|595J=p;VQsztcVS!01+9&;n3wk zk+WK8YA9vQMue=ms>kvuo5gCc%2B!IFQQ!=;+dj}T7UsG=%m^{dqVo4V6WgbOsTQkEh` zpY({CYk&`{iFMJ{BWrRssY4{tPE?gkSk1|^H8!rO2N#?)_x(vYj|fsFh}1ZTU|!lH z`xGxsgbUhhz9V-Z5MhGx#|^+7#?C%+U|F3ebfboewwQJkl~n^Z2B_*OLR$=KVEHB^ zP+Pb@9N^e&TjP;Y{kjM)@is_CI9c|+oq4L>tO7__!aG?;%T9waFZX^Cg8@zXL%&IBS&i11ytJGigL)$mr~Gv*@E_Lh?cdpda? zl|C7OO>q{6^p>Y|9kE>?0+}F>j8)`_&Xj881t}_KcEC#$9b5EBEvF4gtI%LISF{6iUaps_k2)mJhF^ekxe}M0RQX8} z+Yrtp+w%TfkZ00$BuN$=lWOUgk@>%&d@V4cq1vrI_(|&*l#^bog*}TSUIAw3lwfE) z!`7ibHpl<+(EI8w4-r9xQd5mUeD&~dID_~*c3`)%(Y12Qee5;7!(;(cL@|&Lti*Q< zyxCutuVq|!xGCR}5j{q^J42D7A%5eCXw3mJ%V8?tM$A{evciBIxudw!}8 zYZegn?+Sida^)(_xhwJmR_SWsXDzF$?kuwscw==s_L2 z!89_Q>VSBqq-g5;EMwT$IjNFLYpE0DHd=cX*<6$XM>V8Xp|n{m$G>n|W_#RD5!E1s z)VbJF&{FDyyfv@QS`JDSG8`q{Ix5U|LfLv3( z=17_N%zhd^hzr1C$wr$W%j_8Z7jt_UWLF_}m)9Oj4E7Y_{l-2C*_ zkzV)MnMB&=b|92=Vi)OckJB5yPk8W&ia0L($L&23;*Y`KJ@;6t6DZ>_!BDeW3;$1|ibXCNIz3zTPJmOT24a766T;0C+DURe$lD z_f?;>yOTV<{s2K>5Sh}T94GEqXg&11(@G+}^=N%WJ_l+C*oJ_DlL5siJV<+?bfPPQ z`0cLhg6j*tgf7f8zPce5HHa%UeAy+q0~#xY6_5ZEJF&K2G38 zZ?p)q&U1W=z2?d343b{+< zH~T8;eE6l1+a%TsYQ^6zzWY%0?i6S)XkP^sukrQ}(+gnRLuV><*DDK-yUXC{=XKRO zyS0@ME?E>dC}`pkkIEe%wPj(gbC=Z1VVny7?iEiL#J6>*ZQrk*`KrxAgyhJXc*k_c zR9W4v(skb$6uxrT58(%Xr0&ypmM%uI@*hTsXIY^QjeX5 ze&}TUB|_3d_(b(x5ppMK4KNY8Iw<|?TDR|l3HsIi&%nXOSa-;gMqIBaZQJY-sz!vH z99--aJC?;l!KS(q<-!yL#TKx{(dnyXJ8HkDD&R`83pnj{o^a{I*o)`zABP8d?@0fV z{rO*aP7X~%bLTF6@-fotz);Vev`r5jrimK)Am{afhVv1h&-O#tb=^;@H>Y+fk5S;J zJ=9le?p>`xo0fJ9@*$cmh+HLCW;tGoO3*2sk3g_;5!C3P&>tuVN}5R z!;FAN8C%KZ&A;G{;i-9jhx8@`X$GK)#)?%zb#Zb75JHl1 z9tTQxBhV%}AP#omJfbConAl6Prcmfx4(&UmRXl|HvI|Bin@Jrg-)@h1T#xXRgUd`( z;yx(oFQ2d+H4C7~*Ci$ol*hn0rWe64EOMb?W(;8VMfA;MSi(>V+z&qdX*P8XNESChe`jjD8veVqZZ%~uDm!uEVxa+INsIO)Tk>|SknDB{W3wxpR zaI%)T6TXSuJ>7q&dxp8b9urE_;7%*xJjR95#M`E~YQ$CQ^6f%mzsiNx?62CV^gWb~ zv`sCpJq$`8#VTc#)1Z??f(XfgOYhRKnzVqs;f^B0XeNvhg$8O)yaoD5pvYCla~6yC z+;AvOb9kVHzIK5D7x4!C8@Pjyq3@458x?_JG1zkZSE?hH8-sThTck6%psw-bd?AM4 zt;1L}tnZiTNvvg`783z4Xi3TfHlzecUVPGDIQfR%OYE~1=%qrke$5WD?_pDNoiRh; z`#FgDf{wV9>9ye*MFtL#QD|7>nU|dK#LnFB6lm z>-`Ie-vr=v0L?1#Kh61~0qP=j=4(-59P79{SLRa2)!zdWM`6xJd3yL--9X>O6ri`3 zneYIN#Q>?I#>bJ_?=(_9%OICpj{?V zD}`nttZrckfuf$pc|f??S8D1jAu6&EUNp`3P?zgW7T-#VKA=iT1~9G~`n}L;_6OV3 zE6+O>CzdC`$u5eEU6~I6CX2zO>>X-YOq(W;1Gf}}l8ju?Vb3{?x|xpDN3=nHA86ka zoXslj);&zoQP+@r=%3{2=;;Q7-yr`rIW?+oFc-YFf&`vFS{g#{Fv6;2>LS*3jt~_D zN8q+n41YlW|4;CzWpgwPyx!Qo9(bn^bUsv&dmy>RQv|DbyKskM+Bc#!25;uA+n2%U zOT>Q7PleqHEDmX*%AIsqT@Asye5(_<;N@D7aU9uj*JTuUcBK(7d{IRXBc>K;(GHxS zl?xnJ-L)L;3{Ja+uwixbWH8wCXFbQ(2y!|M~CSApu2SXQgi|%CB(YyzFB}UuT+Z zfB)fRJdg$Des#!8YVN;F6`x^yn)N9(gG%+lF4MAZFcR7jwhZk(a~^ zD6>LKKTubx?4%>+1i0ghA04*kLcOx2)P4g>)FgRKwGw^-7P}T{)7`Hzw!CYm<)^fB z;1^)L%VZu(IB%%jXiKkr-dUK2c_wsguFHUq`$VysB|9@wK>=Rk5PG&yn>zXaSmVkp zf#=n1y{)euF8>%kB~FE%g}L3&FfbHM2v`Kzg}Nn?)cy>ILxo*)qfG>b z!gdf)rW1mSl~&pZ1q6Ah2=3B5Qf@evs{V3d;-mlxnlCC!0i?AGxPj2IL{UBYVQN^M zvLiwDW#n&+fD2Z7Bm+ZRZP|_~xn-d(HAJR}lC@p&`I?@V@DS&vo^I^Whd}FLI?88e z^XxaIun*TTg2N`o?+Ds@%a;mJfpyXQHnDdemi>BKeo>U_d&ro~40Z8*VE?z9sQ;pe zI=8zlEwFP1wZ>lBTUO}Oc%d{crrK=04|~(JbKTWyzT%tvb<3xDW1#3ch0yv}L_v}b zPk)e7oHbN6vAfOUF%DeP77T1+VmN*Pih$Ba)iKKK%EJvp7~FGoD5s+}biskPG?C7~9khoAW#kG<#>0aZU$Hou>^%(6HvTEIE>AD{7dR;=MJAM31~E zBMFGjH1cXcwF+vpi4Pa!p!n3L(`rZEhk9xKyoM+wgg&E3)9{dmGM6-Y7O<`1dd!8{ zYHY?my1|h*cfnfQy5K4#M)(=>mI-_JecV$8rGCs|NscI2-nBqkXsOA{@Lnlr{O{64 zVx><=nEEwiQU7{|p4cCn(c}*7T25!r-5FpzH$vT*cdbEg-$udy@vKHGl;FPr6;A*P z?yTU_Jn`fLtssHxm*zTi`(8EeFuvUhz%_AUN?&xAiS9X!*Ug%?~eC%Z|k zQkwGtNjA})SnbTGs4za(p6{F}sQps1!*FG#4z$rMYe$v++@wZ1+FlvrWDIg@1LQBQ z-SOpeaDnia`gZKrPBJ6dJs5X5xxz~-kxgreQdN_DVbsu9Z8!y2uhc%awGHFP5#KOK z`Qo+v2vzw&1M%d=nkl)&nFX?mog1#w_LqlHEOG8#5@uusgE~GXn4$^k7fG_OcanHs!YoI6H?XKPXoJch&zY#D=X5Jx8V^`l-|F`E zqvzI5lU@%nzA)r=Drvc|i4=8f(N|AwF*o@>B1HxK=Knt)!UV5cXf(g{=oQf7jdk;@ z{8n!uh`xU*@!c2qs~0ck`CrzCQ;w2+K4sX515w? zk4rbLg0Y+({XJv^yZ13slr0|msv5tC@?4YWy;}&66bX~(Jr;D#c-`zq z+K5NNZk(U8nh#%);WQBTRzpLu@hN%_V2IG}-CFSv{M5Sll<*8N`_hTwZrb*@4`PU3*wd z+{JhGRkHT<017}f0d->5iM|rv5i@|zMP$xl+nl6I)?RB9&g}s}PEEUzSh6}(8@fu< z5O&(`fvoQbS+7^bCzXEw){m2AiSS}(_Fpf=5euWCPh!bb$K(Ry=nVWj7JM1`Q6Tl< zz~`K=>4)|^wlY2#0_65Y>*Vkmymen+`EYyS7(MJ5X)`QQpz-)kz0+&b>79pd(R{%*7<02Z-k!u~Y}l$})^s1{tNfgi}wc!&+BNM>*OR6>*) zpz?ecG#gXV_48m#aSAlzOj!bnz+begJlwiCAc1cH_CUZp6F0 zgYgm>)*<{+diYSj?RQyB4-C7d5shcnFlG-St?8IRH;*)nu?~r(^|lx)BPr;B80P`~ z(Qk~oFJ{$-+%b3_!t38(L5p1%PKGm5Fyep4bq%9^Fr?qp>4aYzx4>de34X|I>T9e= zvF=W&^4o5Y^;Em|tN==-ISL36{3DPqL?JtnH*WW?!4USmM7p7K5D`L}W2$>I~GvZ>u^ zK%&6JlW2bpz@P*eWx_?M@lS>;BmvQ(AC#-tz#vio-V~}^ME6OQ%`81CIQnMkMdMTUIS1!>mpDS9x?@iaOwhonj9VYDgasl%s zH^3DJJTI3!Fb+(D%P%viEA#UZ3S+#k8_>bK&5F%d7~wEeBK^aui?BMu(j`z|t4hR# zyBm6hvH-ajWp#i~1os{W-W)?hpJH%(zRlOEw*(gfHLT+^sf+U~hH(%B(OfF_pBL^O zG1prj3lD0n(A7_@yHWlD3f9a9{slZhl%Osr{hOo=U#7?u-$$>HAV0gX^G6MJAIjqb z%9L8d0|6YzI@v|J%h2Wk<}ZvJ6QJ@N;4x^*hbNl`b2l*ox7dwen#9-!i-~bAH5n=0 zp+^LY(~8Zo+&es+Z$>%WDQGj8qzp4iP;n}Db`)(+BXA)&hwMO`cN0=K&H>ro_cBo_ zDF93eA=nc5*&_7@L(-l;CA@cO$+4a$C4fV-QYdmM*!-el>qAsZc8_$FJ!3q9K4Yi> zG04ttQqMu9Fu!ha26ML#gNd!@=kbdTFpAGopUczL^%}Uv>f^Zp;B?F~K31I_>SpxL*ZX?F_C901B z?8XV1v=1h8kC@YDfifL^u^>U*U&aL7PreDR|Eab-V)d&wU)C%)ox_9%K6c1%ZGUZY zY>c4rs-Y{ws?cW31$`Zf$+xrxy3%ERNdDj)lhH0uI%+&1sU?iES%3}c`?0lt|< zs7yuhv9{xUGQrbXYLAR3w!|arA`)CX=-x6l+)ZZT0}e_U4C=FeWnljkh8kFYw7zP2 z^l|sxd^nJtrE=;g3kA5qkOmB@w8x0BXaQ}NL$f-3noe>^i}w+>jX^&v?HXf7cDExx zQ|9;;hx1IZcj~?*{U~|ho^BMjW0TBCS{pLBU}l`0B+eb$eA-a|Fw~!N!Ct<_BV9&1`VSS}v5WJp=^Q9R@%ze-y3J_fN?H|lQId` z^<6SkOCdCsI~{sLW1hRs+*|Dc1qTMiT1J+>%IB1({+=Nr3P_c`pXx?n>{BPgdKI**33N)= zS2)?v%kTMJ@L?-UyE~%#pKu^C_hMkHLi>p0WfHqPE4)wt_);<=06J6a5rM+0>lGuoaV~x`&C7v%}Qfb5P=wa~r2;E!p zld@X<7>v=g2z*>&(Hxo!LXXY{Qec9nwpA34t~X$x$+H2bJ6=%T+TiM>1zeCe9z5S{DP$_zIv4v&=R;dH#0gJr%(_SM;$)@#YZMlNo5-(cqPnNqQ?D zu?UN~YkUH>Ro9*xN4~h?d+F$;cZe2HXY%3E8s}GlmhF*bUWexg@5VI=yEnzUAkLvo zjPfC4J>}gqjLpD+N(-zr1l_2lV|~ir^P=!Pqzl@sBi^kGTeT zLSLG#c6Nz6a6WE85+}ptS5|(%dFDH348k#t7BB?&HB;76yqsx9D?pqh;6XqpPB~`EFak*1mdLzqSf+W8v!`M|o_1 zrT)j)Yp(g<`rVfr{W>}wkQ?KBEqB+}kH7ezzj(ZLX-{#~u4_x%sHep{~yKgOt=KK5F@VOdTO-66ETLO!xfAR1I)t8b__#W7^ zJM9g;E1AWz&`|e{%j_rIa{Ye<9;^CZ#l2zc&c{UtqTqY} zLDBojtDbcu>XPbM3Smi@hgCk>iZ}iZnZI>1AA2i8J7@uQG{nzyqN^BuuMfy64<6eFbXXRD3
    ZP+{tCiKNX!u>$2}#m^EH?%<@8#!MP332JAA8_94zo$-Pe<0 zLQ@R~#lCnvDEu)H;qyO#<=*6w=toqz#C)Xz;Ry8e^mG$=BssTm*5@_rhf#hiy~c9e zyz^xZb1{|)*Qj$9t#$4NICkeNu?A2t^=NMn#)l2!e)NtAZj$L|no`vk(# zL(Oq!Zy9aVX)tm|Ua|xgI0zWlfyZ4-jgczLQCoM%6Q^;ya%7ZwFb&Qv!`J$%zYAK^DrcW#%n;ioXdx8DVdeu?aO%jO@ov!JlS5Xby4N_qg<)dEaVBO z-z9MC-JLeNf2J+V5S9&wK?k8sBRvmMKEVRg=U^)`8Ee^G#vmV#)x8MEIH@=W#pjW+ zUx9rd=71%v9iX?7$yjr@oz^&s^$G4H{5m+sQoV1=;V4r-87E4x?Y-r>oOOpXa8xh_ z!22b;oCL-g<0# z_nV_@N;^{ZLxX~k6k7seUzc8agr@JPS`~e|@lcS|tzMpQ#e32S zc*?eU&*`bA!fcDG!8)l26*uYt~sX{5lb%0fXD4_Cvq{HmEEivl_D+y{Hm!K;!a|a2v5-nyq}WZ$fF-cEjin05$R+F1CQR8V2m#jR19D`Q6c{)kF+p=-wX& zzRK+V6STh992-Tw#+kU}A>v*PS&1)R;US#Y1J^%Y&_3x_y(d@rQb9r3mWDKgn^=P; z!y3X+jed{x=u-IU^%$S9xUjrZy=iisFIx1PAVM@i_*k=nhz0Og`DKkL{1chBEIFJ^uf49b$bgo{+rq>e+p zFO6_PD9bJ3dE0R%mw-zC( z%n0x5Kim690cR(DXeAKt1=w?rrTHeE=8NgPLD7?l#t%jo^bcA!Pan`;u^xBkH3t?JeCzz&_5!QLa zgo)e}j0937%09v6-~z%f!%^?;%oZP>bm`FuVAbqLZJMf_^Pt_SIUmDWT*(JJ^27@4s$`BfbRwfc^g!_|vla)HZmgC0A_{6YG$0n?hkD8&iCzhh|+hYdGi%`*&(Yv;&@1X`2;%snIV=SCdry?++7`$8& z;BO%&T}+6(%v|&`ij*+^f}bc^g%#M3nAJtSpB_j#ViUuWlW^2Y=D`76Dk8G*D)R*% z^NGI}B@K z$S$zKz+Z&kQF%dngg=Xe8bri>r5Hak6M_@ZJm{QIdvF0EGfsf;440^^i70d00<3^z zb&9v7NpUMpQdB5-RKMJ0OjY-CE^9rtW2o?%y9K<+dI0$u5D(cbBJ6uISyh7xa<@~M zA1kfMy&l_lB?G3Xe~-4RKPv4;?oNo29!3}i(G435Z)4- zE&Bxan%UUi*`+5xB~7gXplZu0;?)#A`AG_|W=wCTXx%k62x-YM*hdpO75JDBr0nSw zgIQya2x5Zns6TncW`qQz1Sr~eql$Wo$L}q-1HDcr0baBiFlJr&*O9k%gDKMs%t%~? zyb~&a^4o;@>7b}fYPXMfBW?x=DNiakPlj1%HW($0L(1&{9nYqHq!VDmGeOkpnEqP5 z7fpb)EP&00eIIQ}rV+VxNR;31rKq4if^c)RG0I~>60*QSA1Cdjnj8sW8-+8X;eZjI zCE3beMu@W7JOP&5S%TVbg2PAG)5iR3KYoI=T!(seY6pdXMfQQ-QpCXSvMo|c)gvw8 z6i~lbj|fa$JM|Ak4C>*OISd!vYbLPmOVzDm*|PRo zqF;c3KSOp0-iu&5|1gBPw@0Yy$d8!quLgk>D0PbOS#TFrTB}yF7vWXR?x#wMQu;f^ zFxVLO!mj>?vnx9h_NfFE+AYJ)jkF6sI16x}A%LqZJpOCUU3q2sGskX}`wPZpKG(c} z+4EAAd&nYbJN&g5DH7tF|2yybKNeCgSaWL=NDA=jN`ZbF4fhwHv-{_EdA+$Zamvke z_0}D^zK0rKlzryhL~(ny;}@%MLJP^yd)Ipo-?&#+T<{EdWM6;z-`LjRHA)iwQyA@o zU;E+;L1uP$7rqy~VI}ErdJ?f zmLFfQ_HSAYg3M%<_11g;d~g0ek7LFoXKucm{zkybby4wKe)Vk){GtGxK}b{~K8&`F3;6~>RB@D2Yh=x3NDrkhA7{;CQn zh@UymNP^;y3L|CFBj8V6kgRVq1l)l(47EZ*Du~*&af%%n|>z+A)1? zHi!*x6Q#Dh_ty!`%~>zZBC|05f{_A|#yz%IC-GKb zvKgP+UPWy_Y~MrNQS=_2DDXHn>&bnqM|J|^fe+fSx35BUCVbijGjGLtumCijns%%z zVtFSuc$#1lc5iRpvJ!34gXhBs&Kf}(|2UATzK7qkb)e5#&!FB3MT#8myy`v0uCEj^80|Q`0Ia67-uEF(#0xysr`gI=BV>ylmSKWoX@B?ylaDG16P1!t@%P zyTJ9`7nU)028`8&zXmom`pdwPCvq$&CY@7zI`<=72_eJV2g>4I>ob%a&%Idecoroe zC@X|>&ICK>Lc62*zk}5dc+?Uk`NjY5VCCU^(_^*UO1Jgxq!+xlJtDcV&u@E0JtBo2 z^YZnsexDeth*M{oC(xdW-@y2Pk5zv<_~-v;tTKYM2V>Q0uVvmh-5@96{>s)RQqYTw zq)l$yp4+x;h49 z%(GVculeoN`hDbf!#eL*cU|`PjVF=zTGk)hZ3I;l!17UrK?N^_mb-=N9J|6B2><^+ z9x0&34nxv98bOZ_jkbA;yyN7abeNO^HU~vs+Dbk2#8U>xR8~AB0RGqNDL8r1*YjJZ z&k5NnFM1r%r(;eX_Bm`~tt*p1?+S+>d;OLlbK{S!O~H)-pN=NX|Gw0?KQ105^5O2A zrjs@md`Fu|7&HV7(zmhg4=7uL_J`a;oUO4=`McL0UlB#*diNvBM^Z8VtE%M?s zsl-0C`kD$1B_)X?z{%oeKEDWW$q|pUzsBS|+1p8uo5`IIPJW()e-LkiJ$lL8?o#2x z4FG_*&LucTK(+N>ChoUr-RFjC95(g7p^q+MtxS36n}a-`;NM>b)lc#E zgz=S5IgQl0r-OE`_eS>u#?37lXm1-7y)6B4<&Q5+el*mGiXIPqSKsr{8a9S{8^6-r z>M9=OKM9Z+el*`l!P9nk>ICis9N^zFgiHnW*vUBzdmc5wpa1k2Z`Q%H*wiAe#X_oG5z!3L%DJBY>^lwr{cV=XN^NkGrbgYTg zOzEwC8FbQP;fn=n82>ijwCEKLW@Wo*CvpFguxRtp%VQGlG!bD8ZGYv|#@Fv|Kzx)|Z0Ug&j}=r?8qxklg!Q3?0al%M6L=^j)IDvG2GGM^u?^WE z4oic`BK;3E_`6^HNo%CQR3)JG7c)^$5jXvnhpH{2!Z1`YOXssLX|Cr&S=12PY|29g z+e5HSMq?zS1ndAn_&$a3Amqr@R|PR7Kfd=f_C(i)u^yf7ttm)Z7bC{mY~x1bEHqXH zeMZjgt>i4Ojc^H2jfYb8v7TIe`{DSa8|WigBr^fJoKo)&vk`N*{fHC%Byee8z=d-) zPOh}#fs$*dz-(lrGz^K}#Q3JunDBh!Yxwf*WW;-7%s#~_jluX!iY=jr%EM~pd0xWiNNn)a16W&H@h@}fNUbB~ zoRg}P0J1HU=^=)GrhzS3)6AD&ucsh<26ed;EcNNb6PaH|?#)naHiL2@uRJ^cn+h0z5b#;o5*m9yjRxC#5#|R$+$}u75ATAUIe7nx z;wi%WpVoUt6j;my*WaipkRo%DU3<$L;)tOW{sAXz%@kA5G`lGgI)%bgXr9V?Ttr+c z(#&5Uy4SHYHXGr{U?ZNB$b9!PwlCR$TR21XKZdH>tIWc10A}L+Vdxk#L0p*K)*hdh zhuz+7t@298T6CEhPXMnkBLy$?x~i9E+8(1V<^;gh!<0u}yDzP%pW2-O#ZB}>%1~u+ zvC)Awhe9z9?B%2oBg&Oly(UdeKQrO_$U!uh6Xz}jdB|y389cTpD9s6DAQmeL`#-{$ zmmnWAkk;9-9T@8zEK7Hj@s^0CxT^OHB!8UES48JZ2YL6tKKK;2^sr=GFv3lWk6&FF z!&^8I1aRPA=p|I2Bs2c?B-nGJ#Xei6O{ia*gy>WOvM+>f0PhZ5Vt#C3F$g71x@Sc` zJecH5z?LP5IchVS5@E_x0?8RtgX}bj)exIJqE9LfB8&zJeg6_;a!{G&!~|#R5w;M1 z;2ByS#<~8wYO@$sJV{BWe=IlvUXyg}Dno)dO%BvEwZcDY4HfHYP*(>&EPW6E^>)G> z4fcQEeX=pMCA5U-?Ew-m(Hh2^rGBE~?di+thPS6a&Qq^JTZ+_N>bMrT*|Y|Chj3Qe zyTn4EP5`{;Ak;B4OtsG3?5a;Bc{MiUSF)u0C}+ZeK&k^;BZ~05#MC=X(R~?FwV4`~ zron~vl`Hf06BF0TR-8B015*zM+*AQ7&d&s+G>*L#lG`E6kjkr6+1OcW5@9sQ@0L&F;7&<)eHZF;reDEz*}CtS)t`KT0qY)|>Y*1Og z7;A2b-6Mzn6t6QksFLH8t?J zp~{@@$ILM4sfm?jS{+|fg0WLh)AtKttKa)`?_fQEp}~=&G=SU6nKynhTXBq6dTtQZ zkV$*ZcVk3qVjJFHWkxe6$FO@B@l0uH2*OYAr7|o8ZMqIRAYev==adADjW-*QJN5B? zX>!IPDX_auMR6Rh zX{LFJ5!NB6kcEPtvm;=-F%u$~OujIK3nvm=G`qCY-~ySn0CH*u+J_=y(EzPl-2Z%g za@vPIM1BLZ)k+?Gf39P+v0S;2`2OItd%$?q2^}JE)JMSPOktigfkVkeh)W^e^i9S| zaSC(0=*!3-839aiPbcXZCne6=YQZQ)*HM|aTZ9TOYZ@h%VE`qkHKKP+=x6F8Ja85) z<76{El073WT@Zkjzf1NjFr1+j#5X*f87d_fH{j0cT=X}o%=5&eJ~YX;_aTX$KkdEvi#4h;p2U>3JQ&)?kixY(S*xhT4M;hT&6x{HkLwsz9y%<;NR z5oxn3a|K*01zCQit3~3AE4&n`7yVeP{kmzCsMT|+@sA;~&~420w)jS5F-pz^6>}-u ze~q_Kz4Ki_LHCr$+2gE2h=EKq=Sm7=1Ij3_La9)9!7=3#XNoH{JX6_qo_%-jhSM54 zw~W~W$#5zbVS>O=Hl2H3d>UlbT*iPZqwvQ(RZtqEy`5VN;P z$gm`7EsMoxX@w+3mdscqg%Hw$5JR^2KIzPydEfJ%Q#~W6V?%iIJm25<-ut=fEJ}VM zTsZWu`Pa;*qS9F(pAS2h{&PMFr^;{C?py8OTp>kZ0*HfmY-*S0Q;LJZ7g+a3GCUzw z4f2g!iE#u8^l#8tVLHJ0t6H+T6>Lu?9=8o}qa{&eNhmN@c|#IjYEOr`w!@nFiLeEiZZpwZR(|q~o^Jf4$vXRMc)sI)+f5~N9 zTITVd*Bqi63L0keZ;^FZ)N|wwz8s_I-f7r{8GMk4e@Bd)0Fx44BsVwk55l20n@L~w z;YLe}CDiM-MKvlIDH-I9)m4cQ# z@GW^YC0`}srrO$bBkQt0xt$arI)Y_7MDHXgUC(fXP6~%Vuu`;a-xWiwFV%cLm6=6| z!6YHNVtYB>!RZ^T39vF^ zm31gUnp^2h1UcbRM6L((iFvb)gna2gx9D#mM%XZPiLDu!lJKpXKTbn_v zpyq46lfoTIUNC^ylc7AEQ5$u!W(_%E(Q+FTW*4kPku;y#g6qTgX>n#{3h9USnbzy= zM-Hk``3@tlnFVDJ^1TNErhNcqFxxG_F5#8?N`27ebl_ZJ_7A50HTmCgsatk3xCN}s z^+U$mW4h*&6)BEfO_{6!PH-FXneKXDoS}wP3VWJ<%Q`^ix4B7?TUQyyB%{dp#52O^ zG$;rTQgo705bVNeMnOo#ML^d(U@m*g-jv64=r0c2 zK}KOznE~7PBkg+iBxWc3e{92~VnBr@yTCM=#QL z`5cBM(PwG7!c*!4$H3vu$dgqf8Td`GB zV!5gK88OVv=JUcfTgm^JydytZi<8ND+RyVGa?`UGC@kZ~)gIZ#$JeJW*qY)W@#~Y} zHJZ`qrs)xkrAvd`1p19b4A90-9R@-Asu9t>gLsD7tDi~T=;OXs)Vgfv>FF@GXNHm$ zGd9x!s$P-8%Eu)r<%K{CQV@xAOv1SiXg)h;PQ z7%+96toeMQ4VYwLz#^0F{NEdN3WkU|RN|!>fG>u8#=ClyXtG~NVLEkbXkweH6(>(k z6kZ~WmgEnQb#n?p2kNsf-7EEQohjn54|HZx5SR3&>T+JbX&B~5w=sIwKovo0D&NyBr0;C4r} zm4r5H&L_6cG?mm=C`^(zw;*}|h`UyLr0*~-SG0F<;)S)*8ZF&?n?*D+I-EtsZ>-)> zAYgv<{OFl#x?x6JX4Wv)Vp4*ORkjNsL~P{1N1xE-b=rZ_8I`uOP_W9?$Z$K~m^@r}GK zZR)aKPcAsJJ(jVJE_i0O{5{nT@2GDHBM<&E(Ld!eR>btsjgBNm;S~SKuys+RY3bI) zg7UBKAa?>XtF&2tiGpRw4#Z8$kaU+*XPUA5-#2^?l3S~stpl8QBbh0=7^lh{6{6-k z#47pfzb3oD&09Y#2s`5AM;i|if3(W)e=}v6>3m+%tS*am9EHb^s{MQHv}|JtY(uBK zf|cu470&qnl0|y#K1|k-3kWY}Cz0=LN6I6U{`44Bbo`7NOxjiB0Hti(k6kfbda6cU z)+Aoy>_Ex8dhj=Wb`7mGNlvh5QE|3AfVb7$-rhKz7s_Uq?F zn2O0dNh6p_e)$>Zey85umIEg)Qp+-YC}NLNavSq!e~>neZN_pa4?uKxk-fs{OJlEL zEaE^{%ZsGA4?4Qe9TZgflq!%+PG**S;k&N>DbG8+K^%#9X@43a_HH>z2jGsEGj4e1 z1d`Rq9Yg2y@aVUm=q#~!AI>Spj^{xd27^iRyqSq3*vPsmJO34njqdKn@G{oi#ffyJ zb(Q;{4>QyglIvX+1FGFV*DzJL9jhv!oWYku7}Pb){mT}iYP7hh!dXQAI~zOaUkoP) z!*If{xex!}`b^+rYv8J;z~|?^6gKb0Inl@FYzcfUJb2l>73!d8gSY?hyno9xL6e|3 zW#j+v{BL>Y-K4+$N1g%c7e`M2dGpePxxbndI%hFMae8d#;@njsflGtdyoOU~@Us^} zc1(tB_(MqSBd-U>24n^ARt1#<>y)-XaQ8(>#la?EfK&^fs{JXb_0l8D=L9?mytI36 z;NkFj$43`f7U~j1H|iI^)++pY;Sa{eJ68rNg8#DOuM>R$)bv@`tQZTwcr`HaWc;$d zm;%n*O>fNC$N$f3zBgOr!*-E5;A!{#s1J?#_NeT($x;MPxH<1g1`H{b7 z1m*)>_s>IMPU%7lxWToRObD43FWl`lEcw*~=hhd;7TMyLsAP-Zk5mWom$ALOa2mZ@ z|9!#!mBPNT!2{5Ya5;H@j;rTAfysU-z_?jQsVGG;soVXfLvhL*jF|CzO)^VHn(L{`_u%qQ; zCIr1PtG~-Lbo8d^$u$=){O+dbZbiiwLf-XU+dqh39ZFQ1xBQ+6GT3j*53hjt^YHSk zgd`FM)x5!(PJaKn<~<{2YoB}1L^W2INb=~5&E?O}RIEH)@#OQ`;ApCGp!9!E0uYl+ zG%^m94P_F=g5@l@!Wo?)*}Y(N$<_fQ zGp4$-uZ);v>Ba&)or^4YU!0;70oaj${H!j#pGeL&>8PNw7l%Kb2BJ5+N450F^|Vp* z^TUQNEoes*sTM;E4M{7JmB)=m|FAclY=jn;lyDP&F-YRHpVi z6$vtV!>~Y+rynLe6Ven%)@3NN0N?~C%RHkBKj?R^$v7UK?RnI&U^VP!7Q>P#6YhD%hUJG~6Bi{c*_vKJ)s61Q zYMxmqVhO2vsb%&~HwqxaOJvZ?Jsw8%F;uuySzYHg=a`)7xNTaY#H6R^a^=nLv!;Bv z&PBB<@B0oPUGF|R!0j0zRB>DHk&VTq5>v;t1%CI)gy9ciDAj|=L^+UlXzVQEk-0TJ zrQdHHq1cv8T{Er`2UUC$)OY3ud&^wM3=5VsMxNI5?Gn!G85t?YTjEl{$;ozS{PfItzs${BD&6r@woG6AAlqCW@0aD^htYkiGrrr z9l9yrjj7EyB?ZJLSQ|&>gc!vDz{js!c~i2QZCsT?%L^DP&k_C+#TGV*MAX}mW*#5W zv4t$VU~Rkpd~$IcXFiWA<7u>qDZP507AboZNL~e?A!ytouD++!)#_Z|-ZgBqUiV;V zY(ImEj20Nz6grqJbuuU{T1~DmHY?v8^&|4$N7}y=C_a7gcKs6q!yAt!s<`t(v!vyN z4ctH%D~fxQMX_tzm{A_{v8ruKT^a``FfW&oP9Gs2*2oaU-CwIej9KxJw>@V&Bv5D_ zl1%m5qwQt3>&O=5cXKQ$q0cedB|CN>o?-r$48zK|aPjK>d>h`BHhx(YC|&sosVN4> z{?Jmq#n_?Qce&WG+iAwNs){dcb_`U%ZR^WoCt8u5J{|{yFsHxO%*kd!D^aT4T$%0O zb)mhG2pYo3b@vD0t=e(UB%~_qq-WHaN8OauiYy)+?PJ4bp~XkK&recodeqbx{q)5M zNC~P*y55+A37@*uEAf+v<^ISPQO9O#4T@EkyMi_O6UWzglo-ZdZh>{NX}421VFPnS zUDyJX+vnVJH=rSRoZO$&9S@`~Tgv@2O+P~*uJRfSEB)!~u!d&^W?twtKq=vHG zEXKTxFdi+A*Q-l?6Em8;SSMJ7Aabr_)y5oAkr#_n=uhu(M|q17dQ&R-l#+Kxkaqfr zcDE=VGX}upR>R^bkB*eXXP%tNKdZ%_W{9ObVM~5lZ^AUj5KHq$-w0^ELt~o+O#N`j z*_lxT1SZG>zJ_wIcfCpzxj%`kgo}1t8cub|GO%@L^!IKSDG!EP1eR{Lw}+C{2%{ah zCZ0NOg1Nb73lqK}bJHh0IE18B5k1|)NIfJ&4S6-&iS69N<*5VYRTtzBTn7@^2~r2B ztJYJ<{iYy=V}Om)8$xxRrL9Z@04a%=?DF|TjV#0lw|i=W@UJUu1Khc%m|&%bCZ6mO zRB_T}XcRh#0GPt1wcMQYA%0Ia%(#lQBX`q#=sLD1w=}dL-;|!dDjaV2CNUb9UK)FZ zAI)Z7kc+OfFgg(~eoIg7tFl3iElNcPr&sj{{e-48G+nm+ZpILnSuUbZb+E973FM3V zcEg*Gg=t)cGcmb9lV>p?{+?Nq?c1no_|P#n7_iYwDBRVgRA&>;XHW=ONSOMmYZ8~D zscgzl$#0pS+3PVjU$LxH;h=X^`_>E{e@BgX?{>%~7X9>kYnQM+bmf>v7#WL&GEAOB z40ez6d?d4nZ6;*NqU8r>6`hL$Th$1N{-OVFS2W6VV zU({hQ-ea7YhLT;BY_py_J#>H$n9!b?f0vZ22Was@M@rW+p)&7gLz-b#Gw$^kL9?B0 zHtx!sp=FV_qS)?4O@^MVa}UVddTRfuY-gHMs<++B@2(S=5r6aNFT2m7wq8YCcyk2%cKXcIj5uLNZ;`^2D`Rxd zzFC7$*;sy_WhX^Wn#f_Nkq!7+$MTF`-tpwhLdGkuHuglXYhQ(v|bGYw3b5?L?Kyh#n#SiaAIr)o#bU&2O)mav+ZLCmEVqXK`+BqD z`2td?VYS`=Ayc@;aXLy6bAS?!?rG0sZjQcg@sJaDZ#}BbhQ&-`ft2GmiJ-TJI~8RZ zd#NSEN6M4I51)`>I~Ol3Y;HBfpl=W}tzkIAW@`toojz(TbSP6fW(SM`T4f@4zDamh z=lGdYq#h-dsz&bZYJT|isF`{+Rc~>bTsRA&1D!aGekX*+J0{N-yu)cr zW0DmNYno7G<@ndw;sUFBw{M~#f>0IBR=Rb=&D_&?*{)$hOfl~0R_iT(O!2@>p?+Af zq&PAE=I7%>y9Z`ItU*Hfw%zoaG_OOKOSHRiyVMW03~p!3i0^VVHxZ{)DBUv*s~wr| zqWrSY?o^_Ys=HbXh}}CvvRgFX`RBx9@2@xew{|n4y%#o=tkjatILq3>q_L@o>mWN4=Boj`|~2-)s~6gMS$Vm#A^_d;%xW+5bN~ z@~;@3GhpFs{u3uG$d3dr-4XEO^xO@RLD82c1J}*j5wd$tNXYWUK*rGY`V4s(XKKXjg3b z+0;#T?c%nVZ|Gt%kEX89KIuEK{juz#IVU;Z4X4rqpV%1^<~npr9JC=RFdk;Z_=AC! zA*UsQd+OY*jnT}}GCzG4<6SODAA{C;Dp0)p;nuGsa(qRhe-ek)zjp{{&^RFbM^aYFRT>CFE>b*a;!n0egQXNJx-Ld0%Q2qk@~6d`g>yMhQL*# ze~Lsifzc2zPY3@=Q9BsOD^Q4R!55PGgQKCV(=;KBg-**K3|&59XbMD+MG79ds?LPo z*)#rNayyZW_===^qoRZx99Dj>> zZ!TQS(t*yOn~31HjJ0qv3!jCHxf&ST70%>_`Ub*XoVY0Fml$$Ccj+*9fe{hpxT>y{ zn&>X7MLbE#2#`x;W$q{q{TJw~7DN|o2z zEd4H@x;D|=ef=JU9@v;|yI<(kXA*T2g+xed3mGV#F(p`tIbL|39uG?~oNO2zj_4gM z13g6ELkj;4FRv(o>-RXnu||Fr<}hpUrESy0%sP=?!Ckm=*cW~YPJU?l?Mt@~yTgpF z%Ql~mBjhMP89{J1L-H^%>@0!?%3SLXU+X~0>N~O%*e#N>q)||J2D;AX;>3>|lgx;9 zwl&K*R`%8K`JZdKb0ywf-JeIOd99k8^3TKh5l24^`N8tZZ@ZDA?dE<%iQ_RS1WjCj zpEz3kcTr^bP5HBey0q;d{)7lfQtj8Lr-mw0lY}unbW*wmPg59%4{2GdNm#Jj0qMF z10tBU2g+{r>K;66*c;$cFl%`nS_WaaaIp$nb3LE&|B1q* zhFxt)I50d9shqDK6atXZ!+93O3gyU)gy=w5xl2pd8Vi8 zTNgeBfPtf$Xn^S=5n`HZimEqCo=*2G-+1Z|be+4U2xE`27~=}wU76d@BlP`(_{0~i z8_K?7I7evFlsD4>qR6V7Qc||f`vWK78&XDBQ{pj)@QA~M8J&>dO6iLp-P%`^H^bTK zijtLu^5{DKa7pMECw0*}NG*r!EDL62W%~&?U6;sky31c*kJ$7GW6^b$%pYM?801|} zvyI18n_6Ufr7A$WUB_LV?CIQL(Z(FMURV}th^+}Q4>7Tr+a{j@cUb>NvHwym?Hfre zGSc!HLCg;rsXUw&#(YBTw8Ms$prwsV(4fHp@)adJli1Z{V-HM;SITc}Rcsicnr#q2 zPEhY;An!0BzQUw^{jmIwB3Mu?NyvON>9_*kUs(JY{a#R89K)U)J@Vh>V&m-v9iL(t zb!LV~%+@Q@n10d$j$YEoe5%OC(L^_!4XI7(mAlVPTe#yO@yKf3pQ+vFlkb8LIYlKU z2>46%c6U>0ya!f^h+m9}!15dt0I=Jx%hU>fXX@$PanORwCY7$Ks6a>C+PPow7D3PG zINLj*SA+Hug|fp>k44~GSzD+a=1#8TF*^k|_)ieghda-vDX_vmZq?**E8MesO~;u+ zB(nUx44lzrzMdQE^~3J>q>W^v0~aALD?vSHm_9f_q_AB4P*DV%IBU*tDicjK(<JGOO@^D?Q3y`$ED zy^&f>DBrqgxwFYiDfVLxE>mio${cV~SjGv-kW=uE4OL1?E`7xTevAlbvK%ku+r(k_ zg;-F(2I$4MDNvF$Oo~lExsSzLZ9(#GI+wF%or*vXEvy7bnTnIDy#dfj$3s}g8V%N9 zX4c3Ln)phe0}T?Ijj0vRbQ`7M9Z#tA7THU_790C~sYhp5zm!Lbg~T8A<7J@-bBWE7 zD(YIFDUJAHiXXpENGSy4eXECBhR&<-qI$(eJD`cDHWOcu*Z%glSC^ZwBJ1}B$tG*Os%k(A?Hp zWP*PRM@Yf;A!va!s9Q7bdBme;{8Jk2+u>+e4FQ3vtzD*>;yQW+nz+PPjMB+|417~r zK@soFe75}F-Ag|mn|1>!o;mcsmlDQowBVeiP^{5X(K$Lr^_v60d^nmS(wL`fTNh_& zpn8}3;L@GRnOeDqt->~eA&CydP;7a25}akRKus^Gpo zg}OfUfih5GVRTJOr8_yZHs$HQkQ4ScQ2&@8oj;bk;B3mwSqqR5qfE7Ps_?{ zb74T$L>2(?ezd7ffE7!0qLHjSeoOS*14NT^oVsGfA2(v5m0K37U|3PyN&O7Qbif}h z%rKc$yG6{Dg93-TcP*sTu5&f8!@{u1LkI|$VfQ)fG^@}hh!)3}yYoB+LY#W5oKR@B z%sr>*0KxFsr&;X}@m4Ln>l2x-_~Qtu`m4e|o=Iqc?Z>3P&zS8xGN*7GFEtiFBZ+!4$i0 z3)h==|C`YP{!^dS{$HMVTi(r!t+B@D9XY$rY+L<>N#gu;R zJ=0Tzouv_@(rug=ZRj{nMi>I(=%|S?rNRI2#QJr1Kb$&aK(nb5;d}SGY=W4BxKT=V zTJcqSq&{j4Cf7zzVB)A;uy_bo`L$k5aIzENSTfk{Hk|hIa+}LWTzhC)e`iS#!rYeO z2?s?r^<2?;r$fq9l)G9sU^R_bi&cjZD+33fI~t~@7JtTGZEO=M6R#Y{$9jm_NXCV; zlbP>nA_s^Q8wi)jQ!`_d$_Cf@$39Fw(R6ki6awhmDxS2l}755jUN&Gu0D~6yxNC_ zuL29-h7LC4@1y0@S>PB)jmofQIe>_#7t6@~qiZT*79Gz@>_-zb!)4F3G}R=h#r<;o z;YYKI(D)?t?>yvxoz1CqvS9^LXABvghBPtiM-gauQt~Ys-XBvBU>;!UQSHXuXM6zZ z-FW5!&(32$I^(8i`dGw61%u#mVpZ!Xt>>e+R_D>?Fm7$z6!)!L;)UT#EkepN)F>*t zucer9UA8f1-CUx69Yf^tVv1vmYKz?+qgb5!Kx|57(yjJmlMXGHgZtDEyc-e4MPp1mEsIPj6%^IiyfF@8>L@M3h;1pnz$@Zx!o z1qc0az<(6ZG+4qj9LNZ|6o-R~nDyEr&HL=ut~xC4>24W7UYKmq?K4J?1o9`xJ9MXTQk z3jW!;ILiLlpO;1zJ$-0r;PUlIf#~ag3M;&gd4^dlkIvmH62*n1MXqjQ!GVM|=TlK` z>fLzp{Y2k|BLTlUKj)*x?y~Xfz^6jit@f6GreMlTpYv39<%H~c;M~&~8GI%vNQ6^p zz}kz`%qjFzz@u|MSsM6?G4OK%QfYOr@}`7EpNbc4ot4ZU-u7T|nQTSS^s>TD0C)UG z`2F?IL@W7gve`w;zuN71VUg<8jZ-Vhh)*>azdSkbtK5$CgE!8^ca(R(al8AkfotMB zFLkCL3|!Um1}FgPuJpho#?ChoK~3sNKNeW7Zd!ods;T3E6(6ER^`p|22huwa;lSfc z@yu048D~>VJQoduY?7B0d{kSS)c8FG?AWf$lB%ZrZ z1w?csGkf9q(KC|IemE4coWQkk2EU;He~I7bdQ{IHZM#Q=&a#lpNIms1=iEDk@2B z<^}PfLa)>lN)Y+C>iasuFQ6d~71KN$_Ux|A`XKF^!q(#^O5O@DWP{E7)QqxOlvSv_ zgK-QHAsL(>Z200W36)M*BjgY~-9<-wdq5ir^Tn3RLSZC zgcK*%#2QMu;pm<74-frp?3$KEJehHYP+QiuG?L21r^)((_U1O?Q#e?~!OV^rnhO;4`+TQjXpUbfj|ELoklI0{R5)o33GDOW7cc$_&H|15Bt23^;=UWUd` zDi!gSPJ^SlOlz!Q{w!DJF%Ntv*ED*oGd;<2Q~J*^)%)F_)^=H>6khLQ+y7Alj0ba6B&IE9*x{Hc^_NPJVhoy+`%}`KcXZ z7hY{j)2mj6dT-&_!qs=EUuO?1waC;ixAlE_r>SLtIejoEOrWr4`gHsKC~@5h_~i>f z87q5MB6yK?p@vEW{xd0yM0I3a`)0g*9l|42udx--0}@{a(fI?vjcFfgZ-7 z+^R9|aogz`aj}V*hZk%gM=d;%N0Jld5{uTvRBSS30!-}%eN{_i$;+_s>4RxU2w56* z0yq&5KK&TeC5*Y4zb3!6nR!mFIoo!pH1s&w+{)7heK!o~8Ivi{I9lOu9kX%Yni{Q- zX)`nxI{cZ5HaIZBY?L}U*(vr1otC^xpGnxW?4>D6!V)ggZ)`gg`nTHXa3Fyp!szjk z4N4p#sxm!K_p}XGW?(1cHLV)c)V^b$u4c9-b#X}V*s=)=DzTlZINpX-Iq2oQ1&1Z zi~2o!mxGvoU{RNv>2A67D^>HB*B zznf{il)TumC&1oyPW?+!FYJ-~{c#$50*jrhs&rygjy^nDpR+gvHrsNawDn}GG0Agw zqKF8<^^bCNdD;v*yCT;UMH(cyng!}fec29nE2i`07A*3qI>1-cDdGh9ZgO5Tj9Y5@ zZ9iVde_U83fj;2}<4|?h2frl!@b;QU!_hs{buL>LUdX)NdDd{Yl*#x-{fNef9Ag(} zG~vVtjucY0&am$>vy406SL`!=JtQf2qQNNo7bN$sjt=j=?^>oh4nKgOQT_0rrbFRv zf|wJU^Fn1NeaO>KJvy;zxH{1|@{NqKH-RrM9BZZ~pK^~5m~s*G<$ibR+L`I`=JQkf zvL_4@b932xGxS4syWtrRi;`GQXVgE6nkL?M7iZCtu0L2Nxl_iO(om62mz&EHK3#J= zs9ZzyU;j4+XW3?}XD)6R25^lhXfbQem2D1E0pr_uJTJLLAGmiBMkn~t*KI1fp80rn#oES=JNth}*F!JBLcFmEE;c+jMP z|Bh#j(ZKRhzFEAF`kxf)(#SA*p3OFr^)1Xv7Q-}3IO}^E;C2xq5rEvw0HTXI&#bdk zUhT^_ly|x+B0a5p160ktzc^-hIoVj34EjCH!gFK${T}9$`TFTu_gNKi*8W=NX`?Sa zNxjAO0vX_d6+{o+Jt2j--7;0vF{w4~v9M1Pz|xU2s&vJ~phg&z+C$6POq~-=$usF@ zL2(oT*oF?8+toDxsrKI8sbczDr$V@;*GPTmZ0?%KX51tsDa)rHASlL(ty#;SC~!3l z_O{|PKEpq|-O$R)w<|PxV8i1JrP*16hB$9}Q%RBDU!Ww6p{{V}>g?K2qWg)zMkY`J zphDzql$AbRn5ikv$tFS^ss%9<3jW^*fuC86jIa6Q!S|u)%6dmS&fV$$X}z9$M`5Eb z<=Pq0O<7P1v!ueep($IfH!j<0C?MpL_^`8PRz>If)V29qeoS6ghH(`rF z)6f8iZD|Uj5W}-sq`%*khccWz#{fTC$kf((m~za%>VP5$*!FQM*~t4mFV*h{CmJT; zzD)q7<4EfrtN=}`d61ssv18F8B$+nS#nG;hQ18)_YaB|CAY$NXZKUwJK6gNc1%b9U zI^9OsAIn*|5_T;-OwcM$)QQmVh(ZZankgBO*KmL{dkdH~R8w7?9_^lhu?a*Fk>jNm zEier^%(-XhU#HjI^ZqEbD}>S41!2i;n~brk{S@WW2oF0Fn<-g4A>Wtya_eJ0&JH*3 zTRH1k+QWJg-`-v>NzZLaES6bP7;trR ze)M%JzQH1NABHB^)@$2=$X!CD&IIIPe@@v z`Gz=y5Z`obBbhSZwZo#J)x-7?qrR{z%0jDwwc@>XXFwQZNn8}JXQIxW=hxeC{~tSr zdZn3)7(d({hUKy8-7LIM(wv!xIGd_w_|aR6T6g=v9dVjzXs_O(+|S2PRX>srI@QQQ z?))JSRvEbVZ~Aj}TRXij?QflO5Bj z60;&{dUm(36%86DY@n?BPn`8As@!7-{-s*ZRp2z4kJE>D&fMn$pi!;}oZ~+_f@sWP zGMJCdoAX52oTUMe#e<_1yb6)bCjufa;p8}PL&$CrAeZkCeBq9s6+%r&+-cv@t4bq&oV&w0eYjcj&R;WzvQUT)d%AdhSAUZ7t<}e~e85Lnp{aKA+yCf z3wDbaaVuvJU5*Wn%2O;YYSkykTEaK`{$Eg z`S*T(>F(-#8@YwMN1i}X)3S|gi4Tl64t>r9HHU8?s3~Ia4lYl=H#oZRsVrXRf`#(5 zduG+Lt*+!g4xT+Zod@74XQQYbBX(AAscdYQyUpl%)Vjkt@lHUAZ0{$3K~}EqzODAB zgI|8uia8Z+4U7ox8g5@bPJK3;*WoF>DO%I=*~!m;=HJtb2+7;eeRSp|@vVRemb9fB zetU%oaBaFN%U*Gfkl$V|42AR>+meD(9OcmYTv}o&satRM??O(oW?z+~}{+hRnEgxs5~U5?!MS^jk}>d=6#FTxWivsh53Wi^drYJ^(6cC9hyV71=Z3}> z#}r3!Rx6fmHj~%*YuRJNoosGczBePHa*hHBXidqpQ+U*>5Em7(@>?C8)ybn(K0S-^ zyp;}m%~jt0MJcsgCFahI-lWS>eC@Y4<`ocjWjvG?hKb(p!` zVZs;tzpZ8(TWY_w4h^11VN2HsO@>nvyhHuXUl-6o4+x@fH4{B9a#N4GC55F78+i~? z?otoBm^neSL%_uA2t~eU>1Mq=Oc1;BJ{H)U!N@b^Htjg=+5L90E~D76)eNq>s=(ZF zMqKs3W}Mf{ovrpOW0gNg|WREhC=3mxd%EdlFuFJg33( zn&E{I3n`bm$vQ(CTvmjrnraf_*#_g1J`OTBV&e?do+*>0?&gk$%CAG-13@&MK_2vd zd2q>QGgM5bFGrCXk{r&ItI0tUfMtkL7`o4ytnBBLPZXMAu>vjk`d)zI{X2-#FNF!7 z+#wbzSKyKYH;XIFr9Sh(IQsEj4^IGFwlOjdwhLc*@{%u`B?`0-ZZqBR_=Xa z0ec1tF(n$#ED5b?@8X?8)U27wU!qz8XbUD^5l15sJBa>G38Wf7hu^*6bkUNi6hX{p z21}S${;K3bcz$N}Ga5gIA6T2XqxbcUN{_6s2%3Xm4q-%2IN3dFsGh&@Eqa#yf?ttm zFT<)fPRlrwIoZdx`+~;o zP}z7zH*`qmL9CgWx7kqTG!GDpmZYJe^tLMBM5MX1M6o-M(mbF|!tf$39*>(ml{~|Bcg|uPq)LdMtgI+w(H4SOs*@G3(`5^U0H)wDP!`{#<5; za%Zj~70DENt-v($NjcePHD=OHJ;XDI+t+E$oxhItPLP{f)E{vp(6Z4bq`|*D)-K#) zk}g;d57&C!*-*dQabCP4y%j7S@QqrB>G}cj*8W*V?W<~xaHr8(X^BUn80 z)-i9f;M@>!--+OR^G@f473w?B<|nRUPy0Xfcvgu|Q2 z3{-z1OyBKHcg&PzTarr$neQ$VVS{9iu_IZz9~Cdq7zPk@WseZ@8Vz~vB;z93EIwZ>APEscDsiVKTxeNDt9K@%Cd6~ zR=Lw1UVgOgPG!a^8~QcJ#cE-Q-L1n*3tsnn;Fll7=3J%2idEY2s@ib-K4I};Zb0@=%1%Fj2WF((qGM^Zp&>+`vN>#{@Ll%IXj%VCWmzUt9)#G{b!ne zj5istUd`0OI1Do-UmnjX_thYtTM$J(=}T&U3Hd_RI9=t-ChL7E#I+IGb>b%$^^a_EQcU@)BQ4$6fR2!KdxvyqSvT$Wa6nT(2%}W!R`{-36?xT<} z5t9M513h7~Q)}4CDV$Jk3Z%wqbkJrEW#t=;f@mhknaEhWPqXi@S5*hJPGBLdMOTv5 z%)Q++LDidU7f0pcX48n?SGDl3yjgeCdmm6i_OU&~6-*D5YSx1vF#1qqaUd?OKp_=~2CFmxo-ElpL(6p=2AF9#z+UyUp+P9x3@0@Q5CD z=Q)$k-TlESX=u3F@kFeV4tM?Ai2>|jvayGWD*%I&SDl@Myh@kzy(J^ZL}V@(P^}T)mvhB zxQFnu&Wl9ybK54Fzv*DzoDEm<@leF2VDh1 zCv)Ik!&*4Ee*J-edc31a4tmyu?%Dkodj9!e0}YVOUTO+`EO_?xQ0VSA zXAeh60nfy`_#d}Bc2cu9N@fie&|Z&rn0M} z3*>M?Ase7fb_ZIQY<3NmNJbZLJ+1YGEQ!(itAI--8HvE^CyaYTep45OIvc}-fQH{m zt9(-7M9y>6!}qVDx#VT~8hjEu)gH1=*x?&B-@NwWn*Mu#ZMgQ2!SNMD<8xJ4-li8= ziz6Mj(JH}L#u?`(veDEs%q@QQ<`*kk*Ak7(MOYT=%^!`XU0$uE8Z$+g?R4YT`nF6_ z!8fAzBqUljRHOJ2#dJ`WtvqTyb5SeTYys@Btvx##0hQ+ZN79x=nOTa6%}if$b<-|b zP|S8f>T!!ud!M4*f__~~d@7+mw6)cb+*i`72Nh1wHfwLGq`BjKuffL#R2_GMAP29f z=?hAFXrw!}kyesn0@J0Ae~g&Bzdxm;9?i%Jxz=EM-SvjKnvqR^==s9*-od4uA^+z0R1_@C#z@5T-87&Xu2%(=yBM zZN%q-@Isxf;#DpaYc&%p6veO#%EA;lpfd;d(aLuYGFktEQvEM3K2miWrx#OAO^a4I zQ>GN{ArjMPF2_7X2kfmc6S^&Dliw#*N22&qiBA49=az!nqiiUve?jx>?kD*S5mR{k zUf++px1F53Hp2^#*9^Vy%!6}F7a^X~K9STEQ@J2C{V|eZ0>{Vsu%U;GJ13XCrY!F5@F8_qGgGTR zS)?N+J@S?Jz*|SRn5n-OdumBz$fe@zq>$>aVHiVzyP{9ChIxnS6;rc|B#7B~q@gSS z^}JKT4oi>uUf|w;XJwRew`%E|K+Sw$hM8x?$e8)28+bsPvtcfgoPwsB*>Kg?2lI-S z#O7_zd-w(Y{~!1*V6G;u&*?Cwp`6|1V+%X}l-WzH>-UU0sWYL)Gvuc4(FJ>*g#0Ua zYJkZR5J9Te4Lvap!yiUQk+Km67cb-NIt8ML;zWta0^d&~U1vnTKLr#Os!NMSYZ7)P z$c83@LTYxOH#4wQGzN)4$7$xRzB3xe)swWnIamh2xGYVG6IZifWi`-(RB32&3L$rS1d&WzDQt{Kly8n~ zpc^EhLc3~awaQa^2T^BYyytEFm{V!W_F+Ruf)op#W`4|_%;r5dvhytTGHY=GAuFma z)uTr!JsJBJk%p2vk~rB`d=_(Ry1atlQvsg*&+|HoKOQV5WRbwB92zn0g>!i!x^!E- z+ipsYrd43j#CvO-y$a6GT(c1;aeL=h&wy4vil4uh)YbGL=Zpj4c2(#97BW|`y$MIp zi+RJk2L(Bdq4Fdt8}3r)GmpdSj&o_7BJ?O~ztdID)-!1x)O_TsvI=F8nr@F)x!VfX zbG{Us;QYlK+UjPvAu^cA<92H_wNGSgz@+RU#dm;r+F7G(?|TN8(Hto`^ga{WT$kK= z4mp*LETUORNyUu2yjHQdH}Jv;EqxyOD-ZM9tn`s~x_(H?*eGiWDa9DcS5Bs5w}BOc zFUzlxfSU#7*5kiW8F!WV>hwW(?z96T0cM*~l+PVK%A)3BRFqx#VK5^d)iHE4)i9>f zl+x@JYz8!?JJWLpgwfCM(;pt7cr~|F9?B=g<2wQV(1maKXS51#~(E0Ne}In8ulzSvxKiIPVwd! zsmB8a8*_#qVFTvox04@eT-B*bjbbS8M||pSf1|S%9)64ol?oPFd+F+ZEYIkO)K(jF z$+()wZfjDx1)^W^KvIfL&LW@M*YzR#Q!N9+E$dSSFGqal{QYmpNwgLTu5F@JkO$-6w*CsIJ?LUw?Yt=m6Vj{O0lGOPRG zsMPSj7$~5UY!M?jD6SK zhCI?+Ab3^L-g$q&u{3zZ&6%H&TP+hM1XVGjor0)KoGCvCsbaO>Z~J?EUyAkw(!Plp zGWAH4pi5P$P2ZiP-)b34{=*H2f2RTE4kHKDTO2rcxm)!L?puygaFUMW3mT&nUtp?D zxk0*Hnk~gV?&q2!7$89@+Zl2EWu>RpY3m~;zK51;mx+$6!1Gy1Sw z%tr7Qd7*&K+-Z>bwlnT)rauKAcR2Zvr?#$T+ZA2sa*X^KF!He`R$p?Yy>s7>8G^#T zUy6MC46_lebbF6O3N2(fNIHf4tLFQ+Q@sl6xakNeB^xua!L%7)cL5q3k(UWP5jF8t zak^cT$D7ojFxzWiP0UhtJcJcEQrpv%n;}9cGp@euqxCJ*Y0F+qX3>kqj?VJD)@55y z>xGdsei5uT=Aad&(jKBN-k)c%k_tBjCn-*{Mm%lo6X^DEw3?i!eP~H39!|*5Lrnf? zk8F?<7kM>>owP)Q@tUH<5z}gZ!t@t?m#3Yj^EL9mRYUM?yS7+0WqEiU!3tg%VNR<3 zZHARv(@v-8+q-!)1&osrE7UYb{1k83YZCJEwF?~Npi;;> z3y?#R)6yOO>rGlX%f105vr)_qnwZrzT+O{-HVl3L4m9mn*Ayi?X%uX0of6&7vfY%A z^TX1Z>rW0A>(EU3SE8w#vbPPZGF5~;5$g$|)FF)CC?G{PEqNi)*vadGoUJ7TQk|2e ze3H4P=&8EC0}Xr`1EGOw)`cBkHuK~__V>0w=tr#>+mD&UdYs*HPRL%vV6UKTg7Q;^ z(vgxc?YGN)Yz#T}Bp@8n4X!9ipc({%DBm}PoXsP}KG*Dc_fsh&hE*1tK$~vE@cXUu zlF)rnGMe>j3NYGqFS49T%oT>RctmP0h%=Z&6DouU=(`zu97TJX&Qz*S(YrREg@%?{ z(=I2hy60*Tpz-1DldPMrbPr{kzSeH>(~eLTFb&D#$k6VhSvUXby`~vL_7+-Qi)Mh% zbv3;-VsF3H|HJT_)Kh_vB-I{u-YQwqiWsXr-I_XlaVVuqES!YtzUyI;PFpR@25$uB zX&y5-bd1CVS6cN2(MTApV3kEf@PBF`}4;H!RU`p`F~ zsEz)p?->C_inpiQI-*PyZmfrsH_`$e-1PFF9F591PaZ4zGt|-|Mwm^6yBX+%d@I%M zkpM9=UeOpUS$?2+UwbAP682<}1pHNIkBRkj{w zv=fTvk8;udCB-h9x$dO1&4#a8IR|K|*4(szw(#iX=(5!lUzrC!{X+H-KOwVeZ=$8+ z({cVg|7v<|n7NgMpRo#z|}eb^Jw52fMu5lyih+q_vPSccZ9@(M*IJ;^)66No@v*=LI}1Z&a@uJ zsTQlKtyGzwv@2oET>Aa+fzoY1ERB175X>jr7FN=#R8~&P+jP`8L;d zl7pp;m>S~7(Mk#8^y$u-k_eYG4!mQ>Bl=20sog=3l-O0pPOLEFgkbV5JekG4^Hg)>!%zQ*Vqu9|Jb*byUCN#|*TLQWN^~L4XGp?b)`5h?Q z{)(2?$;)`czq~<;%4PDMq*SX|*~#8!Q)Dtg7`Ymx+D{3fWxb2W?9WEeSGOdV+hNVS_<9qH&NEpB}HT@p#nJ+7dub9-Ut`>M^=c#0DLx*VhdRmawe zyns6I*^}Lob_5?+A0lh&^Rhz=?O&1guM*#-)|Y30NhDATxrJTST^zw!KWPO_!9|2f z?)KX&MlHTFMluK}-XcPf3aeYeyijfYQzcCmxQ)By)$pw zYI-zg@SwzULMQ~tE;kr=8%!vT>bx7{;%>VH=0dnu?Y7|3n`@{VP2&i`N^iihL`y#; zYK9x1SZj72rYTPyw#j$^CE2?C#DSsw4>R}ToB)Dgs%>F*ujX17@%Os6rRce;5;N*K z;KP7k=-eJ#=>+pT29rj;AhZ!e=iNbE_$MvZyCtFBD3UnFG`2RhpN$nq*ri5Nnh36E z| z3z=jrThSvcm5+F)i%X|my>62NQ;$4yh`(_(cyhAv@GC$q@&Ih*2)5vc*uyh-xQxUV z=g9*^4e?}P++A#@)XMTBGN7_mZ2FS8tg0|KYtx&_>Oc$CDWQx?B3hbpvQSfj_s=gsZb=8-kJ>M`tRbC|OBmjD)L8LN|=Q zvamT8DwiJD9R*{n(l-#VXl0I{W2P={KHyJFuHIS?D5U5OvdU$voHsD6p=!JOsToJO zFwu6sG)_ySGu+(t8lIM}pjw|G*ZEC$3Q&w>y|9jaCT}?`VI?qGV{C zKqXX2ZYaRXPwijF#0x@FGKOoTUunAb<=+SoPT$IN`o#94rr4ccF)9qg(f7~NtZ+qm`DDN-Ir>@hUp6r)=t{bH10)Lth~uIG0Pp^F*7~R zGY|pK(>PC=0EcJQN2}Q9(pmB{yO*3*>lFC}u^(63d?RC7!X#!7IW?~^a$%Ev!TG4U z4+K8p+USMceC#BJ@BX+v$d7;cMY_*-i*oJUlL_~ z<;U;8L$wkp>L%m)J?2}f`zdh~k;o@xk~cr5#ZhDxYe^F+Cdm2ItSx<%7|%%YsPlQj ztzTLH%0=1vknhVqIB|TYF>h+{ILZLiVt$Zh9o9g2;_qxdC!QZFVWx&llr(nTb;G09 za~5E$-1cH1267u3Ckt-!x4E26Kqhc?^p&ji5c>xwSlbTlZ`uBTg-XC)=^hg>@CKYbKQVWr_xtN>Gmz<1I<4dfBS3yfyQn$De1cU%f?WX!fSJ7 z8+Hz5P&4ALPPPr#o1#)u_zJ2p1bH0*gf+@K+UU(ogb8h7Zgyr zK5a`uBGcO9t|;L zxC2kWe!6-%7w4Cu##kJTF+NrQ(f~KwbRK>$(d(ozo02z;6wP@^smyCAaVjb5w~~tl ztkO+5CPk2Q<)mmo9RF)*F;v}Yl%n=AK9eLuTpI9o0H{YyNv4Ji_jdf^ z@c~^k`go^T0@IoPm&@+{R84w(-Yhvzo0fl@`}a+fiU#}l z)+JU>+jMH1f^v*(w`2K| z0f+7#p>OiF=XF%xoRcD-Wz#szYv(NDaQI;iQ4l_R%S!oL{rsQ`Qa%9Mtq!2@-C&xG zR&G|tKq74^Fe9p$Zq20?kysDL*z%4m?;0Ouf&ZKLEw}T@LuaK9~ z{blz=RQDc4Q4fwft(5#j{nAnHu<(!r>OThgnhUDy1I=I~p$<0}jQ|Jb%0OBsz~&f5 zf$jlSc1J!JKC*({%w{kUGxoH9mQquAtg~^Q?np2pw@hz!DXpz%t&O?YnSY-%4MVBA zKm%kW*4n67mUmCNPC6NI#@U-CioXtqn&?fOmIG70w-nDVk0-eqPg3lJ;zR?L%grXl zE&-&>Tay?fV9*~0oWVWbE z6kB39^?}|G0YEoz`);CLCxOa@Xt5Y^t(p7 z3mlSlH`B0cvPh9gQB$HG>~Ds$xv2O$3ez9Z6{z}Bj6te^jXp!EY47Zuc5=D+K26E} zeQKIybvR-P#e;{mT+_kd*dw5y5eFz$Und;m9~3x8NvWVgsUPcAXKYHQKNXl@NUo^y zNjB3LEWHnZl0TliBA%|2GA=$sI%-)Mj?9wVn43*5F?V#`jGdyM%j!dJ9U5vA?6`6G zoP#G!$|n!y9oHBQRInkV`{y*b#{^+J13uxJ>5p7?dvAI<^@X!4#n zOccCH0Wn(FNR9HaxufJpV#9@+Jt~vqcRe^MQh~*w+RYkaup8qd z48N024QIK46=nhnXjT;H->5)FH~~Ge>56oakID&IygxTnjU}ONwBX~6#-xywFcUnU zdc1t!QEq~!WNJ*=kar_)&>VtI8Qz{{9%D{>`7J(dM2+LOq(2es)&$_sC2FpNz-Qtf zQWXlOM{WW2x)l4J6#ZD=XHqEM>D|;k@kCqEs4iW{AcjwMS8fQ_wfEVX4-F+vOr@(Z z!uUaFZZru(>3iDx0r!4s)hU~?a`V37m0Sb?bN)NpABNaFhFgr+jG3=Ly|jD|A2Y<< z89ppeM(NE1>31O`^S;Z-y1(~_r+LP_otXT8(|4D?>&*)DN`tW!X67~QZTQ{2$MTM6 zk4adDE2FG+d*-fvi!Ji9&YKar@LkIfUJHINS-j+@2Tf&h!NBevpUM~vb7YwszMfvQ>l+XV{y7Zps(m&Sk{&9Jw-__}rxrVhb z#SJaF_x!)gX8x05|N3>bow@q;ks$v6F%;d75*}i`5-d3NrJ#_tbR9nz@mR95u!of_ z$d#e{G_K6t!z!wsT|IZvG$#8LAF;;qyLq9KBgf@$Hu|3$N)UO9-@GnaS8x?;Kxv}$ zceUUbWk=HrP_vx9h5s-NmDqKe0E{3wpd?y$JfggijFqjtEqd~K<##`I`8^qzzW(;h zov(t#)c&L^y6n#LZxD5vqg{V?QhjAPhi*w9f&eUgxL8EhI?4NAW;W5{@oMkK6fLVi z-C3^sIz)9r#16}(WZ9|vAHObz9MW(^6|bFMf`NXE){Ew;WfRej3bCAgmQrC}@P=hx+a z<@NX16T-b{O=E|di@!aT1d0GiHl>~Mm9(5GmCY><>maT+cfBF9EDSMJc4;T!Zi3Jy z$!R-X%M_Xr%45>4c2}asjEP-qLILclW(kK`VonSfHu3&>w)x_jpLrhBN0LBw0%2lW zUAbVKVfgy1pQXQD^=$v8I$u(LsI#raR{7CXM_Y2&S6y3m$0<)o*2T@Q^`+;2dkH4y z06$@N<#&2c%`?hZG0`=Dka7T--^&4^t;=|=e^jYkmv;jZrEc4J%&2kvhd3XgO+)iI z2sUT8k7_yn2-K}7 zXdWMW_CQOY7>vn|)Rt@4F?!ml^@FI0Oy>yZ$${XIs=C&xgAIM>T)1v@5YP9wy)!UQ zUXBLd5GTi{<#g3!_77ODFtGW`w!BVXYkYfAqVLNI%nC=Ne+?NlS=7RiI1Y7L8O>}r z3CVR}SuBrQ&serjS(r=B2~L(J7e%r;rS?o*_Kc5LUqhR_5j~a(@q~CXf7W(KEbr#p z6hijvL&2s8q&S{6jkv2yF7Jw&(OS*H zaq?2^6fa{GUVJbr_aggRel8&j9AbXBh~ZVyHA;)`Vw^~cXnp0-RioDg;m6!^XV=Z$ zup6bs_;b1L-(}ecTwy_{Q5YU6-r^e0W%4H+(Pd#z$w$qM1HpY+{P<%^Zrv*kfhT)} zcw2Ohs_k|yx?iAK`B#{f0tDe$&F}MX5ae&+I+->iq)wy=67Sc_w#ncDbi(|HwHRsw zch6bWSJ|3}f>0pHMjPamaeA&&KR*PO5uY4}g*uTRHu!jDmg}U0Qx8w)(FCD`DD)}LMgET+FA%O3kBEPY&RFwxR-V$Ia9VeepZGWOk2_)m;73`Na7v?bUON{Mj@E6W3=Knmrp!;OB<)u01 zF6-7EWdKp5^pM~PPTdoYD^DGf(p4uIeacEm?lWJb=jhb%Ry4$r;y5wv$JwD~4_^0_ zb2x`&k|Wd8b^Oi7N=h_j=l=zpmY5G7^#8(h2sXbChU>39f?d%g{3x=|Nc8M)IXqBb zpkr_-DVj+QvnG_2zh#J6mmmTOu@%gkv zchpep2E2+QMX=4|-yf4BIE3Kp27JpBCefNcl;d8}Iv7*v9xRjOMJ{k=X!(5!%B*%4 zI}>!M+I@6)I|ogMZ?w4ZQwq|evE-{SOxLDy@exK&C{Rbq?oW|i^EmaPil|o8#p?qG z1TU)`)b)v6QZ_Zwo)@X~c^Tipi_LStdupSsM&Av33@MpzZ8~rtRCV75#+!=ART}8| zDtw*hhDsw=8Fe(P&usW!hk+}`ga=mzCmjy-yyGz^b&otXr7o#%oRA!~=hePJ)R?A< z@>JlAMZs__%^_+ND)@(ZkeI_l4;5_X>DJL#`^g0X95RzfiAI~L(;6!I5O(xV#kMqE zo)3>{Vpgt{&RLwMyYFCVE({+r^TfjRY*bOutBbzgO)}nA-?1CTz-enTT z=56dNgDw7)MT@56?tIk8aBWc5+?8=#?J*oesstnVH+y&CjYMe)7TS1hHtJnA=+72G z%{6^cC_lt>ot#0Rt7-ZXII2maIz1ty|CadV+*^`m(zbQ#i_a`{;ZY#@|4hIn zLLJmW;@ub{^;||Sj75{=HyUh-v%zA(HqBo(879*48_RBL~?x7d=_fXOf5o7i4 zy0KflsXHZrlET_DcEmWu_%*&U0N^8>-o0a191niv4f=AgbQDXu6w&uR@NSo>;=v#` z;c=OjLe|FZWh@1BO&9#~I^`(U9BH{kdR?Oxce^Whnv>Sf&&(FtRGVC+ET)6Ih1hM| zBXsy=>FD@a9W52c%?q{03xWkEpf8O4>bncFZQY;r=;tOe{vkrz?cNj9RWz3|&N>L` zQ{Z{Qa3hUnVOd-VnFwfe$dE^cgf1tNhU%psOhRq4*k%?-3_mkvKxGcF(*ys5i$ z7ANHrvQg%ZmH%xqh6?M5ko#qL9uA1SkGiXC&k?~CdE zr01c^@Cq3vr*wVi#R1z%Wj~1m560Ift1#vHrtQ@ZHQ8Iw;zhD*nWv}KBe01f_hN4? zl^s_xBNte`dYn1AciPUP)I))QD=m+(YmWq~-hus&;Y^=Q5Q8(_Q+xTOyu{u(hEXt~ zsZkqj@jljIsH*=kc_``MIWQZ8iF6~*BUSijwnmDaPW?epI4<4}tJ-B2bt4BdQH zmg~Q?C7-8`Vh{GrRg9Au|HI_>tS93r4#GL>{r_5%FJ${xvzM}FsaUUizE#f(X1|Wr z@pJ3~R%!Hqi%XZ{q#nc{FzbI?iB+F}#8`>l`mv|yNBECC+vx9T(U#uQ`img`m-Np;^v$%?q_%X8DBfUKpOlpozu5EDkyG=JlM%9>T7NUi zpPLgpCRh@_KUA@c>7-!ZKEj#BQ&BKGyfvzNF#=6Mx`^oyT=!-^m_9n=vtQnI?)U@i z`2cVKyN+EO*{c8pK42^Ii(-{Tg3}9o@!Oa-zc}Gu=X-dJ0t;;Y&R#JyomE@wy`y9* zq$qLjH#(ouvBy5)f(7R#T?+#TeL{j)PtW5z{FgBP;>$l)z4^YMuyH}&rk}p?y|VJ6 zr~Ks%$x=$TvbyJ?@)ZPX<(uA+zkHY#rWSpUHL&7k84|Sur#JheQT8g&cg0wSa`~Wd zU7ze#6wRb{7Tuhl7nGJMf2DCHw^!uJ`;V4M)qvD)A8kFI$P_X#tBVA>25rP?M+TiN z^<&x3mwwpgYf_cXnl`Ve6=r-jmS9pYvxM?SO z@e(l$60+jKlFL8dQ9fM!6)hR6wK_%Xx}tUSiW^_2>ytHG0uJbAH6J`2uSu)a_!-z+ zE>ZPR2eXx{_OVMj&1nG)8%TRFSmP^hP8-$32UWkV_U^op%xq0+6eG5^ehP=~ZfQsf zgB62anW2iI@nGsPPR<&-eh&h*ju2FzBs)vqvu8mIRVJ2s3b`1Vp%V5HlM!c#2sgJ46f zW%kzye8#OhmB5j`dOc zDq8k&Xpiffi<{rpkOALa4C(KXz>}NMUZka~$1){<&uw`zial?v-Kgd-ux4($C414o z@XO#Ez8D(hmES*p)K_d9y|HzwGW_s%qRK|EJxX3iTE2E%9#KX~Qz7E(l6g!gW3xQ~ zB`L67j^gL&h4plPP&yKuCmG;iTaj-2ic@i_u7A|-UXhg)4~#)NA|d$Ko0zyCM*q85 zYa5)cXOH9*BmO50b5|DeZgOeh8OT}@CxwU=AZvrx?Nf(Hw)7g2bBKx?n6?|1_B9zx zPR2tP>RzfCr=RS`SQmf=Z!ftIu)xfFMbEy6B>*=69DwjzKO23_;$_j2NS|eBRj+Dd zF1PgJY;{Bej8@|jUy}#yb&h4j>mj?Z>T*#psD(8zA7JC zRWJ0<75a?sESqVvuDjWK2N5Hq@DF$Bs|{uU6pYAc9uzKCMfq&Y9HYF!*_fZuFFYs& zbmiMg{W7Ooc!-W;Xu;YvBN$`N+Tny)-s6B2N~wdVn{K6<7$2^SMq#kq;8`&}irD99 zmj=xMIx0JswFP?sQqiTI1p%fIpXpva!|3`=rlXSoFv&`6w z;S*iUF9^aD))^A_7Hk#4kB*;`oM&6F{V1E#Wt-_Gjs|icA`FuZyOpZWa4$sV@r;VV zU~3kH1Jkx7Ag94_6Xl0}995aHjvq`lu4_ILKq(MScBTY(wV)S3VrKqmeACL&)_i>< z3V3_fnE~eFdad4`Wo;?}ZR)4S+ig9=Ij#Q#uB<{jQn}m8L1lr{$WP{GBJ~BV%$LA- zDrhuv?BxgD#*LzNxNw>2&Wuv(I}`ln`@H|IBR5nqO(KlHoD^j+{y-^pFeaXVCWQ&I zBSY8e_lQG@R8PUJ#p%;>N|b@!#aJ3_3%t_*$u%2VVkBE!O>TAo{?LAAQ;7SvbG57g z-#NzqTT=)wZ%9ZK9+apbl3ydCs%5GV1O;0yTAIFEMtz~9fQiBl>*O6u1{G05aqlCl z_ljfg;>60}pzH<(17?BsMLBmd@&nQ0Im_JlaK||d3I|=#;FX|Gy5cK0r#QAw8M0gQ z`lE82<5EevAT+1cl?i<2)Z=ap1OpGUI*XjhbjYsdJ(HH64R0}x5-ei9h9YZ>dQShX ziXl5C{jmOT74Upo%y~;og3`7ImXM2k@ zDqlZf)+gZc3<`$tBPN5aZgOYN38~0Eqaa8Zl@uBWj{^WVvfX>T?_@D%Mt2g~IFR@8 zu)R%s;2Zk=GG7a@riMX%+3yV%j|53n<@~jM*|+4^NW~rW6iaiP)aUkVPW$9sH7vV; zlA-a+@6hLWP%sQtrt_Y1MUU=>wK~lTfl=_v2D9#-aJ7r9KE=GQOzexQ<~A}QC_D_- zTJZF#>}rWze?1PvAbf(T*=@_O$d1|SGG)V0eF7EMs_qu6VowQtjChJbm$fqSK4aSf zINN2q4*vV0naz{6U&JQ%-<}FomVOnd>npx)q!qh*3nI*t5K_)7pDbvnqot@WSd%PX6X5O?zGpN^60j zN6$7KWx2uad73WRZ>)l_-^wNcL@%d38bNA{vj5b4<4Zvpx0kxo+jmH?QP*)xyq6!& zQx|OQK$os8fJ0XUSGR^kT@W<%rs`%cP>Mx~h5o3+IrA@hG=>4M28gxo6WT*b{XtG?_5 zeqlp8P(WI>{`<+NNo_@EEmtRn9nZw6&dyxjSK>+<#RGp|nTOu=2$hmOmCqZK+HPGD z_&1H{E#{>tDX zK5SPKMnK~o=#%2H6{lPfA#EtVQIDO;_El%%z>tsv6JzbAD`H;ZkICyYY*Oo2d<-3jl(uT3hcP2xHquPg%Qze z;r6(R%FRjL#kK8tMbjVkoyU(D7JZLQ)-bojE(kxud}|SLdj@)dB=t<(@7Ef9bQ<+%Z0$A=exT+Oa;2* zjTmDlpSp?}H~aq_n|!1dZIGhBv>W}U)T3KAi`lfPv3cNDCm@k7DSm}LrYu@E*i6PZ zQ!INbtioa*G!XCSOLjS}na>74c#QI_WFDtwnJ#RRC{C@Ca ze<)#H+~cz>bX+v2521~kUwsW0pT*le*?%2g@&TX;p`l|MpT1c??LnQ(`>56suh%v1 ziLi5j@YwjG7~86!%|8E%*=CPHU5>VPB&H`?b;eSQyB%|EUvY`)huFLHZ2l*Vkofm-PsXWr*(0(GZK7X2DZf_y<(bd( zf0*m3^!>9_Kj$W4s}3LZ{UvGs2l9EvLtXP-d(i$ewD8nyruyXyQ~e^^?SHIxUY@RM z>Q(Q!b+ZDWeAxc&wC7d(+tOEVv%OC4ZS)Che(stoLdWi8EvGauXjWFUw(M+P!o)Be zOsp;I)DeSTMZL{OVV75|uaA(=5pyP*Q&7*ziEBMQJwK$oHFj`S)^P3U7P`VY6V~pX z1y^x1(;k^<tsnzffk(YCZ<3efstv+^}!PRy83O|7d=k&%^s*1tfRcF zi*8+QTlo*?#hCU7q{j&H-5*|V8k}gRG8M${Bs)wm*3LUMbnI5$>r13W{jtUT`#%ea z-!(-CzL36l;6&f6tn6><_EUB7nki%Hj36YST958+O^#%)@O2H^DL(2^6NozIoQ!Wd zCz>PUOc3>q-v5I9vAS%^@qH?3<2A?~d|F7;P=cMtC>I25hEfn_XXC zpzUNz?zE&bu@vWgp;l?>a=8YCFZQd;MkfTf#Bb1-_kMc@r7jDZQkTj-!hit50l814 zIfU}Ws{FGd>&39P75ww(zqR<$(DD4r(Kwb4GHeL(_f*=mzZvm8c9^w|$k>-SfrhEX zR_ci+W`e0jFolS^@fJTkD)+H0ltWvVUDNbRw(q#LQBs_s& ztRY3?40*x?ygRr!xc#1xz|<@VqoxRP>?*)n+VcAu?gY|#paRC^WP_lv=TrmWPjXaD z$64a@j>(S|A5O=A?Ib?oD1?;u=0B5W$#(uc2oIcyz6c`3)Vxpj-m2N%a^GTW7x;6&2wAMOs7WxVvL(n&Q1?z)^z1^ zMrI~J@RyME6?|QL)4H76 zrxqG`roQ6)%|gCUQ5OWXLb**%yQS`!5?@8^tA|(f#rnEA}*OyWGf3luGddB0(U6e51esR zXr^{IjuP#JAUFx-jMs>_%MrYUyRVA__ll&0;mGsn$0H<|y}j$JoO}FYITR@A6kI<$ zG*1$#stp|D>S6X42N|C^%HLdZm;D2?U|_7){LN+yK-L-Lep}q){f=C%{kn`iJs|>{ zwi(iyWM$Tnqpipa$cqgdipknNE2h(7sUc^(h%mM_zq-Tx_tzbS=YG*J%zo z&~b&923qkhCs>HWA!|g4<-_Bu{CER47~@@jou=IS{3dM26Z;FR>Zq#8O7epVe$bbc zRD)37K4wc!i{rZKYVl;j{q4iPi;c9@=oX*=`~}$^(~{WT#o5%J*C&3n8Ng7fdgYlh z5H%Trv`U$DssZM`&GNh3u&vJf*m_b=-v@}+X>*_)Z@R*(F|)`8u!ig&qDnl16N82E zzUH6G?j#Gs)D9GHSaJ*Bzy0tQhlkhmWI*M2F#`y_D^_HnR>3w&*T-XUWEzL?(OMBm zwF^q_#?SR*WcxT2Huln01E>eHG@;{?>2E}nQ%b@Lc&IUuGD->RWZ@seQU`SdkyzsB zH#mQ1qpJ1~7f;#HZzEIc5lu7aAIrF2OHU_MhnkYV4r?dyrg_-jr?unuDA=;ZMITcY zEXj1%6}+%oW7r}!^|uEYFy&PA7|&U7NtD-7S4_}8`+F6C>jXN!fxjUU^FjX|{Tlh) z#VKE^gRs?hGRZ2ZH_Y|n_<1VOAi%Zc3O*q$j=QW24g|gZQ+YrWL($x zXeTmr5zb6suqTxkrKFHn;liMy2}*ICF|P*@A}m@P-32k_b(Se6HG*t_VI?=g?N~*D z)b4IW%b=lrwz|MyGm&>WZFrk&*iA+Qc@oEir8obWYG0`l2FI$?Q5lki7faU+f_AU)&|pvXpz>aQp?tXR;Vlz*bGpt2#W{Es zn`!Dal{)53-bKE(S9D%_s^u(?z0;WI!x4G&l6?FuHf4(RJ{pYi&2i}}chN0#JI5u! z(E>f*W(d}t)cuVJpD)DfP#bZj^Lsq5aFonS` ze%O7<#``#=7{sW{so#%6VGufDQr#|uT&}a0e{g3|&(O?eCe_pAsjTOo{Y=w@`s0*1 zEIq{|{E(dX$#(0$EWXF^9m9JkbgIJiq0w9NNBU)lP_Yu7Sn%3Fpe;Wl=a4#6jkz^S z2b!0$+N-;Xd~8>2OV1@=*c3|MW^Oa&-AE4kwjdB9qzd1)mA>pomE+5b#d~pexg6~V z&ryrH?saYqvc{>jR2iN3o2=G)W*0tW5-rp1hPbwq!cfC0o8$%Ch}upe06ZOp=Lyp! zNsIAMWL@`aCINqjnAM-pR*zEBgh}G^CbYHIlb3}iQk*%>D#f#7H`CTy=(S;Rju;Uc zEAy4(X8hoMBA&rGVLFalydOlbEb}2qrmCp7OQu(RmP->TaW9eX12lqBtf55bTT!#7 zj@)LqSe=INo1*LUpYC~pd(arBhRmA6j}2qR_9mSF>iPgC;8~NzXpNu#o6cF$q{^&Q zpR*u{B);31P1UM6=F>ip0G?Wn2d#dTuIo_v_l|1byA6KNfKksI)g?28@|Z3dmi@T$0N)Sf)Ai z7T%_`J^ku59y6okCv~DNCdx51FM@dh#7z4o>;2DIFZJ8+uG7V2L)&aJycvVfl+oz9J;Mw)P?FN-M^+ z)=2vu^y1w>Lsxw88<5xQ&riEzJf8iJUOD^!t5yTEGfh4M!}(uGL<^`<4cvk2IZaV_5c)>^XkEvh=cX zuVX%o#;RBgf<(Wg7Z4lZfBjp|f>1atr*l_(`e&uDJk@DtWe>07HbpbcBM$%wfF2ke z^~$ZD@yQO4>Bg=iJ2!NuswAgmxwvY~>yv1dc<7gfKXARr`Z#=KhLl(B+yUb6d~g4$ zxbi>jW^MC+V~F*acOBk;VV|yL?I^K(iJtR#c<&DwX|Mj_H??wdXqE2_ro`0dyK~rk z+AwFE+UFtDUV8qnPbi##r_#1SphV=gAkwdVF(L9lJUuv>dW--4_JyBokKNc(aD()0 zq26fgY|FoTll((VXGP1M_U<28OWRt9Tf0Q8r3rw3vLpN2iW*s^ndI|K^tGjE&}Xr+ z{j6xtqQ*W#Jd7_|&y>wsHWZ6{$|X`74V#Qxq&(%qdwSmrZj4(pOP_R3r)6BIAj*Wcd|^V$>AYrqgWCb*?sa;1p4x>!h{3!!n%A58sRWMHq!GuXA77ET}sL zEm4o3=v-&UvL|Q-V3f9wo7NAAF9-Kio(Wo7hBR#co`vzP|H!7UNQBmcvBNaYj;k?# znTv2yh`+vF?DOxyJ6$_srf`cXC98{G9ZhH{K$AlhJJKvm8!w+~XubgDuavBcX9`7@ z)IMfIO{{WzDrIJiulS^6X2QZy;L_Edi$|19E3y9j-2gP7iw5;rD%g+Fz*@@hL z%*k9G(;rBpe|2}_w_kU4+Z{0NW3TREsA!7_if{WM4x(i23jSa0H>$7pf4z4A^j~4z zb|-)1SO_sIGr-#dPe#rqWAWIl0DmkO5Fg(9BaIXcpAblZC{O5rNcRXgZ=VuuxJG0& zS9^-qlP?ak|GY+XzMuRM6sziHIC3~;QzG^c5Q6yVVV$I;(M%%>Y@udKIxyanw}-KX z;vY}gTF*-LTU_aQ{8dCTc&FZ$Gn>-8rusOTTCv!J^QJ?*gZ8H+Keha;x5s-n#fq(< z<%i%@LxpUUb5w9}4>Yo#?zc=jeWV(>`ycM(?Kj?1q?WCakKz)RNT@bJ&a??O#KT*i zNPmbf6jQ%uSx~~9Maf_{0!M?%7W^rRfcfY%sf)Fj8)M&W#_K-27Mv8r{XZ`4(p5IfQMBw6Q6mG-To)!lLwC4+XBY^>J6@tpm6<~YbXOa(u6nstaNwFw}ACj&z zWRRBC*xCw7ad38?Kd)=KFv&k(Z%V8TJ8ap3B89FNDQZG2OclxQEx-q~oYk#OD4Ak< z-{AwFvf4zKl^OhHF{ms*|bYft`dfx9y#^u6(Z%ynIaBmHr0q%YC&FGB&n-G5|=Lpy>nWcfS1v?aDv==;)>Y+KeiQY$!mCe1DwXTY zX688Jow}(z7sCQ_?cac#o7qIvQp7WR5{zYIuTn9tZs*U9SiYR^dPxn4F0UXWVT{pS z4w0e&j%p`Q5Zq^^zUG>=RMi{A)nw{!zn<|VSBd(XIZ`BD)1ma1jVGB}rcFw)>!GzYIUV`hgl<|1--gp6Ae1U=xpJICrU*DnVb}j_VnuC1Lz|x6^l|^^8*64 z6Xy1!Yz*0q?Nd!&21-aicw%9Q8#&1=m@zNLuj0nJqwS&XXP6*!u8WfOfx0x57Fuwl z)}GPSOG-MW-7Z@%-hN5Wyjl0Czgsx=Ol-LKO(F~6AZqNH#=;13(vteWcrGR61CFsp zlNP3WxHUf(%}iP5;xCesu<21FjKOv>HfmQ^l{lV(FPva)hWE?U<}u4XI#p z8nf&shcbsSR7QgZAjSH9_jDkiNru{BVK%J09)p_U+NdZGtKNxe^hA^wn6kha>Azo{ zgcfHdg6)~OF(d8Ma6B`&qtbum(>d;$Kr+z6u z9I>$|Gk~jGDrh}hVb$7u)Kq*0>MA*;w4cG~FQSPuR zlfNL;=^i>CS7mO3uNWWHQIu2l^%>jF4C2Mvjub&-)82>Jk6mjo?Cn1H-PQYXRAbpf zCwk0+)n(5PIswo###g>6bfSkLrks%LuJJ$W=Gth*VQInE`xTJkSJRp!&i1R<8w9}t z7456za>0!)gGy$lM4f|k{nQoHcYZ7Sj7E@A1J6zzDjzi*0O=f){O~4-ojGQ@ng_@Y z8bwICc+XaQj=8-+bhrK#*Ub+Frdb2errDAA{T^fA9$V~v4^#icfAKUmR7XxXp?vT_ zWaK%3cSC5(Mb)2Mn~ukT&}b~tYt(;B=pYx5=K}o@s?8Jt=d=-^FRvm_Q~lrE-y|)m zpR-uoS7v5@bMRls3Hu-FqgHXPnyxqdB;yU4!JysSj9sm}REAT1%=d`xi6VeW?j^S5 z4ekTKD9$E)2bnXwRdZ&x{xwQ$okIRjS$zJQFkGLKLU+d8Q++6(tS{_H7^M`ebo|85 z>&AQp6M@jeWuTdsXUg+;I^3SWmUF9PzTRgohd!v|rqA21BWgs9F@ztUAgv=u zlX(8;Bs7|Go-)g^aKLO}W7uum7}vGpNP@V`!?v+NhgH7B+yJ5$F5&iE^FV#Q!8N3` zmx@dr;xFc40QY4}@4q*hK`5>t2S+BW=u9V$ua#m1M$!CR19tD68g&pA@Xff`_I$RQ)P{h!NV6b>!tT%-Zbsv*Ht&wz5GP>?)lyFmuY(2?y8J z3+R1f*(|i*Vu^Z9u)vs!U+~~KQ442qeW7`{`Ls_7kjDs!V&_>}Yv`btgaQFk(NX@_ z5qhO2Hvm}_fYY%L1h!h42ICSajoOB?WK7-Qd{2@~j6BBs>!PbqNkY1zuLoG&HEd-E z;&mVHABx-J;$nEWI!{D-#JLy4*&`ka@ZCy)-q0`w7aesOjZYpvsJ+(axi%&q?sN&+ z6`2|P@U}>Kzi-n3KUv3Es~0XamtEMM+i*#=)}}hq-OcY9YnIL47lRp9L|6PVDWg~y z)WqL1zLzST$|0-V&Gj%!Acb`d{GmR{i~U3cRBF@ixc^ug7hDJM6{N#a6kI^ zVQM#=-02;)@(f@X_Gudo!;fKZ9XgWIeioG}PI$Zk$t`k?(QUso3ji|&;;U&tf&kaB z5YCJRKw0-j*I?g&ZKxE+ug@`l&0*9-P=-90vKV{1#SB(PU?v37i6_uj$UHFSc--!|pNf6sTPw?)Smt^IV? zjaff<&gr@^`=@8Web@5L_8H6bo}K;Boo#&PnWSf_vhg{G*(;aL@+pX60bc8K74TZ` z1@kwui(f$Hd!nr&;#c2C*!%|U^I{Lr3*v73WkJyPmp^`SZ_@iL));tR=F7wW zS$B7h?c4IqrUwb=ZgC9n@-mm2cX%Zh+)w(!gZ1lnL2!i!*KlGi;#mwX@c28doilfi z;ds@3J-T(yJ`|w_OJXW?+nQgRLfou+UGv>0tjQ>eInPloPX4w91ydxaC&ZtiSkt4s{i7TBAi=(mledBXuozx8 zE$FBs7^x`;WCGSoGIziHdJk(Gak-iuww{uvu!^pcm%TpK?j)p#eHKNx=1b=KnFyI? zUWmfjbpvs=A*LqRKTB#rUEfNsG^0QapYt%s+Io5j7AnR?3QNYNk;+dGmwxsDEI9Z^ z#qOwhX3IC1+FY(Vx2~)_aC`YbOE3OJtSTZDEiJUB)vlTU`OpNxemr{fed0oGs^;2tqULCG^DsNCkCIiA)dsImA%KWNV6FpU z7eXCE0Eu91a~wWL^a~?Uasd%4eIeg7zO+hURZr)OCE9q zIZv_hv}6Ju^3r>Qh2M9S&%D%DxGJ4D+jZ~4t5+#8!i=%EZ`Gkz`oRi1?HZvx3LDxk z^60S7wqOJKtZ8V$>#1Gu;ps2G-SgRA;hzq78jJfN`*jFjzr=4HNF_vByoftQLuWa^ zdp&u%iCSi1ZF8ps^95+9+k+-0;hBn~^i|lerX6T>cKge(c8mS?<|gUC$2(0i37wHa zh7PUK01VAPs~*Sn=2U4d4qPGIc)d&E>6fsD<6~6fax@vIs0K2!}He)pPG%a z>f*LyL&rDPcJd7|MZjm|-{1kRi^F#mqn zcTqeoPQQVl=m(4#!31{qw$+R!o9eUJD38U{E-eX4dtlB$MtWX&Sq z#R^Az#~$p-+`OT(1GQ?!GV2h^$!cJCB=E9N zy3xF2aZ@7)ZRvV+SJN^h2<$n@jcu<&T)Ia{r7~juiAjRiP7vNP@xs*u+`!7@bo5i- zG5GCrz{{p3sj1uj$&EjFNTxE+ex@%Z?F|E(GL*$^G%dj+*iiWr_t{D ztH1i2^EXHwEzw&2SFX{@gH}wWLW-6 zd2KAvDfr+={)Pl475o>?iA;fd%UN^u3hrQJ zTdt4A;VuIOSem9c*iDGPxzuI1#*OudnvjdprxG&xI90pb+S^c2OJj6}vC)-kcsB;G zGs!i6c92`RBDb)wA7C86>e5C5ffObw$ELp6K=ME=w)Hvxr|Sk37SYcnVbnX zZy9g?c3{HM9|-j&o+&GFc7LCy=Wv8!4 zj}`hgg5NjR%%A5*BYyC&dXsvRlsE80g=QIlp6`9tkZjP957DM3r|ywrr&V|` z-pZ5&k%{Ap+I6-DRH>-TBCL^!<=N=<{S>0u40)<0-_Wm*81J5I5Fw8*!weKFC%ppwzJ)#&&_r`g!5wvXC`meF zL<=mVr|4rMN9Asm=d#BriVlq1t1hgCjWtDOJU5(%<#mpeuCl?Htb&mlH_dFF9`J8j zU{Y=&oAEKn(|%2sxndjawhUyIP-s?TOJ{U2Cj$t-3e8E!`s=yHM+V%(ImEC{I{o)g zhjVoOB@7#;ta&$Mzk-WzxLG)NyosDKBtPvlclmbskDnZsp~{nE*eOx-1Jh#phsM%e zsHcOepeapB%X0Rj@PkxDa%BIfuF`vL1vxk%SCyY)jKGz_$C=5K|4vUl`A>6i*+iNf z?ak<4^FuK@WjUN><4R`Y%*Ntm-&UZy5Mq?3W9y!avOq+jGOfluR9>1K8}*5% zKwhh9E6?Q6AJsngF3Kir+&~eOv>4`!&16l#0VbGUemKMP=Cl=zx?Q);oYC&}&A+V< z@_8JP)Z4?vW)sQ{nIk>yqZUGDYX}?N+ zu*&2d*rmtD0_%}9L3Lk3)3rypqZ0P2bJWUHU6D!g1wNV)Se8Kyb&lpm+%;uD&bX#2 zTFs#oR3`0F^v(W3rJ<9p{R2O$nN6xNJkv;h8o(hgr=ToOLrCS;JSwqRX z678*GX8V)qwkBseVq5c%B&^qIU?EM~>ayx%u?^&=pSlixDsK2hi9IQ``mzvRDLL2& z$jliSh4s1lH`XRpc<`X98XFRiWZL*)f{vEwwCGN|B50(A#{>2jeWg=4gke#(!q<31 zF>}7?&3jGl#9_&6J6l0%QZf>o(>DPFk&V_1cq$^ZsA}^rd`^3DAJEX%aqVFL9~*VpENP$}y- zk+msFx|V_XZ|Cg>e4{Peq z+y4;)SjDBS%h+nM7WXQhE?PxF9hVjrIw&woA%0c?vpwFGKShyo#G zuS&?UgtV5ec$OI?Nd+O(R-`0^R1i|g_PtL!Gr#Bg<2To(moRE$a?bg@m-~L*?6W2~TpA2mj+PGr_oQcBVUAqKbX66u4Jge*EcA1MQ0N2JCP7A*Q-f zQfxv3YTN_{Hp!g0p#_exFwxXhLaCy4Cy(p;&HC}SB9j`4mW{%#G2=~Fl8{DttvPd? zR-{`{o~K;AbC^(ypqbE{#>X}dSozxyVt)jvHpuGzskMI#}@GP+deY`=Ene>_wqs3 zOrPyn{9X<457>IeXHkG}alnUx&wa&g*`)ZN?{c(xX8aYi|2H}B!TEEHD-b)oEg6pe*gSOU5l>PFMP4W zzUalu7ux1tG?%VOU2*m;zn61@{^!#rm&TsrY+v#DwAE=B#{E_ZpIZCrTTkZCVJ-fA zYEX@L!#B$maJV>d?O)Iv*R~UQO`?8Ua))J9nCU&!9B`X*RFbh`OThxp*tibZ!W({ zkK%Dn1e!Yx#jbn59+uP@@0hv<_aAM)c?cb$vN*W>L0H4--cMbrU;S|pB@64QxLWir zQ4T>lYjHaH%`%WlS&PYX1LMq-rLjIvrOP`5iU4Cn9o?&JK98NyqsC;c1%R!Xrkxi7 zgRVX#I}rt6=ej!l5m>Ak>*S-d{3T1(C)Q$w3~Qkr;?nxFJq2G6!b#9WS2sd&+H{Is zA#7}vP{ij)jjUI-fA4Rv`s=c9)@Ofp{lvqTpjJXgNZ)V1w9(%9b;hRg!nY1nPnkRC zUOn7*_^fN*g~RR2&lf2HX2~GLM|&?S>A$;=pnYYN6&qA>(Zp>m53|V%Rls&Mwakcv zst^SH6G1<5W~3rl1{f_fmym?gGC#t+8C~NG??MO7pN}3Fc9+4B%wa}avc8Vdh3C|V z%FcI$n>;$E2&f$*Zk15d;TieMIT4Jq#;N(rI zYbmIu0$fK)KWR68@o=-JiKZl&p$4miMZKR4a>gf07IgH6sP!MxjOnF9l+t+4CfINk z;(6Bg+*0{ryoi4FgU0f#2;l}s8HvI>;Bpe4j@OzwhFJ?CVa?Ld_$@Y>_vd|+^EWZ8 zq<;^ABL?F=zvjvV4eRgP2vx(_^3T|^J=1k2tDk+6)563Z0x1J-+Sh`j38j}Sf{~oA zukagogX+Dz)Qr>aV%4yd&P{v1{pS1H@4uRWbRsRCs#cy1gZHIfc~k!)dd1WP>eo~{ z=(ua`GpYJL?!B~fqWCY}rK_<})Y%!+jkXZY#6cTXVM8U606aBwW&^7l3Hu2l?;o4D z$pie10U>Ub+}g>U*l-fMLO4d8O1WT0ntITtV+_kq0KkG771Kk7%`r)jB%K#Mt}&+Q zW_Bg${?!>&rT(h+c{yDBNl>|m#S=bb23p=tR^Rg&y55>x1GpKg>Tc^|e(`GIP^+*J zy-jUlz`?Ttkx+M{t7H;6OPUJ|GZt!~Ok)Bd5YBbh75rWjI*;DvdG=a;OD715guFCi z7noy)Z%d)=u^V%71oiH6+H z`ry9po>-H&m0>zbXL>H@KSM_9Df&S3V~d>ld|YrYNdNaGRE71|WH8fJCga}y{e*k8 zrr>UGO1tD{*Wwbih9d<#sKf(>K?)~zNK2M0hO}+_p-H7kIoqp~iW^NrSP8BP2qTd( z7YylvC=LYjEV|+tBl5jC#R{&b%gwB!5O{=xy3Vj;BJB+`W(R5D(sb^f8k+))MQBkW~vqpwVF$Z)E0ion|2=}c*QqZBtg1J$io`>!I?jc%H zGrYhxd>1eeUUR|S+Fv>jt5$Rd?CL2PKZy8P#an`I8q)5-B7~T@yzi`{$1)3Q&kgnj z$a`ZKy{n-Xvg?t;9p9tB6S>)thTKljMs#W>%R*NBxnPG=>OmElE@sfm3ht>miQYMh zB^t+sfrptYwuN3Jt2~O5Zv`ms>a%I_SWiu%)nO-Pr^kmEIL=x$8O3Nd&L|54EEzOLzmPLs5Z`3hb>B+RE z`HZ{>4V$d^qSLIjcYV9N6owlcUSXUS%DCZC*ja4+O#@*KQv07;+xD`i9<6yzr=gVC za7xV@@MSnil8=AyrLw;tZK(Z#-*r{h)W|=w4|2b>Nhf)3-(l*4)lOC*DKD)lo2W>k zYc*_d*D9;iGrS41auDKJmw`}7VgSovBxit3no3`9G~L0oGc#@(0@5HeZ~TGKEP>|=3M!UhnC1g=tbAG#18B`$TF_|l}KUu+3> zFK)ct_pjEI7-`7X^%g?CT-8Bc2)7kfgoWZUg$9hEerKRRv)H-Qb|0X=>|X=5B>ax! z{4L#G8UD_x4XQ_K{|(|X<|d0CV@#v~mUOi%9J>+6DmSCzm7a6w%LXCdaD zhHurYktfH&vC$99M4DPu9(z2#Dk3(MzraQkRT=5R%_=)qF-Rz})H~JrhMZhNE;$Ws z*iljw0-Ewy-TUa>CXl;h+F;5T%IyEXa&w4Ptu$n(0Nocd6pYe$(pE; zyj~DBHPSP6m0DE#<^Bw~Rwk7!=2>)*ARofht>~978k*DD})D(3PA2 z?b`U#E4oYWI>+r#a*u<2gwfhmq%O;Q_)8}rTs6F-lZ!jqmA;wMG}t(b_xU}~AM5x(z)vfbKv;BV4-qpQ@Q8XMEzM-%1^S<{@{Y{&fA-T7!?G}IkPM?om38X&HJ1^UKq4GqVVgptLrKT_EyF6<3QzIRVfR~?G{{L&S6|7u@Q{4mM^@%0s2U_t5U*AoFQt(oFms{0 zHJ|s}LQ7t{mU&#~QWb+;Ol&E4x-D)e@-=Y-N5hN3^l^2_613ahHosL6GR0#>IJmdS z&1RQ07ik~;({8Ix#xAud(-1k_L&`avq_W@l zk2ji4y5jfa&n8oCIOjU((AB!2C1AOlF?Zi8jE5i_vnmzy%y!U+;Dn|t?96b#_EJ@Z z0=ck=)nS)1K_Mx!qu=45ur9~bx?_ZVpZVTiC# z@R!DJwA5ZmJIsAH&3yOKFCIOpIclddxGS{`d?+V&b(xBgar#RWqK7;ve<8HFU}Z+Q z^!42U#^QiXz|Gv#k{>yr@CGGO3iBDPe40$9?<;GT=1u;Vq=Uc%JWoT7=IlD=P05k& za(chPHaFLKxq3J@bCekuoi4rn-yNV28oB9FXyBgqVYYk>E`5s^IrG$%z`Q9>0}bOd zlaWsr*XOH+k7rJ7u|D;ni_wxX0Zdy>}{>g=}m|5k! zgCb0W^FN6UG6{kg+Ghu&$`K zUyYd&+#9*;VvyW^cK?sSaO`~?XFw!~vYNH`#n?p$)(;C(o`tV*<5@p$r+$Ys?Vq-q z*-!(>Dtt*|AM#`8Th;Cv=(gPDQ;symVg6qV6p~8tiH)qN%V>5bE@+p{Tz>zyKjkim`TK3twzkZ`TK~_)DETu8XEC4&$LH2zD16}kB<+Wt>%T#5Kb%1!4u4cjq z-lwaHW67VB)#P>6lYp(YR5dnGLjNzC5^=NzEk*nWf;a&=f%JUNHWS2}lXExZLY@vF z7AU1(i~f`6Q&5G7hcQS5&yFqUcoB^m5O`(RPP({DV#}Vai-q?H$-I*`4J~Obat}KC zK6&TUW{|OYckYQ?Ye5Nk~d=;*7WIXw@yQd`Pf}B&4Iui1N^?Bc;upW2sfKy1>i1nv1W9_wk}; z;m+m1&CIsNe9X2NHnik)A}OhdsNSeYnOfG8>m3}+SDnNu5uZ>c3`^YURFe`F+;XwK zql1M_BXO;HXZrm0Wuzjhcslay=xa!g@N-$)r59gHya_J$VY*{!DsfHFwHK^!(WvR> zuT3x7m3COwQDww8|+Abv4+P0_v7 zJk=$qL#7?_$*xDdn3k6I;!Ji^i0N~Z zwn}gP$g2h;B;X`uqo2B78nv!29unUMrZvqf_`te9c8TUc@uqIXp!7lrYim0viZ@J* zX~`-TRLN|%!TBV;d76M{j>n)^lA&xa808;IKT7x^hG{?5yP!L#$M6Ss5-FjmvAa1A z6ooD4;tgcrs<7sd^e1cIb_MeKn(|LNxYLSfEy)d~ufqcjQg0Q*>A=Gq;b#!3iTQXV z@&5^#FjFH{F}Xb3#r>eOr}?7^T%;My5TO&UNF$=MY3uYP6$1C_t-=UKIOOQ)s6Ntc z92}zjosn;WNblO^9;x}~mk0D7XBX$YQ*PZdOzb{M|9Yq>Ph)ZO~g>a_aS#^YnqbEgv3HfB!AwwC-f;H35$dF>LK zc%9CrBw*uJ#_L)V_s7L|8^qg&abccJb@y9Whf&hQ zzaB5_{^?)FcN>2hr%&ao9tnC?5V;EvMPp~ms(-hy2&@Gus_i1rQ9%SkEN|Skk%b`W zN%cTKFbO(6w3PX-H<=F)8TTxTY+YqCP$LKDZPQx`nWZa)1GU->(QfSBQwXUQqc~G4 zeWMg}lnE0Q=whgJO{r~pVxu@h?~MzL@B&aF6d)wGTV0O3r1bazG3C^E(!RSN{;({6 zny170&)oyX-}aj~8{bNEaHp$YBYgC!?TVYUG`gRxnmBGDrM>Rvk1TgvKcUm<5Q`mI z;vm=H`acv18zACyaPJW;N%)?z)@z0=qtr zQFOY#9>5&ajy73wl6k)pxF0Cu8Ms3JG$1@meN8D<*O_XX(S9}i{b!P>Z7YT%@UpM-wr7zJyN-cmy#2(E{-E5=Ac(l}r zT%Dqkver)&^o@)GQf9l&dp&BRuzmL)|a>-M<3QF4O~pgsMYKaOJ+Sy zQrX;y4@3OaGnF-ii>1tSsMDYJ*6Cv(Pg&*zp2yls-=|rN-hRT`?z6ZO z^?2Yi6u6aOG_v4-ni3EjFx=^5_rDs;q8uTb~6I87j!f)Sr*JpQIrRVKo*6fw8DAgPO z%iW6o3;aW>oI6U+1Z0?5(UAU~XR7)AZQM64-~0MLu3q8)c*W%2Fv&hwfRqivoP@=% zaLkNI3)*DdKQSje=J18!v*Lwqwk;^+L(-0Z_WkMDMdb(Q7P?fStc1VBEIGH%kGtXR zf^Bm1^^{HbhX?&a9`eZ5+jkoMnrUVhy z%Qc2Y1j`~Lh)EC2?o-3uEH03Gp+bc&X&Mi?`83fBF*Jc(X0_hwQIF?Yl95}1N+>Ho+tzCXDB zk~@P_LRGp?5SbXt{(0FC36KBw_4j1;IL%75kt{PsU%GdoYr7Poh3zktlhq}9VBaQw z70skfPv2wG2+4A-c;92|@PBdOt`4^qtN5~j81yjt=kkk(4 zHZsX9&3SQyz=~*(Wx%~;+uZz-772uFD2X>)WKCg{qQH7k|!cbDBh=xTy)x;tCox8A6a zOXndM^(lGa^t6QrBTxNSCkSDBodbz&d$8@yq*c++!Q&Z!uSF(FI0?7*6#>N+);k}0hv<@*6Bvh^W} zvfh<0wGz^IujThOrGt#p_V4C<8j1BO8gSn^zct^>p|WMLJh-o)naY|rW&UC=b6+#< z_giCAUc!xxt~_wjTOhCcZ4U_uoZ&M&(LeGD);NEB?4P^D?@D0cv#x;4$7U=%$O;h5 zTB3)HcF9x&BVL-nsj1o)RMs_T+j@V+ynoH>i~y(yFpQ>WR^9XYY&GRs+seZ3_T|?D zLUuXV92g8()y0bbqiZeC9LV2-`}f6#jZ0s-z5RRNSE&_&lWLX}z|>uf9EzFSg9e(O z1Z`UMtM>Kqy#ZbG|0S81w{bSJ)Q$e(d&%=x7F`82H)H?g%R$mLx90uNoGEXi3IFq! z_jg_i@PBXn;WtAL?RszD%A?!gwJKPP4;P>zjEwJK{UteRJjcH%Ink_OeSG5C&KV0d z+dqNa-DuhW53oC3XH!^-b0SnZ`}Qr*X7BywL^GndErWi=YawW~|8r;|z5B@b^z4|9 zg@@QKGxBuAzH46HcgbUqJu`gK0|B?Pd`i>HV7Zx0uXaA5D&iqyT;HzbFCPpbL?4=e zcardoQg$10qp8BqUT~Ubd1P~5eXVO}{6M?p(DVGB4~m2N6w6XFX$yVEd|Kb1Q$<%l zaCR&sgriQPReR255zx4aciG$(%x-`b9zZZc;R0q9|EMJ|cE%Eo=h-ZV-Pxr(28mt@ z7ga16B~>kXn09)uQPs@y0^RzVrFkB&&W#oo@9-$SctK=j=!$P|+gs|}Yh=Q-iv8_8 za)l$C@{QflE)QS!AP&896(MQDcj5;qAM>qtN&5?Ew@bQq+H5~h)V#a7@9DG>S~5c3 zDAxS^a`P1{DH)+|@B?=BJ*v{++Na4IaDuLRIPB%BoL3V!j$-PO@-PuEd4sw+PZ6`| z0FEY~0{p-Q{6F}~ch0b4W!KyYqZzPjM7sqd>qD|M?<8a-|5X^~H`&s1>eL9GWPI$_ z0^NqhmOAefsh6&TUr3s|I{)iZQG|@jdqpVN#Pg7iP5o$jI+VYPWxuOnQgQ9 zhtB)FKf`zxY!zSaXUwb##C3o(6BFbsWoUrxj@EOSjmK&U>40H}vsc%`$wbjP2AnIL z;2EAKxLKhiG+~^Pq{r7vC`HDpveSM1XPQQNUjxh}3MJlfz)h7*R3?_vQ>@*6+%7E8 z|FmS>Jl_7nBjno+@oTzD`R|p&<0`sORc9qr*D=Od+|qtj+_zkypz0gR>uNaan4V~q zE~{Z=yi~Q9UVdP(@Wsr~dy6m@h?)0CV;>6X-es5cFz~NRTb7CH&kFt{LpbWI`NORp z3>Su$oT)#VDYI7H5bW^OyY)rh)1WlLot~l2|616Mbwg}saa&fXyFUYc%rRMEpgxs+ zO4=cK9|#s&b}X!{CcYo}Yq!W7rx7Dc5lc)*3%)C@C2#Cwrs9P?2M@I6NxEh(RnyV| z{hV#CKJrE`RA;!s#;J(Pi z_v54eJsM_IgC5))rojorD|7ZwP=qfVVH$IjL5k&vX_a>gmO;>$Z-M2Fsv~Ypj^zL0 zU?L<#FBc%2V4W?|RpD;EvJv9L|47hfE75K-k%F~^96HE)Q`<*9UVsJp8yqHr_h(z< zpRMuBvW*5xa&XrK+g{tui7vc1c8!){8k3Wjg|5SB^o$u$jTZaouora5OvndtYu>&~ zNp|-Mwl)%PblopIZ0X}Z7hzPZxxFVNDB1n47bKw#`Olw8g{ftzDOtZU-*=(@7REzI=^u2HoAtYJHOMSOWI2zl{*Ef| z09jt-b$ON@3*~P)OnxCqrAfwh(R1nL^kJeZ7Q?P)OjWRg43sa~jN(Mu=v6 zCTU}Y&U#Plz>Kw|&xYotP$F?^!_d+*m^o2}OO;)=vO{X6iZ zynqr*aqvD70!plfFRGX4na_q2k}>Hf6PuCLl~{e^cTFVPh-z^(gV0FQf9>e3&Hk%Ak4f=6(>aHTj!YVGM&PX~nA3#CQT6)VB+tkIw0%MU%H{xlzWRFCtnDFGP)( zMc7jGJkV_q*}~#!iJQ89vXpm&I$lbVl7lwgpBWU4qvfBFQr=Ftl7v{J`;kGb#}ZmaVC_ENA)E77E*3lcnQPK(G|vb4<@)YYMsVTbhd+=) z3`<`RbxrP?sK@hgw+IhLSKGPRrm?-F5B@cRj<~5_D+uqrR^*;cDf~Kxx2I{$=t)C1 zX-X)6V@5481$S??KznB*Vr*_yveQyGZBGav$r_V6rY;J4+|rsAj}V*pKz-F0IxP9VbupMB60HF}TkR-wgj8a2{g})^Qx6;{YG9Y$dyWH?n z%Z260*Gju;++}w0kN=elFw=b((vFf+0adVlqYFlvl`66zJ3+WXp{1lFR&p?Nwe}^U zV5f0)7)kM{avtLoj-zD9!E+wR!h-QJw|=VK{qJXGDsh8{?PB*M(^)KK>rE|rk&2y| zE;P{6oWYCE$>TQe2cr_h1ja%Vj|+YxH*Ptj{f&=va&_a!;_(3OJua)wKXaI_bD2jUS`QktYPkKO zS*Yr|7EMr3t|*^qh-z&(21rj1DC-;w^17A&vn~{0?`JkB7Fzls38P^~jh{eB#T~7j z^vU2c&}#u&lc*pgb-DTk=dxnanqwJcfzt-=rVrSTGbR4|&Ff`eRqiUEov5gTQB=>} zG6gLm(-AVGo++$pEB$5%_{aTw$mHXP-^S>F=l%Z=nXdS}>GK9_j)ArEir;eqaRG>{ zcs1Za>wl+A{(!{p$6qo3e@~evzIp4vsUXw*SQsx&AM;zh9j{|1`p-^gt`zbMvkhLu z^7RRe0bnOE6zCQ2DmW)_Fz`?Q+n0<6ZqTzn{$qgukM;gVD@JAnkIh`L!+)mJGDA}S zI3NOI)tnm8b{4;XX3?WR4zsqs{nCXHj^4CnTeQy~dOJN^kFaKUO)kB9-2atMH|v#v z*w2v89gq{n+Ox=finESo&AAKiR_)iY9Dla*d`yUW}A-wpv&pCuQ#y1r)_|wgQ|Jk9+%U z_TJ4iC06b0D^3QUnYmgsXGc9d=YSX^vIO-M5pEx`-;tV5NJIA8wtGVVvKtwFiT8YS z^54F5{6uDk#yutKG7Kd5kaMy?`lB9YD*oO!6WB=Xg?l zG@4j->F2|JEpZopTmH1Xt#i|Jd*_dcW<2_6t&bO zXW&jho!CRXSKAfUU;i>9vvAP9ulp;p=2*0qTSiJc1GZ*S$Hz%Yqt_Ix&ZHz!v#@F< zZX$v^9$RARIH~mFTXr{JfP4`fiNDt{L8i>{?kIREtf#q9dLmPrLVqRN>2YA2g`H;p z9Z{S!9U8%#@SJ$y%p)3wbGrserI#)odTnd2;1AjTt8<0#ZnV>Zu395UUKZ{e{k7n$ zakl`{Q0lA0-Jf*@m%Ga}QWO6Oo59XBp0Ub9`bU*7pT0`+70NY$d3+~VhQFJudTB(p zrgJdRd+8i6I%T47q8O0Yws5ubwVG9FFTAHf(cY)x{He6jUTi0*G?*VoQB^w(d3k(X4c*EL@f5Y=kkPxh+! z#hVE~y6|mnj|2I zD515HJeb$l$;b7Z&?X7}}pIW&~drPLqGk8Vkg;;fouVZ+mxMMty`;;RpfDh)t z(J*SGmYOOKlW|vmPaSAj#92cdMYN_xb#$GcY?cMLgPNw*-xmJ_dVpjew$Pc zVWq@LH`<7rC#~)x%tG)i*b?cX;nLhUjvy)HeqPLSE>9a~^gBJX!Fg z+f?KkbH#}(l6$*6_5&ru149&NpP*f_D1ul&fjB;v!qmJlp)yz_FoI_e~LwXIxrgf}jhb%4Cl-b)y;(lAz|Oq4GC&q%o5&;uB~LWS z-w4M*AccH)U_`LBV*{H4W2ZtVuB?Tky{V|nibao7d$cD9@fAQVu@($!GkG?Yn8qh=9?Im&Xg|h~{6w zmVfINK%J=J1-Ut}*(vCuzJuwlv)0NA0}jIxWn{QJl}A&d<%Y2b0#OAF#EkyYJapd zGL%Q@tQ3wgh(sm~BAm^H zetQIO8WVUgi=3o(f2~#w{YSqxN06#3e(#AiXo-nF6gtLC9Fh?TK4$~cf93bYV0dWw zd68a<3?;ocx!DA5fW3!%N~I|tU29qTsWfhnKh9RUr)fXWH%&d{r;ffn0hT5$KRJ52 zt^rLU=*#j(XP%JauXJP&(Ej}jcwMbklwN8QgiogNsvJfKY!gXPhPo-^TWkSx1csd? zCnJ-R9?UjQP}(}VwvZv2A<%BlC?gRA)T(io+>6HojW!BCXGZ7$pTRDuL149UO#E%i z2h!zv7PJDURH{Ol!1w`3=_>DBx9z;T@A}VKlSLkBw_xi@ZI?$nx$dIdHV z$({a}+f@d^HWKfiTJsl=#8gudYElcf_J%nMcH{_!5yD&KM?7eeI|?dK$5AzXwI^kA z&{A8gFpQG(HbSnR&0s#sL#uij#ta(_>qQ4n0#5NR4j_lC`Fy8pX&#`j^0W4OjLpZ1 zii2=9E1`^#yUWN8gHMf=grhP`Ol5_ zP=V$VB+{e1w$wwtK4Pq;l+KoC?z;K7yL&J7YG6GHXVdf2+xi?it;Q7UiYCj0Cw)nM zZ|)+k3$1uyp0goW;GPX_LoI*XZt)9;8TK$j9dzOP*Bb%uO*Dfs8IRa3+rf7? zjd_ESaoq|}Vklr~;W}k|eWidhfImpc;SY4Cv90d$fyUyY?4zy{hB3qLrv)6drlyec zSieg5{OLu0jNsO<=}bgrH`zFu?G>F5DMR@9txXDEfu%Tjn0w6K6nU-;+XT9|lh-dpwV0v!&*48l` zq$v5qD1gZf)fV7*3<6x8fNC~1|Bg7$=6x--&g@kAq`h{>eHbxlrEUBlmG zhm<&~r||b`=@9vk7)MQk(B>3uiO(#ogxKw_x|PQs220^E{jTPCLTyi0tJvCCI9=z3 z64RAZ*HHpHlsTaJGW}pHw>MYNv>}4{n-C8Gd_?IMBtZU~C6Q=}EqtI8Y>i))6Frql zM)u_Dy1tD`bmvc3fE&POr`H?-^ShJhyl({adw2GaEv+asQaQY4dx~+7q=%T=Rr>I| z*zg8IVdSlS(LG|_7A@4IdpZi7#|P*NHfTp%_vO-}a0^}QJVM=!puW>Uw9(M02D7E! zM2n&!3sY(ZTlgWF^#IpLt8og!Zydy2q%v!BewyTDrqr%UXG<9UQre}O2d{#m){H*^wpFUx2 z+U~P!YQUAvqaO$6`V<8Q&Ug~=@vf1;kISbo@b{nnWJZb%%JPH1%$$yS>E0pWIi;7r2G=sAz)2q)l7B<{V_v2 zm*2T?lUH~=xoCA|GW&(!`!Q?Jj~8m*dGq_{#a)Y((pkIMbGgR1|1|LhtJ3dk;Id00 zU(ORudz-)D%ca73kwtvg;?=ws^kcj_1MBd?k`^#2&!#L;uz38RV`eRJMG7ATsVw}T znGt)~2u`S$7s&+#Fe3cgC;Fb_;rwRb$s*V|0_9T;dAeR;H$xL$P4D$ss}K_zQz z19=^J@U@o;3|L|nwE|Fb`7#M$!4>8y2rcqVN#A91)I4)xcMGR@&ZedwuDnw+FCv+# z!@GvuQ(pLdAm{Zvun-+fjP^}T$ZtZ;W5Pou^0Fu1*i_K8@8U$7r-YOzxq-QYq}OII z)YWu>5>81B!j`W@K_D3&{m%5&_?r*OpL$4T6Y(=e1sJsCQ<7Y^;f#QIVhg)R_@}hX zK3`N#N{=Q`Rh+tk&ONm309ny-`Mtk3e-4}AA+jP<8?c>{F@Z&Z<#YxZ6P{E?!dL-m za@5QPuy-)*njX3wABo9o{U|K$g##%}!Wt|r%zPQ#7SJ@5XW2tOs+|)y6ZG0lc0;D6 z@J>e?_jZY|xuI6Lb=1uBmu6h{q-m~vxPG)%mCF0pwvSZ~>_N&;^*@N(+5`t8dxUc= zxopZmt*Y-L0J3tdo4SI9%DxK?jCWF5p|uM(!1HN?wx=VzU3rzVHFJ--0xy@qIyqxu zFMOO{9*msHi)`>ri<`TH4W8h}UhI__ivQBgoD^v1kbmL1){R%;t1nsi9tMyB;P+Dy##X8E)b0}!pnOd@3gZ!)m`OO`qEYgOlZ&+vRr^4N+MiP*V@ZV~LlDVX>*O{8n8~CS_ z3U?gjq?3wOS>#7*VCP;Qx;jCrv%)Bam7ELQ>1C9j;{C8@kRsl0#+#uK#KRaAM~OCi zQ(F{*|KQQ>t=x1OGBV(v?5$tQVVX9Rt>8o9y#khOhf}46Ktu}1hL@ELF3j1ni;#dT zaRf24WI{epiS;SP-zxCOTYlm`lbnfw6iUqQwZV#tJz+lD_YJ!#DZ63dduQSFeP!CC znx>ydmR&3eRlWi8QwqFPLc~VGk|_!bMu}V*J2^|P;0BF*y@{0x3<__YlxIkhm6V-CQ5oA!+6BnQih!s*3({iRZ7Q>Vl1u@FD7|38PH ze1FG~W&zt_u%gUFf<19-CS9{CH6w`@*ALa?{zR?mhp#1}n7#{ckf7|Spk7PHIca;z zrM1>F;eeb#I;ZRB$v#RE1?;BV`0`w1TutX|{j1`^@|bi!5IiKegoU>9Qj145wPo85 zW)ZcHGtj!^C)kir&m*hr%QSnCZWHv+#?C$llv@ z;Qj*?q0;b=X5axSvk-DuT-o0zLBTx>W77FPQWJ{i`z4Df6To7=!a-}yp2A4nC{9|so%&!c__jNeV?m@_O~(pN-ZUm(~n9S zKrYte$r)~}uh!H)NReZGtp?lXLYT=~58a#!webX5{u@?CCS;Dif|dY5zlm`Z z3FiN{GED-)C~+AF4yLh50Fg09zhi@iIW__LiBUTl0!iEXK@gG0&~N87B^Ej3O-zU3 zbPZ&{=2!ab!~UP!D0w(fctkfT=QX<=hPU18317r^%KGJHN77Aw13#>^=uWuC>GJRt z!&P0HFgL5wA(|Cz!n45Jn39K*G=L#vjj};a%Ey$yn%mCXtaec?HOHPb?~iDnKW#F> z^JO>hnQF5)bDv<9rcw<27*k*DuN!YZ&o1@mpK3CwYsFKLE|mX`+URkIe|o2Z_#flO zWIS#U2)Cu+)t)I~#!QrWcg}&L*5G{)HrOZ`Ge0pM=z3e(LCB(o#Iw3_>dTC)g!zQB z$x5mF6}>9&SZ86pfokg$Zir^)X2LBT8)Y6yb5E(CzwK-^5WM==`r|`5kk{ua&H#Xi z``wIg%8vTK|6tOlcyA~PKFcQ(aCPmi=h=cNf2qxTs{a>X= z;M40ZA;e+#=m290X_xNp{lx1iG%hZMC0p9tdjX8pEjr2-s9)Psv|71d&{CxCBbS{t z;tOH&hfdeA;5N}gPH_G)du!j8iGiQ4?>ra?>{jHOP2&{dn*&CdPT z54X{f4*eiDCAdjB_F<>T67qNUa~f){J|dL^52&wnHHEQ`lMUhr(4>pPKPNGf?ln8x zct%@uSLrQb*lHdFFeem^FzgIshcSlpxWADZh0Nb(_G;BHO-W|jqJ-l21#8g`a}vZ4 zG@X|wTzXj3Cu7mTSua;*!1+0r)BhzaIF$BJOn`Av%Ma-mG-@3u9bc~bYfXUy*PQ$y z=uHR_f7rt2sx90>E>V9)$k8S~T*nABD7>Gx+L* z&p88z$N|hD5|9*IK>;Ean=bITxu~^vE1(`J&7Y-euP`B_!KyhMhBZWGR6jt$;mkgi zDP|q=(<29(KAf=X+#{Cdc}+kib9DT?oU>u{SHHoSnq|2>ex4_x$m7DQ{$`!N3%Gbx zf>v4?f1u`=jq1O?(S#?ss;BXeQ$S0!s*wkOIV(U$?0Ai;N07fW7E>FA`N3hbQgc_h zZ7pP0K{^)}3#Jqq#KPA_zM{@%v8^G51RhV8H$1F0g*$4onvrwZEd3 zn;_8aDNUI7R(uNX2Se}(26|c?9{K8)yp^u?ul}~Xy%-N^y{xw7{P<)D2R3g+2O%|E z83XiWmN&-4Gd77i_kV*eF9LxyiIQUh+Da(yQGG<vMYY!K5qt0tqgdT^~O@aMFIXR{XzrYm;n*(zW=UVccEEbi@)ai|FsAWni25< zvk1lVld;5dp{ftWGW6-$j45{75~y-*Q|7MpSsdW|nNR3c)&k#U%y#viGFW1_`+Vph zkYMqT#3D3e&ROd4m?0P)&C$vVFSOKvmv+pqC`d=Wf zpA!Mo0c4a@LNY7EpI@(2mRo1q3?ZL-M0@<*Yo9**ywCX;Jjagpv1WI=Qf&dtKXad% z&qQN#{NnsGWUT0WEdC5Mvd=6%qj~ek{ufW(8S%|~cGU`h``L;8^Ifz2q%+@%3KBrP zTd*oUUeFFxJ`HQ*VDI zlJc&olWMNt%B<8hsGn7{UQ!2l25etlQ=woTt_?=}7pZxcRb*dP6AZVWqUKpc&_XTW z1Zbv5rJmh6XOlK34msK$wJR{`^*Z|#zh<^{`-JBcbHQJeUnOJ3*-LhhT zM^oJ}nRTydLWr&0z2YfoT|fMgk{Yj({|susxqQ~g3mz>5VoARI+DD%>AGl8hrkNf+ z*c>%KoAZ3Ta>H{le)I?PA80m~*b8^R);Ewg{P2yi3`69azeO6{vd z!dct0!GlMCY5Vo3p?60}#R>YUh7NL?USMQ{0vV{k29oB*_M3}to*UbKEyk0v1UC_iaU z;>-ncyhBOQ@HtdXbz`%Z%H~8kwER5CA~D^JiV@A-)U>W8&pS6S+ZJirc>*zxy()L$ zzFkh278{V5i#Tmjq#gwD!;WK6sdr@?l}J;j=oPLX?5~3OSG!ipn1Q zhfoaknf=4V6^-boOfWPsCgo7XLM+cTpAF-_#B0t=(Z7DjhVC(bk1wdI`8qTo3?yk| zEEZr4diM!DB@jgm6A-MN)06+TY_B{iF$oFdWZbBZ@U>Y9#eOAG+2rIO%1*=P1h|Fc zb{mM#r?}Ve7iHMAsscWI3)+BPO)sj(Ky*^JIU2-owsZOcjA35zoQAw2@31iy|rwec8 zR~3_MbscoY?*2iBjs$@EzUG3?EW@{%u}E;eM&FFti!C{O;G6k-EOmG?^dBh$^x_|Q36%A1?yF>ag&WSky zP|hCag{li=DwGDLi1RyZ@BP$XOUgRX_oP#bwV{MsSoNb=(Ug{9!<{umRi3HUCf}P8 z99yPZ#pGZna91f}gAbt9V&d+5ZFGe?SN}5Kn`#8S5Y%v%;|<(X`=uE|_8#9H!1x82 z1t#`p+59B?-I;ozCN16~pOEoLq9P;7`Ll$1pX5L0=XF4PwoZ#dz}(L#hx*$y#xSz7 z?CtwoS_3Tn%B2GGBvR*_e5`be8Y%NZ#aMv$5lzXSahfx@zIfPMpn^T8m=~e6<_BfK zP>ERgifr?`x^7Aq&7>XH;)2bQ9y-8gbX`hXzW>sM5kQa(%YHjs2i-7(s2MjGPsOBO z@jfMK=)o)NzbNi?(x;4lf|v=@!}idz6zZ;~PjIv&4Oz%{yIzdSk<8_0rGlDk;BWt~ z^)IG=;OE$_yRxWj3^BB}CErdf?@17t9!<}QE8S^}1GFT)=JR7|&}=qe;;mvh+WK!- z_Tq%X)6dK#T^4@GIk?NiwpYTCGK`Q01I1I)W8(T&B2i ziUJh`{xgbBMc`BgiV$Lz#VTT3Sz1jHpV9i^JjTuaiTkJ?r@C47~znMCfVbxOs=6tP7S|N)q`4^ z?4lU%Vyp zw8ayd@=0#?$VZmaH5c2sq*8KtV|V;sKbPxKMQ|qo$rIC&30bKKjt(zoSHG8OZLH5bd^WX<%N?pqdTaQwOGI z*CZNljH~lv(sW-b<4IL3{>IeZG~zA4pbAUe=ZAI+w1J>z+1m@C?vWjP^sSm3%*Fu0 zgH1re@=1Qjl8L4^86!Q)-`-(Z!FpmDy8?!W$dt~v1-ZFxsp!+>PTAFa?@U=WlkBoy zpzhZHW+q7o{vWIp)mict1%fOHla;OfZ^FkbM##fm|F8BQ1gBl@^1mgmm>J|L+ z2hIpB?@1FZQ94|WMSJ27eU`nPt6vHHQ!q5?(4&UOH44M`@>q0!dI27d-6l2ZKPSJ!A3=!|GiWf&pG;JR4^!jl&smjK8EO zmyucf*qVe4_D_7Xtkqi^+9gWOPI~xcF0L0FdLf5#aU;jDEDZ6W-|p$vAu&Xww^l_Y zSytys8VgQGW-v9Gip+g}iD|5CUE;pkdN1C8#CLzCHGDc=he&}z@MrH%8H7VKiU+XO z6}L8IS5lk#p=N4|KhT}5F!7?(U1|1rJmvx56U9*=EJAD%#ygL~#dJk-^+ zOgUz}9j`^$M)5y1UVxM4`(SEZHe=??|6AHT_X`;teSH?s_+!AjfTsdN{xC7~nHgK3 zSU2CZF!?V8%aND`z8#p6!ISJ?p8Px$LnnYIc;(_lgp0xt5*R zKbmplc8%w+F5ln1?mjsmvCd!jZ58=%Jm8zK+m&&3I$&=EX2j>y%!u4|&qU*7^OC&ZaJ?nx|DpQs`3l|gis^*~ zrBi1PCM^r%49(k~+?{vxn9X$nwJSdGdo&l*qjc@@kB{q~+sL>)kC-Qvx`i)(O!>9E z)cyHO7$6lrD|mog3_iF#eE*|Yf5bV7cf6je?&T%ePjO0USq?8dtD{Khzi$(xu=svx zqJ=Vlqg}u)2UI6P@Q#FFj1;ZN&vR=0t;ATG1hudVrPU$T&P%{H3bYIjw|JHUZ{=k~>ZZHg&jc%ergV{P?xt=g*Ej?4J*6 z_YG*6y*NKkQGSv>(vOrbO8l*i$2*K0sFoBmYsLdaIHa18_FsA9;+a zgHG5+R~!A`!zqkJQ&E<8VBXSxR16tGvMmS{*6ZGAEr}OqF8+&tlvX|tEKKgxbp+|x z*U4Y`YBU?ia*%iK<5&)Jg~z+M!3FF(nPUX8HENe<0suy|9Ke$3%yOrO6@H~Mc!nLV z*SAbj$3mkz|Eh0e#r)t6##ap@ zF)5vDxY*Zt-kb{uZ!e8P>nn~I@e=%ZsOf65aaUJF!hAt|ln_6S^G6{S#zV3t#=7;C zjK3z>#6Q1h2!R3ebt33Z&8|9<@eJg0y>1&?a$u-bc+XP&d=B@ERwxI}$z?voZzFBW zD)IEkp@n#JIOFS@`Y8N!>Q5DTj-f*7e#~*6L^<9qJz`wBJ_JyvDwR!OuU%QWk#chG zQQwGr|M}oL#zE3SWrC;}MW2I?H)Q>-7n4kp=+GfRdi-zhV;f4))Ofy~B1T$u`}v9H zO-*NE9@Ord8kNG=#A)8`Je$hO_&B+>s0+V1HDq)WTpYM=Hlhij$VqBqd`qmHF>fMcZyjs8&hx)q7i%IHL4shvvnzKwe=Q#lt+D_V&OtF(A6ghwePAb zI2B-Cvg~Fht$1`NqpdT8k}Aj1ui@?dgC~)kGE)sy<&LRgg}m#+gydwNw{vtDd26q3 z)z*3LKtmpea)}5(!&k%Gdau*v!oO#A);|k2rCRtABUMz^0U%1RC+_l!QMRPJTfIp{Oe@K-!!%7o)gN+W+uqNy{=L?XVF zvYe^2KK8pR$MzAB^)XY~(V-Z0_Yf-!P=MmVJg~ro_93{F_c3bxJ|GSi-W4Wqk$M-+ zf=t7xEJQjXJe^3odKQcqhO ziesUGDeDcZ?09^u6@g6CsQ)MvwJMaa~46bx#p&nBP)S@KiSZRm9)Q_91L+*tBGMQ`&M zf4(Z^(WTkRD4pq{7GA?7xuKV?c6aS)nWSs7?$PgANdW;7 z$1u9?_hO4g2R2xlpZRu19#y#(WxQdHJ5bN5O~5J94B&4%QDJf!`M`ek1Of=F#tXE^ zCD2MsjBd(>Z9KoH#C_O!uVMXhWrf}8aO;%4lsq)iUEbb&pSLI@G2=m&sVb#6ITit? zqFrZ`GjQQ-(HtG1i$!Df!q(1TJ#BLK=0V&nz1D3YvFjW5YnE(RnAlGfGBBqG6Ok0# z`mGbhWZrS530SR|g!c1<_sl_8UZ(pDDy|?4S&XDB1mm(48~DWJ+#cE6OpSfY^N(>* z%P|<^Hp87zm2?|lesGD?#)wB$^*`uVpbgdDW8U2Hv!!^4%=h?uZ(>Ur`*&71b zaBFdzb-2hR4}qw_zeUA1#^rOd4k73bH1TjIsb{5k*5+_B%8#hk##M}sl8WHB$;{5x z-?sLmJQVug@ThlgF%8~I)tbL`VlAbB2-z=aEWz6SBL0N_{|Wx$vutw+|F!-p{(lG> z@d-iqvko^>^1d|v$}|+BA519PDVN~di6tKmx1*$-=E5v1GbU7p4gg=#Le)m&$&>GF z7lXx28ErQA5ChR=`J5C^w`s++QnJnW7$8bBBxuS$u6 zm?aLnrAeuZFgLZzUd_v|+V&P|tSZ@>{`@_xm?XSB{LrxkwsFudh}htTyWtbYOr!Dc zRkh>E&8?I?8wFr(_D4rfPp3R0RxEf8?NVJS1pIhF;2_kIXCV=`L*;S^tiG>b%>sj@ckOAkAu(=*(+>N@^VJ!vA z@949tB6@jAwlio)wIEwAJ2TL3(zn&KGPV-MQ&zU^v{m(5qdGg>rtx*Dsl(O!E1R9)Eq!wp?31`!P7fOQOU9h~A4=qzqr?(?CaY*?Q@RC? z+zxE8CYhV3G0{32HFd4DRKW889F#(TEUa(XbG97BBQ>mMzj-u)cv*JH%t%?`iewbH z_S^0rS#HrQ)J1P|Oy^E-7<*GneVd;tUwd5wr-n(jZ;3+c(sev;PAik(#%ULpFSMM% z2g8B?7=9UoUcd$4Up_liRrr+}3{95+p7kJ$D)}_-?tI|JL%@7~mTssF;x`ocx23nR za#hjt{-zLV;oRscN;u`D-{E2H-wUJ{7N>H}dpeku;M*43iMOtWkw<$sd|gGc;$_MC z7HF2@g*!1@6AnX-@W7UPu0~3cu-lobF?5uJLQN)nz;)Ae5=j?qRH?^f#@+EO(ETW% zD$LAk|BO%7IGECpC47kBE%zV%N%^3B;Gf*o{zdO+*#1~9X&o5dk0NXSty+63(id`m zxVfnM5Sy*GjdT{U`UpCew$%VV`_`8JyX2f;|6!hWydZymw2QKPkE-S`cIV5xJN$wZ;A5nkSKj2Q7)M^f7Z$m zXZ+Fs_x@`>^j{gU)!_Tm9sj@khTQRA>A&zzlG2R9A2WS%m$l+wu;Tv~Cs%4d`rj$d zpJ%Nso9W~4Gh_K*5X$s<{0NZuP&J!5b$QR-;TeG2Yl%JUgkkx zUj#Ib2xzXJn7?AD|018EdB5EJW&UAcnwJ0+v}Mue;cDBmiVs&_e)jP6%~`$!acj9T z!W~m?*i9j1>~coU^!sV;f1hzh`IrHu2>ShY^Nf}h&s(4F^!;7DQ+e7iXrH_0-BACi zJ1$YJf410nZ?&Ul{D7ap3k8z}?)b;k1Co%7V?I8GFZj74edXEHb0bTq8@E=({5sb< z9JlyV-1M_S#<^Rv--kZ=-S=kGod-X!`ljc*XKGZ-rE?Dq?^*v0nkp5m|5^A`Q~a#a z*46*#Lu=j0;PCAlTf^Wt4ZdrvPC)vDM}C(3MvOWkPsUq+_I=MD^zebkzeKe-(zo1> zEJ5-^GtiH=Sm!)gv(ir&N~afYN!O%31!Fe%Zjp5Ql~(V8!s7nj!So#00iTA0uiwx$ zJ8*S7yat#v)=bdiqtE@f7$X}7E@g?QO?mW=nXYZlW@l)+6@Oj(f#rg?5a!6*4+7uT z`Q2b^rxRN>uI5F>mwugm#iZNq;{R3=DtrSKp}IY7x{yD#3|!kd7$jip*7emytYHOg z&7Ys4bNhq`rfT*e2H978;J$E=8ZpQ;(VT(ybMe@;_KD{F*0cFU(u%_qxw4?p{xx~} z3gN8iaD%H&wk}~!UiPcQDz|S@D*dZnyl=J=P19u+e#4{c&O$zlLM3UmR8ux{$kIzy zHZs1}5a?xVewjFSuI{@ZChU_$suW8F_R?){nGMVDF#*l2sVs4z`_>1`u_MVy1Pbt@ zzm9__%m}R|?B~=qxxBFAD5@o3b7nqAz;F_=(~an4ubFL$!7he)p|B*XK8>Pc`H}dQcn|$0{pi zAy)oNzc!Sas83R8=f1rDz^}QN3Nlvm7ncX&9S*Hmwi(Xe{&>mnSLiix|R95RR}~x zPkIf9@&mEVv!>R+A7yR~j?vY2H+}Ie2Dq&Df*>yM&+yDmyO?1pL;QGbu7P4C0muwS zJ_uU9v8w&uC~p~nT%8O`^#m!;=ud}gfJHr4D0C8f-9g7Up;@DqyrBip#SJa~&Kp5NW974dPm~50+BOY%fRRCuwjx`Ki_vbl zoej!b^LbFhs=mL=Ta+ztd{Zfg2qp2TXQ{et}v z^Hgqf!(Q?H)v4iKJ>|TJxPMu|Eo7&Y#NS4wfj-?=L7X)?o?51k$S%C|#C^`_aXG9T%%xkon0)J@r0zdKOLQn=Kg`0C_-jI5&G z%&CUvF2n6f^u~X!s?X0itZ=J~cHU3M?`gWVeZSp`!QbAoB~qhRsrQp5wP4BG5ax%< zhV5UoGBXLAY53;}nV3X*u+0rhT%DsgbrdA6&f}!DU*Vr6i|gDXEvyS1oSuYH5Tng$ z1z*ae_0Hr3T$}MYt-9{Hlfx`*-Qjz@=%!oe=G>rVo^*r>b*?N`am!@4{5$<~_u#DS zQ-8I#Pk+M`+xS@%z6kMyNH?V-lBr=9W)3?wPL4OU)wMM_pOJ}U@Pe?3O4M(jWQIe@ za~AguV(~^xhA;uZ7Vo|o2QcN%DG4c}Q6<8ld=ds_bj|KB1&Pa1@Ws@@t5e1VRz`B@ zEmg zOD8o3s3r=UNZg|G_HQG)gxY0CE*EKMBy%k;7*)}~jk~H?4MI@*-S&5%?Un@7n`A#0 zwC#Z&b5C8I@9Hb~?Y%AUc+7zdnBz{i#FTrs;v9Og#eZM;P6@iz>4M=h)urWbWnN0fy6_5ee_`3 z$RaU*#5hJ~S;ka(m7O9LQ?oQ(h-!=&qtkwzm3sSW2d-}m#}<)~uHxYlR(7k55g>9H zJ7{>Lt+9A{Mxvv5wvBs$^+{u7g8L=vmb~M|J1adSl*aC5(+4iL2|gu>ry6 zwO&_>Ou)6NKJ->;B1Nh9?zuY8!?C77)GA){;D_}V!jh1ou zP@J)mope*IpWveiJ6_y)UsW{UjeF>w9Cn%2PQjNz%jI@@b+3v};YWej$5dAmV9O*c z6RX*q-gN`AiX1~nftc(d`$a4MmK?~1bH5r4^j2`Dr7aUE z+;kYeY68KI17o%H@yVQIN7n@t*XO0mFyA~5~TZn_Hk=#=KJs6gR5G5;v z`}6o{D=o^>-xIm{t%6>n7H*a{7H65^Tj`NMA3aIG5hN|xV?;5SqrdhK(*d8#JH-#+ zsg;fkd_%kzZAv~qy+FL4txf9`2?!3Qg_hl2@d%-WL4?{lcm|0kb|1;r-4-L2*WZs{ z>loMFPOdDOYPP`36r_(GRJ}#Eh|owU@?puI=woDqV@1pRaJh*_x#7IG3{^dNpq#y@ zTj5|DiklA$;HcP&9g)t_cx{S9S0}I+ZN2LB@I&keHp1wLWo1n+{<~%KS7EC;eitcb z;%A5Rf2xV0feF=@5%Wqw| zo-kSUXZbCm_D)|pGe~xVaAHk@28KEzQpA-|6`}5JzpzKSWV;liDD05Z0j$}$TKK>f z2ahIeNhmZIjmM=^qsn7HVX2Vb@=ep=7gCqgAn5IHb{9%D>g=&crDyh)`J%oXULyBT z_v7&2K~YTIL?0EPU`^K^V+$vjcmAPATd*^+jaYjI=o+iK>t`l|IhLwr(jN2)B5>Ff z=CEy6d?&Zsk+(#|xF#WnsJ5?Baz0>c`C(Y7Y-)o377?l@MOH0c1sqFKxWtQ60Q*k2 z*T;@sB{G)A+YiL>-J57xss?3)(`dl0ucgfnteQf8`M&r#p3z3duam{+djxNWXth&D zsk!C{kCV?o7S}coACArRfdnRk^pvurl_vV#6K1T;8rik++J%=KK@TF_FwhPHgvU+ZkFdSk`(2{%&j}T zY##5BcNoOy*}lgk1D^Mfh#C*Lv}(qVfPjw@{dU6`1l04wH)ee6KX3K4|5b92JNWa$ z4L4{1;oNSct^_$NB{a3;ncl$kW{r+#50NuI{zrcO2B_Ba=T<2Ug z;0oaV7i7l=W2?UVXyA{#5V7=$e<8sB>U8esE`J@b)jxnOazBzc4Hz`65tir7B=P`dZ|#z6v)okFD;f{D-imw z+*l|DftW6Q`o3>UkyM5=ywEexw`5;|lq|Yc&UtgiSp{M^d?fMiCq)al(}fDGpWG&) zPuVN28;hiJJi>D|t|xa)DTep4b@-;Zt47=b_rEAw`dwS%UO&CpE-}tZ!3(qH9_c}j zP;rXc2ixi5wI6pqP3FA1&b9ZV+kdp`R))q=zWp~$q1!Dvtey4jqO zbCy8MuTCjb_n>eD{es7bi@#iVdA#Z8PuYr@d7O{w^(=khw5nTnb*&7P}H~Po@C$8 zqg2)_GuNx>6{ye5D4j`8|HTd0e80_)qw1mm#t?d2t#D%gXcZ-oo4>+HV)A?1i-e1} zY@!vSCE>UMVn@_oXqnj9)COfA7$S0;ad3*il$X?@i>rLE4+)_-JuXARms{;c_p$^L zUbDcV9$72@HD`ukt?flt7S?P&{!>L&oK?nK;_8S%CxX-|4V zDdk6ikYACjJ=sJ`_Q+I-5}qZS^Fvxs#z4kCk)hgw)2!z{B|i;ThWkFa9z!Q{w3C$d zE_uorNIoRL_=|XFmIrZaRca=z@5h-ShT%kJVz=2!ZA>BB8&x}Q&Y@@J=U#^|dItB$ zZiRkj2Gqa-+E?*-!y>Kj>7gyg-D+$foQ$-U|FlNaUIAc&yk7q2az>mH%xG-PCt*bB zMIi?fdb`dW5c6WBA_Hj;2ba#ZV#tkAW-SU;P_%Pr_mPaYRGV@46o<8RUDz|#bs=G| zpB=|3X>^5;XQHkB0_Fuf%F$%$Mg%g*0bK1KyhA)bJd~5L$z25f zl_9!-O*I3tuJjxmZ@8fRL6#4ERqvNA-4vNsKJi@&rL zomw95-dt(*(U`ACgg;{Hw>kt-Q>^mX^Nli18g(e!+EApmu#Ef~+RvGyof}+(v{KsI zc|}9j@JFuHr0{mAC(Ew2!j6RyD`A^k3;zmg!`*-qo93vTz`;?Tmau3G2EZC`nPz)Q zg^4T;2#KVfPV>ZQ$AwHRj>rxknC}C>$r=m{COM zq!br}g{L_Y3t>Y;k)v4-c!gn?t?flxTwYMUx~0?AN{a`eh99m#+#DR54v`!q0x??4 zy9y4F>MF<#tqXpueWk0D^RXI0IlAZoib}~;ODZRsUV)BWc4)mups(c%&HsmT*R?ny}!qugWQf0B=;BgAz4w;5vV@r(m$YrF-4U zFW#Armfz3sX;RSZ3k*ngWl!y=gqNb&ZN}v<2n}WzY48@>8?t((i#9`=smYTd^o#LQ zycRYv;&FYYX_}*W5vfB-8*B!dP@D4!EXB(jwHBVbpJLK#;&e_IiU$$MaNEm!6rWQG zk%N?^?d8_CN=D|{kScf$N6Xq4cPsVbovEsAA^9t>^jk-&j-A4JSUT;542225SN3YW zq3yeTHO&VSgB)VZ|5h1|8$WI$Eqao;qN}BG%MQ8lYb4}Lm^4w71QkmIgYVfylxI3R zF4&R}Z=aB!gnCbIpxCO763De-N^&+A)|KB*=h7bFp=}7A!bu_%)>E~{%tQS_<25e_ zrv}W)ru>bD&$7Q?RrOBHjXGVw>@e=2gLg=cCR3vh)o(G_+D;CjizyKhDSmJa7`Pa> zEnaW1@TT!Z=ICa*4*PR_L)Fmm-AwbZ_mE(IKuNOmE58cz2)0@If%?&Y-Tv%JRgqZf zqGWM{g*yaVHGdllW~wX0wH2`qSgl|OcG}Qdyp=owD#kqNu7Hocup#LrVGU{dp_9_Z zo6(fA476jc1K8>_L#uNnAVohIex)hj(q3>-xcZ{h3(Lg#6%>O)(lpyFd9=~#!6uh; zcA~^NG0^_aJxVmjr+mwEm6W{w2h|7Da8_#MysdXLbNI(BVcLKG@D8$I>Jh&^oScRt zYxo{kcBrV9A>ZNruJR#u!Q4GLf-oUrSWt=_vgj!r&kWL|UYeF!k)w&rZ7;CYvm;E# zXjN?ZJuOq8%GvGgcR)lu3sX@Bboa+sr!sAYr!w>P>hntU=Af_UhcR3rw%ptg_2?jK zv35Nj)yOJbyudzw)!zl9iS0)?Q>vnYX?fAysC-_M=vJe0Pj(+A69wb_h|{WO>B%^r zoJ6g*1&2W&*1m7V)w;#j@S(t4h3vd2xt1FL$%273o(JwVqihBuQ$tRTQ z;Pc^cB#H^lxbeeqZ)YzyXm_#fkxCldrQzi!A4PaD6~VcuN-95_@liw)KQIkuVM9*w za?w_$ur)T9ZIS1D2D%<#Md}IFFDbUEitMA4P?q`S4r32}eNPHqZPj$fPFitMV+gmC z9?f3n6SQ3lvE-k?{5^1B;dbElWTGfii%M1F*%z3j?J7xDWa5k0$3wFesszyF$!Q~0 zS111sWKTq#wsc$n-;McQi$!Wd zM7Xu8!8$!sI45bKtE3W|)_eF9%G4M5i_c24e8ov7F2>Z66J|%qG_p6Xy`XUk71*ok1l-zS!~*X&_t zds<9o)0$5nT*miMTwl1$1RJ+}kk$Ns($vOvG{TPMD4Sl_!e+a?I0S?$3+AfH>&tR> zNS%#$y(%y*4~=WaHG`q|0K9Ia0IV^Z-RMBZEzvAI$+bZZ=@9^Q)rKDL(8OV|c_6$V z#N$QbkYNLo(C`jF%rhv!rckEsCGduffo^TOvN5~D_#8|_kABG_n`Fz&w4jeYVD-8( zV%cLjQ?AG;_E(Lt+Q~jvjzc9jk<}h4sU;;#_h{T6V>=2>>_l)fuJ~lE9*IUf3@e)# z+n^~qvFFymDLlI%M2_MEdT!_|BiT22*Ij92pTMCHP~;am=R+@ynn8zeUMc!hZVSqU8S%9s79ZBB-AJ zzE95BFvF)TV4eT&s__7Te$LMJje#<`(6m`p>?1A{Xs+2?M!p0^dMxZ#;;g+fgpKXcVH-}SR>DL_g`>`1_#xHu_`eh*rR7Nc&fy%uR z2vkn*Jr)@dqxHR+{yt{b)xPI`etY(S&y!;S&W=E=e8cJY*|5JPZtgyGm+q7L3PLz@ zx9t9vBNxrx*%G_x{Gxl^NK~#}b8+7L-#v2!iOOX`{Dqg=?uIPV%nNT@cF{WX8xpGI z`F}WT&Pyrfd2g3pU_LxsdH$pFH<79w*o#!{ znY!}Pp1Diyqh%v8_#y4dBs6bW3zhp3N7TCJ0qbH+D^kScr*Kq{{_Y=BcXxX1IOPSq z(BZic4q4HaDQ)ODTZfAu&bA%_U4vVutG^V0*-t-T@Mu=iN=mr)-RaZ1l{ctawtq~P zzR@V0(WJk!v6au)ebRK=xEm^x&+wIQ#UB+sO1wh)5{k)hm@i2ZS#eIo{7JcUq+v0ys* zpzq@6okcaTy_u2uO1HLjmMS)Cwo6m^ygDwuP)|}J;P;yo+Kaa8(M5^;o8N998RqaO|FS1H6DTRASThXqyNJ7G2%e{_7^{=2T-(%a)FUl(zdeW59>X!UWhu5dzG(#@-}MN3H8L7$oY} z$9GA`XIN`m3}KMKR|vqQsWmM@v%@*6?C;=MGI9ue;10Guj#Se~@xGpKKD|`xnH~i) za?HE+2%$yXg!WsZE4pRR2lIoz{_Go&DF)knhV~jGCm3oz<$tD<0tIy;Hjp1}bLv(O zU>k~TWgaR&vBwjaD_+z>35>?}K&E(A!`_q=i3B@=^@Tr?Zqmo7oMCQ;kr9ikOPaUL zT-JP4bU7hr^= zeE%qR@h~Xvw>^G7Bc{SH`5raj)c(87Myl39(LM>3LX6td=iy%krKL=DDEs^K>Uu_G9}pZB0huS|743Andj%2u57D(f!tHxf*cwrGk<1csRjt^a zgw@R>>V(4%8E3x$&$00o6DmnaP3zJL`Z{_;Cdm8fXfHWs+bL@XVI5oG0yYcTuRo2o~LXsTwe?mdlm-^EJUbQGrzTCC}a8J zOLj|RaRb$wIeP!W2d=bkMXT{SxM1a54A(tb1v{Ja@qH?9OXGt#(*Oy-N4`5Qt8^yj z(_}4TLM&6$M~X`U?@=L(YFrZTC8y{>^2GU7dIrD^ciTxcjpVr?$!`TNhnq0?gYe9i zDWetxUy$~}fd`h+EfT#B6{gTN6P%E7&{;1Tqom^%okvsGg*G1*Nk8Af8yDA*;? z+F7j1g@UAx^h0g$SVl(gjj)ZH`~y@2C@VqavjzYegM7SqS!TJiNWOfjyX5y)qQ9Re^#j4nPl;AVP30P%@O||t+ zDpdS3JIkC=yoUQyyN8kA2;IsDkfu-T{2Tf_8jUnDdOA{>cUfrUMep(`AYPC>di^DH^aQ( zOG;ioN?&zzY=gH1vEXG@!W5XFQD!ygbjw@$f%lyQyomj2#m@?+M_kvzmAh*lfrY$3 z_uSkrUXnHiBgt6RvGl}4MZ0YI@Ne_W1N-sHg3AjRpbDCiWL2yo!ciYnV|DVjm!a^R zY*KOJ-YRW)N3-CC;W&&12noKGT`0z^55jG=SLm`b3Kz)`KpcJyB(4%e)19H+&S7iO zsXd}fKijBWu-zm#`$;!d%rv`_Sh@HCQY4L?^;Sv|lcc^VWvDj~l=F^insG2qPa}9A zZQ;&|EJo2ZP74p@GArJa%KrASV#>S$@YBNvRv8&^RZAW`aKLNTbL@yIuJ9TatHMJ0 zvKNJSamh$w@PM)7R39Zx#~GIEwe%WqZ!K44XNz?^2;c>=KbU9Z2THFXMe*Az&rvY9 zzQUgAc9j*&pj3F|^c_!+WjimZ~_+o`R67lU+WZfFJ~OBiM|L zsuV+PEP<40*o9*`v|O10#!wo2Q)s_3;}ouovlgo6pf@0ZD|^>ev({Diw>{t+6MgFs zam}C!fCTR5j23;M*Li*BLc(P2AH>HF&zAwm@yuoyRqM>gQhA6qzA?zi1tYrW9ssni z#ADSs$Pd%Ni*&fL6_S(;5xE*M1Zg927&{vFs1}If=!}T=ZW9L@@|8;IqKoC6`sUR60++^($-oM``&VjXu?uutZW4N8H zIAd@oqtklOI{G8jzh><%z|>lpnqk>M&4+jqLE6FY!veZ4v$;o=KdpN7ATboNNn_H( z?=2%dm5blLgoAIGI3``bMoAZpr!hCwll)L_W%-kjgW@^6>OpE^ia<(pnQfy}@>V z?lDF@dW-tgVjuU)M?Zult$NrmcXs`;SGgckQlg7vW-F&%yk@I@)nG{dtY?G`**AE} z6GJ0KJB?&}JKf42o$M?2Uky<4;lA?ld(bsuF{&o9*FN6%TuY}Q%X`r_UgJV7o7V8Q z?=N4=#dejh5x&woZH05jveRjK^WTP5J!ZpT%!Yw8X1+v5O;B^ZdL;zR0YsRAg zSFxPAXvZulmQObOMf|JU(vMVI#spkFFy{B}s@VYn0l(ucScWo@>(l-v)wcOJgmXTf zyK1z~*SGrdd=*~NsOT3IZZAvbugROf{`0c$B46b7zv1KiiFo5zZc@R0*Sg&F`T^I$ z)e}HMY6Y|Q`Xps=hQX_xj!dQFT7If zn7=)C?v~7ViGI0kqWv$|zPpiJx4c3;y|DP;;sUPEoACszbNtgi7lcRs{ci3k5$>xw zc=~31r|3Y}^z)+-)&Z}DxzF!twTl7xRSBI#bnC#+lb>{_wl zPej|4b*}w-KsP(hFvH!?1Q?qhgfY~tM0K~~gFjYK(l=IItJOue?0cBM``66j;{yew ziL5fGb!HY-yOjMrx%G)$%}fTOpZ{)qV}Aqm2sK^n|DH+Re*ov`G>n4tmNj$KdkLQs z7)S74n$ucS`2?uai(=bXo6`Ga!QM@E(@4>t$-eD%`+U-qX&Gv=6vOJGHr|z~;f<`q z8)QF4uNHK8n#|pb&NW|ub?m!!e|<=|gO_@esvYiS{IW9vrP;8yTfCfigp%q$_}*T; zb#s*Q)^Xzvso5BLz=pfZ9=dkv)j#f$y?TR|zR1*y@T!@=%CTLiYsb#|zlUO5ylPJS zh4OhTh8x>SOWO2(;5sCWHiJ#UBR>J0hp4mLUOMB>GyuP&jv%2=v>|q#L@pyQQErK#6yA77qr<&`#rH80L^}`NoIxrnm_*BZG>+H=21^Pi;nGCVAj;!P`m7 zwHK#3luV6{)2NGoeoT^a-b_nlhbnz6rmlkh!cMKids7E<7sf23ruc1pJeu4Nl}Y>D zgDkewvcLU6zXi}MlO@v_la4|LbdD5a)oXSTwO!*yrTKx^mE8ckqG zDuKT$aV})!Fs|a$y2sW`>E^Eh5r9vCE4e@%tT+T(zicyE6qg;z+^P=|D6u~?S4Hf{ zO}zR3$gDe1sij2P0>e&$h<;KE@NF@rc#;DVZX|64m{F#!J&O;qeiqpL)JR0Ova$yH z8?8r9^mP@YOJ*pN=XX!YbSq=D_<0(RygP%`Ol?LgmZ9vE#(ZsiZv!Tn{jdSIbe+Yo ze?Y*{qUdnqX8=9&fbiKAg+B1S-J!o7`HB`EZs?Fi3hKBp038x5W0^|fl;_A`uQU~D zve33XjPGZ!%AF-S8w<0oWu&^gwttQ^Je4}Z$)RJ#r@CdWfo%N`UmW}#*gY*>*Y8f3 zHYA_Pr$vrdT5dd4^^c*D1XeLcFSGU0HB16?-PT9UzqHcfys{|H)Nv(9KX_$)U=CT9hB7&beQBt1@LnsO1x@-_ znp|#P1XdhVp0wIe2Vf5DucGDHs_D(Pt_#BKf_q45MF^5mgv7}i{MKfwT9`|0)PH+O z%W?N?R2RFN8&w;7RaHCe%;3)<{TUCtwMY!h2EiuAavdz5c)6_O*O(;q+_V(U^#W0= z?+;R90?|%j6Qr_+Y%d0!t&aCzJN#`~!_OYVt#S`o_#h28W`TtdcuK)3LoUGDnd#@_ za$y0h^wD!2BR)eQY9^+sv(@l@nBx}r$UueAUM)zr;0#?){i%{Eo~_K(KI%?vW{T!c zKQyky+g2*ihPqwuc#cKY%K2M=0E&-NV}Kz(R53?^ecNO z3+JW)Uy)s2D(ApOEloO3-^^7q(VSeSTHe=jnH9}lHEpe0e0q9;(#1TZ^U4=Dqby5U zA`dv2WdlSWsM38IxhS0DZIOBOeMVa6WgGb_c3$v|>R;(_HShAka45Yi-s_W32L6ni zo8?hza94Erj=BcFpOol<*mUaS4pGt^Zka4QR#hvjl_i*JfNvG`MT-0z7#!LM_^)}~ zTuWi#-CEQ8J%|oA6#ge?$}E~}(Lvl3w=y-SVD#i?wHKvz)TuL))eppYdmYRs=Tz|= zHNj>Z_~#=RZ82xGo*X*3?68(FK*PEU%KN!iXM0lK@GGtPuxP6PjRR`wIA=^l*90E+ zUK&tW0UtP>Orrx)$0V?)?Qpb8*HbbFsJ)H61OslMmw&8jF!q9Ro2xDsr5ctVOMT)E-SlN#>VGEejBek9;;^j1{8^=(rf^n zTlFj9V>(tzoX>`G>QfPLTlX`{Uex6A^9E_uKjC3Vb*ZBKODYF%^%JxS)^vl!+tYc$ zc5vY}Q-1f&S?A`A3){{*x??)4Vo`&n%?R&0Uv8LE;2RQT#yLqE=IP%$28@S8PRX!a z*4!5yi|4qVh7onqT~`*B{g$I(*Wu#@3tv^za^B*bqkUNVaNT%@Z(&yEc2x+?vi~@c z)g{0KM|hCDF#O|wY|(y0VawwbP9jw<-VWDMp4kT4V(tXV!9Q$Jv-F5UCr{65fzy}E zZ7!YCV)9JT8mC5ciDn7#4>Zf3S98icq&)?*9TWE$xpj=Gi*@A>c8mJ@U(Yi+huUCp zO~41-Hm=*7h{7xN*4(nAR)#lNPko(Iy8W$OTBw4nV-Kr5#He!+K_3SD8X-`t{4})H z)iC#klb$eaqVgR3I`R(b&b;+Mc2~)a8BbJTWL!Pt8F*V~zAy{ES3p+@bM^ep-xIIv z{NIt$@$q{^J`C`EvS!A-fHi-an7PJp$8NMuuA1@uY~Scaza5u+-}4WMdhAz%;c<(^ z*XwdE150PNx@MNoJmuwI{CCFAU!6=|OT6FW@I{-KjA#~e7hgQHjx+}AYZA4R%FR_}#pd=oUp-@*8L{)KWsm#<{>Cwt-d zJpSc*1@UuZ%|r9YlNVo09D4RY1k(!(BbVLfkm>RH)mbmqEvWhKnNOG3#V#(eZ>}5p zKVBlM;)MtD7a6&`Vd>`j71ipsu6ah^kPWIQU-SR3$8UvreBV|#M)~hBsa}`+zLy)< z5Oo%}2=(jpmyTw^>OWtc-LOl(WV2(F`~{F6HVOR@wQ5c^7KzVpY~s7;VJjjfb&tC| z-i+e|OUH$&(xWFY_73Yj3W&#-@F(>Df8sAbt4-j%0D0GTswZs&D+>Mfis>{K&jN}AjOHM8h(k}upqH^ zKt>O)9|QorNBA^MJ?$4NTCLgg$VScm)6^&M_NKKprfDV;P?*xDb)I5BA--O-_9R*? zq8Dz7v9`WtA(!X@t2@9!U*5k-n{AW5*rE?Cw0lE&9p^N8O_CE)v;-R##|gGbE8gLe zc)fR!7n4fiWrLeE$Yu5sFm;0)qNrK9-ST(Ve7Sz)V$Iv%Ys;2WpI>e7prnHg{bY1G zJx`jEtXl!H&l4GIyX%)Xpm_wYl+l+5jZLg_tiAYPCwsCr_s$ynb4-(X@vq@BqHB}z z;`7T+^f0xjBnz-_Ud4h90$))K(#eBo+{-F-cj6H1P%%oOp4_BIe1~FA=5R9*QO2n5 zYAbFxlsXeZ(UAdnfG%UFMLO9d|3{Zgu^v?~{2k-Gmktb4B1afY`DZtoO4~S$Y;%uH zwSs3VwB+ugl>?f@2P0EAC|+yl?Zm7Os<8^KqTe3Jbkir;+}ov3AW-;w!~HbFyJTj5 zdcW)aKKcAtz{TG0YAorP^tXBEbNQySnK7#3HYEE!_Q8r9wvgN1(!k1=OWn#2M6Cy@zba2tPsUVI zQktsUZ-moGx}{r!=wm%CwF-=D!>ZK!4ABz) zt+}8LelNu!#3M8WJZ#ly`fx2)UU=S91pWSH>m0`N8!%?a#kGN zOI_Yj@JU8(Ol8M~6jCFDqzkE}^k1#<|F+VQ7zuJWNsetPmM97BM}BK8ff9!%uFcVO zUeG3x3JzJY6-Z&b8f^Q>9Zpa$C89f5r}|x{g10Sn(SE7UW3xjm9WL`joD2`2+95NQ zd6>I{lZ(na4$5WZC+S3Z2j%trus)1|LnMEK zA;#G-=YaQijb4TM;=Yk{mL;2q)7lF)&kiB6aY_=H=Z0T+>|WJ&WejF*kZYC6r0(4< z*cMspjI;NR%p8Jd1ki!xUuA#W^@JpD5=8yNN={OLl8^IVyKnw{vg=W7K5vmFLt4D+ zjBr^UGV8nulUuiPle=5&_sHRnC=m zXL2b-K~FaKQD>Ty72Qdr#z#j!3cp8f{2HDvNbI8YR3;XzoEeJxkG5UaudH;j(lVeU z-D=(LxD+gI#_3O6JHG8@0&zT-2~2c#YBE4ETfLj!Pv3Ga5R@_Xb*GI}NYqG+W@8@KhT>Ec17%WJ&kt>6KIE(E zZM>1nwPfUmV{i{LWF8qOlCX4;z3lBOLH2!BG6L5&t+et)0%QdMe;OM<>>GOZ#RL*5 z!|-C*sQoQ?ZMfYl=dQs8!_h*x4vN|3G{bNw(55OH73iC*z8H`b28k*bS!I zt)Vym;=^q0{E@dX9_BUp2+iC*}bQ)8;S=rIgNho={ zoSa|XZ1q_w+vR+OqExlkRrV#8By!`vh^0d$QWpSYKqAeiWoml=)@fJ3lx4G#t2?5kK=;Su{83-jz$0 z){^R8&QwFufMOCAqq^thFPkoLkcCsS5BO0@Ox6ajAQbY*;C;=|h+vL6)U{w~s5=0A zfDHE8f!IaclO2y2Dr3BsHsQEoi+y-Cmw4H|gzEMZcm`piu%b|n7{_qxGnOOcX6`CT zKq_ju1s~d7=pj;@+0)%;D=Fo+jROt7()p9Q@7&xa9yq6O7$YTHD35`cGMav*yAJdd zbJ5Ox`|;wrm1Fc#Gs2|hs*$N0s7z&8R$xwzk0xDJn zWV;svbpRqGiVTsZVL1|_LckD`C=w{UT9%MunH7YzRiw;R5Reh_zaRR(@A>_Ejy;E- zQ-%19?|om_^|@vnZbui#cZeXkTLdq|y5%QzV;lrKFLZ)7J?Pmj?RgE=@%} zd*um>mYqz@#xAtm1IZ2oHUg<6U(mBl|DYgeVB)Cy1?6loxkuFmJ5Pn9-8bBRy?Kj$ z-G^Mea3dUzB<3-kUEtjhD4m?&eY4c{Grwo6$D97x2`&V=6RF67u3b*)1sX%P^0*Xv zIU(!J&CrrEv_WuIRxqT3JiVH4V)wH`R-;p+Si$nxWUtGsftVN&ERR6Gr=vZqH0(mS zm(hUi=#Fs*uW!|KuzZzKv~(DC?A0_-U-ynAaEhXF^xs*B6c%W5c~t?qn3%w86KOu+ z2jis6X7^g>)7K%qcOLHqo9DHKFDF{v!fZ0G{Oxc1A3oQ1rSmwZqp!Dg%Sa~#E2M|` z!PVwl`CcOz}St;OEH_IaqwXps);;C+Vxo9`ossWq2aazDxnms-CTIUgOY9gbS zaxP5b`OwKvSSa{~4=Xx-N@?e#POUl7H~l`^=t6Ct$iGm?rX(=R;=~q${2@Mzh}|E` zCvC8$4??nL5IF)+oHo=duLg?qMHLI6)Gi zuOoq>u#m`L1o{YH`X;^UZL$*4dEL@ZP@wCD%y9^Xh-SkdJ027gV)N7{${{Ooj|u+p<1_r4Z^hOL1{yoUJt-!obv=_&{Coy{YYRf=1|;wU>DU=4 zbV1@({QNe~T5om?j{!JyDCJ)7O1GrXBgcDKw^fH7lJg&jK2pyRk_UvJ>Ck5v#zi(E z>2uRazg6tE-fRuhdh!6D4hL<+976yNZ#>`*+F7N{f^NNP6qIsAYXkw_7 z+`@f8zmo&V#E&97K}t7jj1jl-S#|(O#07INWRKk&J;=?+5B08pX1I1fDol z{)*AiP*}r{Fa9l_&2#eg>|8(62Jr&!U{53fMPfEV;EK=jZpw|UrrHMQIdQwO`;m+x z^x6Pv*DMB;$HbY&=2q-!CJ!REeTwaDZ{E33;eVXJE1>%g{~4a!rZUKwj!fyxV>&#i zRhC1k|8}E*&G*5wtSfK09w4>~bNS60~-!v$9@ux|-#}AH~u9R_K#Jrwg zi3-CVX?!$Q;QzTxcj=CjYN$JtN#XhiS&Z~$ap+)w|UEBM_oQ}0o?E`h2ZUzh(q z3<5y+y5tnzArJV&a@FV1m^QiE+OE|#;!jo6^^1NrGreeo+C}IeiKsmngg#qQw+Kj| zLuCho&>7j$?kjaicGRD}h+|CE1QoB;6+xT2x*a=YhBvr&MVArk}$1Wn06+W=Qkt6 zIh|ti=26%Bxr01Z*x3P_C4Pf6;_4-n=Dh0W0>6*x=UZN#S;OYBv-Fw5VrJkb9S`=9 zm%CQxBO!CLk(brbDLxyM+}H_il+R(|(A3#(%p3B@CKom)e8ZC2yprq6`rx5+g))Wa z)JVz7QY3){XSaEE>k!{~THzoj7e_^)7ynn=)1g#ztiOmu|CL~hI7BUdf2i&vt z>7{T9?0;{DbxFIbGZumKRTwT7$W^~?VSY7!xAw!+HQzt;4Rql}Mfzu%IPM~gKLofEtgrI(N72r+Ii01;d#wCXZ09as zFz;0%DTREnZRXMP5gSV;WJuZ zeonK9>i}LE*-+vJu^K57XkED8wKyC4-)8ixrdN5pWspYWgau8DW?pMmIn7|h}g zjimzn*-;64T0R>TP8a<8FkhOD>qd<`E?Wdn~)_ZDL2ZEH&1SwTg4to;~`@m%< zR!xvQq*dp`meBw0_(onm@t1ROE%0uq^329 z=2Y3hvbE=-J#3+tn70!;B1UTjMSlI^o!e}lv@l_k0@Gp^tYJl6#6a4|6lR-{={Cgo zi_C1?*Obi_EHPVSo2Uce+X1htgwKpdjahzonbDl+d!Zw?A#rk;-sPJy=id(x zoSrlwHq!GeeA1*OQowv(O@+S~(r_y-ix}kXqzia+SBC`h6N0?3*xJthbY`uf9#X zLo`d%8i96r0Y_QX_15!WhiggK=#I+S>HH~35>)pg4u<`UvCR0Z9NQ-EE@9fX8t~|H@ci(bsedVS2;&HJ3axoXbLQWV!=ljeLj9~ zZWTy{?Q>LpdJX^RvApKn8!VdPP{r!Vm?Mdn7X}}unuR52E&=nVm?dO?9D#Fypu)yJ zo9`LJhEh`ZIYH0@1aD_W-k)1o_6wlI=T%TgUkgfvRG@PRkS5G?e7db5BoxkSxvAR) z5!5yz{fyuiV2$93P%=2ZQuj$if+t?TA31h&D2~^`wT`r?7wevaw#5igip1R}q<_Vi z=J;<_`=w$D)zN+UpxhFKFo2TXe1~~G1F{SNY3TzeWY`ebCR@ly3laLr=%k>?!+s{J z`Qu>E=>--yX(}cb{0C5E8w~^vvp|+{B)y#x-JCdH*e9025)!UX%ethBc%@l0u+Sk+ zI%KgzaS%_hWcVW2oTk0kIA51*hKvMDS(D6f+bg95&`t*tYlKN+*>K0dc;6y$;UJys zEdAFx2Td2T9^F8@qB%yvGdO0jl`*v#*WoR|FoAk%0|!U?>+&R5Qn-LLY!lHfSEU{| zwfOfWJXE+fod(fE!lFU5j*s5RBW()KpWM12nuu!C7A~mYlv~1TPNmlf>Ski$$cpSQ zz)}(d8<$R`=oLnM_p@nl%_REb|2VEY7virJc@a{kD*%|WAc37g(Q>M>>(^O&C&2qb z=JTsgl};@2$}`~mt8RPfbSa!du&kyy_CyL^6pLsYvHiAh1H!bThguk~d)8&bZ&5s+ zuy;}d7o1I~P<>oTsu$(>Re(=@o<()+8xrJ;|HX`Rdj)EiVMqi3mO{CR;0ovXq8&8| zc6@RaKD6G&hMTpda^M)lA^_Qr!-%ptTuy=rHEfx|q#6PNU`a)p&FnzVSkQ8)vQ#MF zIh3G~TWrC@NP-2*w&KC&e&o3+>DFHyRZm(fL8?pGhBj@r7rkr37VL6H#zD43O2UOY zoJIa~KV&A+;xD+F3g5+jOVe<1%a?;Z%PV zk=voVV&Zy5w1|lu`Cu*eZKM-+&hb|*X%r)7v44S+XfW$$Fh}4i0rv>?_dj^1EVyp_ z>IB6jvlU4LqDUc&6ETq06%5;yQX##40r*4}<=E2Zoc?)MS;XNv`1&xc%bxJcmQmqA z=%IFG(C+X%=itz=c30p&+DdGyuH(5K4f3Nj)q>MdF5pqCkZ1TrK1xM=w#- z+b^$$3Z1aL@tC0yHo>#{#a#6wRwsOLDq>G^;}@x&O_9y#qy8R?P-U_BuBUOSt&VUq-DoNP_KS9QIRhpn0v2z$XX98ruI*z*K0M!d{dfLatd z0cQTIbKuS5y=xuf^KD8`q`rk8u11o_>dcd`PJ za=hGABru(WCjy)cw*C91v&$b-1CxC$U?3TJa)AGUGs;i;v+GIvQvL3QGWE-a4P6sp zZwm7FMDpC=MLAZ%&GD+NNK)LG3+`iqpwKO>uQY-mzi%UL=r2h2s=Ie*E72!&URzew z{4QOpO~eW!b5_w{sqcz3MT14qD>xosyPPNCln#eA!kaAmW~lHP4Y^^ZIqQ(a{y;+< zh|Zv?fGaBya>(LP(wK~YRX4c$lklw|=+<$@T8Tq~f{E9(O=e3K;b&o~*)i8M`tI|) zs<0@a8qAbAil{|@Nrz+IdR%)v1?T z$zhjJ2Jy0TGBEnd${#?Td#+aWJQj3W!fKQAzcBax{wq(9 z!qQ!rK390ojB7Nmd()<$5H_#Z9H^Bl{HMGZsjX~b;Whr#Ahcm7>l)TM@0;aR z8TzcC&=(e9!l&)%)LLGl8FXd_(=jf%lG!i37asWa707<0vmV+HC;CA<)U=Z_#*PJ2 ze!bI_=x&tIH7;QI7~hq0;5{5W+n87!Oagud1IU<5K1+Dm>Mcn5!WYbLV9q4H?O@aG zi?>Yb7z;P{)ak-7^vUGS-rLKpqLU`SY4bWY;Lcju-oS|{Wyz5c@e3R%F_LPY*{-IOvmaWRzZ<{nU%a1kIrk?X@4AvH}gHD3fAOLtfQv3BDU|S^@sZ z9wc1|60F`S5wi3H;4H+8Hg*|BO1kqLd_V;UIc(I!hy)rkJUE3+&#LsrOMUQ&-!C0m z)|Wzs%&3U;#jfy#x0^gfa*_|NmrHV=c!1C2(YmaT@!CB1>4n^eg*LDjS5TE}XFB(X z!STZAbZ=72^}TB|AsD%o{++HqR73gJkDN1JQ*1Mv^6J}!1)0cb11r*mli>A%r+?}! zub>Rh>lNS&MwlME8sq6;KimZ`xo+E9FOx|BWls-SzJSGZv&-Z^ZG=hdI*MWWh#Y|r z$`#LpwkegwHpLz8oSXKA#o2ODE|y<7F`MzDHTzMsCw1GqfT!Y#&|a<;nK zqJ^<&)T0jqKnnZ z?%o1_>(}fznAEzMgi&y(KqO){(9nvG;`Ak8Ex9zU0nHQ7S%{V*U{$ypMFOJ~QgtwC zK+1CvKn4-D4RPbZp79tLmT-{3%SqV{uY#IIqlGJhc3L_E;6)~w#9dikb4)Ov2oPp1 zBu$iS`R+rcAbuf21r}Hz77OQ?tyvOVn3$O5342A5zbORkV0$;Ed`ZxKplw;-%8Y+r zK9LJaV9{V*85jgpWBE;&>S+#H;4~nCn6^AS6)*QzHpL5A0N@1hBGn{%V1pKWjn72NK;1gufI_t(Di7bt+E!TyRgm3~ zMV*gw7rq$TD-BwfOQ9uWphV$jX#6>jM~{>Qft+lwER4u2pjC^yW~LF~hu{FLw@2^p+(CP0#U|!&89BgA2GVRmrWcJZnZ!)(2Yv4;v}P zh6~_dFd|+U#VzKU+NrMx-$qhaNSVtX(OiEO~(Q+bCI^IOI((iD-}F+ zu(UJiJRtt#?KY&DVu4z|aLG)_qzazXrl8=dA`KT402X;ZGh5hj$BUt?A7mzAa*@X{ z*!mHZs({%_N5FnS@yc#Yp#@mbVEaQ_fIoN6XB<+Hi}t zQg@z3bC^X2x`5%roxSman-&s`D_#pe9j;v)G7-#UQ;pLnE|I8lbo;;OEx=}pIBx-m z4|}eXAPE$KAYwcMgdWH_ZQB(};-t?lFeOxnp3$Xnc6rj(KxUeBL0D#P>nkX#nxy#l zECFcwuWa6Vs4S@9sZ-+_E*z7&+8+n| zW>SPR!r)xqKA${&LYg44aW%L*z1CWD| z8+4{@tz2L=BphQJlZuxanPBET8eKk%jER>1!3kYefd2x*In;2<#ZF}`c?6dxEK+vG z45h~REWuTHnQO06Exg9%6$MVT3d<_^a}`oaf-ZoUfyudDlr-I!uvZ-GB`gh~IF478 z91k35dbO#Ko93Al9Rw#t4oE>+Q@*|+54$2^DPgk-{9sVAQZ*xKI64B{9guK6ii{$e zz0(D~5GY(=@R*rikfJKF~=_){k=u%nu zS!r{s`58Q}B$jUjSUL zZxL|B2e@Q=`qoM@LPILvH#Y*lO%Dj=uxFsqlAbyzCgnE;aKo#70n-rraYcD|V8n3O zRPju6T*qh{L?jZF#NU=vFRvTa1ch@IdAn>R$fCol;k1YEKRavUO{P546Ig9uS}emN z`G58fwrrAu5+%OebAU9g_kD~v+mCE`F7tf``H8H_+S9dy4C?F`ojxS@(hzqn>hoW$ zPUZF7=GNNQcw8uwGJ&$8Z^*rD*_c`c(ieOgjXWixNU?jwIQsEO{8f>Si1qdZ<$is) zn?er&VEbJ{?)pQGx->RwOwNB^Bv%yyZ%z0s2pW^!(snAZu4%fhJO1YMmxA335pRD3 zc}^t6^j5$c6y~$*Il|(J+496d$R;yOsZOW54aP~;Ol z#7<@Jt`Ir|vd8(&?t?TZs+Wc}Abs;kk^8of;s}kOx_-+guZinL!#ga&)&>o1tdOp4 zZ&}EOL6lI(+&hPmuG))&NlYKa-nP_qhv}Xs?dn2qJfB>->De!7OQX94>xRlAdM3sy zuC`_i0Ekoq;7Mq`EGiPVutJu)49d9?W>K`Pw)nl5BK8_6+lk=qVk6}f{iD+Na9Med zf+&hjZ7UG&JrEcA6)X8w=kg#j>lyK!WIZ;HZ#WDTC6KUX;}Y1kMuL1WS0ZD1T7K<2rVu4aUtGro8(o zbS4=~k)g}Esel*PV8sJe^^|;w%(erHvUqvJ2h*~K%dI13^3D&wp`GO&)8&;nw4;*= zU*(gPTs^QEklwsDkJG(3iPt@<%+SEFl+)C$ITt20t%o(gYB-5spm8}+S=;mDKk9#j zcR~C&YO4w$ta^SEtB(Tlzdl7SX2oGBcGMfEYE0ah>&#db>C19s@nC!8xU~P(R908^ zuK&tHj!6*uVn_KDQ=W!QbjF`v^}PuB`BT<)aNMqtG5u8SQe#-$g+J0fm-9ZWK5z73 zO(UsTE7MmWGptUsEu7~b^3aG*`+!=@*8aUsyHfYLKz_G}Mm+ZyqaRu(C-{ty{QM>! zyESyDkWs|XN9+0X8H8`_hUUL*gw+5xg-p&wV=|o7|Bb(cSQK3jamHV%;KdOy`)|Jp znf~z~Bsob9;9Z;cZ>lnAVD(q>Wa@D(uS|5_5dW>SBxLfvdt@qiG?zc>JW`h>Pvc>S z@BL?**I>Xje+4cH^ZzKfI;IBTtw9EQ^1Q5yj2c?58?C=piru_4jNXhT9FWL+$RD&{ zq+_1Ty9J^t5oHU?_E=i642-@eD80LAgWr|j8si>ZiorSW|E?Zyq?S328>egBGm}*i zF8h;>)~4vO*Uu>avqI?~)oH~|uoSqsmWS3;{f0XTlwy|pYP2Kmt8%)gjEg*R<#W|P zu^BxC=tGt1birb%*i=#$TrarQRrwg@ifLut{r_NZyKeRdZrENlu8O|a&mNI~j)}px zY#beX6NRe{0}LACJ1zR#d9EjEf7M~-SQ|Nfdzag)E=HCT$ifo|JN=guv}B=$BMUvu zX|0%9WY;(KHWd8akfQuS?x2Ao_1Is>a|R##?VS9zwaK)4xS`79tN>T%qj8VRQjLfT3Er=d(L~gaM)a%G>W%F@_2m47T&9-)=(j*lE(lC51-?T zbrjQAx}Xm|5xknlkYRz@jqWMry#k*)1VP(j#rTEyY8uZ7?ribF>GvS#;eI}6Si{a& zg6C*DEiC)_fjzseW;wdsvxJ9Xc@%MHJ4?lo;QKu_bEDmpL8A$^bA0$Nta~=q=}+? zZn_7!BM8G6Si}TjV*vC(GSiHci}m&YNdIh~#;oHr`UM5`RlUvePX@db^S<`1T8yP? z%?}6|p0tnmhav9UqW6ljN69p$BTG<3ulEzBvmuJY&o|<9`tk_X{F3MbY%TW40Q9@a z1F)fB3X;5TJUwKt78FqMt4C%UQfmRi(9_wJ$1Cu_>;EV9L)Efd)*s<#TjmlAm_g7O zT;7o2W)dgmBE1iQH~@biyS_Z>S8j9t2xRqVyCy*Rfwf`w+rBB@xiIL|nE)WMPSu~J zm$P34XKMJzCFl95`PQDO+y?2~O*cXD7@;xs^GB=szvdcfV*if`F(C16jLM$R<2|h4 z<(DC|arAHAFlk{kLaElFQ24Tx^}Ur>*BdIN{I39&QQa8Ru4UI11%V>Ooh^=EPj5F# zfUj8qRlX})-Wl~J)IyEbNDRLuJ6&40Bq@9b@#%S3`Ibz7NnaWjK~FiT>>y!5U>Kdv zu4yT`!P~x^?&TFuEL)7(eAG?LhYm|U2Bnaz?lfR+j1m?~qbTLW$n_&Q9p&2)H;5Qg z8w;~xrW%0N5#o~JpPrf%JOw!&&KEk&7~xa!@-iy~%&U=LGCBs^>Ty9K;J$kL%Rfs2 zvC<`i(&_fpxv(80^$8x-_-oTMw*pRmHb3v5gXtFwnM2#NWcx`^%E&tea)Gcqfv9#- zml%qCZ*~l%!9|%{-fb`=j(4VUK(F@z#%Qc9KY6HRn|MmV?0_$k*3)uuGVt@SB0L|E z%=?<-hr_h?P6OB;q6Bd;(iIFtBxE{|SG?@eM!eel=K4jkeCEb!sHg{Bcj}AT6HwB%i$J@FoWOh)WOjj{xhRfGd0Q>S z)Yl=crc`G=lCUJWX2`}itFh-S#UXdLEYSymwLr}2H0Y(4+J)5!b1TrRU~%UaSl4xM zfXDHnQS55{_~$)&3}{>^>=V4|Wji2$zZFSXpI9N=2H-y9jWMl4P8;O8n6s%3Wjq$C z7|diIQ3+QDyOudgtfCMIpNSTl?${z9WDdX7B`s7`yiW=>j&oAUOwsd;(~IGfI)3SL zAoWq5cKu>Y$%n<~h*=w~`9*W$#qz`m?#qb&8Xm+sp~hzf0BUFX$<)wLenBYseM1-W zY$$+l67pLPNAlk@j)Ck+vzhJ{umf1i`jBX_mc&wSkFtcUjv~ZV+*#}8orq(3KpjQeOCbMb!;Gy0pAj+bI&`L%Tg$geXRX+%-Nh%ZFA*Lk^LYRFTipPjz<0>Xc)TU zcK%bDR!ok91g7_!{vxH?9Jz(j*IBUHil>rB1((7ic`W#|LbyZk`bXeybnWA1ifyL^ z3g8;gof5n_R?kiH+T<>L1@NCa3DtILNuUdn-h5ARnR5^+490SL#kc%gM56-_ezw3j z1~L~RUWm3?J5<&Y3pcQ&Jffp&N8`ltj z(WQczS^tdF_HZtFe#vvnFip8P{gra6eU9QVC|(p6&rWx9lVNsr>Uz?j1Z!reqg|0% zLBq4OJ(E4Lw0k#$XnUk*X({9G$a^D0Zb%A?RA1mAO)yy$r(`ULsveCKzbZI}0=2Tnf{fWo60FTG1)FP>kI}a!IEt;*USro_6sLs?N;4VfC z&@NWi(470J*^=y=P`lVy=r<^#rAVkW=Zewg_Z2n1e}L905T_RPkBk@TMnk}|N<7ZN z`}7rkn;S2?(NhHI|7tMJlIqJwXdz72?9uSD8~@VY!pm=1+XJ!LmtwOG-cAE$yV~F- zP(dQS15<>Tr5h9sDbBrkoPAYi2aVC#$^KYiY79IZy%(0Dj`q}g% z8&N>w3WSD z2=Pe3-W`uvp~M$77Dx z3W~!eAM%noQ_ZTI#;N5TX)WbKkC@LaD&-zI9Lzdm9mOl7r58zpq>V}uaBiunxZ%2t zX-dlSg5h%rNlkcivxjOEvV;{p2B*U$I!0Wcn|pZwX^;eJeG#QIYuaPs+d+kX*i0}x zb1=}{iOO}UxLf~GZho131mVWfwuX>$0b>|;lz*iqN0h+sM^Ie9Fp$O(bE#*!u++Z> z(H$gp(p8(J)Zdy=0E>@1;=YXM%#V+t8W}#WfCfEIFZW9w&8^7o*)1VN_H-vIT|~YviI7%(+qW19N;n04CNh%mGN?bn^q6mU?KEq)y)k49EkL2A^YfJ zlgQE9<~wCu>qjb5i-N*N_e)0yy0+ETL{}pJVYfeW7$2D4J=z7!qooB_YEi%}K*Fj{ z{Z~enfKH+cgO`PatO+u5127r#4Zu9QwLFKzM7?S`-8!8NbF?yKUe)FGMu})g)P6L^ zM8@{0yu6XT<4tVf{5Sb$rc>Cc^)je#scd($Tq?L&(I#bRHF?7GMa%>Y>?3G5Q;D*6 zm^|ev48ZG^(=iIsaPCK{R=P~(=ehrlC1k62Xlfi*9+A%D?15Bd|36ZZ5F8nyAxHK9vUhQ5;qUQns^8KUdv?fj1BL-mwD1wW zux$S;LhvlRQ37oPY?I&aJs9kr=DoPmPCHlgu7`&XtAvUbo=kssOG)M$z|^|y=de2u zRQrF9EV5ADGUQ495*_sE4<7-eckJ*u@2S<;5ivi~kIx7h3(XZi#qJ-NIQuW2=qccd zc#Le^>WM=QaZJ2!$W+aRDh=xpnD*rv3oA4ZghcQ;#U=?-|8W_{wznZYf|6qB(C7zT z5m*r6-pLM)93fGcp2; zyyG473d|FrMswEG_NOd}T8a(z9*OvjF9K|!3%Ef!*kri*vp~C=T2y4d{0L{G|H#_|JJMs2vWTMEAxBEe z9?yAnYB21`U>z#SDy;#xC1R{>OxX~&)*JMU(Qn3)lIq4}FXQN*ypqkn`{zhKd5Le` zLn3?X_#Bu0QWBH{fN~rECJ>HsZ1^*ghsgZZy5mCvPmWni3x{W25iR7*HD3kCT8}iU zGv3CsrNmsH9&rAlQlpUV_CYW=ygQ2Kbe8ubC}rp|@cysyPYz@E?@DNa8He+K$VGV# zNeJr%cpOaN%1gk*2Ix)y1*(H>3?Z_jA&~>$q=)!lRWpp+7+(SUvPUh=Df=kcg?g5t z%EklI3SWeDBtzj9PNvo5#(k#j65Cn|F>qvT6qK|r@CvFnWt8S55+$uYyF0?;^{Q`6(T+#>h@A>aFi2mL$`pgfu;V%0=c;dNVu z#Z$<3IjSk4LestqER;y95(04r-Hh#(L7_Fl%j%F>b3!&Cg227SItHQ2f7854S0j98 zJ*Oe1SP$1{54_JfmL%Sa1;kABsRh({v7L}UJ@uXYDk3~jgJD1QF?xVnu@oUnALp}& zO7hOZ*nyn3t zi}S1mrEB23jzy$Q#0n3c69}b!7HT#JDW7N+pLdmc8dY^4mW$ygDb2b7jl-)CLexhg zZc{giG=JvrNC(e@C3R08Z?(--_Yn{^a~DJcMs9D? zt4@6{No>Mi0RJ=HXdmO_hyD2~I&^#5g?FcYs*2_ys|<5LTwYrRF|EvP%6__xnuZYk6g*7>6)FG-AS%*Z86 z_{$i{Rgl7n8yL*97;fW}HhHXVVJ#7)&q`WkZU{?&2&y3Mrpk@JPPB6IA1Di$v-J|7 z03E@aN_2K3Rl=t$$ZwC#20Uij6i{~|(CZ^k!*P#dk_UOui%Ny8!^`dG=~h(XnZOZ* zHxIS;NCHUA4bOEq0Rse5eP|qqh(BTQ^ZMgI8sSZeTH4b^N{=sL zo+x5NuzBxp5M)j8;ir>{1|J`=+|!-3E}rD+_YkKl_h^%4A{2Gy$_cWLs(rGUv#0jF zkToUBopoq3y>V6HaZ>_$bMlrAa$509k*5ycT=jW#LHe(Rbe*3pk~i0^IB9S?nSffQ zSAN@H=FN_pBo*>wi|ek2`hm7owr>MuK9B+!E1LLxHMv&<1yO!?h}0{;^Y| z9x7z*oig7)oOoa*x06($bRKQH`HUP(K4|M7?|d(-ZM>y@A?rI4{oS^?A^ z4wo7@__Vv>R_i7#g&UmSNjObLhY(lHJJ@~ACa?KJ?L_?FDBLsld$O$IC8bhy2EnjY zZTn$VSqG|ERdvd+~7g?as?mkb{c8N5gvn^c~6t`^HQZ8t;(3sgCaq(inwx!kT zl;@Kcc5$+uigu>9D}=!n^o?h~lT~RBmnjbd4L=gv%XEf`?z>b@{CY&yCd)S9FDu3G zMh*YGGbo(GX+ODPlKA(A_+(rB#>`bMtOri(@NeG#V}aT4<7bbWB+EOh{z8Z=K)FvB z>{a@T(OtMOdtGvpxOumOG~9=*PD8mD8&mFX$E6dT&+R!-_MN!PX8QC+;@t|Bs|U)- zn-dL1=4CL!1&*A+&G#nAxVZaXT~#~QLfnkGVoe@=ui3XpwP0Q0p*2Rv`PeTfck!3f zp9&73&cEE9e-%~#4eR9u8k{dyZdS2WzL-$pSQ>jwC$+W?n%u#8`V39 zA?UWmr4OsdZ~a90sZ6K95nYeR4QOn3B{h1Z4!=9N^9Qn~(0a#Bcfyu6j^|ABtDfQ< zgRbFq@(w)g?HAulF}D4Q7_N=lX?&Ethppa+iYSo7gx@Eq{Al;HB5DkMWj(RyWY5#(P2MiP|Kx6MruRQ*C(-7c@sWqf+CPrIS+lW|!@%|D@=czYl#_fT- z_D*X`)-Ra5rtbO<7F(-Ns1T3HxT8*dByTzHZl@teEBzBW;6Oa1sZuVpfvje}^My(y z!7CGUoiKC5``HisjLaLiaNpT=S=}v2zm2~sr{Mh?!M)7=z6IgLxvfOk$3AkX>0YCP3Va%+c;wI^LD6^3JW;{S)?~vKx!81kl(Gyl z)Xv~hs-dgF3+!&yjbijERhQoz)%MAe)sEcXbnO1ma$T)Nxi_LUXV#;Ocj5OC-G6aC zcmhv;s$j0Au6ir#l!~ljST@1T5QVY0{3BM2=$a+>a?sxjW%~#EIp)YuUDwaPxKtTW z*c;?-M_ko!)2?PsR@!x%uQB#hbVPj|@vfHlZgR1yc3ofw;o9qsiH=5uXy(H!TIAa^ zdW8n332HA4E*ri=Z+xg|_-Nb7=w^%Y-;_y2oi&Q2xEUXu>E>&y`i5JN$7Ff@a2Tu4qM@ABg%x>z`-6tt&2zG~RttHw~o~UiCDolBJb%p*{92r$)ya}s72&ujB$kAdb*3!XZ zE%8Dbs*y$vgxoX~Hs9DnfYaI&LX z8+oInOkB0^gR9l((fil#f(JLBp!f zzEaPO)NSSt$r%qtsl;)@nO~xB6IYjLz9`sdo!#An|JmyOM|wg{lHz${z#E+u%@<_N zjfU?~7(#Wh8c%W0li*K$ip3$>2?kN=F3LH;W?xE*j5ql}Az>!4nXvA@n)d1^<*&1! zg&piR%N-{KJWzXOePI4In}{mfq;$DhRjtDQM7K*h<@$zXa^KA3HFjYH)h)jaJoA6w z{odn8BbCoe`-s>!gGVSARi{dw%5I;(sr>}Cqw7h=(N5p;v>VE4B>7oyJ$`7dD3)3Yl7|GNWx2l=l=ntu*??-^5+PxN4Q;ofi11=i^mHg^ml^fJFdhLd? z!b`*U8w_kVxExDVY~6Kf>szv!&u)^EO?;NdfRjfPA!gT^QNs#Wv>RdIfX5acrB#2s zEn=Kq4?le{p>25h?lxO_viijp$7S9Uw!Dsds;KZF`}08HyQkHs%;HTfR{l&pYAxfr zJC3Z`ClmB)>A}hKxb6dyn3lt)M3;n%zmS!7ta`P&FVXE zdam>fanElm1v(C@Rz!5jr(qw=nY1$-4l$n+HZI6sG17I*XC|*0M^{d{lzetrdiWEe zg)gV@C%RMOK)+4ybKZ?DBOJc}j<9}Ft$;A5btzHJaW1%p`^Jg8&;~F@O0%n1&0s26fjm#RSIO+8(HXHGSUfN^D}XS@wu{HI!@@Kmru_c5v|Wn zjF6qy*sY5^1~+-Fe+$_#wD5*PIIqUiiT+}&aYX=m3svFq{Z|Cpx331*1soacy~=&J zW1pU3PCh~5g9RnfgZUNxa5SyZT&cwHu6fj-tJPv}4Xh5|`e_}lBo-I<(}eZ>`l~8V zi3vVg7x#IW9+XehIiR#-->?&_VB*G!hNBzJHQ?pOd622D8lZUG36t@-CHQnx*2IH# zhT6_V*A#Sy$@3c%KU1HF-#VzOW`87Bg?OUSNH;;@g4me8m3RhyZ;y=Ns5T3|%1KQ| zyz!ujXy%z`*{&qJb>0dtOuEBu1S zhkqSbFigGB=S|cJQjsy>TuP85s(1~rgvH(DA?a2kYX53?i_=$e`j4vL>gY}S{bfd! z*CC|~xPkYz|2TJDb1Hun-9TjM`0zJ3# zbb<;j6tlk5SDK(2DAhYMv4p$lxzVrCcVGVEa516n)s>HO8ONe_}X*cyg zI8T20e#M;Oi4%+0Ol#T+vPFifM2s^r#VUpDK7Dinz3pde_TjXj4>a@>j{2{@WJ117 z3sQU@kg#*H-l)V@K}uBmY`qpYbbqn!?`LP8e7*8S+-?wc*^0b#=#HK)$w{)tv?s4% zqU`!(%?yItw86U%aV->g7XuR~y?v5MrwFSHw|)sB8!Rfr8ISPhf>}YCBn=bsv+#%8 zjD4jmto_Nh!r-?$;RIQi_8G;hCbO}nZRc(X7}kHw{K7o{;zi0;!oq>A@2zd)do#Tg zZ>0`DRmOkR+Cq8Dd$XFKarl6v)`N3o9W?f#bs53vhU^tZ*?OyVWsUa9t3MoUw%V%J z+e7}F&GJE8n$E6VO}t~Q;;KYyx}R~&#aPEpan%X=AkCx323?yiqBo-~i3$wnbKFN) z_g_4k$g=%Jyjl*y^MiwLKiNT0 zdWz!^Z!Ni)!qIfYejSYbCj-4_zt^sR5~qClXY^u}vR=JWk(&z6;!L+JnJ8IY`%+O~ z_1IpeyKDW9zv$}rDZ8Tb&*7A(D(4QDy*6?XB(AyDVd&PNSjk6u8mLaFjLr7*UK7{e zLA`eIQyxwuXJPRSKXbI%cVz?CIOamjtqgtyS3{cUmnZg>0>-%X+96B3mZcl#bVem9Ohb0=+l zhF}_{#2})!;kT;&dST})ivbICkZ~lDdE4B=V>{VUEk9bOk?`i7Zt_VTy}jSk%mKsH zl6~gI@1M4wQL4*w^Txd=_io>Hl>QSrY`4y>_lI@x!9M63fj9Yz!3ve`{fFQ%BvUSn zSz$_0jKlw+xJ9WZMftQ(;nbJq4}qO7s)dO5>5 zd_tw=A9inCWX<=*xtgBXl$UI6*IJBy=Y8kz1csG@S()H*rc|P~@rhl1_xTk1=^>N|LpUJ!^a=6FZADF#6&ZtR@m7$}!p1b=TvZN} zWeTiIQ+Hk@$^;sGl)FWCj=i^LOYzIO&;+|IrKc38&{&^Eyx*l=^7$7AA}XLrXOT;o=rSxIo-_I7*XVX~pG z!Zr0IPwe$gCKg&PmeVy-&yGzbau>h-wREq5pj!)cl%=k zS@G^#$K;cToTlEdbX&c53pogF_RjD)LE+k_0irEK?bVYP?ODD!4;S4@^Nn%nh0~}1 zUP|<>+eY5mtjWHG`7PcKTZUhGI`x4{e6h@i0)sQre`lU_$AnzS3MN&WSqvP2HPIa% zBL2Oyn=M)uJ&b#EhHP~8qJn17dqNOthq|?zf0}w^cS=0>>B=+ETuNnIm(1ocv$PxS zo=_)O`+aPjdlqvY{hfS3!Psm~YP^yta(v}A@|Ihx*k>+9*N1p-xlUF?nZlx7|BtFWkB4f10RKPCVwo9P z#+D^&+EzJlCyzuR*A_h*@}1R&NW{hi8#){gCws1 z_IK)cnaL&*{v6DHYw(7IP{&LW{w$%wN-wg>oXMu=T}wtxPcK$pvkg26A2$|1emlVg znwB~!gLlc<_dp01sF_2ysi#7$xAsk1q7}4HOlicSB|h|He~{GZ7!FnEcp-VgkNOK{n-qlghJL^^9 ztGclID&dP2;u3tSJ$*qtRB@WQMPPF}XSCqCsdd`<0vMYvJk7pPc*?<}n0TfC%|SNq zeX974D-DBD5)4SkKte;#qZal2;>Sq%`?J<}tSD>FeOHHDf=M!BR+lmHHr}or(Xh9Z zLj!V{j6O}&N0mGAsr6u)#STy(+$s=hVDVkz3j$GoHW|bKCTgWyLXA z(lkvFGg^rB#4l&sl-lGx;sm?Z^&y@LgtZT0Yv(FtZSdkf={ABh92F2L@r2WwPay`z z`dbHjSl`T%8VV4Bh0tmT^fn>UMspVZ1E)DXZ{vl{p;fu}edfn<+^U2{h@w~3QhRDP z>`yjlBRNCnT1_)EDX90MxzN6MN+(Ee=M;b6EQV#e-w$ajL#Us&-(PUvvtNFf$z-j0 zCY|rkwGwb@yY#IKS<;OjaTdK|d0Gd3P$xr(D%(GD&@E&}Spiz|5X4iBSY-JMaW)-p zeUvc~;4uQe74JdHb`{b3m)dU<%CpnnKs}PD;$4PV#)g!jp6xx?bxgp+kUG>=K?z8C zI`<9<%Vd60#uwJ|YTh)-BO|X*@1m#b7pBtLM(Q#?;Oq~LZu|b?0oKm{8y|+)>+8ydEKuAxdg6sd+`&p z%0D4a9IH5K!lr9O`F6ihJBSQcF3WMFch1;9`#607=2mMDG9hRmvTx*XAkC?!#r1W; zNL4L+74^c52bg%M8+qjE>#N_n9Om*Z;in(c4qf3vB;JE8@}SFhhsZst$+*|Anpi!j zx!jJc-yJCyQ@XmEmSc7A0Wu!kM~-m0J=HE{O0I{=>pZ*6E_Jt94y}`U<=lGEo|%Zm zJ5;FiwU)|A_LJl@ne2E@V~E)-QC}+AR^m4s!S#U1`3J;{MjZa}V(gl0Vrokef-Cd2 zhJfeR1q&{Z9ki2$LTLd^&^?p{c08OzcrL9dL>B@?y()ZRE>!!7O|qrx+2Ae~50o{|fq`6z$ZL-T!d#=awGV zMMYzzIY+dR620AIeO>%g0g3%M&-vP2C7!^=yIzE?gZLotwHM`~u@NM(5Aiy1;OXc0 zy@?Rg=tSQot}7ShguX|O=T$(+D&!)G6X)>G%OA$$k^CMeQ(RYOK$d}T_7D#2AklXu z@B8e-Hi(olr)Q$%Ckvj|tK$|lBJA_I?1>uf32nmFJbd-#p&Wz_iW+1q z9FY0rGc0+pDzrz@#o_msG=|G(R;pIA)|%jfuV(FcEDSeO}qLRklT z25FxfyUHH@&`i>wH49e0ZmlV52@{lYoKshQP00$-^E*#tNdgkZ{NnbJ%HOVrS}(8q zfBK>mg_Y>Nf)eWu<5#Qt4xPi2WL$4YUtfoL<%GVxVE%f>J!%E&)zEU&_9HbkXwZvE z&mraO`VH`YN%n;@gA=B7ZuMf3_8U%jT)Ab6B!}WjhFixq*43=aYPEit-c-ah{k4LT z5;C(|8&G3=vC$>2n|2~G8j4zJJtRF>)DC4I%(DyLc0CK}RhV6UjJy&0Be#pF7$dVz z5(v9TN%n$eGS6dKJD_HQQ}ur$@wcC36FwpnP0b#@f>{lfH)GAxOnC3|i}V;wT*E~1 zu@Xm8ZSxLQZxEgTurBzMmthU({g(tELJy>n^<^mZvDZ)nFXt;Z_F}AXZj>BR9xF_e zxha-A&nzb>M&g!ZrTj!+yknB4Z;FkaDsCyID4A=0?iXUcwFw{EhfP*Yk*(uzP5cc> zAFfw^45d~fJei4l=iPHiW=rPQQ-g4RlZd+3G9+_HUyWpdLvRoyFIAgXMUV{dn|B(1 zg-ts-8mTtssZQa?Hs19Hqo-hh1oAnLtL)Ts*x3uw?bZc($xHGjyxd5Tu@u~F*Y17y zK0{VE^c)F`w|E#?W@9y)+04!JM^)s#naX0Gxe|ZzDzu!k~)Pnepr!RxcQ@3kL9qq|H!liHkzby zKyJ`}`_jh;s+~T#njhS0n-}t8`d;89)rU-~`?rKr+AaD%v39mJAZE4_Dg{fcNV0PK zuRDK&Z|DF*e0yXV;{=5 zZF^c$cZt?Egw4`7{w-6-yzJwV{Q2|Nbf6xE<&+PpJ)0I%>-7!TZGc%(k?!FSVEgYy2h9QA$<b=kHm8tw zO#s%iK|E$#fbhj^bAo8>=;!}r2G84lRT(WU$XocMK*FJFS-ehEB0C}^Sy@ONoII}V zzYUNs9?UEIACiA-z)e^QcEGEVL#tw7Q5GM$z!@CgIm_RgYy)g8c%9S zzu@3-JfL|(Nfeu3VLs}PJ)n4D`qcovC`LwhdAOu2uaHMk`1YWPs*>EVd(j5if=kt^ zp56*hEmkR7*C1ujuyY-kZZ$}fh_CG(Bx!;@cizHcQ zu7y0Uq;d4u2X5SD3HCrIS>D+WeshlF0*`A+QQtnHaX~9`yiXq5NK0&s_IV*w-V%Du z(a5}N@p`4IuW6Elha+(}TP-;pB$=$b&dK2Xn9kMyutB!FJ%bP4l4ZyUS%<`WhzRZ2 z^+;5H!nS>#&t~D5J2ofdTNnBuBP=J_S>~mZ%=!=SeuTTr?8uMDxWy2h=`E3NQl6F% zd|^MA+NY4TQ?Jy2L$anaf9h^oi>M}WS)Ouo!liR0!`ReQHwkw$v6Z9=F2xo#>MzWREYbY_Ninp>$*t1LQ1+tZsA zw5m(Q@+gU_@9N6ogB~NjW6_?Tp4SX0cZ(>fX2^bj99>$#2*+MUxT2j!6dEV&BjGO| z+@AZ$ec}=pf7dLF|IHX(W`~n>^(>pcu^lWeV0@;N*%^B+JJG$9DMQlv*3-=7eezcz zp-!xQ50+0_V~6+}mwc#`N%l(kQ3cJn!uoqqd)~6nE%q$3v1@y~JhJ&UPVeS`_21#}rPR+-a_} z#$wXHa>-&*G=2UBF2jNrVHu;QRD6#*VXk!od!%2dIM+fwi?=&6v{1h+I@!PYzBJ}4 zI>k79LZHaL4AnlJ_u`Lw8kUD664DVCx*Ba%_n=sWc_`g%^n%!O?ccHFR36iu&(15GZG)}<@gGq$39dIy1pF6`6pk^?&3L%%Ts5N{g#_$@-CMY2;lHVwyEfLGGpTr z(=@`T=(t~f6LfGW#G53vgE(#eo?B}&aNpxo)$f=JCo+Szye~tlqX?LUT0A!7gUWu~ zJI-13g;r=qrpX)(Hi9%CNE?%U)@~W$ zmd~M7)hQ>cG#^&OWJ=9hg*vb}tP5IS}E*s+mAp)J5sEpicA%q$Iw!LG86N9wi{5m5~3UtZ}laEkT25c;Rbau&%DBw&i@^{Q7KQpvS|k! z9(2EBa@Q$rT)n*hBg|O6-!mkYAK9Q42XUyF-i~evs*DgL-b5z(X(;3oy#h?-P!3uV zB(0;&Xd(T-)u!`oLpKbZ2%%mN`pQuNU>I*s66sn2GtB)fd(-NK+9MDSqB$(?}MO3lY9GXE{ zI!t?b+58!c!cndqlL<;dgh!WFW$;~Kt%Pt{L}3zko9Da(lOHE{^udFJdd~is zTv{RtV~c2J<#QrvTS;&vkzdbNi4cNJ2a0Yw5uTvVnV*rh7=Qfcx&4u%7?u-Z6hbBk zPrt<%`1}`k6+=_&&d{S0ZZSWWlDo37@9JbR^=ECR5)QpJI)Ikb9Xee^a$V=}nea4l z-R;5KA92nbgE=qceQ&9A$+@~*cae){6}lcCITn?1t#@c8)khBEM95YtnBq8z&PAO0 zvC)RqeBS?tZkT5l;wb#&aFIeJt6Bhz>^Al~PQPU7Zm#zS(+0oZpD<|o%_CH-t|ilS ziHQJN-q?O%LGMiL?lWDfXHc1YH6!3NVdrjhj6er}xE*e1wjE;l+&hp>7Rp4(+Ma;8 zUfw-?Y9CT8PAnDIifM*U;++o~y*nb1jcnHSrIdPm@!<$k3W=phap~_j4phksWJ)^o z`xR;lCa>G_=C`wqe`uf2Y~iH3>nK;On4_`hSS?AD{4NNA?ht~y6{k` zD>oDvEAMo6P;S_c<`h)@vysM>DWS)C(9SB6+bPsrbL4`^w1AxU1CQr&VbaKUFWX1a z$Hqp#4R*m5C7czRT*i8_@GXbSMptU%F4w{9!651k3;7E%KjL z{LHqE^KQoZ{yZTiKTpEm;tUUc4=XpaGF6>>^QC`2QT7^6u8!hhNa8qkzA!*nTEHRZ5eZAMH<|;Eza=dAZqiPfH zXyOAmblAf@=Hc&bDOODs7>FXFVVUVMyM~_!5@|9A)i?6{iYqZX0 z@fmYIzkb%Yy2T`dqsP*vB|+Y#433M!VVU|bk>AKDlRuw1@20E#<-A*$qo?|%zmx7K zbFWI_(n^J#)P2tW`tQRmOQex_R3X@b##=-ZQV=}N{u*{;FtHZvo=BSI7MwCt{98|= zy=;kLm}x|Lka!?P_}W3G?&ZfWu;FgH$wRkC>&FxmHDJjm=xn-$l9>2c(}B2B==x1u z9JDdIKc1D(ORd|*Tq>%!rDC^!T8`C1BIeUUJ&mK%F`6!$n387`iRqbaMCQ>#+cCoZ zzKjUjf-6_H=+SC(W3o`|&HdgI?F-CdpR!os-68kYg2AbsN%v%?v&Us|H(%Asd1e(9=T+^OA=_?chsE6Sgqa= zk8T1ZI1@EFm3G2iQu}Rdy!H=x|IB`c)a_LEGVHB)EM$?eT5tLErUsr*0boz{@gg3~ z!Aa<#8`5)`&C+;%iBp%M=I_VSy|L^ko}PU+64{RB{gv@xzRu2L-{+j@N5?BXFwdzk zAMI_l`<215t%ZX8d-#5lsvVRi(Po!8N>sfYkFRIi))}o&y=_jvqmSpjrg*Nm@^4C; z>`m(;H*-E@;p7+No)|p025;%m?lxT9b)uX~X?lbwF!R2$j6w8^=~c!{TlM80T_QS@ zd3bsIct1LDyoN6wVq#W`Pj~QlzCh+><4-?Tm#+O8VKVkp`F;j_Ceo9fz7H>G=E7f* zP;`7pjo@T1WG4I`J~x27rG@{BMi(F9@(spQg^09jzXVLh{^R$Nm&Loj=adVZYCS@i zzYxmH*d*4EAyRtY`64o+WJp@mJiInSn`$w~UtH&<3J5QJJX(aaknAmF$)iR?To^SD z1sbuhd%Ch0gBNZ1@b#|K6&mvS)k#nG; ziirBt-c*FsOWep%UDGJ4DrYc<^~e>hw!l%sKbWrzD)4QsXy9^<%v3jtB<@ z)ya9Mj$lf-m&p++NPv`OE!9a}4a93Id5`7aiZBzAmz1wp35Vh4h+GZF?~ybU_Qf50 z0LxGj0mo9GD&Cu}twde&DYm^|<4+-&bGf2|X7Ng=t3b)zkc+&h(zrR=#XTAmzcaTeTFqot(}NlWAPr-xV2*K$J0~oAo@bDir~ag&EQCX z*YLshiK&*cqPq4ZNl03_+Z%Q6d49i|4&0R@vUL0yhpMzUOXqzpn>slPst+-|th2$b zobB1H(>p9G8!6oL$h6X^N;WhNr-=QiHz>dTH1>#@sKW%BJKWup-z5D_9A#RWwGQ>(st=OSWMW;>UWNri z9)+U4-;ok6qtxP-BBt1vp0*ZWm~PE4#K{w`K=pGh`|)+Z@#gjvVUrgJ(&Ib5-}_R^L_JeUfF(p|dhWFIA;ltuNOIi^!oG zodF~Ijw7hZ>XP=5waraY)_(41`+C3C$w3v82z0Imhw@bwOD?-weE~ua8*C9^C8_6! z(l2DY|JE%M@4zmMcIrOmFv;W~AKTCK1Q%__(ZuRl9GNKC!cvx z&$}#L_&w`^fPlKLr-L2G^zg3omQm(6%T-FG^h-(XL{9Kv9%esk^sMM>A(I&K=smYp z0ZG|FI0pZv;CZ!2kuX2y%8APxtYUIbXWHaMz~db`1uN{?9#I0xwnJ}Ojhvqi_UEqp zry)+?OrZ{&`F%T@=lllmakA5}GG(4pV}|q3WW5z|A@k_Y(@?HHK|J-sep*FqZk7Y3 z7fwhL8IGAV<0&%0AGr7>wu}@S!@)=5ndQ`g^U8whQL%UMUX>q7C$+QSm<3KRgb8%K zg@Z=2z%o|}=`6XJcD=fd_YRn9edT*Gp(o}-8fz2k8^oshvZpLE4zmee>fK+cZyw@v z?A3B7xH;4i5uJ!`lGW|E3da%L``ztN`Ci4)n&j#dx>!j%!W`Eurm#gHuQ8r)PjFm= z(_HwRAmwsae=nVj(L6bR+1-_S?{m|@u9(S8q-D$*pBMOmvy-e)-<;?7MhaIg2W|NIdzx+>Ha(kkD-=bhIVcjX%>xBQak;&S}0E?p7;s z$banEqUis}fs7Gm4kl2_)Y5Y0Gu%^$jm1i>)7VpGGX?8W=Q)Bc1rqL%JiLRV&Wi1A zQf3ldtd9#WIFX8|eRa)9F_;-0mu}k@mXrAD;c`vS&&2k+o`L$$pH0sdpyEC@O~rac zq8C}ukg(9ZzfLCYDA@_Ut#ngFo;n5=ie$AyR6$8?UH+!Qt2dcGFW11IobeU!@0r+M zvHGYi%b^IiFMqXwwf3oBh?+~~!S3!)Qv$EQ7=#d7v=)B^b=K2*VRU@(3*i^C@qMsp z#9Y)tSCniC*ukvERPm9-lff3p90lvK&OB%CCg5yBwK)*6JhceVM{{1Xqa=(DTQ2(C z7S6bxhgO>z2N_Gq@}Z%uY|pgHbS&*&DA>n*BL-{-$zFu9Z}%TSNl$@o%pI}5 z8lu<6$fSxnG&->!UP?zSv1)n{^6zzU2@8fo*M4xl=WKv6%vx6RSegg(PngJsRv?GG zlKo3!%|iU$6os?XuzEu=5YmJ<^go_Aw{GMIz^s~c*V0TYStGt85?2qTNql8WL7^JK z7H5)RLi{3T@%VIvj3q3X=p$r2dhOQRN`xG;QLZvqKu1n$V)X>+;CGcFWS?o6<^G-j zT>U_ELeMi<&@43!Li|MPJhO}r%Z~V5Jkth=xgGi`XkM9? zM-ptu>=-?M3bsCzySl&5_m2ps)sql6mZO;-8lal53mj-yBAgujQ;X=12{%P(F@+fr zH2!UX78Vhgr>D&(uqR;peuYlO&XRaUIS2buth{wF){sY$84X^#iP5ziNnnSBytR=% z+`G($=}ub2cnAFxZ^Is|6Tps5jnI~9_}>2Ki3ylDTOzb~h6|OlxBXeA z1FhsFT*h|4ofjQ3HT0r3X_`nv>zurS*Yz9A+0td3_X*GW6y4$UvqnQo2VM;pI7Rl# zdn^(lOETWL_He0mpUm}mE`mR#Gy@+GHo~D$qrwm`96Vn7N zE2qG==xCSck?$`F^N_Uu6VyEXr9Dg|!YlUU^jrH(0o0vD$l-UrN2mg+A@(9Fy z^-TEiSLhC&d|m9y``+a4q98peK)!{t7Q@}{(-)(eban}DtrT*{pdkc@;Z?Sof=S~z z=FF-3FT|!?gpLTP2CtPrU319%egb^DD--_qG>XlEuBnD&Aj-ENMuWtrCcL!ZDUD;q9Ua z{KAK~zexrXn8MVPcrK7vn61SNQdzY9WYh0t;I#T?(~>)oOLhFdSf)a(aMG#F;?i`5)AiQ8us`d9*7RYVMH3s^TQv zh_M|dJnQ-(EyZK^q&ulV6Se7sDX$;BgD?e(fCfZ$bR;`Ojb%|^m;|zxKGn}scC@$x+letGU$c&mX?`?u3f1LOOo?O z4WD1wxIJD+=$Ei5L~&`exE+jc2Lgm~tbC?U)7TmcR(4PiHauU5j1PFMJQlbQ|BU1J zJv{R3mGp0a%q28oz82(?<#SlL@$7F1&ne+?rFJ+)yQlQk&nh_qI?;g#XOnx}w6KP) z?vYux>VB(Hl0S!}%JGG>^U-n$X%hBBLZ4jhmwK<2ks+h%3%l7Q=vbgE>a;nJ?~y2O zjL6ZL7xGGrE{%Mct@Sh^oqb2G_$u0o#Kz4Zd^hX5ylP4!YWZei&Q+fEvGF*4d{alh zoM3WrBWv<*4$mb1sxTScPYmLFf&k|-iA8Kks4@hS0e+4k4-y!e4O`%0Nn5Bh5C z55g;`0IL9r_WkCIi+5Zy0ZnkjKv>>9+EFGkU)wYPuIK7LR}ErrOZAxtM7 zyT!LUe89{oR%p{;Fu3EX2wLcyk#ON>7D-!} z^b_e4$M96#kKD2gmHE!>O{kWG79J^y_XNkZvMN@XI_-(O%lZ_E`_%#JQH|a z7~j7pLXeBn(!9b~B`hiHNEGpW#^#09gACbNZR~{yUR8-mSOoYaLNx=4kYSN>NU&JJ z9gW19qff)h*ySOa-v83n7py-(d=+VbN%~0cKcAwVM6a8*MXuxz#jD?mGgAB_{?H$8 zSwWo6VbA0`4Ew9jnCsxKL8*(!4#h^V57p-|EA$}6*pp|cL*U$Lw%74@jZmZS#?K1< zyd@Rs$McND#>w2tz6REXf8>gs)}g?hUnMN6o3vAj+^7)L^-ZaljjR?4QEg8%p&Cnr z|1{K_HV;cZdK~sQahN^3s`fneJWT>@F1jUZLbzX2mxE7A9yU9i<&vKc9xXK~H0SY= zxPCn(!t4~}mx9M8$lC_!!jCq(lNxVc5Ow&38%ZrnqAt6M=9@e7Qw9=|qx;>tHEtt( zpt;PzRH1S$Vt`#PjC~=C>(G1m=?n35ue{^!Y=v43{n5{>HYbA!yO)Uv*m#p5iI2zIfyvs3AqLh{L*%m%Nm{xNAYsY~8M4WvDU(>Y290lnx zBH*1+0bzrA-=v~0iH#ne!$OFdo15_nhp@(p9}cz)XeVev$OuNDr5PQFBH}43m*YWn zo}w7_0f}u+ybN*OjN=5861?A?nH7h>XE3#Bds1cV?hFYLhN|a2xQvoEB~8mAAF}>1 z+5)?i%JX2v^V^eeG)@ri)?y#EeA2k18jrkIScLq)&*m21BK?N7_kfGbU9+zSuy_V+4yGapet+&jhtx#(^~hYfckD~cinUO8VW&nKht#Jj>=ucGanGJ9 z-E5#Oj|qDi*$XNkTZCM?P5ee6fkU|5-*SwW)f-M9OJi!frp;<10Zc1Z6xUpO7N`kWdoV3vxi*=v|>I=yfads>^V7jK6(0g zPkVY(=mVMOigOVw%c0bBK@V%eyL6a=!Yjs*mm{Sj6BV?nF!H45f zSAVsIRhAygCrfGdV2h1Z2Ynu5-$I;7aqjpp2$b2MS&1ojq);0n3zC0_4VP0<7Ib@$ zZrY}-@NF8CR9bvGm9^=A$M1%*Du4gUPf}8oP~ge#=PZB zhvGXJ(I%v3{3@hiGvx#!;e18-A8UznPBAUr0 z?j1Ha!?^{C%>^+vlIRiP*X+fx6gQGXBmxqp^b7dz{qR&j zRECJ*qNLAtbMs8$EUV1`@f>buE+o9zdbsW;WcwHQVFZ6_ybea{q>3Cn@m2gCe$j}S zZ!Rk#cjH1VTJX@oXoxY|I$CvMGyO!+HzU%%vcsd7MZS=(*s`|@bh6jI|7~TaxZRHR z7*G;~$q4%{@%PNDDQ4SS>7QX<(~k{emjfUl*whgrVga7{|L^%f z=PU3>X1Rg(knyYka?zUGDSqXkeY;xtq^~4-{pAA4+m3-0bopBJEB~1Z=8ZpDbesFl z-ddq_)JhPwDrAjT(ne!x($%FFJ6`-L+D2zoxH&Hf&VxcnMMk0eb}hZ)#_4E`&+c0X z*G*~xs2(onhe!j1&!_70bS>yg&vFQl)~AJ+5DXRp;cT8ojHte>OMjB9(hT~#Nt)XL z!7bkgt=|e4?M;A%OoHx4mX@ne@Ziwfs1R36X2*YA2nbOwf;isB8qK-yVn#K7?7OgD34Ipsf|J48@4LjRpGiQ(io?ff7 zOy`h)xmx4xczWyI^dO)>V==>C5p?Go;CM7lf9@?4J?-rd!e|8DMTfn{Rt)wAz(8F= zE3IP4WPP}WRyDq3m(C0-{#o*2CcESRd?V0#vjd+rd^6h5ac(DK6Np0Ft{^+T*^*v0 zKEgoF0U%rGOB?mN2d%PYlwM-Kdoi(RP!l*$>%#}i_S9&15QCg~PVwyvZwqg%rxm!h zG^A6k_Gm*|K~ZnMzKk#ZZAR!Kow4PXu_Uw2PyJUgCu4`o0K>bNT6OD;Y62#J8 zV8PCh?qw^e1vN{wDu>f86}>H6eI>wfZb=pm+Sn&JPA#k8)xNr$uh~D#tKq$;TM3^A zNz;N8|I#H*dHQRh##27p73J;t@@jAeAl(BtlGk_hevtjPH5cDh|NZ6hoBXm2<8WsrI3{`bD)gUK?kc z!uJUfOs!!_nQYr}*pmyvzZLB(0|6if@WpS;cSVoS^6Gjo=IHm&fKw90!_2c(8uv0F z3Ju2V)tBeql5bVG=z?=}chZ+uSq6ef+bxU8HnYL?$rV7ePUO7b1SPhOjU`a)rig)#-NE^WAWChk=Z#GuxB;R{b1*dB ztHur|-qscuxIm>=fGB#n7Nf9*pYgAyQ#2N+1*(j`fW5N)KOW>!dMS{jHd;E!fn`#W z)sB1YR=#dNh;cd&?i4+#nE%$?oVZoK0rLKT_L`D1S{r3UImZ^Zs3?HDfS*q~0|wsH zY-Qf1fq5V>w1G@3a4v>5|BNN&zU6n_WbDaFjUBh^=@*tlw>W#~9(~h;a;prF;Th01 z%h&YX>zaXl+h&e+?g@^vtnH34Gd^ucz^WCQ9RTYr00OA!B!9?#o9Mo?qS6V#sEm+p zC}DWk0=?Lf8%3WBZ!52&R(;6061Nc!vbbxT#ZRUKj_(fsiva*BLtDHPp1#hTFKot)kY^uBYqZ8*&AG`h|Lmjgxb}=UjNx_wFrFL~Nty)jLIi zgFRQ7Yh*h_dvG{{S^x_B8E!0a8*S$M_S*rQ>4jgEf8Dqoy$M>J!sHJ4t^GULd#U{^ z9g+EaCJyMF`2$95C*1reqQ+!>bAnN+;|_p;#kJ0;Nl+_lZ33~$rGK%>h6X+J>6Qie zYH-aN`b3ZaK1nO_-UiL#h|!o6TDbs}*=1D1F~-{qqqK6{^|mY1Yw5o~?9fO>S3`jr z(iTpcq&=DNT#X!Ujn!ELee{X8dpd1^y_&Jd$+QuSUrvI?c|aKy3E;^Bg@`hhw#{w2 zuz4F$HNyd}GUukd`|l!}tCwX402x44Qp{4;9d9_(%0jjQH|s|B`))hI8kSG13e>ql zLjv1tT&JQwZou=~@4?yU6YAk>(~Lh2^&o`#J;o@16L5+2e4rr9?=>Jp>2DjiX>pF< zvt)Pwsej-My1L6KSb52(ak++8>AId1S+i9CVQDWn=}Ir#9M)cJs7v#1k&4*y-YZsx z(kkZ}9Z~L}bNX@){7vTUfrM3E14z9I-_=u-v%uKGPE@f>C5m&CaJmF7C%Gg1SOjCU zJ#(N7BQ=1sc)umZa~Yj;Z%@mD^u>m5gR~qd%axQKLT?11N=!D0P13Rxu6VN&j_(nH ze6d9w+7XBU5^lK?B3VE+{DFi{$w#5Q0g<9vzPmc{)|%FzkS8bV>^>OhK=!?MBk?xV zjzhm><;|=UoKUj%BQqGw3_BX?>X5@o2nMdQr=j9QGwen<4!AkeW>6_^phr4qH?jgW z!z(P~90#hv?R7ZJdPXvM#fSYRzd^(v5V?$Wx!xbb4?vTnbGgyPt~9$Vk!!}x#W!j) znQL}t7JQ!CC9ba-Gu4|{jiKkXh7)(~r+dR)7=;UTgv{ISN~!;BKv-^(T5kxlSXPd2O*S#g`auS9Qub%;Yfs7~C^Kod41nJBIHMA{)~z*H=*2gHVLsYj(FF=} zAKy&@+jxZfZb6pDvJy<&IBZTwuic+Wsz~fE6)DxGmCx~!H;ysNJ-66GzLa38^TBuf~=`aJafN>I&mo4ZhZQ zPhA~&>XI=fp*|2es{EBUTFY#hkwisqbk=5&~}sI9g#ZY$?NVuK%fD9 z*Gfb5s}`q$-EG&2^xlcCGyT9ZqR}fSo2Vu9t(nQmMmo#RPw+}f8>o?j#&jPji7^808Y4^h|BKrgEU_O&kUNfs!k?$yM>Ao*}#gGB|$A@4wfL0it9{Q4oKB`|Q; zbOlIk?w$O8KHWVy8k7dd_L#!Gdju4*-~i&uZJ_PmZ?ZMG=ba8)u@1L^-ri!v9*;Z8 zJPTs6law6o@zu=xLHYE`Hnom~Tq>rl=4Uiv=o^)}wUSnu3%a5^eE=~YsUr4vCc1ml zib7j3M)c~_KxkqVwDsobhZb=NR<|$;mfaHX{a@d)ict_&A6@Z*Uw81|2ODU&0mCFG zC}MDOb-4ZT7L)Yjjsoy~>r|wlyA_gLv`04^?QZr~*y-+#2>?C5;=UUFN`5W5KPVO# z{4b?nd!65xfwUi?RebzXNF;(W+-a)%TxFg6pBpnc@4hkciqdvIEPNM`yW!PMZj!-{ z^DXBo-h0=rRcgg72xEsW0tGeD=hiXx|9gu7j>kV4MB)D{gCLm^TrdWU;6iaYVD>wq zBr#h3NF5djCzK1umV}f=>!1C9We^?&g3SipV-)|%AjLD5tOG$(YP)J?^%jbCnd2n?h$%P>llUK5g&!sIMV>kE zX70`@@MQjVj*B~wjK7=CH*(j&KZ#6F%)bk(r`&Bw(nd+BCB@HRjEEwq5b^UU{ba@v z#zuZI=)su0`j0(@HL-inOOs=b%Pde&BE$T<<`_d~`={&h@YIf*EU*O?^ zVS@A9C?~w|WGl67m`?+Qz@rX_@oG2G%05U1hxv9yZY~oe=IP~LgGO89^Bs3)R(yg1 z-~#6lLtd1<>(>p?Kup}-XkF{&4rUEd7(`1{Ke^aP zb#x8QxzMz8g^7+Kzu zr%&m4)8KBr3ucEI=iK(dIZz?(Puhy0bVbfDC#`RTVK2oyY;b*ell-b|AcJ{#gjU)( zNp-Zk*j;h+V4{Y!Gxb?${<%KtdAE!Cyz?7j!-~07=9$pFX7<3rT1JIU5OsZrJ`orA zDPLcDZ%qX($@J2lN4+NM!_bM&(LW|=6%*fSGtyc#dIp0#-vKAQ4QBe6xAz^`>xoWw zM1fVAjh1=~mswt8a9NAk4yQgjJgCyVNU!+rD0Rzq5$My7d%hT>qQ%N&E3lK zwL1w6h9>)z-QgwxZiRdIx);--hOJktxy_BCz@P%N`=iu?m1#P1M%Qs7E{jo-u{v~o zJd0KV#H=SC^}LID_lVM#9qJ@|6pCbFMh&_qx8O6&+os>=ZU*1qj0*l{&D zu;mK|2%wd|mhnJng-R>lU1)BurBy%soNL%nchhx?su?hpf4FS2E;cj*oL7#Y542gg zJ&!p)0btPTnLnLpPGsaAXlv=n-yZ77Twi_r%cUOhQomb?Y61_`w0efXdCfX^<}Wc;WI@04ieAXdpgfrCwU^vC%F2uFSRP{rSWd{ zKQhCC>_1~aw7VyiOgp8c$G3y)PtI57=!IRRG3(@aSJJ8nHD#z}u0Ww4FQ4eHW*65T z65o94yrZ zy2yc`u@>4zE^-!jSpgPwQ-CC(?FQZ)4peKLr&rAngVnhCE^pSHTZ z2SFYkwBY~6V;O}r{lsAVd1}S?f9r2=$z_aO3f_6GH*XuI}>fdJUZiG?GJQ;<#zJoa7MKU|Z5ga*ZYGKJ?5HxJOZ;s4T_i-4;=u~L4keUed~4v^mkKsE-f zXI$(VGm8k^8CIVACw=TNDnrAD*T+MP3TlFC76aEee!m5-a08=ODg~?&R5{jDbni0! zBSvZc6T3mw(xx5lfrN|%rFQr0uAhCO|>&fIEGTLM=~yFGq%ZnY>!G6J}m)XJ4vH82+3 z+TPBbM+{#LcJ%=(fYo+M>-IimKlj6f$-9BR)XI4<+ID;nI`3fiUd~(xdbl_+vO1#2 zbmV`X_x}x~R*`$X_q{1Q7(5ApDZ4b27>fw}3#EqCMj99)WHD&I8MG~U?Na+B!h{u* zapDh4xH!Uyg$2KjiBDZ(iDz{;LL{QmoHO`qjvT_nb-y{VU`a6<#iudEuf1lsfa7>6 z8L?@s*^V&eD%{m@MRAgLw69_ylWm>oG8T)14LPg>(7eFBof~2)Qm_2Rxi@h)XgM^< zvXQ<8I5wgchuJOwzRXbh8~r%#8+Pl2Ses*+!gAZQd=cy;NJxxGczVjh=A*aFp{YYSFz+Koc^G0ldR6k10EKnJvUlS-rK^X-p z52525uCP0y1}bh@+krH4gb|RhLT*_P?h`&SZ^2F!HJ`bCjbJ<*80Io78Ch;mLA_LI z3kgBWww3t>g5GrTB5>=C*IhvtKCtol)=Qn-9aIWRpQqCbsxU?kG3O~@ij=#a{8WqYM<|J7aV>4El{zOOPXfW5l%Q{goAT2LFJ zmFfdrr~f;Js&w9)LKRi5UC!Xw_7`++*BGTeSmvqFZn-Hx}hWL*2b;+tKeYGn(XfWyO4vq)8#et$aw4j8I!I) z5c`?WW4}l%JO5?O5%5vpdMR63n{^o-ZPm{oT>Cyus+h=s;(l@9_-69oX_>{J`A_T| zvi~2p-UO`4Gu<0U4B%AM&Qx%0p;!g2(#n}GT0lh@(H1TAu#O^drmfP7z$prpH7x|t z3etr@tqEy`5VE{U2S_q3N!l8g;=9a1mI@@9cEl7yNDG8CWc%MQ?fJfQeb;}ktG&j~ zRAYSK=eh6S8utt;s9^s2XU2ag8&$U)xc+7qgsk(2gny7>9fc9XO>KhQZ*ibqgnOb~ zejjT@&qR^e5pn1Aww(&mGvZ9(HEt_w(gPxMG6m1@lY`E>+%P2t#8p8`xEyYzA~Kzy zHP^6PVXNQMm9%+4kA0cKZQC@TcduT_g~`;0iLAmFZ&Ab*d954c@OqSz83^8vKwr8~ z2$zU^ZL+(Ah1S4^UR&2+CezW`wd5UjhLSO4Nc|Lq%TH^LXIgYO<>Z++(!`W9kMy9Q z(1F@Xlgk=<+T(gg+S{a~^?iQL)fuROwzC%@or3@r=RncB#d3dPl(xT6{{Y$%;2$E>`Hk*hyRTcm zWJYHyU)O0+L3sqqfwJ^X^Fy?~58Dp>>Q3JJ@;l}0bQ~`6E5S-?03o)=FlSr6Aarqe3=Y64pU8MpF+$KWKLJ^e+`rE23D1v0~ zyQVk;EiKS60rhQi;MtPcO_vMdp0}CYeyW@HQUF;vaEO#D8opwcG{e|OBrpxw#uWM( zw|S5F`_kvWv}Y3neM4{|V9=vjU;QzU6cbbdwb^B`bo$Lg8Je~X`(hm9CtMh<$;(gw z2VP>f`ZZ@_pOX_m$FI?V-_euI3;-WusLHcB{a|zDAwX(yLaFe2-AQ5}8iGF*fu0;v zs-Xr>1Vy_QCPGjJ4Nw;2{Ag{Pbo$;0svmXfiJPsm79W6ypbzJE!vjOh1{Ji8?_`Z* z6e0~6&cUU=<2ZA6EN79>+;Tdc#{PmB0{V-@#6QQ1(>4`aMg&9H zKO*w*!&2y5gBGhoDCQuy>k?W$*`t_AY&T9qjTKZA7y%T9=e4%&Qr4keuM|Y%aa<~eiu95X+D93|3C32F zlm}Jbqu)2guEC+Im;&tXY*U?L@YT@=vxFTfPf@=jrkzZ4FH1!q;G&2M)oz2GaS>4< zsBoo>eqp}|FsLT@3+A2o;BVf4QV5*Y_y<6H6jWvs!nq-=GlTrnWo=Ix%*yNMc0^{t ztS>zv%MaQSC(I@bl2G7S*FXKki?gt2yI{QHB$4}+gL8+S2I!6%Ma)x;&SIOfJ&OK3 z?yYlRg4q(>Pi>LbxACQ)#{OIT)UzV!*B;c}uz(IA$p}4a)I3?Jj7iDSE56H1YsWh$ z{hCGaIdnr8EASu6CY{^(Tf_3AF)M3F%zSTqY(-mWh`bx1@s~P~ z9e2rk21;4rcXPGLQzdSBqxe{{#z}=Ym4sStrLE{L+er2d5Rqa%+ErqFI3|`$y6|eK z-iu{9alynis~IVeW=Kn?nX$+cR4OQNuE>RTsO94PUbJJ?-mqB;%?t*X|IT^a=m$fM z4O7@?o`IygJV3gib&c!?Vn9s}*9a=mX+~-NDS%Jt+|1*ARim96^CQ#?7HfK+9{zmw z0I%<+B4cI~V6I3;xwU1hbruy&8^@}PGjW82$;J$M+nWkm7Y!CD-Eg*-uNL+-l)ClO z(t=syysWT7E}13@5Ca;V(uxQ6VqYbf$v-oZWLL|VZ8mg!LfQb3ELSig`C)(y)`|}) zgj4nY)yPSBv?^4?P!!&2heBkvMq0X`Y^AGE(%8p}n*hHw{vg)gbXJM$zG2?u{8LpZ z$R4=&%_BTJLE#d0{%h~z$OQ!>^hz$uomD&!Zw{=OMA@}y%41#MTc5-#(=E3483y{!ji#FBQsq5e7Xj2iA=);#VYBNP} zbmp8O>#w1GZ=%&ZEpvr!oID9nAM_1cY^>OWQcsCcU-wlua?>*yGsp;mk}D2X?m`7q zbiBKm7j6<)Yu)(ptfbz*90+Q0g>B|WsYcu&>Z$XOpcA)s)zZ2y;(Df@A`GdlW@DC13aD9qIP{HWsINYq3ctK%h!L%`i|bhq8;BsX?CpUT0TF?hx`_^l zv+tnIjgLl&nCBnh^G1nMjVrbv889e*&Md?bX*kK)pdB6_35MRryETW0)aw&-%j=?I z?q%Q`NZz=BDqP24rt6Eu_#)g|Ej|_-XC_*t-?Uh`42>x}$N7Vvxzr2d(hLEP;7}hV z_2ANm`ISOkc#muq)P3ZDu}DzWie&>^UuuRk7(Q{2*8 z$Q-}}*`?4o%tvI)+FVqCWFJywfJ8!WXn3ar0UjZb&xo7gBzZfa!`5ZXRNu9n*4ops z-*&`D7#{c!9GTC(1PA9a_%F}@zc~Im$|m2f6JEiT%@e*6l$RzpMpI%Fe2;nUI!D>F zaqAy^U-Ww^B^`b`vM1bY6CA;RhM(ny{|)Bf`0+;)%zv8PL4zrjUC<~7fIh5zKpk&= zWH;=gKW_+l#cP+Zx7XvHz#02J^RjhAoKM2370RLAUa>xuN9KJ0;*+p@*)K*-Y5vI1 zuh~aM635?C8i7XM60~LKV#iNg|IL2v;*KM%4V1qm1b#8Up8tHB^5}k7`pAnu``mM>fAu}{mNTQi*e_{-!iXgacHyxPUN!L|MXz`j%$WpKq!Zn@;-XE z;k(-Bg1gTJl?SiUuf7;S6DDoCdwjirI&{P7JzJ9Rf83;RdHmzOooz2ReIlmpp+`&r z9N)4Bp*^U{=^3DXU6P+*|1p^l}^{`vpGMy8C`^jG5<^bT~eCEYxl*?efi zr%{UX_h8s2#)(1z5C6tL z<0HRB)G18)HPcXE-QqpZm(+j76xYbt#R?c7DTQ~wG!yE8n>=vu{r)JPZYvu@zT12K z@k+ozP`|ap9Br0Sb&7v*-xxQTWx%*yGl#FBexQ z9$?C0P@YuZ-FMQ-vs5j3$>Qzv)ky@8d(yeq4g|TV^Q&|*RS0#lE*rqe`bPIkRpH0) zjIy?$OAEvV#~zq1RL1ej-3!aJjO`qG$;?ZoLUiL`; zQ*V#A2%Zro?5io3sqeB=L(3HS-`=*K@)*+DUjSI2rUvFCp&2el0P9l$W<<#DKtTAi z_;AMO&NX~(cGZ79H?!G85IKZ}vE334ASlsw4;5w`m8fZm=vEive*Bo2@w9f$XY-6P zf$AwK$vR`4Hbw7v=Np~BV;7N)jX@ST?CEeBjN=`p7u%}=xu2Htpatq4XWLGLvTl{@ zA688TsbfIg+7!Xo@PcwcIb&Go8lXXVAgm1JlLFWLi~V_ds=H7QC%j}`FNfoUCc#$G zuqTgD(s)VU3b;!>F4!vyVD)fG`|lMo6EsuEbAqSOO8%?=Jkgad0e+!v0+ot_Tq^dGo)vo!?Nqnn8;$el^*6FKpB{Ij{2`KqhHs@s_J(L^ z_+e@`(Q$x!v(E%w;UisFDj3K2lXyMRv5tkK+%jvx5i#)v$NJ7mce)6h6@8zu zHuMW--KnFV2P25z=6sZ*VE#F62uEZn7cup?<|eJsWj&>ODzWN5E#6Xn8tTlc=>q(d zA$ovuL2#&2B9|P(x=lXUfh3EW1rp~HK;?zd%SP4{5}g?XBr1Xm+7kDcm5QN4FX7mI z5Hbr2s!lm|4%jx;C+%WptnC2#n?O1-$wD5Et+s(u zqJ;RuI*oSQg)-J2D5$GC5Q7PG>*L!@QdUd`j8=nJ@W2Wp3^DYTsHU=qlx zpf4dqnk_PN(#8eHvdF{TjCWjtSKR?n!6b-J*D4gFwJY-{Jk|U<|70#4nGQsIH-oFP zlmCxpP#_NsETi1~R?n@W0Sh||uDHX``Dt7f8;MbHKk&SF20V~Q9$aNSZ-ezKhrS4Prv1Y1OsOw;t9Srse+ z0Mfx553M+`sfV}6A%}*9>+9V5GwCc9H*?bV7sq?l>!(n(lI9xF*g@Vc93olzr60PQ z@k?z~2a$fY3`5@d_mG#hhY@#7gCcX41nA2p4H@%>_PF^A+THAGQ~k*-z$uu=2GP!{ zOk8L;J4eKdf9R@nn&Zxn^puel81ea%;(J5k$lr9Z;a!sj#H@k(Gm}ozoF=Suh5JH3 zkV%NzL@K;TXbH^oaRWJLyj`wzMw}?A$zw2Nj$BVvI}KJnijaW6j)f!4UPer5u5qj_ zoDY@C6)0c6{9w%BsX)I95q04cY4{jdy_-k}gk)+s+)~*F%V(4b>pbtku9@;XePo0r zqdHtx!1CWsD&85ySaEeUz^DE}^EOs=0zV*9WX6L_xIt&D!%;RJKJqydO@dz+s;aF( zgU%+La^~3JU7K5J1TMa=D94^At<&;V7cIJ6{2!$Q(mMYGbY!m>B>NFIIFa}gQR%uOeuNW2b+cm2NTS#^ zEL|f0VQAZNM83p`J;)3LLU?eLR>cR!G4za=@J|8Qsu|YjYyZZArC3+Uj1$A>wAwVI z^#`o+fWVPEF*7zn)a+cV5YdWK`q?oS_^F6?ynDdKII5|}g;PU!fj{n+u1)vdE+{Qw z#+e1oiX}z!*EZ8QXadTBiN+d|X608oy|5kvgm`Rl^oUC`l$Y!u;?gLt_4oFb;^fdV zNOV?_L?N)PoS?I7E@ds~;i*EKq$GzQ@8nG>Yfp;{VG8kJf(#aXG63Let^Au#exZp# z*7pE?-||Jo!6V@AQ{U1gh!8^5ic^q*Gx`rot8oE0OMfi~BzlKwfGdca?2FC6t&#zVm)Dk`V3mAvowVGr ze`D~YBx$)}^RCY=t|nDvHxzE-xfJOfk&)Q zo;~%G@B62B-3UCQjo;uu5O(O5VnF0o=D^W`y*Cb8&-j#HkDK+XIQg>Yoi8bmPP(uD za>*w&%5nbvV!z~0S5liFE9Aa+%dS1I8vnxhO_jXX4TaYl3MbeuOKsftd9}{})&F$* zu;(d^)7w9wn*5JMA6VN?wFXw!!X_COFUnI?;V|qu`^k-RLVw zsbGlrak8jZPt7mh^b5Q=L`!r!V znyR~n`znfNqM51_gHe0N_>VKl1+o=Y8B0|Fwsg%LjVRUMFcYHjmY1Y0e|q)};%_an zZyV?@-BQ+Rd=sWy1JC(2E3i9feXm=ZF>vXw}SF!f!DP^vap)ccxJ5a_}$HUGxg=XKS#R1>boF6J%;$ zS%jUs#p%5dHZpL6!F%+vlEx__f+VQ41m~LkK9<6I32oggcT!+^coy&AY}q*g&LGn^ zu9WEL3ygFd%+;l9JC0OA$@RWUcU~sWHys{Ym((`wZqr;I7Zp~5`GQM7 zxSI#lEp-}2`b*$yaoxiAEw^viLIpa3zI3{sKm@Ee9-y6zm(kyqI#}<2i+1C>{F#SQ z;h)CowNEV(NV-IGn+lk{3Xi}t_EsC?SV$~G9fdqAU=i3QoX~=?Wv939%&H)eiVB>~+;K#xZ`=^hB)vg-qxJN|Lqa_&C^emQ z%BRfUtLgF!*;GjeX|cKr+G#VbNSbiQCru3V0(qY#fz1YNfQ(&|kvEMIg*XDu>a+8u zm>1RYow9uN2TX=W+2@h4Fe4mqXxzZ&AflBfT@K-78SO?Sk zDyi!ejYwJ|Q^9>Aqg~O!Dv*XR5xM8p;n>bAv8a7l%?6!&TuV^$)|!d@)?UtLksEPugvOT?ohF!ZbD8=c^jz zNVC(j2)B(!=7u6}0Q+^*=yi64sPCz&ice$fLRoH`bFAnGP)?d@Pfpq~jzwXky>^Zh zJPv|*Dk<|`BnZ(t<1lT1IQ9V@>x3~8S&?UkR(Td|Ez0*qJ;ame zSo_KrD{_?YSw@>!c`y;`@|CqLf)!Udt3JvqEN--G6?JmO6v&)Byhe{_97U!xTSX3= z%eV}jjUwFhaLh$V{TveJ_H-Cxk+(gJvYGpJ@v{S@q6+O01F5LLRd>fMVaV_|+0aEk zjolesuqr(t#yRP~@&ZVJ{zK#kGKuYyrES+Kz-u@e80f@0buRaZWU)D;o4`jj@1 z5>sJ3r1D;EbZe{daV}gPxzYJnvy)B-)&L&|URYF8@SvL?Ea|x^j;*hy&*o;%5Y@SyI zAlc#l>$E)S7bLl)>fu^@MrfTubi(7VCP8|z5wGdhcgu4IZrVi>R8T@LBaUTws>>ey z6MU;5hwDfN2QWV7{L{gFhO$K8t{lZD^f}pm^$v^R8mC>on+|MAMt)tq*t1F?+3?Jn z&G_wASb~6?=v>ak5F^Qnz$?2-hz*79Po`ZWA38>qhrhDpNCn-Dx1V>&$Y&2wFV5j1 zSflQVPB;e!0pyu5Q6>RES@=!a>SvKpP#d{!KwV;+}Pc+&}obCiX)QN8GV zFq*<-#FoyvaE`7xz3EGic<-C6Si{?Zh|RRsZMN}I><`(aUk*y}4n0d$k+ok9z%Je) z>Luj*!qFQQBt=5ZyLd9%=F^Y(F9m(DDlKJ|cnklFpc_HkyCheY|U>5U34+>Xr;=`|8*J9{Gc280=Bx|jr&i-1MmgG#Uv7_LO#<*t+iVLbKOphN+f`e*juufF`MtHgp`J^2 zJ+`XZ8kHwL!`Vl5=v7~*%)K}?jdzLnRW4R2&^u}CKH}2^cMimXxnRgu;IyK7COc7H z+`5?xBd{&ou-PVSq1ZjEeYROt=94*q8^fe6fWs=g< zAQ~{8(SK+FqjKA^2nQg>vV7{1>Vdn!}EXIKs>2O0^;Qo+JSN; z==C)hBQ}v9RsTgLU>GLQ$%5v@>OQdF;N(3eo20A9aw-1)-pmfr=IdqMI?3$ z55IO(r^5y8e_XZUb$ELQr@#7x z@E16az@L4v6fRwH#67&rjtgd|v5tFy4Itw{9Fq$O6#!ahTFy8oKg}j>U#6Q8}1Zb%kR1IwN+N;0JJQVlV#~ae7#ibws?+gd- z>L&aJa%JK?FXwsmZd7x+w0Lxf3@%B>LD6RNFR=iWa3V&WHl|weuO}qP&!q_Jssa0sz z_A~$>#Bj8GigOtu`DAc_aV#T`5AI%>`qln%_PD!GdfUb8L036Jp?F`tsEWyq)kVYm zR4D^_i@Oqk%!t2dmep^*r^jE4sxa><8{zaLeWi({*O=&>B)@0_IWLBg2n2B0{B#gF zWy_p{6Qm+o^))y3s76}9S$FP5Mb$d#H`^!7;$6teBwsZ+7VVP-HCgLJyKG_ORjwK~ zLx5SpYwR2#P{A?9h=)7Hj4g2fz>GDM!VA{Xb1seX+qv=Kr$jRqi~vWu;^7a0yDJuU z&#HD=gl5LYR3P%%#OO5`Hxzk%aiN(Iiw&Nj#jEYZw2ZC0wbevWzZyQI2J7TX@s&Q@ zsLl>LZ^%|Q@%2$yBmLuiuke)==w)XS}v1uWx{%U^w;Kl?;YczrE$Y zCq7>Gi}ZR6rn7S!eGMBI2Yl#HFZsl)DW7uvcULF)ZrQy>v|}C#j0sHa++48rPx7~X zo_rxKATi`;*WR1)AZk6m>t?_a^{Joy&$IWI@tQXT1tlb|@xp43m0SET0Ea@oap`rh zPn0ivT8Ai)wm6UW`X0FHKBB+s8`|kQwbAbk>z5O+Wfvd1*Pi?jt$$4E=dcj&Zux%qcTdp8!3_Ts z^Ed35-^dObt@^{*qhoO!*;u^tLg=ss{qrm1NyPEdvu{)OvaxHvr!vsWbCkF6YbM`D zF;+>rzL=)GP!4l)-y@Wd7t=tMrhE-{K?5T8n+QCjiyBU;+FRyXF`xJhuZ?_<@#7&y zfwsP9ZEu}h4G{n$t6N*$ue<8~>dzBB8K<0v7Z;gLPB>_Uuq6QIp~w?1z^HXCXrR@5 z`kVG!7L z&66^^EY(;1kh9fEE%O`zU$yQn+`Ux31h|)hc&Bicy- z+=g+jkP#rF63Rcxac|F-vF^Ri*RgA37{UFR=-`4v?J5gp8wY0e*LIGv?ZJ02ZVCE@ zbrzJNdZLSte_>=0e^s_1vO1qx5L(E5W9xmSN3kzN;Hph>ep5z#ac*0Xb=oN-H*Uh@ z`CW_Wk<5^{thX`Yr7G#;F`%Npi>B-c_q!567R|R6;~p7 zLvUPPim3Zqto96eD#y9$tjFe;G49U~tex+oyai^QoptK%$szMucx&+%w1ip{>)&GMzsqE9uc-XDaNK_d=TPwOjTgl=HSyhh&ykm z(7Jisf(*hhOZ5*V+3<}!lr>!Puz|(zU{z=t_1z86^}n`!XQ}IjUO>ufR$vr{loY<= zBs{#o?9zUiT8Su>HL|43Wf1ToKvFV%wvw+?s2ObtceK{#lp4GZxTGEA9>K<%J z7@Tf7byVZIuYPV&(6YM(l$QMDXM6$ZJ!m~;H-aluTL8}xh9DDgg&y5id`yD?R$iU< zjPIPdELposUA1^00CO%_hy@@8sj52bT)mHXI4jz>&*Ec(T2TwOz<}v5w0~=)7n^DF@wxQ~GKf#;yBzCpBw{ahx?S?j;18 z)xK;yj`}6%i~lN?(1J6yvwijAh$Nin*6x}w)!)njqwIlbdA_CTM6PAj7dVh@4zv7? zWydE~Z@l!Ya>Yjtg9B(_ybu4s`WL9N_VwAgwU6@n#=Q_);1|*AdzKQb@jbQK_c`zX zt9&U38|y*%_h0(o%9oBmehJDK(7CMpEhtYs?X~%TxmbNEk8FI@JNO04e(UuOPkO!d zyA6A{`voswraB%c%U;B1|zG zjvp;&zDqmdET*@5uXQcHih`C;ou=BLiGbn2G0hu%$BX|{r|0^Mlt*v5gow&#ALIIV*#i{*x=My3-1=qy!;LS2eWT~-0l3T(ob-gP2RZ(}iT)|2eU4V+|2R0- zIztEiWAf4hD&HriGNOT?ua17l-E%9Lp$>XyZaXcy+}_7kKRId~3ke!~eB&G626cW_ zf<%m90qCD1$9KO$Jb?vhD0}u|PaO3iD6|ng`1KXM%9Aj>Ku@p+Qs3j;&?mQSi4oHoK>$b zxG$MM8Tij8kIwUu;KQzIupJucyMl2Gm7e*MG4ADkUqABZ?444ydu-nqH1t$H>N`+a zs%ptay}i+O(V{oLSOI2J_nKJ+mt>3M*fVw9@&O|?i;Je zU!Mf{a>eK4d?E<;C@>0iys~Adp`GAm0KM3yQjik%)}gl(gU(u0AlAU~59vz4%iwIP zS>LAOJv<&ZT*p6U7p-F4G&0~yGE&2Ye5=93M7ITgD7Viyd_6uGda-T8+9fF(j;5M% z)Mr95Cj5Ty_2u3V-?>Qe@1fkvT*WXiJ)nVw`&bIK`kTx# z1Ccic^7dnJJPZ{NVuDK8^huozAHM0lDxYRY%P+8L1;L3HUA1LrCgXSo$)_mm94i5F zu59`)_{uZHFx-P>%r@N~`!+dIgP#B>QIEQs6|>HWf=s4rM`Ek2iCDSQ2ufY{t5k)qcQFOSu9b`;JEJ$lhrLnQEwZ3(4wiDOCb!c z{+bTVCLjiKU>(n(#|zPpZ}A5GENu_cgMVN%`L!QbKbJ{doC8bvG~i;S#+g7008`Fp z)SM&5*vdwB!j5(GfDR-|pe&fT9`3Igre#BLM`;Bd=v7UgijmsLbzBG3?T4G(hHMZd z!7iXJBZ?eZn3vW4>GALOAjG#2FC-jkw&7hVhWn~V2CK%ntEv!ivu0E?#PTY^zv5E@xk4%ih;*)oorhbz{+NRXVvkiI-%#@s6lWVK`vjHd#YP1uK}nvMmI@^g&Xr%Ze?A>sWzxD00Z}6tuhR z0{8lgLd{XdzRES~AR|^caKf%w9j#5CD=dSFOppvJaYuOt?JK(0>CVf6FQCO+F2AgN zEz?;uH-mYV0z-}+ZUeHxC7Nm)+nK3?Oo7i!pE6L{W^eadqx?Afy zmWKb7auzlW-vrCZbq#!(!jncxUvd(;VgWh1W#_!4uVkEr=qoDC<=pF$Je{-JxBu;ERAJ3*coK2wW* zl$wou;rQ>ah#~5&AO`1VF#C!OQH7tzu4;FKs~}Sx^!1{EcCx56oe)Ny9=#3-KZs(^ zTxfOV4=PHmjt6q~g8ZYQ(VB13gaRAM20r-Vm_^=ac~*IyPU7FQ$>q7)7ugwb>jOx^ zipe_kjTje+a}vD)yj5Py&WXz?BzpGiE<-3;qX#hg`qep3+`O9nuq-%5ZaQ;3)pd6- zU12?ymfiyEaz;VvG%7S9|bS3>TzbE~CPG55W&kgN0V4EBEMsFFUh|r%<Q74ZP4Xzb$4 zl=W@ZZLXa=;s*#!$Ox@js}`Z1sq8}v{_4%HLQwU}Aw4Zz4dGWjQhQKH2-zy|08}XJ z=O&u%Iv2ns>!!Vmy081sFrG?7X1v`1)Qd`tV_X75!tK5@kfah-~q;=_= z4)WXME@*aJ3=2D>skwD0SyI3;j0@j~fnB8xqjCXsc0xuBLS$ZG{f9M*#B^08oL%54 zVdi9lmct^B-A@Jm6fd;QEg61)88CW&CMiZfX?I*oY8c97{#mr7m)LlX&cWQ@NM4_5 zfNa`eYKjDTu=lz=_~l)cjb47f-Wxz7ym1$eOqU|Jd*F8=7ySS5yK(FM?yJA?yOcv- zAAGd#PxU!<(B*eMbjhz`Vcc#VpYPWA`B83r5#(RV&Ili3yHQwW6ZR*p0E0d1&*Vg=a zR)0_pGZwqo&Np54kI~KtR)UZ9#I7){_`5v~mx6EUf}VOseaBFoNBMnPK&$v)udIuP zzc(gqz5Gqi$Fq|Ue)wUr(`b0`N*`s{tO2r-@^t+O<-|jS)#o~*y#?(g4-5(0c3S!3 zoqhfx4}Qqrek4t-`tV%P*}*zN3WPWzuE(@wq*J}%+(|HJN4X!%I^ndU-gSd>z>h{R znuqHd%X=YMsC*e%P*Uf2`-4QBGdPYXFN}$P+4m9WkF!f(j-rBnKTB>Yf6P+tF`|#~ z!fpEKq+eFKg}M*jhsXM!gDL25kl-H^o&HsfAIdtn=-B~3 zz){v2Y{ou^+0D`Ae-6x_tdaTHMm1n%? z3$HY~Z5OZu$F2VF4z}30wVt_W-o?$!HzrpVbkx|7QC& z=a3N}%Gz?-))kZsq)eE2d(41=xT7f#j07?A+>RsjRjRM(fK(Jr0(*D3znqj20|G`R zQDJ z9+Y_*WA`e*7{anI08IihF|VTR5{a(*G!Y1-wOOjWN*?EZ@d>7FK~kyi3g16uJuh&$ zZoez!tQ-}9hT9`<*u&Js%oGmgbFvQ&!z&Lq9LNe>YtYD8v0$>C_t-{inT>X#0&Hg_ z=;AJqpnXjjEey`!2hU_P0WPCWE#I}YyQ6YCzU#=?bDq7`2i`N5TS>2upc zY^XrCW%qnKhz&DgXm#y~gjkS+S$jd}Ml()^QmJM#0OX|83i?-(7LIxVVMHn9kB4g& z=bD^0Eo6xuuGf1kprjX7jSKb?`y!Y}Gp2E6MGVBfpd7IWN4?TyIRDu^%`Ta~fqM`V zTxaV#fGk;EG(Wk3hE~BZ33K!m8-&`;-%&|F+R>rEB3rnH_XzH9-)hWUbif@gNY~Y6eLoSX94EJff*~{%06Lo`x zpa%();RVbL^Bd%F2Shr^Gr2G*526BcP)=9Y4XkVfGq|8a?fKFL`7f8PfroP$#%Mue<@(-9-wVO8hse)aX=_0`FnsF5B4j~DBx@) zqRy<@54jO!uEmh*`AQzR?wr<6*$e&iq*{Fb=p3+?Dv?5a$ zZH8o_g=&ZfVX+=pR}Z!yE4EACXG_NfKkaV)gM<<1nvym|r`;z;M72VFdMujfY*D1jnEs7y8RVpNVvTd489j$q+uS!-M^SOlf=^}!D6)U0{=oh; zuB>~2KWi)!?S;=jJ2l*uU?vb`W!ugQtkVJDBC?HZ82&cXOz=Q)9tre_ICFap9D$9d z)P0p&SXxY}FZ3(mMakS(Su7i^^&Ch8U95w7T$Ef`nYB(=nMZ4^>w7FSHc>=sa~Aq8 zN->VZfO|24W?8NKLyRYrw$F^?8?R)L^NNW~WD8Dnl#3cRw-DE?)fL1!IvzMpd~^V4 z1_6H=!kNXS1aTNe5Z6Cab?(LfDr_Dk z@XXgf6KIpJm~qprCUH|0gcy0;sdS%Wki?1)stw)-kS%b|H9E6w_fdgSigGHA4@X&G zKRf9BfzIp25Zk3Bl&_t2&Y2wxIHKIs__e|%?rSKXhwpkKw=F0F#E@-*SDppYro#28 zVjaa4uzR6+Rz^xB35Ws!WuIC6Nyhw>XQ#lWP2XF#cxiB$R>eJXzZym?>)I*jfDK}e z=L^c!-b*Kr1t#?_R=igwJJQeluaM1 zfZ?j7uQWVQ_!^mgoV+ze3m_{W-XT{uD=M$}$+P)jUIz($nPQkGJ-4?e4dQ!r4s~c9 zB<*-CHbzaJ?4+Gno(GmT0Ot8F&D|pQ5Mxc<2N7eWY~N!1ehB`wwN1`qG3`oPIluF< zUcC?R005$7t_}S7Uz2uFHh{%dQ+$7ROyn3M5HaKLvbCkYdIN+n^2QrF+OT}B9G=R2 z{9`Ftypa|nQ_Cay4%TrG3B`knS`n=d$|(drwrYDkpej^{4DT#%*Hteo-&umSsoDe% zSJG7nS$-M_IN)8f)NM|lI|*FEXze}eO{ZG{&ry;{;~boVSVn^b<*wrFtFxVB)TvKn z!}ZMASu*^>;rh|2KxltnZdb*_gC{I1Q9U((j~0J0r;HHn_tdX3u~)QmS^KekB1~4T zcuCNjOl=(_YH7Jj>=Km)GgM^h}KgeWvaMIn>3^KKOaN)Dqc9f&A3^mfm z!KGU~#R%o=`ZbA)p@(DXOvz2x95OprlBEG%Ej)romY7rR5!YX71Kq>G((GBHy(>+E zg%Q#Rz0@gIEWdaa727r2g2cq<5f)agY>P;q28022N0v-px}=5U$@ALv4^IE9jC}u4 zev*t7%&&`4fMqY2qNTBTxDe3nv!+gtTg+cO(n>n+I%%!I4Znsd@`I3fZ2cR;5#h<30g{-N2SeFeFoe{J% zh2F@i+Aoq(E6FRD5cb*IW0_OT6XCPibuha_URZ-Ko18b>>%L40IlCS*vbVBSOsbO) z4P`l0&$E-kj^75s>XUn^S%9M`B3w7^)WW)=s)ITSRlQ0G3yO8#>f1NnihC_8yaNA3 zOjX>ZrNbl43{N7uZPT-377vM~tfwLa{YtMqwS)_b>{thRp&@?P4cKEKjBM4xMP5q^yj_qx0-6B+6nKn8@gCD9aL>-nns+o|Wlmm}@HuK1SxZV+p4ex7+Hr)T_g`tkt4}5&4RC_dSKxM4m z!;opaL}-+giX0=TL`8T?B}j(2t3#K;ylaE-qTPiG0S83R>#6rdXSi&8Bn-L&MQSeX zK+b^(VXtU!+29ncoHpJO4dk!ow2^^JuY#_yUXy2S1RFG5VmVsij==9!0bbs!3*U%Q zybGB0to~kR>`fd|_sj5ZD>a)@@z1>_(>Q-gyDLL6vZJb>V8pst%CJtj?f|=QhQDB$ z{0jc&9eGR(CStx#bajFY6gnRpO@(`FaQ%Vo(5|g>L-0hdXIKH1A5|P$ryzs6)k)rl zO!aV?NZVaCS3MLB7jFq&bywT|Kbqt)Fw5HE7l_*M9J#HMp4n*lVf6nWXRzb{at6Mq zKHj+1_q7SXmmmPs>$PwEUL?~m$&98)V&OA`Y1aG5|E3LkX8-H|r46hbcb$On%dJ3f zPk8^H5*)g+Az;Jb9LotO%2mHdqU)TWP82`c70{fYx3br0*hQ-jY+-H#*&#A^{UI0S5+AgA|OU5~)w z>Y4M#Mj&!a8$a*%dsd!gH0Y*OD~dmwBz3~{79Wp`qzzg&7;GcsUtnD`w^47QKcqc9 zaqE*=11oCeB3A_`xp3_SkU07zNKdaj1mR8@#i zB!rbglY`(~Sfy4vTwmdW`EZaBP2`tZAxSRM75|%0E(zlST_C*Fx}eFGEQig}y)6XJ zDH1Dtkl-=y-sW%beONwB@LSQ0+2|b1kA?YiqjS_1@OyI17-#GAdo}C6XCuzGh?`A8x^u(#56cN1Ki97uf~VQ1fxNU19;$ip5SWyEm(&G_i zs1K570nt$hrar{G45|cY zzA{JthOH}8bd=a=y$vY+Q5>{-h?7=!#E97gW-XA$7o+7x( z5RQXDCE-MF$KoJm&;{_f>@p|-XLF+X#t+k9J)NX4$qI)a8pk@t6);( z!rDVUI#)4TGkf#lQT}^HbCMe#^^A|f!i=S7w`>Ob8x=@eR+DlupG6zopAT`a0o{}$ z#xt0My^O!x3Q?am>8Ei&fI|;~blmmd$ zd%=5>tpffIBiQToLB(e=`o05m->o)C#xb8Fup~Dbe5S_x-aS}?9)Y!-=aQ**#+W2m zWcZi+VjvOcKJBD&{#mSt4)Sw@yzt&EZDmRFgBbr0nbf>20fb64+H}=DOyiPUd@Ipo z!!NAD59i28A4iKNN(b0H{MbHmXeccc!b)til_VL&+F1~Bi*0}^DTe`OU8c1PE+qv- zP{{!yo)&AIi+o)(i2iY5WK4TtmK`2RG_n;~2|fCS4ia9?!oxGq18vi--JJwP8oxwW zM+Ss5abO>Wk%SA9mnFjEHa-1Wa>0Ob6FVgKERhHaf?r4hhAuB_M>KRGy7AK7_F}1?c{JLB zA?6vpQvnG`@@ayX0>6LLRO?&!(ns4&HkyCpeRyJqP=(Dh+AXWjV;qN(YHFmrKuad~ z#LP;K5GTaDy06TT*&g$DxUxWgeqeBIYow_7$0 zm;^ng|0XuBLA}jG9ATN6C%z-DvSsy8u0r4`m3I&Z$NQQPhqUfwcIka^FZM2c-#XGn zJ?PNgTNqKn8F}5(;42ld{+yhRmDSO%Gy;>vMAbXsF7A@i3=W`U;T-a1ed#KOzM!~L~W5Y$a)B&_C9eDq~D#yv^!>6RuW?M7-yiif zo>oJ0UDx-syx*_4JQ?j#Z9o0giLFdx40BSICQO_?j5RV;cbm%g6u{t8j(o8NsGHse z9^$TnHFTUVs~$*2gKxYRVE5uow5Bk06_F;ms;CR16!=X!C_lBMVfkZXqV_auoSdvJ zCqecz25a31#>5%g9^X`;2XOZ?ybT5yr-=JN17se!NtD!bcTx_zi^kdSlj=fJWE&s( zE|IP;@#ZQV&MEej9)k<}eNY-v96~{fhMOKlKW&?$K3g}33k|99~Rr++nHb>h~$w}p*Gn!AK zqKEa=3+RRUVuPXGb=^SwO)GAt8HYC;nstXH_gmW#=R#!gpt{{0z8MJy0l^fjNXI zEe5>FinaG72e-MMFb=9~g95?;gFb2ec8A&u*$2aMP{<|O!6#(Yp=m>#C3UJNEmGj? zZTh15XTyGlq3R_IHKk_j$VOa}l*~ziZz)fX37Bqz44|5OWbJS)t8`!4HnfqF(@OCQ zt8#g-9IvM<9k_VPv!v&2kg2xN3eEV1Nl#zYRh*gRf}1%?7fM$*5A^h^gmhKS)*L%n zSqwu1okpi>%IYC85MsNUn+cs0u)nMbQx5IB+lfM#43G1i)6>llr&kleDjYM4Yjqb% ziDDOUlB)418}VCRLpiuu(!|Q- zMm#n@kBo+Ta-piDI7!$mj~_G!?G(TxD=`C;iamz|(O`VG?!6bO3es#NxFdiA{Q#b5 z_Ty4^^nS>%I*xozCm{3&r9U7Mn%0$_U@yCW%*wa|xVN?_b5jne{6-CI|IFv!OW4cS zwUOd0m|u(F5V>lko+uC)z`6VV1@wMxI)<_cTEL|~poD&f>;H~lbl4On`b(cQU;jB?+4WwzzPsc6E2Act`+n~A z95iG%{K`3Vf*bsNzkcRdzT?-q*Fjsp;h?u4`;90;(AK<_zXa6NfU^;s8Bape`kpPUC`8r|``9Hrr_7;tcv&?W~|DiF;m3U^BcY!e85J z@G{?=R9b}Vs-EO zJCExq;?aQE4F~N?|DgH2xnuN#fQ>tzryb@6#Ef}E@3(w?z&mGIdw#sMWYINo;6+v4 zOyI@6^NVHY&(1<%CBnP3p+q>&m|I>IbY;$G%)m9}T_(yBMj$Ln+bX-7D1XzpXuuM} zNH{>b&{mEiE>U?`Iu$faRii2M*Bhi6{#uwXL}^nhq0FuMg}Jp6ZdFgq$8xZwQxog=R0>w?E=-&Ir}G>&fct zq~wlxuuAlksiLGy{O^%f(&kaW9h+)8Gei&L{K}bPX9k3hNEJL-XIys%B+Xf>tC8>4 z&bxy|mhz_UO+ag%7Og%#Hh*J!9M^Ajd9KSUTBSp{9JcEX7#vH%e8nhenL&;qz~$UK z2#{+n3{C-1R8Rm`n^rkSv6S}Q21D#;x2&t45TZQn&trXr?@8L?pZX2zYwkTg4U4Mb z|5!cnF}>SX{?Mw_T#E#?jlTS&JMFCT?#UCP4@?yYBMd|xymg#tM2p0Vqaw-=hVq@M zAiS}Xb+S3ZV{0+4be;(5UCl65+CP6IKrTlH=EH6r%{PnR**~)_EMcPotY5r@u=85s zE^n1#+~~gRV8907MV`gbFvi_Rs=Y(YcdZfo&Uc z@y4G_G_c>i-z%S%sm9syK=|hs8VKKl7X6p4kdE+>_0MCnkXrp^Ass|V!xcVc$9vX9 zmH3Xb=j6k7<#)|3gjw-@2AY4XWRdYuM$ZjNr>h(u`*HiTWP}2V!$hDyH#e682P~96 zW@^tEFvd7q2chs00By7Y7r`@9nGB!1bKKZa*L3dCx=UYZ8Qw1UH1K~-XwqFl52|Lp6jrIIRs#cmPh9&V48Ru zNQwJ&w_HkEWCSEGf@u*g7M_KyNLPMgChHMt9_o_ZbY&By`b@|>OZEzbV$y-3I1{)N zNI*r-=7G-G&|K;e^k+b~P8u5MKyvg1Gi=lQw>zjA9VNs2K-_JBCNmRQ?&|E4duwP6 z?}XfU5MSj?+T(!5x&2PI^zqK-FRW z(dL+8HK0|X_j$0xt$jhS=_uAnUD=WhQi7IV()@c1v*jru(h=Qz%zZz~)s%f#wwZ%{ zD2p^Uoys4pGwC@p z{I+;64EJ6*k(<9FK$<6kD>cpvEsHXut!bYn4fhr6uWkuhCR81J-m~sD#Gf{I^bsU4 zLPuJkG#$*7m5QNw6Zangvz{A51FggOjXW5u<|mUk2CJUJ_R|eP1Hme#8L}0&P9q(E z$LIZ!0|^Tm(V=E_%^gO?SAKeF z|0p06=mf1EWs(4fa$q1c3{~H<$)EHbWMF`=a6IX;S`%3Tk;ccrI@pHGMule-PnAH= zwNhCwF0Y!qw6z&e&Ib3M_#hk?c2*?U0uL{E zKSo$`I5n^?*M;V)(d(oSTAYCIFoHRV23? zVxfsM&AXn^i~pb*A;jWMWTQI`IF&#_78CH%nT+DHfJCrX*-S*Ot`J*egM$3Qb-;oE zd|yuA^i$;m4k{Mjxn=h;M)Y@31Ft;qG?_w zuyvw3ikz@@ZH4hqgc^oV#`KY1s_Wdaf%M*MVsDAk|6FB(%gBDxjCIY!bTJwd|e8Isdl!YZy3C`W9VLi zASuEA-~&-(fc0tresPlM))+5hacbzL8~}!}&m`=ADWR?NLedT!pl?KC@C5*wuB&7p zG+hR8dF%B?QmBUD)UuO>;!1aGZ_O4LyXkN0iG0%6s=1Z(DhUiJ4H^22V=(^PH^QA(yU3E+ zZTklcw!zhrCYmX@Q~j546%#zG3S7meT;Ci|iqW`1MbI6vK|}zcEO^i-A*ehnnzt|b z06dE7MjyaqJOksmBmjv_bDx=v%z>y_hkg5g!HHZ$ckv$XHn-q6oFbo_1nu>7@kwqD zW4B?V(rxT*qM64Z|Df35e%yWN=)Zp2WM(fr3P0K%l6P8lS*&g=bdw@WVsn|;wTcv_ zNtO?<<^F6VmK(=wqEC=@iYzz?J$sjK-lJTwyrTJZHd(*o}Q zAXs0i+zLRX!PjQZs-hqfh{!r!Uc{YJOr$5lS0vM4zBu8q!(y`S+1#gDj?s};5O_2} zs&AXZ{07WvxszD^V|b4B5%rPs<~?GIKVu00kPlCE#=ND4K$<#)<`>-+Lx2d8V_X^e z15J`Jf4v3f$UOA_z-|e~b3pV$0DhoK=ycHf#{v`~HLpXcitW1RhF!Y-IGhaAC@P@! zuH&)30SlX|D9)2V{+Iex$6u&JHy(u`7n1xuV=Q4#RdTtQV+QkT8;i9`tGru39i@Y7 zR6dEPFo<`odFjwKmieig@z6+`y>p3_AnWLi%S|%NJieW%ZGpktK{=63AB{y}K~MlV z7m%JAOVuZFs#RRD0T-e0R!*J{N+Fal1Nx;TkmDD)PJ0FaXA_->1%T=>!tHijNR z(nd-p4ZcwiA?YRgoRGqmX3AE+*Uq``M!>8y3KCk}i^ebg>K6s?&hjVkW%>NjqPkxw zJNGW5QyJSFf~ThC_xA7dPKx(g7A2)_jYCryxTVd!S()oK+uYQU3HI{NCb~e?dGRN& zb6Et_pj1{tM&mm489T zs}p6sLPm^n?mwP^xOVPi*Qo7KJuP6X7C=gGJ@f(o(wyPn)$aW9t*eVT;?NUx+M0RN z)uQxSFDX1c-ny@bDZaz^_Oam_(tQ2sea_>C@=h6L1-l~-+yX4+14?>k!^_ZZaeb00 z7px6cPoMeyu!iTOqj)5$Q&msT%nd$1ei`T0`ORI^rViZcoG6i=URILR#`OVWwexzZ zP_xThXX-o$l#&81^pB?@!VN+Zfcu@QfN(qAfK69j_H8y8XA8RSd`31rZvVywkrxbn z>boA{tuTJd?}u9rmoYv7l^`AIQ48rWd=ONTp^qGUq`PF<-gEoS#_gCer1qL#PuHz^ zT|B=S`b}YT(>FBNE7eUnv*4<%)pQ7J0I+Rp^P?e|8o6iXySRWgMPhULuF0CFZ5|j* zd%AIz>?5#_&E$b5wWh<3cNPiZas$T;WDeo{i(`@xc&jfTsnPA(SLK_UicpaKRkO6J z4o5~D=?gSrN$9to-QVmxN{YTCcu7tr=4)K1uPDgt5g%E^!G%CraNM<;-(#yyrpO#n z)RN*Aab&|=AoR@Mf1o|yqQmfg9rxhn=#hZmqkOH(;{p5WJk zR)xx`8=D()5Scp}e>ICcF7F4E$ryT~=XHJ_PGYxEu6bTw+1nuRhv04e4)?Od*tWV< z2?wAHmFrr8Bi{uFP7-|@vRx;yZn?LNTvv$+#k;#MWmR>UT;o`6Mr(nH{4X)(HA_%6 z6Z0`Ua;*joA4uaRNg7GJNOGGl(lt->Qf!Ai?7rAI+573bl*kZ1q zHVGxIf`B=SkjNH9N%UHk23S%1LOucMQ9h*7)q-|;1~DL1>sloGj-qLhMu9?Eya&Qx zlqyqaks7Fn(rFm?!;o^qys=kY(=j9%#}f+R)HFJ=b*GyNzll}YQx}ma=^cp8&}_H& zlA?ovOA;80V~(S=i%G0*(uNDyrvu!wxHLnLwObntQ&}$IKo%u_wXkdv2fwt(rt7l$%zgJZEtuU zewabjDuyKYHoy47_g=#qQA#Z&4)+o_hwQAVTq?xUf{|JeB{vbUDC^f+6V*Qk zbh2i^k9f!p@YD0b`gDD?_%mIk`|M}`xY5`Bm_I0GykzuvV5_{r3)d^Y4uX7=vysD& zPWtiZrcDuWVWhxfcmvkxW&`zdX0j$a1-|hKO!tL04@#E19ls38yPmrXRh-Dj>{!NB z2X7Ke$5Ax!EnblX26Yv*PvGBxG5R?feM3h@LTgx&8D8`sg%wT84!2d&c_Gi48s%wY zLBYt|;jrsF&uvE38;I%<^~tobddn)l$9UvDVFyqxo8-A$H(}rBWZ%lUi+xy4icpc?0ykSS*)x0g&m&B~0A4R)BeEtdYydvEVUBUr~0YLAr>s+b?w15Mx zL0chf-RNv9;ZJGa&&gA7V^;2 zRR}-dX|#2hcAe81D;;flZc?O!R>z)Y{yI9>ZT*h8nE(JUPA|brFHkfcO`3qVW*?ZH zRTAi%5;Dar+Q>9&GSHt$U=*XlJ~9iD-C9(qwtH6Rpw3>f(I8s&U=;S#p4miKNeeOz zvZ9AIVe|qIP^Pcz+-SvLhH!BdW1D+y!n2#qE<1V5S|NeL!l(xO5R(<1lntBRN$mOu&|+y1TB0ghdYM0k1E8d`LdoTt=koi9%ta@Ntg?TB(BL{rU@99ISc?)!rhryu9+bA@_X4 zg0D#K)gbK@CINbBSQJ`wG~mD}_ReCnR?zI1r+G|u zZ_yiVAZ3;7F@YN7c5En7MX3NaGPyi7BiP!B@%mFRoHFb2!W6hfm{Ae<8=e^sLLP(t zbDF5_=fjG@UA2UU6waPI0P?X>plR{!oJ@6Ckp-!@tVCU(IKO!Y22!V>>zC$5be{t< zkW$c$wAKS)7KRO&yRdlnQJ9~pszDSEWi4d7&D<#$uQbSjxNx^&I^1v+>ftERazCv3 zJ=@0^k#n8XxTs|>4D9Y2fjE|T zix&mLbw}&+^8zTsbELa{jmLp+n*g+b0sNN+4#OLC!N4;et+3hijBtxpw%BwP5b^;E zOa5-|54wi>X!GRP(Z`?Q4fMRKdn#ED?dPAkv*3SDHIWZ^E2XTDe{L#es^Au4cD|l8 z#7eQN`5_&JbXJ-g-segwM23dKgr!^OtN{%~wKK}>ya73<7f{bQh1bO)88)!a^W;d( z*nQ4EFw#*jTZu+_FsC%``=H$k<1$_fx^Rb^3@M);pn8^eP?vKuL8%Q-t^xjul0u+_ zf~eW;2J@bX3dP2R5X8`f2Ut-y!dV>;O^0lVtQ*McSWw`~O24{gqx-+`NvsOI3s5`-n(5N}# z1(miOxJMPqj%*z{Qhc&ar@t>GzyHzxTytO5Myr0!I?^j0AGr!5V6fTp>;>7RSlX-E zPaDR*7b``wvOQWmDLJMNEM_*9S(SHj$==-VvOAs3K{;_z(M((+zz#$YkHCXe}*lLYvwP%D{kxtE1M`^N}a*_Z{4p{2$Vsmn_#$pGwBn)+v&X27&LbB<0f=%;qW-rg)91A-UbFpP@|nF1U=E?HDZXCsu_=rEqObTI zprls&ZKJFRnswm+(j4O8Pgnr|Z26zEOfvJ;|0k6E7oR0nv%Dd>WYNx-X9amL37n>^ z0-D2ouSG9WJ_z(*^6X>E@4R3;7w_+%ebIm0l>b|&{da(ml1~Zn3iQ7+$@N|4$5;t7 z+IYV?AuHxBJoxL}&GUkFKLCZcHE@0SN^|f(_)E?&h$?*T%{Z^{Qpf6$G|IvU?pX^d zE9+g9m6SK;`!92H*QEOIx@@1z*Z7^`Q#c6B5IXDM-v6}$#g{DgUb_9=_dnNB1fu~v z5M%b&f;q9RbH)%^Xl6l~W!}d5(~Q9UT~e3+XYRH? zYkg7%@v_6-Fl%u<)Vc-qzO4q*iU~=(jIp&g)FOL?Uc|N^j-=i(6 zpdta_8Gd?G_~X!-^1-ssuJ?7843L`j0{PYPb-LAYehqfxlvCJ+-*@m8IOm~DB=g&m z0^*Af`mrQ;$c*n5`-vH3R`#-8(6LcD{ zz~vF`HS2vJk5P3Aa6M2s{TCiK)hpf$UIpjsYp;Ci^NwZKJ6?0);t2O&^d+oleYWj{ z997>POi*M&Ui}51a-ZeCulhV4^;+n6H6GFo`+uGLTJ1|3Ki@Z=%?oBMh^qCSyBgBh zz`zk4w|Ia3Tb~8SP4FlIQF}wrr|$S=*?H~Vkb@=4T>^ zV5yg~uZm8Ar&X-{*e}{F4%JZ7K9wj7d@mTCugEA2QAD^J)OFGo4+5kUqKE`2Sev$| zQy~baq;b6(v~^sc*uW@ogyw=xjt>mKF|F&B(NGY7A$BRrUEwUZCE?=|zUBKKi_)E50_ zx-KXmF2W;kaYDQrhfZbSKzO3s zA=Fno)Vjjf@cgbpx1`(I2fUA;n?AjQi8_0mo-@AsmHke?lH|dm<+p!Coos*f=u&}_ z5INXe8$&p|0acFn=3V@g;L#^cSD$n|&-+SrwCgq-efW@Rn%Dgio1Ma>%?r-pM6L$V zhpW3h6V4wqAVK!d-w9L5a{k`nzd?IjV&84@2AYO+6KFuTD^ix{C&@ZXhtYT+gm`>d zIJu3kR|YC=TF5ryq*K+f2`#$^E}()WTlJ;&LwExQf-g~XIU!_Gf~;N`GO z-b)%R^^ZzMaQ%=|jItQ&43t@@CkiLxvhb}NHejKg>icxaf0g-GDhpu90>Qnoe5OKD z84QO#hBl%egwjh<(1#tG|NX&-RA_jBc#F&PA+11g$_PspkG{K9HS(+gjFwQOsUexj z;|LQ%kjqSkyU@sveg<#b*jLq~FT@)rCW{xO9wmfQQ_cK-1=heZ4nlyvR>L|DqnvCd z!Begs`JshuxT8o@5MRpVV&BJIRlF(5^FBn?hlE~9#|2cZf=)Mfo=8c&onzw(qcLI>MTy`;H@M~?7 zbr2YVR3RHNt~=ZDMA?keG1iA~Mp99}JC{VAU}E*CX#W;R99 z2Aj(w3n2b>HEgWhd1Wypc}Q^Udgi*L7-B(JZLONpOxJ8p569#jzsfatEB-)+a3QBE zL!PBV{W4M_E?BQ(9JWGO7zoOPWn%-L=~6MQ^`Y^Tv{^Pi@`MdH)b^opDsZVqF(44F z(4(FJf>?qE`a$TdUc_7i^wUA|9%Nw06<`yTz9X)u+u#vkz)t7o)Q~T&6gD%Txmalv znZ_60m9?h%L8=QB=+X)w2EJF;3uc96LOe2rCtIgU5g)Q8`%w3+B99Ef=X?y|SRsCO zroC!1(IeaeG z>r>a_w?Q;(ZvLB$-Zp&W5O2Zu-hq!F<;qLNt&n_KO+K;QDUIm^@g;v{1Xjnv`DPJb z2ifd{z=Fx@kf-WEx1;|cgf=4sp)Aw{#h0Q|r zTt=_u#V6Ahnhtgn!&?hvdyyU3I#e|4nkIM&N7?g7P!oI&cu|Dx>oeMiO{F7|Mr2}!SiH-IVka}h(?S@w#x6&|zAB_|`8Pd-RhDyAzRO*BN! z00-PrL-@3caLKKIIkqR~Vba?`dN~Sa-Wga ze)qc?`U6c@HE5!xRqzP1R^Hfv$l?*C2u!F+%F{tx)h@`89#}@V<}s$Yr`NSx;Ps1H zr?b;cOWg=nZrR zE8{wU00!Ui6zG4MFh>UAdwd4jAhygySbO-6#V2zeoze#jqSPLZV^_scR>yf`!ZNzk zGe83M3cKUHX&zu9>n}zvcORq^` zrUZYRQ&=2hF9fAAgRIvH6wM475vrS54O>%^GuheNi{{#JX}6Ps*4EQO8`XfzmIAB8 z0K#%bAvH8#CR#PDfiaD&9ws#Vb81!j?XcaC&SO-%m2FltB{A0-OFZg{pf!{ZwL(9w z1@C|~LduFBcRd}BfB`KGd?p+ag+w1|E=?5xPrh)ZG=^=of-9CeMYV}k;_2&MmlWic z2WCtxZYS$c@<4X4U~Op!bl-H%zCtFErPu@G!3^%BBm$^ivSs-KmH4uk47HNtj*`=S zI9rBb^vj3KFcoRa>>WaO%J^2b+>t*6U|+t6TJiOktQyis0!~8hw8GMjiNk3c)@BgT zHkBnf3q3I-mkmSgthx0aNG^(N1gbuLt>35}ZXZ z;#Qfko+}Jzh2=~`7|Pn7#%%VoEHeljI;WLFq3rPpeMVjr)LSsrbZf*~llDLX{3-D8 z)2=E<02a+Qfm72~kN*HcbO3sIckxN0fKX@8O(eWqWQEaTt}N zob|DKC9YRB9YlAmv?fyfkP~g9E!b#riEp7%sRq*3S~*YM=fZ`>)H?t`-e6hwB@i2z zwyF2bb()BJMe>PD1z;GK$8LwbvTSXK5Ue%@AZntv7#Ng8Ny*v{cFKSofx8PH*1WIM z!F*RvLK#Y>6_&jfrhW7!IO>`GlzO)Aa@%G%DSQ?Fc}3Bs~^emgGSi!MMhS!dDX zVk3$i2a%t+55L1EObmkYH~MH8T?qohbdDrRcMvi?4KAQ0c*+N`-n&j`fzbh=9(#8A zI^k}G#GtZ(BkhqeRdWEUI-|YQg~Un)8BJyKENM;jW1t*EZct$= zTmW3Mggf5-oS6>NmHq!2nRlLZyC4`L#~2hNaAL_P|GOi69mWoCK}Wdt{{b1@2Ert= zdV0SK{|O>Ye)PX56MnCK>@}D2W}M$_%1d!R@A!a6bQNU-j}plBS>^xQU%io46lJUW z&Vi;A{T18(=SG8kJSvVRXy6EfoXU&|Y1*nrh_-oL{B@1Ulp74DFuRL2ciA3O|=77y!QHredXG<+i%xp2j^c0{Nn+al=%Xf%(+oN3-zJ3-rhVE z#cF#TsGa*RKfr6>+}*S>%IM$h6G^&&jenS7Jgb@;LWnGF zd0twsUG>kje;zvPS^nNV^#jHCYn0Ts8lX{R_KIB!Z6J`=Q_p454+HTXb|coh#2ID?%IWl*QT-S~1+~ zJcaSg!+xL)EE&QmG{eNemwOiE)8Fb5u79%@Ye)-WVRexW)1_}_bow=n1e|(w$vX1Q zx%Yv^*jb!Qc2sOA=@?R6$?Yfx>2q>d`5;+a?^~V~*If?LQkr=Sp}yihn-h|uIsw|D zrBaAHvUU_VEjpmwR4iblRYB)Dt6+J)Jp&WUA=u0VmydMKQD@{j(ek>|MwOL)%S`|v z4h&MyBxu}*whG4t364%qyYg5!7)HOl0k+CP()SbtIYs-se}I-^41_q)lZtW|HR6J` zVBgbUC*-gr7J9}bMq!-3+{Ml@)`@(akZGFp-!$5zq<&YxUw&KYo<+26yFKxbShhOc?~!@pqcPX|6U+Gg*IBY^Z@2QxmY0IyCU{ z7)eN~du3DP*E0PVqj^XejJ;vL+r>HckgS~~f~{?s(b08_nyL6Df(&LuwiLbdoHStR zjFSx3&CFYlK^u=@+ihE_$@{nIJHl?*Yxy8%jdHo=p*AUL|O+XsFD zX;Ck$8Q?ye9W5XyVJJ^PQ(2ejQWUO?B5HZc_bt#ZboS;!VjySU!on!B7B1!)Cm|d_ z-DEsOq(gowjyLkda;4Lq=k|h+rZB4}S{zoQ^w?;c$GuZdR9!;Nv6&(LA_QQ=Uk zVAb(#M(vQ~!0JQB3Sr`SojZ|vZ;%i}Da@6mpXz|gJuW0lw?(Cbv^+euq%IfF=8I|~ zPeOls2HqRmd!%A~539cx>DilETS$~{=PX{D0Ne9Ix#qi&w3z~2#0x8F)syuEsKaM~ z_WuCVX^o13`}>7J78&GhN#}wrUk~axCgcmKAU4w~(Ata&Zf#;4TiOcMFrC?ZalV15 z*QL07@35oa`U%44;DVaMKGZ&xpY-V1o!9kWw6@nozrJ(>>z^K2zmt`gkOmE^2s;9I z>pE^PapZxX_*-6-laoiTF+tT?MSPZ&;(GNc`Jp^DU^z6uM@)&3vuekar7)AXK>H#D zJ&*M|XvHDSGz(UcXJ{ZP#Ot&Y2%M&8v7_0&8hW@Cb_syWF6KFu;=|{!Mbu6LOa|TaPs=PZ2({9%(1I-Qc=S9V@hB4UqQhL(2K~>f(C4){Gg5Ls5L>HoIFrp zhvv6}zSat6Iint#t^~#XP_(B5fNV@SRPwEX3%NkhEaaf2jJX{XwF9zOC~ErS18_2m z*Qq)oa+YTw8(4G=fQc*In0TN?cS!|FDhG4H*+<^U?fNATO8SvUoYnNM=PKEHCsNe_ z^ zW46gLe1|<>J~l;)W6k5nOr0Md&hnl3e zB`HW?+?BhnjNa=>8wqX>91R=X(0Nqwv<~v*gGBs+OaAz~Jq7kk1ry*h`?S#7390(a z=s#T9#(HZyx9fR}WBBG>;=IgIAAQ_LyxihoOb!l_5@2>+6+%YC2F_X7^tP#ikUr3U z3~i7B^d&%g*A!<5j5k0#EYgl8BDQ$(N#V12ZyHGRx$%W6s%HTgHR0{qdrC184dS%M z?30gwh)vZGciShgMlW6_CB;7$2dJ{U$p0|e!@u=I3O4%tNA>`y<79PnK{la1ob9lb_qF}pfU?+C|*oE zV08;8Kpy_JN#AuYZbWr_1#NCfM%fAwxvy2gAD0AgxDc`r7cpZi98?Po(1f0CJkroqIFb~_-!glr-x)OOy=U&0*U*Ou z_ORhecT^4xgMj14(`8|eY`ayPb40rxv=hRknvZQOA!yG=W=IWKcDh>w`M=bvQU2WE z^eplKqjAfEm$WgAJ%Nru#3LMDB zet;*Ff5OqOaJs~b5&gNv!5jpSPXTzmT(gs!h6{xbptG7wy`2XkiV%b;tyUO=el=l9S1^BM2@xgo*hcTfmFR6NsqO3u|KHN zb)YJR$E*?I<>17%J;}02t%iDQqg((eOD_4k$JS`9^B%#_o&UfRGu;g{LNx-8LX?!sBzs$&T#HA|End1R_8# z0|qUJz+5#YNAy#&So8W2FfxK8C#{-e>K1W7mz_5I@C2{ z8scmaTGlWo!y(MC>NY@3fYr#VPWk* z$LSjjF*+N)(jUA;+2LCr1F5MOyzct@SDyBbg_=h@XJM7^oQ3m(1;>8%`J0574-ee9tx<<&i|`t7i%e7<`#&u{HMN^-Z8 zSN7C@mp|+&-}#Cwy>;rhMdh81@6Pi9M=9?!yJVKpe?|*zAqRKPHVR95bw+$6aly~L zc~LX81^K?vB%WLzc<`Go^*_E7`)P$c7~fcy*2s zm43sou(hGC1y*5PHo!5z% zRqs7|osIdnguep<;o3S(62f|f334QlE=j0h8^KODV+YePTHep%E%;wIByoMt`0Y+6 z4kL;?o-Fpe07E+lG&9L$tzgc=A(C$e7|U)544)H{={bA(QW!%^U9a>9#kfI%2ah$O z&NFrHEK=;SxzYhD1ObBf^y=}hbInGd#>;N^nPgn(NwUnrmveB6304ZISxM0U7hszMe%NGKOwL&dKF`g0u;OcQzMMEGTsIaNQ<%=)!dtL2by-mX1igsC zALC9Y_%J+j1Jse51Tl2-P_yii ztZLS%dGen0D7G>(3F=u~Sn=casF0D~JDnMwY~K_+>rXAGNPkO~_DmnWOP8|K6fD$ zsc$`!be#2Y7r-~QtF!0@(_I(~VA^3dgb}DfDf{3ucc|am2F~A4uteus$p3P={(KdMQckM zNBv?~i+7|Eq62=FS%8HAbyP~#^H{&~ETYbnwF+Du%UphQN0A5OK?u$0UeD?%l4`*- zZ72A4fO(`sKEZH*R<0VTC8N&(Os*(Vu@6={lFX#Q1nLfyq{Gk4s(C$Uh-jQ7|8NDX zYngIAu_mS9^)7kIwmon?Ji9{*`t>zUl3tu2mqy&=O^L%;qW9$_!H*llZ}QRSMSilP zjkEqp*ZdJRq+5{`1&@^M=+1AeSqw&Fiw?y&iR&sNWxDje4TYVEs|m z){I-gt}BpFm3}m+%O!ceFzPjzI3u!@r`SZ}Ly!QYp{oN+fh;H?im1i-U~~HXnTxoQ zvLGtSEIX~(hjIq0ewD=np<7n7nGQ3T0#(&totrJ7j7ctgh3grw7XLkUW!A)Arg>v) z`~V>7|080UzQS<09}gTQ8vA4$vI@z@!Q$EB5awV`cgw42`ljMGS3AK!qFH1zlzg-q zT^?d`d?-#sl&mr1l_sKb1P!ano9uS7)C1h14#@LJeha$dl$`~Wm>`zc8kTD|m1SuY zG2s{_fzecKx?9iQa)$B9z=;PAYQe+iFNQs_0+>LaP6JB=Ia-`m$j091PhnpU<|N*t z-F0xjI$P)jAGY0%_pzdnv)jAu8=_c8)C^oej~p7f%4Q$Y&h&Dk_dFyH=h+!c8Tb(h zyG_qxuV;eTK@0L~D{+&&h6(q=8ax|&O?U#6K#?FA)GD;DVZ(+57^bImd~QQar?yg2 z;&z8y@z^PaEM@3d1dND;%QizY_XbY`xK1@}$?TqqdLAj@zK7i~9P?vsAO#ssG&X_F zN=VW)U$y0QISueh9J5aZ>6qY{@9n;rr*b~0HFrNCWcUpxK%4g>A$kYBscRgRu%AS# z5pc)deYT*m_!THtN-T2X29qEJdCu0gJ;yB&$p>G18@l++gg~)D2iRs!_gR$51jr15 zcwMXx`DE2F7>?&j0Dw=z_#SS@u-fTk+NuFnMcTR z;~e5Oro1VxeZf|VUV1AftAy_fi*%=&Tn&_VflraU@QTkobt}IIZ6>GwD8MqZ7y-~HwiQD zTqk>r201;H?L^|qM2xGPf?#sE<40kGa!8kg3EE7WMUQKu-1_1$)oD%XHb{!%Y#Am> znO4Q&cE#;J;+>SEaGqI-S~TDb#|0d;v#a`b4HBK_H4nyNIS@ zx)wO}%qiBPGi?1OVO}!Wn#6DGiOuN-7kP8#SNMF%HU)t-CZg{WyLm=VigXW=PjGq7l zQHfl6VGXQ0;I$x0jv%H5C2b(hLa((9^x9PX_jm}akqVq8uk6X1USR?ZlNADv<`2u; z$LR)J5C6xd8VhIjH~Tc0K$xwKOE~cOCz5qUugkbGsD`hlFRSK=+&E1Ns_;)NN^#Bl zlZk}DHZ4wr0gD05GY1alq!9e$ZS3eO+#vH97daoY6VVWa;IFaF-ze+++}W0biX1|~ zPF-FCk)U8Diht~qo(9D73Gj}Rg5kJ)l@2-43x_)BJSg8h7!W(jH>FlMv;cKbt+6n? z=^9cXY}fr^5V~u)kl%Zs&wJLUE1+1PE~RMWXW zKnm~~cS}DaL3`W?K-c3`x*!ekAh-0^f z^Y=@|V4@!?UCu$CP~|UePMsH7f`&IybH3uvyvj0@O#v|V#m1K-MzQkY(Jr7ZIg zJLtD-9yBgn!ce5#k3PBe@A&Vh6vg`Sl4=7MI(#{&=Z4Jqv3SqtZsgA1I`2kG;Onh( zOv_(-@aonpKCi|2l?42-bo%9~rLVk^VR?DqmtGMs2mO_@s9|!>XWP6IUkWIC@H&gK zBmP-H%Tlka{_{GhzT4`j=D8>rA`kj4*hBdt>R0jN@VUXtr@qT(KMVL3%?*$JGWP)g zUE|^d5i2Q_pQww*TSIe&d~!I@l%y9-Eeztw(9D&_Qep3s=u|!ulM2afFCPw zy!_++#qZusD4}h5!!y4fAPhE9qG5dmpji9{jdH<^HN^V;VCMS8 zQWy|q6k@;{+XFWOwB>Vg(E2tV-Y~i>x6R`87lh`!r~t-VM)#cPQkVa}%v=kA9%EzN zIc_8q;TSLPImS8n?G1HIwYORYk3~zBZ^3Zy)=teQ%<%IKs3x~)?^wnf=y`>?CW>&+-4UKU3b-joWRKPfcaVRv@fV z9?n~-zU-ixOI7PFC@cWyL6(t2%fwD+K|__)jQuIQr*1s-^FQXE4crJs{wj?S)=CNZ zG~uHOI(uk{cn;Q7NqW3CChzK&FBc2@zW~?V6WBpP1KDUJZV;S*{G;P5=-aCC8xYG8 z?W)<7jo+|io;Qs(geODS;CnR-7LsPxW)s?(!OAaj0Ytvw4G7g-)A2csc&Rd?AswwB zI*LsFurgDCv^Sx`M$IVuCY`FkBGlSk5Xx$WmA}3B>t$b~ATWGZA}Wm8TR7OeNOVVk z$U5BOb`t!wUg1EoCK6za`xA$+Zmw9ynfL3B zfBlRDpQ1E13wv%SFfKtKA$*QY&%W|-VDUqo&x96EI*v~tItq)Ji~p`&Nq<}i{j<=$}ac=cK%OI!!N6!FY?(k zD-_MEe85>jS@H^q?3X|s6lm&azwYn9&^yqwV%7@3cYuYq$@{%$D=0hsw(Wh1l0u1& z`PKhIB&8Dm!VteJwEa^7!6B0noHzH#yA;TBnoZ6NiC8%i;H?XcSpX*Xts$Q%pMhn4 z>B@)h74N>b@@KF2qg|_4St)PCfURQfU-`aaYRaxZ&8(&f{S*5AYL~#YDQtc4wjaIU z`ytHF3WKFZ#{$*@0%7hB*D?FrT^0(53)t#L+r|s^J5vL;wk0m0mpt?dX4UWO3}KyK zmcGUWa`wx=Fnx}E{b}8@MY}$^x#EcU$eCkDD=AA>q_w1#iz(66 z5y-STm>C4_^W!Hd3ctz|L9ug#bHcMIin;v#-@}+Zr{DC!nYc_!V2nXraA;p>ktP0@ z{m$h#c0%y&Iv>WTySBRpbnpbMb}pkckYsDro7Pv~3bp-M>a+{CA`_C9S*4#O0@p0Y zxcGfjg?pk+*U|cf@J>J6(>NAg{`5nP|MR=X=mE+z5HQa4cJ67yyjvo|diaYuAHQ`q zm@{vOrA@mtHn5m_jcj}mt36OWE4%y628WyKoOt4_h95v#x)9p*>khwoKV4RFFzj`& z3j&rK$OdkJ)c{*XP{KEt)injKSAu$)z4$6aB3>r>!6!{vj#cnL+NgheThmo zfO<7OuIyW5ytgVDneDEVjY%4Z7EGN2`lYYSi5tMj@qPwFh4*?THRO`DSe@aTQZ=St zP?m;!+YI{R0W-n7u-rQItM;s8CivUS)9yd6AVG5Mu`kxyur+$Twi&kl&-}K9ijF1| zLZjaWYR6flf2AD6+Gy2F)}l~(QaCM`zkaCm^L-xN8ph`>&$Sym3lvD%Tqa|2b37&|@WrJkRsJulu?_ zR}~(+y>q9z_9uNi_ve|4j?0k%e&+tHhyv4o0%yk$0w0}ai9pB-Z(kNRF(+QDakgQ$sRM&z>)Mi-G|;OW z@w?GO!b+k%C?S@}&=L(t67ajwJzWMm5tN|<)8_7I|B@9jFO9``uT*qhVJ0jK&of{= z?ol9yBcg7e>H&9`S6o1LastM?kcjsGU&24I|6dEgxWALD(sq9ul()~OvBHi052Fvo!bEB=6!?^m!{QM7%K{_C;mHSy~N7j{lLn~5f|7Za$h@u*h5t;ln< zqsFLNB8K%B@|F)3nYmDoW1nLzaCytgJI14d*@;H^S#At^tbNMPkBM;38pztss`GSo zM7P>O`r|3h4e4;>b$QBJz17&AmivQK&k7p{DD%y%KXWfwtHM*ti2y!x{1I#a7*Y94 z@jbwuX%m^D@=<+ajUf!IzJPe6eL3aOaO@8frp^0y`ORxrZjvZ>hhq!mL6Ze}X z?C`9hJjSpd{KkVtGWA3Wc*{Yv;RBy(u+(OkIWH@8nERCU-p9^o>kai&KfF11|I$v! z#@n9XHvQvBOp@ct#Gta^5hqlbua_3s9^JcyD_0XR)MyL;l4?(pUXmhV5>5|OxL5S? zj>|#P=KY>GxcyvpoV`e<7N8NiC> z+n^jy0eSlY@CAT_uzp~?*y$MTxJ>7ink|!aWc|l_c+&?NiX%Xlvi4%UK5My3Z^Msf z$)H|ULGWfWt4_(A8Lz~fn#p<2L)s#H63I&qC#qwFzG?PaiiF^4CT%#!RwXyhb=0JE z{b-oN8{0f17Z9tU7o9#$(oaNd)VSD>T!9UcGF8|{|t%tbMliw!8c{7$_Px0Z3d0Ddd-XW~^l7s!?q_hVX zt32TK;1|!3)QMg;?I42nH$r#4oTza$7F|fHAbC@Yv!K>~T9@ots`t8WBJSwG^0nE% zWMd}G?C=RlFIXtkEP=rGH+33V=_KGUkAb#HfYA-I|JUiJB+!Lik_*mDb_f9320*FS zHrF5oZvu?K4^BAd)+P{x}|HYcZA4e71U)m3V*jCFy>n*5(UmvTYNNL$mV&M3 zNjaTx{F)HAx~EQo@oZ19I|Ml|gHsR~XTx%G$0qVgP)$`s1!3e@;bofDQqWIhQ${|6 zY%V`Gsp~pTOnjq!BpDnR;&I4S! zzK+Wp{lC#4u!XRykQ&|b=@>M$&PqvMC93C*vohp-uvFRM7-j@$xhZQ7RFgi!Jr2&F zgG6<#-66ed-36MZp)h#Xu~oT0IgK5j7qnUSfr1^bA_TAXospZ*f|n!O1*Uk3N!-!6 z%1+6;F)rgqjDbS*?>{=Vp~Q(-2+{!jNrtw3r?<*BnnK&jGQV6#~|T&X~zTX-P2KJ}QCF zBJUHDC!DIfAD1B_Yi%8uV}>M`wuTcNcEuT{kX%1t#CW~X7?g#?A=WMesDOIcvV$ES zs=)3X%=i}R{V)T%T9rrf(P?4bC*2VW%~FaWRjEfJtRvt~Sek2m-;^h!`2ql|BG5r3l8J;I#C zK58j$g<;Qrc=FA9V72Jm(AW(~tJ$chZ}AQFF^3=-ncmT8fpJ>*H#qG2*R`;|x`FZk zzLBgx7l%<|LX{5(lx;1Tn>?$Bw}0f~3QjblE43+q3mq6K8hLGg7g3*vC$iw@TKYO! zzpbD;jj|T62RrI*{H}zOLewAkybc@EyJ?hStUeO@O4~ZFs=axWSZ$2&ju>DgHTmv^ zETPJuuDdFqx(#m?1 zh|^pt{(i<7)U{*v(}68-e1fs(@Vhe#e4dbhSX+?TOhAB>rH&YrA0oNgg21;hRyJ0r z#fyK%YeR={P7XC98RmM#@8NK%fU2!!0wn&4-bv}TQh)Pl+X*VJ-$DL%<@dtXvnH(J z5;PIyM5(?5U10L9LO$3HtXW_J1ziA07W9&No1Xq7&>qLOM4090@p@)@wn$s}AhRLD zDXLxDv4NHW*(PvJJG6McTJOw+%)$dnDYV}WG)T7kiE!f%#GyyG z*5r=M;tjb{(WWMUAaIFD0FP-51(5lORVEF^9KKTXC(01CxH}{D0A2|Iz;cBc}K{N@8x*2*tDGW=U{BXN69j@R$R8^^HfvHM`~14R8nU^PO{@CcAk+PE+D zFIfZp0;3u#Ep97+P_H=-rbTfiV#G5~8bOm!wql|Ss{Q8Vgf7OB2rzzP0_Ltn*i7OR z-B*(y=^uUjPkuE(LkIE30cb&x%Q1QI*Z6&-yH*6)vq{rT-ZM?QIOY!G`_x~^jjs{aDle$BY4qeL?fQ2;yCiCLf@Oo$0bfVy=q6zWL*uQ*cS++qUi zWL-3{_DzoNf*gCPO#^M{&jqIPJF>$>t#cO~qHt&o;e`RiGn@D;KR-&jZx+gEcPI+? z<%0Mv&Xt`^CpH@G5aJ?kil?i>?^lJYj(8U9YO#7(^pVR>TEbJX_NbCz(m~Rfu)8qV z;s^W2jsHRNSOIWpQnzK9)pzu^idWUGfu9>yhdg!Z$}Vnv`W1yVGcFR<7{Ez>R~|l$ z=7sO)ZR_$#rL`{qvG(;CJ^YIyQmBKD_sI*XjPE2cDGPeN|4B4hZJf|&xZtP9xKB50 z_nP(W0`Oj$5I%kslkW^>rD!;WVB}mI@b@_o+1W+6S-}HEbTJ2^i?$DXcf`;Li~rUL zSR(nMP6iKp>7XA`x-85x$oe#izw$Up=7N}sfb7(^ij%AWy69Xm>VSm)M5)289T@UJ z(iB{B0Uq0+GZDhF4lTylN{Suh@z`b}o)eA?_M&IDZB{2wNGy!NnyF0(~6$ z#=$5Ri%`;8b}z}w4^VGqB**uiR+q^Fsvx4nhJlC+Y2*XWS+EHtA)6Lz>{Wqw2j=Kq zc2t@NkL*~DIT7~7(7X9?Ao;1XLt4Jb;e?cvto)vou>Y1G_lW%$oDgZ-um($G)3siW z0dk3?Fx0Gmce0NVhGxa$+?KFrPeW6>!bZqW%;8<UM8I+_Ss^94k?FNn$igB@l?-8`IW&wT*R5~ZglX3FF|^U@ zg%~#)BD)qLxby<)6RxgAu-)!bF$U7gYIV@~8%!C)_}YfP-q}&W z0Y1ir9U_JerQjH6TvQ}ZlsFq8Bbf;bfi)DmMbev-5+}hRt3h~kyQDBKIiYIrR(@6T zKpR-YK}$H6DLb_d?4-j9gk%(@a3Q&HA;V`K+&zs*(pIxV&+5rv60O990j^FpBBBVP zw4(vI4`BAp;-enN?yIIWtv*$+jvLYMhZDCXvn^&K7aZcq2(Ed^300Mubwi9rOqUZp zyPP+K)tK0`!#nkDzgByC&M_ZOJ-U~*9YS?*{u1h^B!vfck8n{azi{7(v}loObDwBK zb|-aos8kwzr{dY4LiYj?7M{PJL4J}x*5p6rj$D38kTclvEkR6r3=yK=3v5^vLu zYjF`Q83hWk&Rp;1f{>f<*omsG^m)PA-5Ciw200wuS~C zF9x_>guOF3mBm zn^HU1G7Z`oZC7ltu7bP+ArxlES6sX4N-b;;(ugI%nG?o><@$+@3R0Gd22}e7h*;>1 ztdQBD4F7C6%4kFxKt6***z+rh6c`SIED!LjS#!qW`H4c%->pJYI1T8A2?gA=Omh_? zhtLH#7XW3jE!;`#^s97etM-JON&XLo`_5^`@CKfMJOx18?YuPN6$5!*3U{^E83$(% z*ugg%Wc<-7;?zNj@4g8WUOk1LpMVM*K-+KVio1jsdQiG1-KB1AMjdP@RRO1f;ZZ;a zL>HU$GKM6XQf=vnmkb5paT{wt%tZ+f_UdMu)P-gJAJ;;yEyKj^l{YYY5BO^Nn6OuZCI{b1hu z|GPN2?dCQQ0h$iF76+SFy1^!4vD>K!&jC%(kvvW`+PN=S=jQQ(b>0i_x-F%;Q&w*F z+S>1+Y^6e~)hpWTP<|xLbre?Kk1*8r&ws}|JW=nL>jfLu4WGVv0j*e<{`}6yrN8+V z%nm$T{hei1Z_@l9cDn+E{$C#SSoHF7@W>k6Htb!vz8{toulX-nKzTW*f7aKBvg(cb z{>{_8CBdE%>%Eqof!*SdfB3Xac$R%g4PF7@l*3cY)V#$jsy%(;RLgg)`F-p19WQN- zSr+C;VS2}d7KGSOt78Jt64g5q+5;zkGlbpdUad>Zow)11_~cQTzUeXn)yFcbBwQ8GRglY+O-1}&$#ph_OPpr(_P3c|j8nOMp>uL~wrJawXF3iN)(?OoXg;@tXJpTzDF5j@k8#SDr&L zSCDA;QC127@n@Y$TZbfZo`-*KO&;~lc2^yI)Z~_TgYO(wrDx||a$nWh04tPI1F)6g zSn%dN$!ZDs2CYMPgIkDuAz369ilSID{ND7ZFgIY0CpW6L^^)F~!k@_|^-2y;*X^81 z>*2obFTMQhgD#AxTy^(}>Ua9I_6s)1zymWY39{BO+ur%Fcc~G^*|&R%T5#uX@3@kt zbjM7$Ca7hHW+=SHD=&OWf+=YdxA{Z-?81QUPkKKx&NtiyEt~@ZxpwGSi-fG9fvAn& zXEudD&FZ|o`t#nX3OCDPcTpCIg(XgfIIZwOo#cu>R*9%d_1Oc(4imHSX(!R3fi6mM z9xl!uZQZ&W6D|*I`Lk3R)bXt$RBqxPa08MB^DkhV6X0%7v*L8vj6lRS9E_FColNF$fSS{3R* zhdQso@l5ApJ7K(w@Qlm{iY71c3eIL|H{qif9-^LklWq&=2( zO7xTy&a4em46zqPscZihLaPe5lGTIUv&md)8390IWcf!pp2aKRJm5kFGCzo|g2&vl zFncpoe{|&uSW#qK?M3S(8?J!sR-kDOU^IYnQfF-1R~KLOt|GSu5UfKy3yX$KXBeO2a5wfs%Z6NT4$OT6AH@76f9p4YldDx zr+Ql0Jz{tn>|TlHb;(>+vu0!t<6CmE>PJLI+X=2mHX(q`%1x^<6#)XCXBCkU4STFC z{Suyu_2~ezEu#7~n3sfISJ{E|Nm@9V=#Zfs09E32kl-+W5+}koDHe8LmB2F+orJQW zMZ8rEMJgYwZOV{f`)@HjdU%=ZXElwOpo#MV+h&{2sMSQ~1hY~dHktfBNtr#P{jBx% z9&WyJO(V&Vo-6RO62pubHp!O}_%}ym@%Pba0Wm5eG_0oMcd`>O{v)DE-;Q63krQ{& z#QX%~&MsbwPtTW5-)P{ANNptv@s$m?#A^(7Pjt zWS)8){xZNwF^!j*CoUjIy*<+^V|=G<0Ij95xA-Ixlw)NtOB}_8-@<>5%0P*Qb{RW5 z!;u95uoXSu4q<$UYHh0tGac2;v3K*@vFhA8cEApZlQQAZqW1bKU3RSw&ff?oW8j2* zN&Lu8_E}*9S2gO3Rd&Tg=P-J*ZiQ#QAC@0XRJ)k?Bsg-z-_@a%Y9QU}f^DNMVbOj? z!FqONey3Ah<}^-1Ws?lXIrymq+xsXzBpjze;ie!}N7Us#;+*0Kf8GPWN13TGf=o`3 zW^%)X{-by_cZ#g;Paw`9(hb(6(60R9od-+#pxGoRwZSZl#51Dx;s=wkrnbfW54t30_&0p+;g9 zRE|I@2{aN+U;!S(4l|xuv2j{C62qtCGVGtz<&wknEMJ542QnHCUUVi>ux3*V9 zA=6nOF+;_VyKU^A`ad+UR-j}dKJr=Cqp_d4HsY0ba%trpN$*SNJ{Fovy!(d8YjwSF z{iBUU!J-T4#K}>}n9C3)o8W7?{<(4;`^rHxgqoAyYKcn&urL5PA$9;+X#~tb^$*Yx zlAOl9%ZXQ;M|4R=i?YFPV`9S3Pui6{0(%&-R9Y0DF-P|Gjs3oBBz>erBqjLZ#g}PE zKDWyli=eI=SjJVIpG{+FQ`yatgI&yE6WlHSY((WHj}Ig zf{IjwV1u@U5qlhO9z#Wqs2|Bc8{P{Bb6eu}c3E?#CVoGleo%rh=|Xm#fwNoD5B>1PsqRPxerB4uF(t>VWpbMh5}LvyDqM?|0Io~C-4r&bI4>jq1@Xr<8iZsKSAL*L zg1p|5z$+%%sBIHUTnSMLDEFJ*=WJJ~{2NctmYZt78G;%l$GJAvo-9L=PK5UN_RNBd z`y5*iwlIfaaWG zHnAJ=Q?c2Qu?U9RE>zQ76~Zp?j@i_P-_GO1UK6fJPWd`!+>(tzkJ+1_T=oDi2w~I) zdYnP|02Lyc;A;cCk#P{W&?B=pC^M4a#8NX14J!U@nQa7!CF~(cg`fnfq}MJ_$bw5H z$JmrM@QA3ZYi*R2g{Kv+l)(b-OZW{>3-?XMIV73lMvOak9RF+wbj&~q5OUYot&p|> zvVhC@UQnx1ch%9HUBxlaF-p~!@-a~e81d;t;CL1mc=rN6Nn|y2e~OZ6LGXynkVtQu zQmyLmWs*!Me8Ld%dYPt~U=J#)eB)Z55_}2Ht#cY67myqa*?Z3Ei5R4$w6)`cxiO+z zGSvP>suP-1cu#S`(dV3)ySXq$!Yok^|G@r#H~iv$XdEfPL*j3tH%E}kT^_~<1uD+P zK7$XB$@NeuycopRMswm0&DVh*D-BPq1X#pVckV%$XcUAXxdEEoHF^107IhP~!(-As z(^K}I)ju1_6O}k584Wivb9aD&A@lxmrqHpe01WKH@HdC=yf) zsvjC6)n*`hkph>?{S0dlUHjzz1-ljCI;PgvPoB)Kf#dwx4;d4KwFG}gS_KtDkY`LE zE^!dWYSHlV(O(q3hEJsAb(6?mb|=B&S&UeTaC(6%-?;?l38J0f2Q&$z3k(7w;welR zoRi z1p2%&vc@p5M37#vNUDL)AC0Wh&-(44js9XlXfFpu&4OqB8Fo=2FXo7Q9;gL4;MR+%TuV4_3xpJjh>I-Qg7**-jP6SDq#q1pEN|9~mv znxv2X$Aq^L#%U_7J71>0NZk|<^Kc=-r6bjU8w)H;JxbR=W^hoanT3H#SPR|f0|DTabN3l;H}?D z-9ruV%ymByJ?&NI83`XKj@Oa}5$-?!v3SM1^8=`5$xRe0-R+9fdtD`@;yDKI_Q*9U zBTb&mdzSALMFn2Tx*MdnZ}Yw8_WCO4rdRxfOq3Vm9d9i1^gnD1p5%K5sVGrA3TyQv z_lUKDe}4LStMS?t81dF^b5?AnQ|>q1^!~f|dm=w?5J+}~`v$9)@8llEo;RGZ2VH=$|0nHCth;Tc)1na@4r1>rL7VDOqoAW;pe0) z=ViWKTfR^cG~NB>ZETUTxChRxef6Gye)8MC(!REhnm_YK$QPU~BL@aC?+j^A-U zgRFb~nB?fHiZ=X(aQn6;De9<+$FO~E9JEbJoc7o4hEco`6`(qne%$4*_beV_yfjx; zu)crs3aNc5KgN5b0{bT|Z`nSDb7?9VLeuf4BLP=*>76%vi$9g3ey#zc$+8cYtDQHX z^-S)vDUeM-0MMlucJIglS>L5RPm^2_A*|-7Mcuu`D-~r9p5nFM`U+yD7P=|Ni213D zaLH&{qaW5(68P=Qq%!zC@u;m4WBf!4K#})IltO*|=$bDvzB#nw8F$rv6=%4O6isGvxnfJW~qKLW?YoVMd`A`X$^tkKD zgZrC@JMH$&uOgn_#D9A55WaZ}_KjtV@HoNNUgP(DwdeM#iY++L+WWe#@K8JJMrB(w z=fhZZVokugkGN`HdtGHrMVE5ct9S_KYHNS*gZmvUx}jgWu2JMM?J||~+o3iKSy<{S zDiLd?4d=OlHee$g3CMMd2%ahcZUjI{L=$3bTDJ2rSwFtd*_MRd4@1y52dA_cc$~x2 zz?qlQd*)l52S-Tys&tI1z{P&~zqbExBgW6hLdR{Kohl>YX$|R9C5#Q<5Sa+k()FpB zm2P0Ah;ue`_Kt!PbBYjO+?1;fC-y4Xp=@~4C$RQz3dckWteT6c8_;4PB<9*Re+~rY zO6a$PV1CfY2NzB<1pR&Y8jw+>4yF+!Ac>HK?r%7Mp zw4CcJ{EmlMzo-pO>u%j%;uy)&R$L~kmL|oiFE4hrh45wD0sb~^(k;q#6s$5gr1gjd zug(m>8AjSz)vV4_!!80^2wH^g0z#4zix=h4@oEId@A$l&AZ5@Zr$Mib&f1+$%((32 zYSxv(VxMz-sAx^?Dn_>+9ki~Xf|A$)^PBu_`0g)sd?$--waarKQA)jWi~6<#wO3P z&a}YuG`gj`&_)OnliD+OS*yGy@Yx0bLlwEIQFdyYCbJ(|yfO}S2^um7v9Cy?*BrOQ zT;uAI)WW_L7|Nhxx6DF~Bs6tM;)>LE#P-XBLmeRT`7xMckc2;x!41NMSFm zBkO$Dh<27w{2N~*k!7jmF)NQNVCVor2KDrskNNFWKn^ND8Lp`}6kuP18-ntoF#bYz zjI3y(GZIXf@T@Bsk8fwq>cI+l4s|$`I7R%CQk%+nD|n3w`-Y8Cn8BapJoz)H4dXv! z|K+~Q^EPKShrsJM>o^!O`xG+{9LMTGmlh@&wAU=q;u+5wW^>xR?wwGxLz>B~AqjSe zYamlDA*gm)`zbgBBW1l^U$n0Mrw@<%9)za6y~6v%>Zyhe*Cu3)kK#a;$p>cEEJQaZ z?2?<)sD2yf$^N?iwg#Z1^Z|HTZL}JaI^PuI(vj z&m4M#fd-bd978uOXnJYA6p$T58@)dYj*5{vLNE?(opxFGRZURF`E2+Nvy;aTIKjbc zc388a&WJmG`J=Lb-rkvKy8=tq47sX&k&2Bo4b#Q-;aQob46g3-qRnu!(%V@(^H9aD z;{M~FK_)Jw6R^E78K5X5TqiqCBccsMi2Eqm!nKjxOnlfy1}peXxR60=T6tE0)(Dsz^M;jMZ;MwMn} zg(_-Bvd+}Cj);nTM8LucneO--yNnT|pTnw~S*N9K#AR>!C#qM6FhQ!yfj^V?LEp?6 zz7$N13-g9*JOOFOOp=`kGR!(-#jeVMC1Ru8CW(jDptb2{ov_0%3q4*~eQ`1MpUL%#P01WUw`=P#r1= zGA1;CYMVZ39XbBE$8ZiVN*Q}6-RzVZ=4oP^KNk0rqR1iDt7cu%zR+1KW0ADCvoNiz z&6p;Uj$yYdLx9?Sj7By@B3HHexR7`l5&S$o0(w*lse%9F9Y z0J>Pn+Ve60fX$)pV)TN;T@K|pfYCKi7@=$?n%P8eO-6Av8XL+miybqwM(kA>j^m^S z^AiVq>i-H%DfD{{L@|h+gvkxv#O~X|A+GMmL7kAf<9LHAD zkd+-!6=L#VF+BKK+PFgiz=j1nu$e&`hD2o5o~C`yh6Z>6F+&>KnC3!mqM>dm8E&C5 zs{D?tyeNd(vPv^60kae%DZH3BKFkgC&}Ww&SBVEuMuMc`&^a80w2rNWCyH#cR9O@W6X{hj9BxKn(P^uHXl9;KWC$q ztQmkqlnV<4eDNvrUwua9L;j`Z;V?df@D5-%s39272&C(t^X~=pAs* z6(VXO$g{mzbss?b#}G+8|4BErp_V1!bkOh0%OQOSg>^2gH@x!_qS_gPEcECPcM&px zdY=HgAnaFTEUsXE!9`7u6NX7?uA)^3|cSZ-6L$r1R%?e_Lw(^+oue5`m704Q{k@U;~-_+*Zz%WGCV}=vi22tkboV{eUd3qFIL~W41+VaUM7iBs|2yTR z(zAx=y)7TMjlJG&i4Du&DfRSu9P9g&-ycG1oZk*hHDHIh8)LUD+XgkI@jSOgU{ohW z(%x_!+V6Jb;P()pjr=--@`5FxTIl&dv6PqtcCVOt%6YFm9&9FU2W~C%V!3vb@3ViU z5bLIn;_(}f9rjcSDfdF-tv*MWcqn|{bJTb{d<^uQXKy^>?F?B~L7QE&Z`}9Ft_T<^ z>wj8bR_-5=zcSDgz3r96)@211|1ew*ddH(^YvGQYIgTrTF4*bM*haSsDXY#ej%9dR zD3Q#9kMon&ln5~+6OP;b+~gU`kDJTB0M%5Oo2>C(DNb9c0V26RFJ;C*H-;~ncPTJ? zcE*EM08UcNZ-%^}n)?g)J15g~+(ivg-<1~yQ`p$O-Ac9D4rTI4mdqRNdO~#BGe&!O z?*tT_x*W}V@@$cH$=^4s0(!CcM_TNA*bf|3_g+jqEKwe|h91S|Gke6<>$xi)-&u@F zl&hqZ+TV1;U!15UMVpcf07jxdVS51v#D3~~G{#hK;l2A*rj{{12kPo!?Avjlb$O*b z>VR6av)~?h1WiSCO@2E>;NoCy$ki5iMZ;swGDL`)_M4L&E5=x_9gnajn%aQb4v{Em zLG3_F{p=GG;oqU1<(I_?B(H+-bmrpX^P^X0-@-(z13!JH!%CY8-h>IGo?vRfgpjPL zVhyWN-DR6Lm%FtO7mcR_r4{Pt1~IW|D(@z~lKu4it;o+P5aT$<;(2`zj47rCfvP2t zauLv?W~t!BX=nxm9lCcMf6Ap2*1OqvypH)ZViGVhfE=y?oXOp2V#pzV)^IKg7jpMQ zQ!!bnfI-1YgRjM<@O5a>_l7c?gTw-#R{0u(X=9`l^#WKO<#}w*v{EqF7^jVHg6RFW>YGlQVHH1{!RPyjw04>#gsVh zC=&MRf-Od|zfc2>zzN{b8S1!Fw`w+hB#>^ZCAhAG_*1v>m$u1!Z{MOX`YuJ5 zVvKFNG7HH~FzIskOpA)0M%u5v^`slr*$W>&7QXixCJ3jo_q}!R>!I#D5LX&A=3<^Y zuCK&EJUf;Km8W%sj5VxG?dN$$u+)%P^-cWj57-6*=qxEP23QF>@?L3mswu$ALk~y{ zuqe8(LVch(Q}4HLLdg2G2@}bJ5(BTuxNe(gf#W73BnEZIrHKR1&7s^o2z!N20eP+I z**UsGIc(|LXz;bB)tQ+?D|o=vx=#YfCfA(H{*A+hq_8LMd7IUsdSBrz{JrO&$3up zD>{lSsn%k5*^=j)EUjrz5FO4m{@D!*nexF*CLzp+HUPToX;SnN4RrT(fZ(wYxwlRN zCc|lW6v!cqA(X;KRB<1;tPa-BHf?vZ5}m!nvF&W@$dVLPG#{H87pHUdf=Upebz{zG*zX(7I!J{nTP`{YIiq7*RdGrAQ$5 z3*$>=Q$QGmT@uubc3qVupdAy89sZh~M5Z_iPUzLVVk9;``+i(j8Bnm>bZ8R6ay#|v;77y!J7|LC*46nJM z$rNt|a!j}ctC6bLj2n?1d_!)WVYWs*vwhRQeV=jg`Qy)Z7W6`Wo&_Cc5W8_4($5+b z+U(3WF_QU8AjxB*a~dc!m?Rx0-6LB;%l*p9eh|`SLt{T&u%~cdGEA{|C(vx;R;cs) zOYGxcpa>qK>5P5m^tK}Ja(S9b+gUWdK~j-U+++hQP&+xb%_X{n`5hteIiUlvo&|fW z(ghMK{~*S)<~V$L0s)xpoeZTV@QRQS*&sX6HuTg}4e2JZm=6F~eDZ>|=5B5)3|znq z9cqKhPQ8rrePXN5y zMXq#q)#>WSOrT>)6lkp0^Z2M8!K2L^YTYOcGF*9_Z{2q9EV6N7jI7m88J@ZQBNH8&WA-He&$+0FMmLg&Vj9Dvqb;E~bmnoft_CaN8V zf+fGg-PyHKiGAF|4;7PwS&^182|?d%{2MKCDiyY-Kn7#&hST+meE0@=)`EpD(O)n= z))YHecnq)D-)4^$NkZD#ds%^{lQgID^_WpWS7#t6y|R~HSva#Ulr-Fi-kR29n=K01;c5o&QS8%pqBhNHJBWTdBpx}T{Bjn zpc-XGb6_42m?u^pSW}T!xL+nmXwNt`C!~=S0uuE28T5@9U)ZNvM~1B3RYvKPCLNmj z`JBD7#T(@+2(pTlT`?<@;i`2u97W|w>?@lQb`wS;e!?3qBSs*)BkZOXIzo3jNdZi* zw*2&h^~_=?n2}j~CldKgck$seN0xM~KQUj?6q$FXM^Lg>=$Wy@TI5|x2u(SCge@<& z?4JRttduNikAQ?9GFm-OYB_r&v+?VrLqxT%rFqyu-c+L-S`hScBj>E16e^Kd!H!kO zpgEc$$eIYu<{Ma7)yRW0K=2zP%#+%JixYVbApekpIQUFXx}-^K!AEt{nrZ@|r8;;g z4D1NzR3|641rj1+L0LxRl=};;m2@_KIY!io2?r7o6uhZub21wikIhijqk(D$zCe*S zJyb*ufWLJjI#{DI9oB#1`(4FN@*?LX%HS*ulh5JRCU}|oih@N0sIkjlG1cb+ZW4lE zyGz{xO+ufR+W?Qbqr6gEYsi1W1;em20eFG?`~TUQdYlA$FMA|^=dr~Dkqc0p!$K-hTWaA?i2i@qCa+YzgA9lp(b{Ac#eXez zo|oIgc^=Qt#078$_FL!y#LXGXYPT0_7R=k^wf3FadEsuWY5=hb|NjrlOVpDgUXjsW zUVrvF3<<(_VUgmcJV5c<+`4#O?Ud(f<>EEWWnqr`N$<-wO9hJqL?3!mJ7Sipc)okB zvjOxs=dqVLHhz0)*}kk}Pz`7axH2(w*quIOghv&~%KP@}+&(UBa$HKJEPcoM(MJ^j zqqf8|@st%_K|D&-dV8|e?se#x<)-Qz4wz3*P_IWyZc8C8$IopD8f4L zNaJtT^HIuW%Pc+<8sDO2hVCiG<1oE0qcZ}1a)p5>Y;Pz~tGQO{5gq>9`@%a7;IQLZakzeGZ&r)g{F;W0;^nkR@-Zd^CRfjzn4k)B*U0NlPAb zkHKKwMhIeIJ#>Gf=zq#x>TmxUDaq1*xj&WVDAe+5QudRF-pMs13KKfY(jtL(IUe7F3{?8Cu zqk?sYV~FM3r~8_4pCiQFHFpK9FO-$OMWRfSH%ip(fZ#-^FaoaT3it>1|9^vD+@ne6 z-E0;G`XtlAxIz;?l7O$`AlQgN#$2+-?hvMr$%6_GUImT*ye;D?AU*9zaQL7XWOFxb=j?nkk) zKfPkpjI*?!4M8C3X|Xu@>zI26oaF|wT6IPX;nj@&cro#bwglW!EUcCnJU#pwfbKT+ z5!L9%XCu-wfNKp4_ADCS&`8kL07wN{z8|%cJ`U8PoAQWlVV&Pz=xGp*Z=j2s$(rf$ z@Tj=3N3d5GWWd=5@*z3URu}`YuFWH0+X9TUMRnndq}V31ocZ^}?wJHj-!t$|9o;?8 z6@dM}JKq0dR{pfe2WXZ^z7oX7O`-f&Ur`wkG@u2{z>S7lt<-noXt^uGpZuw5|_ z%wPAxMq2>w#dR5Osb`#LUu9BW=y&+nQvKhzUwC`M>(EAPV$b+Hx2ZWZRE~?n^3jgH zk3H{9xd)w$ymZeg3XH$6B%tk2kr`QO4>kKSeXa)v3ZHnY09Y#n7! zGP@(S>X$_7^?|2bK0E01@*OgWWlCJKSNslx+001B1s&~N zV!+)j-aR7!lceqP$*agUEdF()X2UrsB8>?hUTf0s5--mMiMM{_<1b%gVf^=3eSKRq zW*L8$c3rvUpOss@j%++FA_QBDyI?zb0;`o5tI|pc07dOA=}0dnQTkS1_VBIt54oN< zfw{CYz4YOZX^e|LU^eP86zs{uYa7;_aIxw;>DeT!1xzXM%&(u=KnEMESx1f)$>Ww6 zerNWWFKUiHUkcZAQ-752tgPGzw6((TS;LD&TC&NN0&V=B@r-D&T*U38_`Sc6_DWV_ z;=m|k9QG&j``AL82w&WA7$O-TL2I62cq~7PxYxUeOExMYn`iAK8=2Ut^h%6}-5V>x z3vjU!BDBz}nn#EcSGBX4Nxi8RZ;J)i>mbRk@DXTH{t4C<${rU@2K0M$uaemp`tFrK zACVB#9(HE%$N;>m0v8FR5#rvZ3Ko19H(u(rZYTK&R4i}AM20S{8PEk;T|NAp*e$pt zswkF6Bo7u0^QUAlKRl!@$vDzeyXtNQE|#&*WJr{UMuU%%^&8q#HjCtyB8hBBB-bME znOHI-!fKVUNF{1VZ6Yi5t4R=gzpA4s$tOVU8$OwZ^Xec-sg*_yK}!hKoC=|Kp&=)| zXua^1Z}L8;25IM!7ofr$PWR83!YZ>hi>&=O7o-+$Ag1o5V-P`tGV~K^>5yx|c7&v} zcInLD|Kn+4IhX3w&g?#BAQn%UH2I_00yzmIu4cW&vJ|(<5$D5sQ3{ki6RTjr6 z%fiLzxj@5&eBiIzLmbQh(?l=DME!NeW^sd|n*leZ21_Q{Xq=fpnpJEYv1b=9fJBmK zoTR7eTPy@bC#KYz)E*K)q@zcyGxF^wwnj^4-CxlY8(SWB|5CSH`mj_p#n03v8 z1s4;zVbQdVH@=4y5+^y}TAbvNyTuCeFj-!^1&9yj+EH1;l12qshMi#fy?r)=(!Sfk@9D9o-pymrl`wTDAKYJuywB>AKKrVVxHK3l|bk1%e^z6nYbTG!F z%go8TNwPLWWsb2jzH7sn<z|nGc+0(O|iyp*6b?FF@ zqd_a+lkfr8%LnB@vI`bFL!xkxSt$8huL>EN`l9?KY^#ODXVdAG9l~=4-;c5D?9c>~ zVye}C7W!)s7s_*V&^u&;>b$!9?AMN%@mu^bFqaYc9w{<{?T$|6F8h*|nedT@*!vJ6 zL!i{eT{MLYKY?++7h7;_BuR41s(aj%ZU>h_YA^&0Aj2ku*|(Zq z=$&`=ODvu^rRalq2c9-ugka}{K<(te6h12^?Um0=Fv9>h>p$T5_EfZ;g8~hsYs*lu zDC>e9Vy&nP6l8?Dbh;HfX+xNYJSW9q4y7x)ugD^u*(Pu}aFReE%6ruGqBxDXcLw;@ zp+I)|B$NN471U!^mc5gDl~jshPtCJMz3E#+2{sbHqi9%G*!F7Hn5#Wq5|( z4gox`G97W3Oaqr*KVTK|E&!Y}_ch+%^(>ZS31&hE7~De}ZO&_qTkJMgCfEjheMOB)G~K=sALCzZM&0 z;L1EoEB;ItYAj()%bxr&0zSsN_*t6LyKNfo#mZONMgi-eGqAl^pb+Z`TIs(AOO}^n z*`o%$<~;FW^fm0IY*H|8gLM(oWDMl0VNuh&&|`{r;mASKQNj+2Qgw{`g+tpa+<{qp zDs!ww7bX4-#BBfsF+9VfkU2Af1N z*rL@uEo-~tI5A(|+kfk{(!FjPdp0Nik5S&)U|{{Fm(4nDpM=Vr_%X$qv!Nhl;vwR8 zVa99)UW>w+_-+XaG6T}DA!{t@D1@ffFqL)Iv?En+b47bP3a7@mw@=u+il_AZZSujV z9vTQ4P6#28+gfUdDzbuDeu>SPo(k!6xTYLK*y`yd$8T^o3;L~iU7H21?UFz?d3~ga zo(?}MI57t&RuM15IeJhA9mBnNwQf`<-VrP~?t(XiPr`v$=R*|^I=7k1?u6$G z&olZ{^_IleRTm7-@%p7R{%TxJ`t;NfahVLir_-+Y#lJ-3+7AG;XOK2l~YN#!W+jN`&rl9 zQ7<~CXiwP`O4cy(6@A2wO7)mgBG z@9VRx4J&?tZ=6uL&mgz4_Z)%dKxiON$#k$oxXm&NAp?5AHn_;_NYe~1-fJKvl@Q93 zp_N(J)l{kNS{``mbLq6MPxUsGe)i9GfY=((1uJ+ypWz+HJD+QDy?3bha!Q^omd zXtdH+cq?QC_c+Y{06^}wF_O_GYs8iwO#`voC88^)WZIG>K07cM4)}L_;h-wliJpih zkWPhA&}NzP^~&TUo+cG^Mb4DFo3{VgWBdx3mrXEk9$Q73_Zkw%kY_mz{zc6HPk(ds zp{_dTx#3;ZgbMUf>S0PmD#iC*k5G>{se7(?Z24~=>M~C^w-;B!pAq~2DsX}Q^HCq_ z>~jTft&1G$=HpsFQ|B$c>9!n5Pktc4{fWBi1_*HOZ!0MW{O42Na9?|Kn&Ryi=NSf3h8b-&4Xz22uj_ApF%egz`i{#l=m2c|rKhP36t^1aDTfB0CJ?FfmdP||-Wjvx1180y^gZ;W zwLEa#JFK2lECf||A^E?yyQS`OSlamRMqCOt;rC=6+CTR+b;E`swZHmn z+KV4NL=!FR_G52?q^K<0mgq)`Y*5D1Sbo2Wti8{?BNKiMS=e;u_nV|dEj2=05qlA# zNy?MCi&wPf6?ZY0tjULJbIbBDdP3zW1l**|NoY28a14&D)Ptp67XK^a!$duQztb^T zLmAMY&sDrd)^j1$n2IXyO3YS0_+VhAYe^OTR%*+Wr+v+&Gz{JP;#Web@55sHcj1l8 zM|cgC|APE7xYsV{+R^1lC9BxtK>yL!}3Yij{pROni@^MX!5T2NS(qll2L zEkotVrUVutq(2Hlt3VGzdJwX;gqVcn2}hE0Bxx;&h3D8HM+K7X*NT*ckQE3iB;omg z9=82n|JQ4}7ptR&$v(U7#vX-HeI~~$={4myAxc5^_tM1BD z{ZQKh>5CDZ_QqMfIf_9Hq+yCT9$@TwRPxg^L{R!C=p%NkdFzFTD9u}jOYR$}WYhQ1S25Qw7WP(sWS>%l(I^Ez{Sl4mwJAXv1_NW!<)ZPHzau?rA+Zv3&S$c`B%c z-+0>c6aB3NiyzH*{-h~nNuyRAEuW9Q`|M}mO`J65-*90{Ny^!cOy@uA33S0DRaY!8 z@MspWQ!d-6=r3OdFo{E|y51!V1cR%p$4k!e*Lv<(J?aYQG@f+&zZVDJYunqQ3jxj? zuiOyn&S}hX&Mtl&{g&aGeLyo$LV>Ni$vXo7GV4>As*s@o@T15z8;;sxEBB(6kvWta7M>JxT|iT2CsN zlLV)P^V*nt@LooIgaJbZLaOE@G34e@I4;#S09!IuEii^FN1y7~^avUo3n~;5{<8r& zm;xDT2(a-XQKp7u@?Gon2`0$-i1$I^P%BX7J|t4pI|!-gwx(^2TwH;$QL#HmmFm;H z*irdLy1IU_IMiu0kcZx{x`OBE_)A4_)p-3 z%2^)k<6hvy(N!AR6jM609YkBAe9mmqnE+0CKjtVpO=hJDW1dfi_Fzyt%G@Z&h z?5-tkUW@BoosT{VZSSyJd3bkw`XLxdkFD2^V{MQAP>OEOXpAAylL@(m5ebL>QV zDTH$7&OD31J7aLnj&C=wnQ+T8eMm%j-&CQYC=OO#WT7OdVPZ`N`zf5 zJXLyJr=s+t8cakN?#ckc4#HgC8eQlQVQDZ#KQysHbVS|VI>3c2p6{2n_0JYNLTkTAEVH3fo5g9Up5Am%_B6vc z6ZzF&yeN_aF+K?O*}ku?JIbl~pCw!~-P-SUTLsUrN?S0+(t*y?yv=){5LJ;Rr>Fwq zi3^mYz=bO=f^?|dTmLq@JA?zvFH(RV-OoO(7wxN108;5R!{Hc9dY}+0BfreD)W>x& zA;@jffAlzn=xPat?O0X~30X-+B2DvGR%y}(dn%7cFTjx3_yKGofv^ib4FM(2sv;ZS zu-3;z6~>Le$=KUo6`BYCNIQF0Lb z`&8%8-ws^NV-SeEwe##Kh4&JQh}E}fuzJ+7!qI06P4=)doBPhVjDD&d5|*b3L^PUTL4wVR^g&|J^l4JDXzm{u z)RNs1>_UzluF}$vu(nDc`?AqrmcDFWBm}39YfV1r>aF~SXQe3;lQ*#SzRv~z%10Lk zq{9QrO-iRQN?tySJ;GkBQOLV{3B**|q>&vvPsp0M%^lj5A#e+7oWw^XQ1puQ_Hm0o z!IoMT7eV(CYJ2biUKg~65-mfTtw-%I%lAWZ%dDo}ogj)7E~kdET!dmO?U23%X6o{2 zTmi3ZR)e-=yO&R|zJ@aUAAgvc$mYe7x*^_(jJaIan*@dFjOySlSogpL!Br{TNi=dv z%a|Liy9#a2x|AA{BZlfbT=SPJt~ydWn_?z8rcZ-98l!C`v*;QdhD16cyKQ#DlteG4 z!q?2$`)Pt)1<1pqRMf|^wfR9+JP*moI(hhIp{DPZ4{@bnSarrZFqX%r-R$YRxhRZH zmVmxA(X%0qXro#PoX{ptY4XuFUbqE@v=tR=*jSz@e_5R;lNW14INi41KP72lV^H`o zqy&nZb+#7Km?W0;I#J~Upxq8@b-Sd_XUE;Yeqey9&F#D9w^rW;1EBK%oPEooHM zk&2&74Iqh!SE+c$-}v)IiQ7!70=+} zqHOpef+NS<4=g0v0Spr z*gz{$TYegC2;c}HbhEeeF1vdL#JR#4LJm?HfLLP2yy`1cwiU+(EqotE86mZ})Hj&Y zF#<{ajF3RpZ~VNTK=zYpuEpDri`2Rm6*?H|X!!Ul>-OLnq0AJo|Bz0B%0%X`QJr!D{+$C#YdrW|P0t555bz%9Y3?Y*nj8tOQXg<`=z=Stnc`Xr{iF2h{Xckd2 zP1cSpaZN)&PtSQijg$F6lIz9)>MvFQo>xx7nNi$$Mc08pdNCW-OCr6K!+yOW#&fhs z-DUyJkT}0eG}-S3d_21sW@udk0VIXCkDKyY^X7A#_nJ+Ec(@lsilEiBoTFdVu6inv zsB{Y8H}t1XN2ItzStTX{@ur8Cm6U=~E$V(29Dv$#VDhr=W60EK63sSFD5n=VdfC|k z$f`yb#SH<-F=o7vUq{;2GeIVikt8FiuR+pnv<5)xsZ4(^o=hJ{ktt0*QalK7l)e7p z5J%c7h~50XllC5l@WEDK_Lr+~O7iG9Vl{`@J=}OJ+bWD5!UW4%&dxJq_}62T^^dn} z{+EY;NzQ5qyuNIr1=8fHXI|ZZV-@||GsX~9$*BOqa#SHrfeB(C%Z}IFL2?Z2Nob>T z^>g`=%tLxTim0wzLaMUPP5l^F!Hb0x4QYx|B?s7(!pcglHThG<0*<*@5GzZm`d&kc z$5L;Tmg&1uHv(bg)iu`)F?Yfb1%bkrQNQAq7qi6hqhMx@1GcDY*D;Kd=d)*SE#gS}( zOMRf;R@HYwZYH~jP$gdK<92mC7^bHeqsr?Z?YAJ&es4~Fa~O&sA+;4L*IM6~P|Dey zs+RluqfzE!t*6|9DSZ;q8tH{6a zoQ|%U=C5oGxU}2Zcq*|JM=lRTnQA$Ns_C@@yRsRAXu%wYTrF?9G!<#t6f4>Mf%!ln z57Lbyb$`@MPO#?`FOS}DxH8_y^%lW*5T}6kmJn+aAe9o$OE0S$>k1TQZ;vy=HLMc`hHw%N z2-&ay>%iXWeJttcYh#zp&?;$g1j)`Nww>AN_V2w?=#T%y<5$Ypd|@Xq|MoAG6d?9y zP{==)Vik^{mkfb#NCHLwc7aRoKA^S4&dDBz(A1JZdEq}c-SoD8FWJ&Ex@ms5kG<){ z+2yJn`6j3$-cfd#f=Wcd{Y%3_{^H(Rx%+2rXP@zvlpXI0zT5H6YNp$@j?O^Zrwbut z8523LS&D4OK?N`oCbC3<3c&D@+-hJ3)yXaLR6|?$=3Qx!tG8(<{ec65Y4Uig#{goy z&|e6k82QxGmJj|=cQEhTk)N~uk0M8UWkYgm(+5V2N|2jeeD~cDMGe4(7Q+i&)gXjf@iZc_x$VCHmKP+;8KPLFa zl*w-2bq@)B)^O{|J7Tnbx9AAkYWu`iL*_hp8JAr!zOHLTP70gD>i-Iv-2xG{RKoGo zSu2FHg_D93S7XI~cJLl=OVDcCG5`VFPH4nIkivA-_z)sux1A_x4w`oFE8|39$XoUo zk+;w9_wCCX!wY@&(`6{9M2CuD z-3&@m!C?dIE!YC)ObHX_9uAB7X7&#FhpnXza3qg#_y@V1v7!N1H@@?8J5{`fyO^}UxIQf1+(QYRO}DlCu_Fy0h9uA6xhent{TSvQ5L!5DlT zQu2hLzq>zEUAy1NxhI?mSdpf@+`-8f#$?wAu<03N+4J?|BP@KwG>)|o{(u&~jkXa( z+MoIVo0o_y-Q&V5jwm|bUTg@_%^Q;D`G%w0=FMjge(5}pD&1;Swx~MLsQ#4ya_gU9 zkOUX%&#fQ~A(Tl_iuzaAZ_r*g$U6S)-EeLa+jWlw%I9R zdM@53Viv+N%KKwBqavMwD#ghSVE(qYw1B8E9Rc-nMD9PI@GY>IbxTk}t5h&+eROAK z5ii?DOP|Eg94%dOQej~X^u!X;#>#_sQ#}?Wv))5k=CE&xw(R>&J&ve!qO}-rvi99b z`=kbPsqPf1@yqU@51Ul+R2T2FWQi|Pvo>NtD*2^Z0lm$l=lwkntoZDX#! z8&jhp944&Cmy@yM(?@!x^4fJONR;n!_T6wxvrn~iH;>yH9G#X>GNBO7rRl$!<^VWm z5o^D?TuZu&F$AtP?;@cr?x`j9k;XcouzpCRS#d&!KpPJr%53*Ix?W+m-f}x}WY`dz zkh)SCzjTET-Wu!lr@BlJ{;oSzQX zWo~~py`V8xkQ7qI)(>!1NfCEgnEc$!{g#Yg2FM4&Txj~Xhdr4orpI-U#`!?%tvHrd zP$h^ZrJ})$`^rFhAWyNw#^N93rrOU2*bB6Oo-*T83#UmAu!1X@@sQU8I&DaDSv@+S zIo>QX!w%~6s@1>utib$Xf};TpIFGoqJJTdK!KFM~28IBo6`!RS{#G#8#~sPR_I#`O zO=9lAtwr5p38*(csJwnKI(?R+kUCjxD~yoYc=oEv0eC2)Wf+cm$1tHFQ-KQdTtm~Pl89k$UE#SI%=5qu2smC92| zj(Hr=lJopPx4h2RT{6_VNUUFO$B=;sRkSD=PiF*i83dAN?)@pF@wZd1na=mpQZsR- zSl#&L)!eFh&8!DinsOT_zg7M7S{g5>4HatT8jYM#UMnDg`nqJISe*0yRaQdjrBZ1! z``#Txm6^P!=#bUS@?^@3om%YY5f`QqkA!hbN>Qb#&;nH?_i@FA_ zHdI-d1v{f1fP)_gy2yfsy^x&S9nA+vbi6xZ#n1O$>$X*XO&8rJ;SaYBsmL1&YyDcE zMaB!95n$3?}kSw>~5@~4A$9!n;gKkLndETvRf8u?a1jBOf< z-QmDgQPD((BT+oq8|hKsX92gYptxkm*#JmPSNa@buscF9-z1@wpFcx$Yj^?642S4f zfLut)*5>9#iIJR5kN0s(3FV}%mdvur;z`$x=49F@Xp5_r`$#*Akcu)?%*pK*`QCl2 zmPQ*h&HHlD4GO#|hO|l{CJ6*)=gP27DVw$vQPpXA_Qx`cc9?R{@9W?&1{ zZR#DU>N+@Bhind2P-)R=*tYUaR3WB}KDfvX;&uQKL@-A{?*8I<@1MHB-0EH&tb&Rw zl9NUt(}s)Dlud1z@BAX3gt$0_fLAfAg8|qkg{2qdR_SEsZBMI`^(M#?tyAcJCX{!{<&)?f9pI$n*U*-!Mvc?u6FqXVg^;!C z9u|RAqaAN4&RiLxC_{Cevz0*7|1C=pxvlkW)WhPc+)svUpUU9PxKct{O!y#A(hsRE zuF4Q`yW#klt0_jGc9sT?VT2uJDXcJN`|eGv?~}D!_vK+@sz7U3q##KT}cz z;()KZ?mI8ZQUL7(>mZCmX8(%l3rUv3#Tru1b7@iJ;=|9EV9;-~OnRkjdo7tLYfv~gV7b*8r?ttocuWxiuI9`kl)ZtvF`hJW+UV)?#= zEqnCD(}K)Rd!bg~g!f?IO$a}+p$MHvO?xXlVWQRh1aWVhZcG^u>*>a1PKGx9e2 zr_XGZrSLYGGtsuLw1$mtEsy3Mvn{^G544ZtZPq2UbqTK@#HlTAyzv#%Ut*dL@~0-|#gzcY2D|2_-vf)b9lg(h{vYV}0hWCw&vZr-VrW8!(2@0QEG z{V2Ht*o8;^`ZdX}OXco;6)L=4rRI%fN)GG0wT)HTqUdurTp10qAG+(&{cFXcWpJ#D zlQd94pvK$U3&G27JndTo{Bbm%WHTy@I8lDFQCdT~7793)ZWsXOv5sa?91%p@5FB$} zYt_Jz2%^=a9Jgm->1WgTm8t4On1xiMndzQ3Gab>#0RdG?vhtLtqs@>VJlDfR@gu14F98Ly%yD;{c=8(GE)_3!Q zD*lhaz#-B&a5M>G3x&l&$1DY`ER$hS0zdouT5^TVcW%yYHVI699aKEa3c&x#NbQn+ ztnWrL|H*eI>e_(6D(Nn+CH-0UIpxwm~l^{yfUS5a)dTryt>6%$`=^$wbOBl?Q)0pq?oa7BvdMAHG~gIQx7LCzD*-pV*2y6fu_y zQ^n!>#$C+0zz{-qh3OK!C6f0&mdG>_%F8y>8Fm)|>5fWV%ZZ&suU|1vVcS8dG_WQ~ zMP-p-+lLu|a`5XvYkJ)X*^4vA1{6txDoKhC<+;N}2OGPMp)8l+)IR2+UVUhpPTk8W zmQfiSgIu@t&^`Lu0FH`4__*cQ*#*WMnrP-3n$R|PJ~_Y)h!Foc@SbcSsPw6TE}Mi^ zq7evfb=@G(KimV3!P#=kQ|+(N71xkv_v#ymA#)k%ZLMo&620KW+r*S&oOK)FyT$~u z_C`$RnH{S<9a+*sDBvtNp`l*CyYFq?(osa$sU0vgVBN9e_X?vMPf-sQvTsxxylcGm zc`a2_{(vM?q}xu6W6J&M-65=QKZ+!>fuwU#{Uycy@Am*UQ6j_?!sLRobbfQ7IGx>V zF=5K3^R=X<<3DDwfH2UvECa0a2IyN}T1Sans8-yJ|B72)T@B=0vo#@Tb70uMb&;>F zI}r5Zy5D`c1}brBPhWr@+o1UMFP{Iu#xlsVf4mI7!G-@{*>XJTca*Z_KQZnb0J2#F zzOoP2JWlCWwp6b9CEzw&V*|n-SsS)D;92+D7vh3;(*k3Eu`Ypj77&|lw1o4ty81a< zI_<+_A5z&1V~|T}*}SVhh}IW)yZgC+(Nd;2mIUvKfFAE5=B9SZ)@bkLpEeg+ww<7| z(8bPOiVq_^+u~+FUl%x-6adXyF$ElXv?sr^g5WQMA4!@Jy{Yt=<%P?p>-`_uGZ% zen|Ny7Tjk0!wlKrI@o8;l#n2TPd!b#r8PdJ;qNCZgQyjOKS>h14$m&(~17?Gubf z+-v_Jbi)3zf7Jle_P@^=4-BD?8g1N(SC$r{tZ0x$1xXEiS1N1n5UA#Ls(&rX-mtZL zqGlRbDn7;)&=20!-0o>v->ABu7!>akHS8x^{(7B?ROZ8a^D?TSy3NGvJE41bvH7u8 zXP0kFnf)pHnEZun(ojzKMNEQ!zti=C9#!`7mjNbV&Z|=46^Kn-}2D>W*KMP7tl1@z+WthW+ci zVC(b@v6gVD0w9}C45^#^bOb{c1N%NP{($z36JjF+djxV;q;u{Tf_4yZe}2#B=TQk= zZAg?&zVZUzI)*-_CR##a6dT7h2l~z8*!Q4X9FAIb%|I*dCL@`Uv#LWc8@D{?A`nPd zi-Xi*dFq}w;YvU#w{2!s=>F7DmL6*jbsxEl>??B}L|Zi!V^$SvlZ93+i-Z#ps{qc@)o}_oab!(ZP^t1$h7)i+x<^Z&7;arv=#bV1eZoiPTyV10KMp<2>>Cn*!9f8umsB}`4|u&MZ^MO|zm_^=eH z3Ze?-L1UD!M2)sl;j)?fOG^g8Y_xxxg7F(X5XuXO)&BdPugcPn^qO0^ovCp?HUn?V zJn^VJ_aQx>Wft_^;FUAW^-e;S02iteuWns@;Ujook&9S66uU}$`>L5;+zAEHY5%Et ztIx${V(3-LfJGu2f1rI0RsJA|8=U-?P@gc}+jO~zfj`<4e%?~EXEB`|$To%zVeP|d zhEV%3=1+iNnNBbsFe9+N`_Zd<`IkI7-saOyxQc>q-dDXkM6_QJujkQWYVZ=<+ljUk z+2&n&dFXaZx2u5{DS`$fc0U(^;wCkQq)84mmRmwNK|gs$oBBE zb-EDza;S1t4EmM*1g4yhT$c`~_;CWcRg*Gv$?1l2GI89}eyUn}r3|v+l*RJfBqUGx zxC@hh2Ld?GFGK1EOMw{0D}PxkF#k?M7~WhWJa!GXKGj)q;v{o>#U!Y%4A0WDVe8|9 zK@Rx#=Yh_4Remv7A4HUE1Hw7lMaJxLDh05C_+k-LE=u4fL7?G(iBOQBuNp-M-tRIz zMOT6N18S~DrH8tpzv_bMQ17fq$Cz`3aAa5c(>nDTibbp}VqVwR-)l|Y(5-=aJegPS z&cESbKC|ICHO=PV(uJp<-6-O3=%E+fNR>dp9bTUkCA86&uejrVpxw}90^e(6eR^b& zUv1NV8fBw$+<`c`K95PFJ&ExC8Jcw$&1XHC!b=GJ`O(FuFBJrV@EV_Hf|HCjkk{3V ziH%mpBUEI?09QXw$j{q1?;XRkhbQ4dgv>}Mw%tX2B*7GPjN*3(xT4WeR(cPHq^yn* z_OaeSjmyE%s2${{fGoHhaGTDmoI&TNlJ2B{s4r|k!CvcuTp~DRywp+-rhRDQDM~4} zPGwsHI3Ac)8NhKa*y{rv!=3r%<7(!VjaZ*Hi7UixLQ3YSZfZ&BtXu>+9=tJhNPihp z?LAkHi>!hdvKv(QHJHM@TKgy(k@fwK@@f$mHA9UtkqC76z~5?-s%+BoI*RSvqoJP# zV)PU)Q)CdMuL_|96zal}3!yhs`Ksi})?U)MysrwlP`?+1BZhpA#|yIe3qV{mgr`I) zaiqoh;2Y zci;t!48BWvWecYKKH6VV+89fq#}mPlA)3{6zK84awuAf4q0qH!m<&(E+9w64`|CeH z#!$VVGp>4JIw!yctN{TUMxbcvFIbsk<#F>I+@Bzl-RFM!CZv@%rEYT9_Q0sHCP)>l2H_|uakAyw&c0E$i; z9h1gtMALUO#eTRykAW-oXK9KnJz(5|L3OqOZJ7^ zBnAJ=lZ{I4g?iPSP#(?K5=duLmrC|Bp_ue}_?Y(1Y$CX4agb{hh&LfAo1lccf?PQ< zSOfBwWi7VD--Nk43>l)QwyvJ`jWGzA1E?2$jA9RA*Y%;u98`BgZ3S{w7E6|MOaq#* z3LW8*w8Z8U1CN!%3F#mxK|@xw^jtp61~a`T;Wi0Tennz4?{6C3hLsfqqpHNtqe zbB(s2c$BbWsw&ug-B?d^H3Tfr8p#U3>GtQ0JRcYOR@OUu;mL)&O)pmqO7sthZOig^ zf~Qc#1=^%}whk5#?2cAN2!{qfPMk7*D3I%aUWm{#fLQ|#`D&yeQ89(rw+~Tk6=Sek zNEIRaZ$*lCU0inWW0e#W%0h2_PMRD-i5I!SiEvrl+vTkz8P?MU09=-o0~|J5$YS2F z&k;np<4Bc;m6`xSw44=SOz}N*p_-*g1fHn`GAvxZ5R>w-CTzUYTBL_L!3~^5&Zj4{ z4nXbA{VCo-L=eLQJr~(|{Q(^M$9furEg6lk@by;oL0-1zX3u<6Ok%ll?}|p4!l5rI z2WKib4;6IQI_L4fTe|cs>)Vttmcf{c7XMB$QjLQVdYc8OkkM$-p$L}epCgY z25ATH?YZTBWu*=bNnH-(6guGXf;VTSd_P1MCkM=f6psE~IbdYuA_VFtGz6rRi@k+~ zpwID)4^$nukYyedia3Blak4jy-*ZRh@etaM2#xuPSfk?jp&^Tpl{=1B= zouRSM_$ty`?nWZbcSlV=rwspmL7HJW+YOu0F~RKa)MXps1&x!4zi#vgO#J*u*fXGA z9=u`72AXBDYNoe8UY`sv0U{Dt@k`%(EcUjelT^*C?c)GW8D{pzO z^JaJ!3#4!Irmig5=Hyp<-@32M?DF9)CEi-%!g`(_Yf+8Wjfac2?6nE@cVDEEjUds$ zXu{}A6v3HY87vyzli|W!M5Fsyw$Ct`VP6>~m2hYVt8wuenxphM06K*gD-04xETB`I z9Y-SW)CZ7@uAp>@H9!vvZai zWOzA>ut@G{Hpl~?_tv!4!vZ=)Y^S>x!Jh$zk+1Q_v6wt&xiDNrX|t`JFR=VuB-tPv zpeQ=kuRTXWXK5}LrIrFZ=9eATuYdxl7>Olac@P0CEG$#lW&%gOR)j?%T6K3U&--gh z^LSN}mY%eMM0m92^5dY}=LbkR@M_LEK_fBCw#-dg@9?f0opJmj3A62iF>$JChv*7f z6@2%H<}YP$!#5s-(gvfe^QKDg;|5WO+df)skar9%blS1CNG zt(xB_*r7><$i1#H^k1e(uEv;j$=o0}GRqNK;hMqPQX`s^vX1CgjWHfcIw)QB#z9Sb zAqP->k&#i6TG|++je_SERA$`CL=E(JfLg^hQ?8&0hF_~1 z_h&c8Oj7*>{|*mNw_qaH!isJ@X0jefO39C7mIy=z$YCpI3YYQ~p2`#Bf*W_z z+svUK0_-cLv|(8w6g@^KTD*SGF#e~+Ty>%v|8lXoW-|-sa8tk6>%&?+t4KJmFq-Of zyhS@sxmWPq$#lAYa;ILk21yK+5MeA&R)uS?iAk|H$+F??qpC$#` zKM6)p`{?%x1=ZzEy+j$aK7a`af&!#PzbN7>flMv%kjsQWXwK^a-iwDRv_q9=NOm#7L(1WUn?vv{x;aAGtNM;-Ge%!6MlDf^ z19e_}XcFYf7FQ?BeXIw%vnUTN2q<%^HVabrmBDeZfL*Q7FAb^aJ|TXLf*s)wE6Sco zi(+1k2J&dR+^b@xWuRh>ooZx++fb3fD6@#5aWVWOtaHZ5&hxvz=`Oq`(+Xm;UDZWe z03K}|J6U!`ws2id&Xh=j$w~A+TyP%i(Y*Hnl};kWk^?Y!wEd#i(? zaz=c8Qg9A$K{^_)Xlm{`o!FQ21%0=M2yv1KLJ2{6qb0pA z)&VF3>6X7(w`TilEdsRY{bIw~CumOt?6QeU%n1DL2Q(@%Bj~2?)u8n^X}1A}`Nfu< zvtI-R2ZcNl_QZjI{9@lvK~G7yo|0XnZGZM3zc~7@?MKf&`4?z)j|Gx<9GUPYt=&E{x8?)KGvsSe1wPiY zGPA`<3#s=%oLhh9Sb|UctM!fBR--Pb|Mh?-cx*0U%o3UvC_lff{noMhcj42UOq-lZ zJ8ImL;1i#Edgrb0mfqQO^jz?ds$HflRMKVJchBBl2n#C}Z>`avKk(@B8;ZdjU$48} z_u3O5Z*AK7-n}1g<23_~bwuFqBe(lMsrko3;BR|szOmGfbO+r$Qg`>0+Xj&0xm#}c zVwIf`X0mK@uk=)@pbOItBB#>Wr!9Z@>|kE;^&{S_BV8(i?|Cy<1=3HKKwlbGwnAT7 zD+cw}{{r0d)$qLopWNxb_hZo`*@c`ERUA1)bk;-4(Xz3I^h+2V1+2E9nv~@_Y6He@ z(SCmC%OW04_0Ge=s-PkVs3xxxU#=Ecq1wBt1E>}C6>;yig%B8 z#(VtO&sC54x7Xwt&UBC8ll{iR+WF+?s6w?{xG8q_qNTP3(rm5@er#&hzKn{NTKm;< zohazH9(iZ4LZ1V|U9X}8M`iy_Mf5*r?TmqB36^ooYV3oj?(0tZ3sWgup)Lec2Q8tj zParRIWZo4%dZahi%m3$?;)PKu)UHoE*#Y@t8gQ3AC3vf&WK;UZQyxb$ZM?sU5+Gjz zQGqnSN(u)dU=Tjtd2nGt2=3B?fwYK#czKDqvljl9FqM8 zm2BVF(sv#kd1EedS?C1F|f@+sII)|h}w8;?0 ztskP_UV>z{k9rdwX8=8O3WQ<|4aoY{y*nAZ8?n|SL=aONxdm?`vt7-POubc^l#awP)YXYy;&45C`UTni`v2@I9!M+vV!++ z=;5Uxnl=eHygVumt8d3URfdal=3#@`q&a<^u6WV0?^C-mX{+Dc6f^!{7kFAt-IwF6Sco^*S3wpFlKpkLb%p?UM=A;s~7^k%j?%XZ>=y5z>a>qUffIfV{#}EKs3v zN~`)LOap0Ny1NU-LH`l4HUT?MTsQ@m(K$t+gWN1%_Q2MA2sY*s*0a-T_hy; z@tV?7><;4meJkEjWNLUd1A0SrKTkqV!8qEP08%e+{|yLFc+T4x$MSEF)7QnNUI2^` zUQiN5Suy%_->&;v}|1WXD<`9*$vH)WQSCc z_@Db5V+%D^EesBR&4tAt&B^~1FLMG*qQWGqL`YI2+O*V+NCgE1O9}`W`C#R6OI0Jg zA8KxYL4M9;gez+~=dySL(k#f=i(5se@ua7xC8FJiSKu zHf9jPOebi(sKSB!v_J#=P}QA9(?I8vTJznxrNLg825nEHK5Z~oPYvQ=!fu`G-hSc= zqF1nC>K+Y)>VeL9Sz2frvjImI`*8CLz9$n*@Ge;W89falDo8wW`9V>TX399m&S&Mq zv6Gn3Ayw6znF)1dYL?o$c@&-)4JH}3xyGOcnxhwq4CTgwr8HlejiF%)({Gcu^zxxY z6Hu=RDt9#~?OXSDzIi^IP|JLRbt&WAr$xg!5e}h0S};`Kq6K!C;3omuQ z(a_hSnc0|wvz+b7r*soQE9(nYu0WySGAPH5fI=v8?UhUrZHvD+vk@4>HdWy*X_WuW#@tn%+D1C( zDWC=MK;8yLPisrdHK}z2N$CoK&78J21YTrI;z_-Q*v~*|y7_m4ZxJntZ;WKaKfG#w zJ@tO$h5mdHsJ=C2WnhxQOkdRI1M;Mg{e=;N;&SKevySMU7Yc%0Rq?c#Vg9 z&D3BFA};z8j$}q|(f1EerZPC9GXZvwG3uH$p1cxCAcC_2%NM{Ll?CN2$KjaMR$e2+ zdn${-_pq{m>7AXLf!FIuI)hM7rNIVJl1(TjC(tz8($uiLR}eb|HX9-hQRQ|%zHcC5dx!Tu*b6H`tRn21;ntr5m}s5dBC z6}yCsSjMd<02vZQFhf{2tKigY(1^kqeGUOTt8?DIT=KYgQ|3aMgwqhKpqFZ+pv!VxUu}; z#EbH<3J3fwO!m+!N*hFJf1;TAWw|p!)2TUcYVslI6)HbLLvP>Dmml!`8gbSX@ne16 z^L<=1qNkrcMV;m#}M)_ zKcEUYMDkR^SNISZw7~=1I*%|2uWFlO+}}TIdX_<|ziBjlCfA*rsBxQaM1y;$q*PVg z)l1Ybjw`KABq+^&wR&X`;UY-{87t{eXG@p5ljRDo+dMzP$PnfTb%Q%Pk`%tHN(-@$HkXkOTzhh~uE@ zG}UXQU9nF2f}@z5L!G)p!Dwt{+h3FZHS$X79&S0XyBM*y5q z;Yccl&g%QPB6COun}zK;3Kx|&&NmC#x)lhlBybu5^EC^Nj%LYi(z^swB+a<#mkIXd z$6Xhz5PR}wM=EclO$67~5P@(s^&5fzr?LGq+eVqQeprjj1fc8NPzL+`^<-3L&JkRa zI{@4~C*Je*vb^)XG26<&c7R8*I1{_mHQ`6@qg_j7E$L4Amtq*F;IS3AVt0I0U|V&t zceS~tzxw`OoEqI2KNvna*d;&T~Vr zN*NqbE?)<9d1b|4E%?07CuNLl3-60#RiofFXH}<) z7R(%%7qE^w`l^fM1r@R}qAg9z53ppUj}(;TgSnS?Ap)x za3~v>+UGh`=~IFjb1SCA1%%YxZL4rCwY<-3ZTSG?%e@Mh_=*C`PpL0XfKKs ziOTwyDUmN2*}Bgaa7fC?)-lL6+0EoiQ9MbJOrjol9hpAm2V2iAtE0b0q$vXc^INa@uvmgF6Q;eheEzTFDKQCBiC)^^=~`; zmBDlg^s5b1H0sb_ zrO+}oC&hEU-e^k8IyH=fWv+>k&1zIPt#p z!5E%18qupjnx4CQzcX&EDcl7x3h5Zan#U;zR zlP#&dQSh>s4%EpZuaxeGfyH5If`^`_m;n z;kiz|6Y?KzNl#^Dc_HJ?484PZy7jnsjL1T(di?!$R}r9SKmCtsY1J~G zD5uiD|MOpwP1gS}vRM2jY2V&Ex;+z5F-8^Ym)(C1l3@^jhF= z*TvHkUWVSwlL5a2J6`kr`VWJ;7J|&pt0^)OBXjF-2Jbu@NIUl0Mo}Oyt2=lP7x0S> z*IqsFc-q$JlP+H1)V4UOkhVDs3QhRC-n6F!&o&yci=X)9r`u2YU;kI2{rMwv+Nj44 zL5ETZXxie)*>hPZl4lRTEe_+5b?aiu>^NUmy0mVoXL@bTlA*@OOrD9zl}|=wsw>RG z_kMhJWg_rB_og-PzE9p<9Eb23@tpEHgN`3P`zQ2F3dLJq^ z14_dJw-p85sQ1y11$9W9H&R!&|Ym8bgMN8kND zG^AX$wOV&R@>l%O+$*V@qhI{zuRs2}aos0(oBsAvz31qsgSm~EVBofpf`$a8p9w}5 z0%Lm`b!b!da$sy$^COP+b?GVJPY3V1!WTcXWoNmkvb{Ta*M*hG#M@5kj#OztX-jUQ zd{rMGjG2jAn|-L42^tndXqj2TRRPUoarmDAJdFJr?Ak?-P=g9P&c`DfMJZVa$56^sC4;_{ z=Z4UrBD2Es+c6^GB2}jnGIjlhtEW-L?#~MzY&yo?6fch40`u^RZ$X-Jr?azDUPIhb z)w<3KzPcV1o2*hUsrits{E~y*0&!j$>;I)R;b7OgXdQjrOX?FFY@k%#UvmqW|)%y=tkbjF(>F+0L45Rvfv ze@j)2pf@(c)MnpTR!#vz1)TA|n{$#g0Wurb)(}rFM^VrLWUEO%0QLII?CR>iPO?gx zX$dfDlWB_ZTSGON)MZ6!W-X?yp8WK&!BtJp6H_QLuKkwH-(Dll$Jd)bv%Wu@k1L+v z(+JFL^v^a?WQM6x@#4qh6dqjDj_Xha@=SH2zjWOGW;OCg0TzrF6Rl1INKneAUHUqs zej#|L^Y%IyyR)5&aOe5gLmCM{IfAXx3NtJVkcNEjkXld`$~PbGrBnmdrEi8^;X=_P zeks(1#@g3tXSq`SKSl&*+P*1N9_JYK6F!<(j4PM38|)Hjb{h z@x^>xDjDzlh0CV% zKAJL6QJ2`A(t%%gmE64z z0??qQ8b+C>zRK)vr)B;nONJBq4*b+ zQiZZmQPp%{DNb!roq?6rbMnX0+5;=P_;V-siamd(H*73X!FHcszuA_)GSt+I%Tfza zp1cE7e)FJz_g_%tr}`1TGjhj%lu#3%)_PgdLsMEF-F&>b{$IKdVq?*i;mI8TwhGYi z?&BDrB{R**x)S2cNn9)k(7oi7PT)1#=1TjXn!~>?>C!HptQ(yayosTsHdvKB9?3_L zmZ>4ydxLlBEd4hfKn<|bb^$!DkXz>O!P*zXzHTxOj)aw^!YCj!N87--sT+LQ;~ z;L+TZ{o&dEAHIDjZr~xI#JlijPQzk#@m#}T2`_M@*py&&2`{;<_uAewglfTg!fWc{ zue1~G_4ppWrk^-p-d`4;*mslB6vtrGc$xkGuvYdS69w;OkPSBlR0b%JUXC5nn!y_? zN^lLr0udBRP)z1N7I^|!LN~m0QOEE=1gNJzhvG9G`yt#Fgg5NMGS3PDDH@>XV`0i9 zV45`GCcj`J{pkSzKqHM(11Kdp6+yFr=HeJ_PK7B40bv-&C9}i0)Rwwrc=s5z>SRL; zZsnunC8owp63%%-QH)+v_x*it3A=$~Eg;ZUimBQ$?M;aj`KlfkKZxvItsNs;wOb_R znf+BuxW}8i{e-0fZG}M^!j2`wl|{$2AY24#M~Ne(*6XQDyafWr>zTNs)KUt|8b$ z9L^zHgr)}5`VSfS@u|grFI_M=Z&~#x_M26Oba7BAp1q1&VXdx(Jr5~0O(M+G0$5s| zy?-{^kMu%Y@f{dC^2t z2!*A*pSxt<9!K>{$z+_Ya|Y>xBWK}TbVC$p&c27qz_tfUd8sKRUqB_hjS zS%kdwaf-cR1B5~-@%#7%`TibEX<4j%wC5#a6T4vM$vyhUOFqp#gfNFD6r)O6v89#{ zMPfrbMkJ%}=2B}F?W3Uc5M-8?txCR53f5~OQa>GSzrH!twUX7id1v=xW$>6|`zbj_ zp9e#A|n}7=Jeed)85)|)2VGoFj1zyergyO7jh_o5n@9a?nn2hZaraC zw??cIg6enyKm;SEzTZVrwvb+iDl^V!WSW{{@(_Xg4biV$t?1FR2GI5UR|8hLmE;>uyliOrnZhWTkJyH8k9h zE0Rn5)Zj>w`b(_p8xmNuBehLmYGFU1(hpi>L$$**c#CUM^_NW3fb07JzShZ7Vx?PK7rcA$cIvSoK17K4wEdVMRm7&9EOCAN!s_|FhuDIzD zvkO5tHBFH~ytf1%0kz&U8@6 zDHg{9TCFf`tyVx0>(YY46orf;WX82Zff*GttZ5~HwxF#NVl^SH5Mq{-D3YWSLRuRZ z@hnrwQdyFjDpHn^7EIFY&-c*z&Hwk}|KfRGwAY30g(oNH-1q(ctj-e5j+ih>8mNse zHdK0ev?H3HTh>zzw4cQYVKR17b_+YkN@3LTFb!TZ%+|~} zD@z{nH|ZwR{0qSYWO&-RKzSIPN#jj#QSqnRGM}Ek!XfXIlyIU|W>h+O;^{-K#m<|^ zm7xNzPtG}*3@XkyK!W3`tl4v~z*oDNiSwYG_@lHjl^txo5h~f|vh<*fC*;4g;+TZs zhg_zDi4Wpn4r~4dkxIg$_8sY@+ysJ{tz4jE4lhrjn7gYLLyhf|?#b;xKx|(T#rX6I z)C8#^+#xq!t04!zu5)0FI3e9IeT8~Sv2sOrYl2L3-h2RJyttqI#_Co$L0zV{)h;#x z)9>2=nQN;ie2lZSywEWvdzXC%yG@Vx-hjQ<(vKpuv|{$@$pYAK%qTL`DZ4nVd&Jp= zw2ApP2SSUF2c8E1TNxFPAlE3YwHQn%eDeG0uG^4^^9orrkmtIF47#$bp4nifnr|BG zt>z|2(LFJkj8tTFS2Mw)barZO^`vf(PY0+5Q;x;`P!vqM5%v0>0xM)vkN`@?ZeOfpCg{b|!g#r==*=}D4Z0#m^YkXbss#kzJ{`Je=>@oM8dOzOk^J;#N z5z_5k5M&AIQ+4-jW>|{naAAg;_cL9fQj}!MWs$Q;*MUbp#66Y9khx*(kxQ*$cby!A z`Vw1v$;;qJHWNI)-F?54%vJ@&n&z!u41UDwqC+)HIIvEos5adPx~6sLRQD&GveC34 zdnUUYA@%JJkZ+zVsD>l68%Fj5UPI7i;X}Y_Dk@cm+muMcEFaP&OOUo zU~*NTRYTE#nJIr1;alqUAe5iuB59PXrYRGU2)93A(24 z+JRWEG^!+i15j{JzD{{VoeeEWrC?vTlCO^sYbB*LxcF<>ZRt|*SnF~CmeRp@1F1tf zQ1>~`e#_uIS3uVp8Rw>~?_Xl=UnEStio}h)WXMcgNaKSXC^vhr1Nzp$@$RkGNtpg! z;CP3#>+Mme*=HUk!Jz^lE-cEZ)JA!4!_;&elo>U9MWqLbJ2uIy5JW%Z!tMwXu$nQi zHos7^)6(VKN~RD%6cibOiB%PORZocxx;yxVy~h;ZzT_PUZP9sYkF$?(*QR zhZS9aXHp~2Q&r+>71R1{_GV`aaHHd`#teNyNjA9O#|LX4f#ivsy3gO2ot#}OFQGw3 zoWyl*kHdGU`kW%=vJVI`ZdL2!v-P4i}A#-WkpszmO0 zowg6?rwP@m;jS;i=+;Ve2Gz;y1ab4;LxMk>-|~QKSiTj`Dy3LAVt`c0PAtCy*nmHT{g>*u0Fq_MU>QHaQ9vRSu=@G=Y?S#pNvk--dO(LOGbw-@b<>gGufg0W5bq~{yej}XvH4kimS=t83$cq=S>&p@ss9% zHAhDlU3a>_P7d#B9d4-{COyW(QFpC-6cR~ruBn^ori$y4&5W(7#Tre>`_{+wL zx289Hbx?-xj4TJ#RJO-0Y?_w&#W(Bw)_yFk?{%)d(2=u0h;|Q`7M*)u`hNAyv$TcG zwdKxXkR>z*c`Xp@VVVRTFi~@V;*wk4#f_}bru?y zfyqF_SWj|ws)G26Wnfz_5k3T;PupL)MDe^&mN6%YJYQ+RrOwD|U%BoK{|L1A?Dl0S zae3vmiE@9#=Z&x^RaAkYH9>8MdajDb7KF?|<%xs81r&t| z2w7TptzdCP0YM<5WeL&>O%1YaYsbdU_o4ONIaC{&Gqv_Sor+0;?y9Vas?Hb3-pknU zZym#t(;Qmp`}B@EbFgZ!&ucfJ;NO}e8%SYYpW0ZXfzy_YTJN@I$6(?#jUuc1yR9Tk z2_gX_?AV4Z#y5gM*@xUWHlYk$Y=g=N?lmCr%_Xv`C_R-kG2W5VF?~DMs}n?n6xr|%aF+#T|V6T_cYa}&JL6A z!NaM@^AZlr1sxhNr@-r~tPsB;djEPn9Jt_h1q;WWWNtb9udTNp3ex=5bE+cFUSCHg zpn$XqKmps(J7>&{kq%Ss13ma>)US6Pg9udzjxW*LK3IAzir9_;KQs(_GoP7}KH%tU z+eh5#`RY4xWj$hd2x5JVy+=+E9sON@H@bR~;;&*wU8OJ*>^W?yN6FaKMijDaRzjT8 zVaP{>@B$I=7@o=otAI46;dfLi(Xc&hKH^iN%MHe+U5B@u$f~R|V8AnMMl2>}v|GS< zR7rZ6>U@);VNLBY-&+DB^zD(>IdIdqUnmwypZ;xOl61?$U>c0o@bLYm#qo zm}1%*pb6N{ed{5q?IL{^WJO$Oo*o*kU8OtmN1$ zhU8Y7m-`m9?4B`_q+`!DPSawlT=L}^B_|bu z%qNQJonIv2JemuWY^{7Unm@TYhs@;f#d&96kYq0 zbqpI&&5%)|pP|{)l9235PQq~0htpj&MV-}2d19$#tB%BfH{iKhK7SmQsY+Gd!A=CIEo;OYitO_ z^Y&930Yu~k((?Z`wyh_U;IZFYpRc&cak^z&45wuEGD82(tlE&iu?)41=3jej*|E_g zLS*r9Wng95qmqhi7gH+nn{g@K+S1@8CG&Wv>Jym}yO}8rx>!zpo)5C=^rhjR#!G*k zbAN=3R&1?I(|iaq;ZV}m%7=@+KG|DMGxk;&iZ=DR5P6AVGWwEGw{M}Tkj-G_l-~yd zjF>lgxlGCma+X;%)#bu^N5>E$ehASQ-a={h+4LLYzs}4m5>if}SIjsbn8t+47h`pp zvit=5;1)Vr!^}OU+nz{>@@sa>rXa8*BL{8XF0G#7U_4W97A}IJjm8TY>zW(e$eQLY ziI8}(!$&|!E`TE_6w7{Nh2s$XIFSxvS2?{^>qmjAEry>dusX`1E4*NIk3%QNM9DRe zvPA$?n0l*YxDf3F{y~XJQRkhQ93CIsZufd8m3MKmegxYRZe{WYy};}31jY> zU2_?70y;uIHGGEMD#w}&@iwkqLoq^qgv!`?l1W;Ni9?yN;FFfr%!cDqYS?ZFuSd3K zM4z|niRSH~qk+f<-Aobey)Mmpfqu862$UeQ^n#KMi-y6C$%b+^xIACwLqZ_BX4kn6)q`tkc}K_XlsPtIO3%RvPm@Afp^ z+bZ~6ZH6`JV6eE?kBU>cNc6D!aH*lPyUNkld$|EmrR9W1fn0~q>*wzDjgY$!mPNOc zA@Ng;#RkEuLk027V<*%iE|pxhApLGKBSEj$eWRv8{nmW9a4f_U2pNN>?y5W=r0z%y z;13Lvy3>{Ler2n@cG+C}DI;h60Yo?Vi5=*h4{Jk}Cwl+=u=d6E)|Hw|()Jn;RL${p zs~_r7u}B~OHLbXIH{^C8S>vjcWuz!f)8w0+CU~-t%a!rG3@*G-c5KTK3mSs$_;fL- zGKV$LObJX1#IW&~C3cV{K+ttoYK;Op2wXV~arVlFW8(*QyQ#y3n17(op`&G0e=XAK z9EL7JYVgoK76=7#P1jvCmZ5A5&JIf%wV~n(3~i<5pnR95Q(jY?4?!expjiP+)eaF_ zeKV)`zS7opTwkKf#nI-WQ-pw|L<(^_H&LYchaECVO_~p6-IfaIJqA()6#&>~9qwV= zgCWA;LPhs7psx~xDy4W%bNT3CcB)+jG5U5+QW~#uq0ds$Q^kklEB#jGsEq*OLCh-l?$b{$NvER(d+1SB0rMVMdw~ z`fsSB#W-Zk^gJCVcsWw&f?_A83BYM4CFs!KAf~`6Qm*u;6H*u_6Z=p`Jjwj#3;wW8tWrtmN#`hQ}l1cPYIqJ;j@TC!?FP0 zx#fvENG5l|ugFxej@nO;uC2Du?*s5y@}WpcnqTu3pp+ftU!sTZB9Y}G5$QJG{Cl@) z#S{|wn`|_b`eGj<9erEg=eKPGAt~(nEzRp`KZ~0j@*0bj-6ueiqDs1UwdqldT{a0t z3j>dsU#e%3W0{AD<{=!}V3K$D+tq$lCf>|C04mfRT%7A!vDJ(IRzzYK@M8?=Pl65fCp5p-UEaJ0;^a@K}oKXn`D4UUA3{Bk&4L6Mf1B?4k0cuklc#;nL#wt z?a9btCm~RpnCr{I_)``YXGf~5DN6>eRNG+*WlcfZ(ZOiVd1WICnvdoQ@ugk;wy|Qw z-S|)91lEh#2muq)Tcn(IG!ku*LSl;4 zYbJvI1H!KOE%93z5Ogju`bt17Oyf(mL9Y#fY$6YeVggp*U$FhZwb#%5{1)rrR~-G{ zs)?g}KlpDn353bc&-{K%{xkAF4Izj(!%rD>5)-i(lMDsN*^M zEbw>Qp#3igT{-+y@Zq3qt3m`J*8(r6ELpQ97&y7Wx|rnIpo5E7(!LE^^6$w|!Ob6o zDMib4QvewY0z#j(tX4tZ+ht1_D`!iml~0zum-_E=09~v*H@WP3dd;fBk110ywdUq7 zsLb_@1kumUEaOZX7fu#mpJaR4v)6z6t6y`$+r93cmP>2blO zMS=T32Eh&NhrHR))oZ_)c-$ShVYb=)X*5&_T}TbQO?&1zSQ;1nL3U_nY*?Ttm@Qg< zHP^Z{;aw=46@--+kP3-g-`#D0H!?^l3~b4YbWMga3IlG7V7_k;@PDQZSoGw%zK)yh z&ReS6e^)nN4_sxg6b;=reyJ*Z7MQ8*yfY&Ivor7prh=lNi~Boi6M=PG)Olp8-&`ln z87kPXxd6|=rra%kO2G+|W?{iR8HkE59#7?c_ z-ZVZSZyvri;y)Eb>&lSeeu=#h4%?~w^X#J9~acFoG@u7YT^4znLmmxElg?_H- zIYqYhTsC&&&OqvkTCkI;zEOS;{u!CGnPO5X_DIu)$lsHtH>DYFqn&X&FyMh11t zHPty=?olkb;BX|Jrr70hx+-eBkYcVv|Er~_a4ms9eTp{YXwaNY`vQgrdN^paBlYN)jl^@Zz~7)j4ihfD z5+P~R|J{9Kq~3Lw5T9U3hMC9HO3>Rm71tV7@4t@n$Sz%6n2$A+UAj$#@HK)bfN`84 zgg2lZo6I3Zg%jo7RZ`eD%7#%9LOzo8nl*gLz%5IG%8pA8m#CulYeegCmcivpNL^oI zgYX9K@7vZVEWW8$|GsKm#lsrI5ob5-Ao;xRoqD^TN@KEJ15Z zR6$a96?3xl?92#lOc*SqZsLO8CgbK9BykZr|% z-ueg=9eaYk0T94R&d%;1zi%S8FuC^D4`F)J3|Jct`(`}4-^keYviJjd5DWSZ#roBr zEVkSgAIl4Y-W3rWt471eRP4AGS(F$Rxtp*rHsWFg!dnu#TOEWr8JuFu_8rhw4$@Fz zZk8;u1QiS5L~B*pAR!$giw(f;(_K&kGgNJO@rV|?r}J8m0BIO3wZ>(aF&7(jNo=E#rwgcpR}u4gjn4M?QKPn$+@8r zzfp*N$eZrg9h74{$esY4;-VeKvrLs7)VM428|F9=$exUlDUH2V?PQrO=`MtCJ`-47 za6nlodwh0<<~%}qzZ^~p2y$Q#+(%8-*kHZGs)O3vf+D?x4(BiBk(NZ$3G_E*zNU50 zpwWV7Zy@yd(2&Xgre(wGn%y+#fyF!Zgm|cCccpy{Ly+|H{ZPOcqrjT+e3l(vI$&d! zwZX@6y14>|55NLF4G<}Cle+~KSOlY z0n|#_q0dfqaF^SY-&=(MW9iYsdsv#Gbi2Me1JV<+{<@)VIxde@NET|b^2eSwlsv~Ykut5C~nMTgUZC{Sg{gK9&& zF0(dtLpIA_rKwWKR6vH31@IliWw*}7yUE+!=#hdyEU~QrgQs}w_CjtP9JG%8TWX!f z#MFFr=_H-bz3>^j$Z{7)QY*DCP1i2r4-U(vU8@wPn`1<(>*f(qSU# zP?~$H4x9qB;PxV*gFyU0hSm;~Q|!E$<&oDBe^&GSIFZRJi0uE@c<3ZY#=%Xvh!Af}qo+^OJ;2-Y$;)_sRbaP}8iuz&K}kjo8=SC(dJ zs(B$-Ot_eegsy=G#srTMD9IID;dr7>At}g)qv{;kETQ*!v=Vp-a5a#r+|N~_&G#r| z`U5;V2OyUYO%Z6n{q~I_8gA%52LprL!rHv z0tvauQ0=Qe?KqTE38yjSU>EX|nYdVN9{)}uCXhLjOQ=Abk;UPKB1WhR{kBL?9{d(LU^GCY%=PNKsK7deCYAYeh?aYI-Qh44tgKXO7#U=31UYrS3hK)KTQt0dONdzrj=a8ls;-(wZPdPDXP=;X9Jm;AjBce;lp`176HyV= zc&uo{i;GJxf6jutcowP7@epGB7}%Sm4jHJvnfAcVN6 zlDOc=$8V0~0voQHgZE}z^+X{iHV;DgM#HR{On!8AxkWl?-N@m_<-sHfj~l!hfC0d} zoEAl=$Y7J8f;`@8b89AwU?g;1ZdDA_zptowNJO6QD%wQ7&sA1Nh)93}T3|(l3oYTl zjy9Vd^$ZqNjX@=KEBIuB2Ix?v9OELy?fSRPUDLSu>?!q$S$y+c6E3v%FrgDx$e$); zbHmt8*Zh4HsT6oG!CkSnqpi0}FACdT>BGZ|CZf+nzG<^wut^Ogf!GS+XA-&oK25aS z0aaq+JQ}!AMV{U&DB1Q2=c~X0DjgpNMo1@~=pD2fVd=Z}(`TIaj%={yvQR{UVPaoS zQy5MlWn6*}IXvhstuLp&MtK(#7kBC3k=nUC(i^dyHcXs(h#Rly$7vm&6Oa*^0@y^B zDxaSPC9s9CH0Fd;Nox@}c<3^`rDzHuVu;uNjW3U!^c!Y<0Ea^uI)B9TvNaz(K8#Uk z1|)-w!bnjDW0PHVei3B^`@$#b_Q2o#XB6Q~PAkqP+lO0lg$25ZuXI08d&H(r>PonB zfr+s@9(;Ua9>GEm7ZX=p&|K{<9=&5DuFr33cd?dK(l%fxkYW^6UUv*7 zO()cb=#uPwtc8=O+jI}M>d{H-UPn|QsC7Q zsE>oCbGv#sJZ9=nN%>%Gy_@>qW8~&}qKH93$lFUvJ=fI>hL3z3N$>84+T~fIGkCCW>yTqIE7EXHx-ZoueY~K3Ght@ zbQxIJkAm!Cew}G4b7tg>9&lyq;JCDG z^60cKe5Z7Ix$rkI)$zXj^rdUjkw>GcsVf-P1CB7pR-SP*tTzA4*$-g23-FKquJN@^ z{bjGBAL7^rBL8&Ys;lTRtPnf{pzRyRWk~SFyg^O1M04%j(r}gHt%qnkDN&(ySN#Gu z%lIo2r{KG{PkBSM5bPwuztlyauMh#yK=mwu1I7%Ekn#4qm6d6=YRJN$%$*|?9R~Ll z4Gg$u4dOflz(`Hstw@94>$m6rMv|}s_By3ezhPoO8jz8%If)wIQiqjyz24)K_1*gW z=-=?KG^ps-DsDE>;+Yw(j{9ukS5SY2HnbBLd$A8QV*oZX)(n5wTrf8~8w7;Fa zG{QREHH)LIsuc;a3VZ>ya|dkKXN7A^xYJL*DjWA6*3YFxSJO!Is&2nOCBG$L0*V%3 zOOz%<0wHPpA9kM+UUE!9(7sCNa0)%uUukDr6P`XGj`@d<%R!beV?RXR6o}L z9Sj|}*FG5e2RbB2^+ip1kT4qyvED!h&RN5*3|QqGBG}|spxa}IO>zT)UWUdw5JOGvJ}09!(pWw6Es*m_q-1tEaGTZyXr>myE<|J2?4 z!$*6DK(XuR*^G(0zUKsQLy;Wx%{Vx~fGsh^yG46o>P5Y26@H#+$F8JkV^5`&@KqDW3(E|Wp3N7-QuQ>3i z458v78Jq%V2=Do1weP87L+rI3HgpTLevc8NwRw=>re=*Y-HhGE`kF)KhA4GkTet3| zhhUTHZ@PDw>LS|udoCHvuvQTENtwt#1nL`}<)E!(xmG160ew;goO(&0MkOxE)rE#z z2>xjh_mj%Xl&}PA7K8=smhCivG0vsJ5swf~2R2P@xsc2~ASCCUmmEH0cXbX~?_eY( zMg$@yEn-&blm2#S2Rt*51|#6fMpVQtzAGOOp(>3HAmB{8s$atty>Cb(;tlBBG!@yZL0b7w zcJ>rvw`hkLzvIK;G^l59>w0W|I*cIfYy*pZk|TPENsJCCI-d~}k_PN~Gsy8ey9Xb1 z(UMO=Q*XnuL{$*8%hUcGg;b{yyky=|sj0mJ=A6g!gO6rh4+DD_+Vy=|0pa7Nf}AaLsP1dYTXw6*Jp6l&L0|XbWY%1xG4|u}TQG z5OD#so@$C`&vO8PhY&ZBc@BVpPo}9V?^wP&*9!Xv0L}O2HNew;QUlbbK)a*lq=(MF~_XwQPsWa|| zpW1tyJh$CRPf=3 zTH`z`-kps680uYH&UI|=%T@N&TcKXbV(+OsGnMnUP`3*xUrdY)yk9~$_f|>M|2vUl zBCFF~EcMi$NmjxrxINb0H2h?MH&=hH%svdd7N2*{14O=Ac-Ihq)$j%f;>d_Ya;X`* z4v@KV4MPG|&nY3w#3~W`2+WR^P4pa`;J{QXa6_kdtfd>8p30;XJ88_;@5-H5=_Ti^}yvjwG z__6OiF8*5A!Y{!iYFik)Mn@~gm2_T9f*u~;U3sku;>^WE<3GlZT4a#6 zG<_}Uq~Q;1r(hNSr4tu89d^8`zxQFRS_Wbl?9=UrOib*U#+v7cdKDh7t>nhdN4MeP zjF>bHL%L$8h&;O%;$kLsm&49}^`@|BVHd5_T0K&M1I9JUTe< z%qs5>P(LJ=8FAhLkJ!d4r8*QfJTIAFqgT?7dYf>g9=&Ax+(3Xo7}7o#xo=j_8tHDSOB0+z4HKf*W^(>~_Z-KKYq z9z72qi;u;`r{w2#UPQBDm{!J3lC^27p5LRLn{NJ4P zhJ|2VpAP6a*#5Bx842=MN^2MWqR_vd*hBIOUvz-j#YHqn!SSe^L^=}dlOU6(mX#<(x7qVrWp27a@emc?{eQv%aqsh6Q!8AsJKZXH1(|TG6}J-tCJIF z%Tc*Yed;0)2sCg^{O~{0`eEYc5WXrE0i^>;A?I{giOjepyJN-MrKH_FQ*(Yjxq3r* zirRxVAD*YMpQ>DJ%4gI8@@7=C_E5V0y~S98dVH=l)E zJTSY8KN(c_UT{Pr0OtFDivC)(IJ`M1+Q(aedc{@||HnM` z=gO$^=77Mr3|rLM6MGz8*JpOgz~Q{bpA)nPa-qHHpa&sK6WgFyMzA3G9UXuLiu-}D zcbYk!_a}x_1@3>%4|Dn-jQgiwo%O%}G2SuLo7LV)xZ>yV=hfX^_b4mMD^mMpzi+QW z+oKFIuWJ9IB+h9g@o#_j&MI|{nX&6L(gU4=6a1JEG^m&AlccY+DY5M_8K|=ho$=#`i6*(23C;7ryv^v{k?g1;>*^C1(pqG zyRx5RZDo%;ulLF7RJz-%L!Jg)T8)a=VXKiNXoz8L7!_(^R@rGj?^8UeGNP|7@-b2M z<)S-Sdp<5Mog1yEz4&E$8h#s~T96>!!&)oQFO@PDFtA-%>nwg-9DI>Rv;wIJ`#m%G za?ZSug?|`Qli@6&TO2VDF19@P%()^FWNQ57JAL!81gOWJZMmleZ4mT5=7T}(9GHyE zAcWZK^UVt(#-~9mo8^7GL+e&Uc7lr3K(zH2{F&7RAncubv`@Ygat7uxkUqc~6kn}*SpSz2c05^42`e&-_&lht z3r;U%x{dm!D`lR=WU z!A(@9gZE)HM^od39n>QOQ846IjgA7HSbC#h)U-`DiFPT6G0}HVu#I<6@$HxJ*t_aA z69<>>SFrO|#IjEqz*Vz0Q5(U*f(4loz0^kCL>Uzt{y48<=!My6O@E%GhDF@m7z^|P z7)uZHNz>|mCMyhXz<=58HdG|B*1NL{Yrj5i)%oa8iM93yGeN8A6aB%>V!d|T zG5wT4*d7*FIM)<*Ky8RcUPt{a3j0Kac6CZ7B!|`PN~A#1qx3GerJ;L?tRKH=Je_(s zv4C}Di!hV7iBVPgKO*+Nnrr)O6Q4gwTS zs-)hyUjfm}kjHvHO$x(YuC(?!W?_jlxA=!f>S=n-_D8KpqCAa@=rBuLGEIxJ3z>nF zh~($*^{8bFr@gQt(5X!5&(eFVN|o&|l|!2ts2P(jkn{Zz577fO{YeZMZb899_LH(O zF*NbC%z@t>$C^!Jwu^@$v#pyCP1j;798T@M4816HU1cFagv)k!$=%(9#rj|8gdeqn zCw_{our}f?aoJHNIymDMR1vopy!P2NIQw^0vfnxlNp#;Wc=?Ohh$cfKq^o^(WwvG~Lgj(8RL$9OaI!+4R|v=Te7Yoew9b)zclA?eu|Vr^6~PFr zT|K>(qnn$Yf@88(QvFEkVVubwK^gJUyKbk6!a2JG{6mRDhp6^+(?q1u5oW?V! zi|XB`{Es{C%)B!v)Y&FyZv=i;qf>WkH3nX2loO`(bTg<4r+!-SD*DEa{?}I&>Pr;) z0Y20sXIMgk2szgYG*c-Ys;nJ=N6WhzIP2VJlO#z8 zGbKN5US(W+hM(ar)|J88d0rMf-q<$|b%dr8&kv5y4?>S&2nScGMmfuBXY8_?6djO? zwQHV^nBa7m!iwmfR4{f^Y2MmJFRStJ&Zamjj{WsS7mYV3^9?Gk6=WGHDt;NN;s_oy z69A@4c4E$w2ok7P^e|Et094y08_oh9Cb8koDVzj7$l;*_FuGhh)We9Q3n9D!vOWhU z3eJP#*ykg)9BDHN*&^5wz*j*49wH^=T`8GQ*4%^4PW$BrfAq0^;_C~Z8kQ(3DZB)G z&gf%T+mp?JDt1i6>WH;+MQ(S05v&`f6!ll2_%n3rL&rGP;q-}8*x~dzGBG;(v1yo- zgtXN*Kf#*Sg^4|OGakh%OCbb~(FSwI(lu&DikYlf)ML$+CS7)k_zTCu@FQwCy~Y(m zkQ3f4O9fx*R8$F$QByls9qBhw4mj7A!0wo**mSSM)XRti`Dp|dbbr~MtF;%}_PQs5 z7Nj%F?{!dNs5VS5kpA|{$SM{PLIyI5m5iSnhC_YYh<_1xELm~T4ZvARkx!|x2%3#R-^8M64c_%n%&AmpQ4yaH2Y@6 z-ec1M@m0d4H^z-u*|2}6JMizI&>>vyexalY0(Q9Z9>>1!kD(~;Atow@!@LLrVfC_2 z>Ph?4?+;Jy^-USw8oG)OuM=>f5P&kH(lCky^71@Va}BgQE$6bEYPVINvAibDDLT}D z4Z{V+3PpMmycbzz_54+$*1PG(e&HQ#z^+Db6-g4~(OVo;oT%oUIA_EWQMU18S{6L5 z8!2t+#$b)UDvs4Idodw^N*5DLxX?E}I~?n#r*cg6VBXRQ71IQc@< z7|)qOZr!mFeQsDz<15(fipRS>ld6Axf07ePfmh%#%aAM}-2eQTdxGUeWHmJUi+w^L zD)!`X<&(70YFgI#_dIcT{ak+a7aV(c#9$c_WmXWMw_{=!LBzz8a(25!!fs`&VRxZC zUhw)2hG+UC@kh)t)w36a#6Von*%}YL0|Hu$`hC{+jHnBS&ef7@M=IN|@<7F6hmsUC zL~BuiucJ@Ebl`D(eEL8ES*GlOtZk%X0zR!AU>p(`@4p&$9XRj!DMIXl);^)U`b?~> z-mP@rCB$j-D)Ppuc!+uwE8AqX4^6Gw&({wc-tjaUb{h#%S{csk7#=6asu$7L7j}xd z-9O9bm@jRYVd)t&9@bIn34SQpWTVU~(O6q={oLeo$WLe+yg zj+0X=Ov`}P)D6KN=|p;}MxA>d9ro%)G0@%CA@8jsAT7(+UvK2Ae?^5%!-xeN7{iCO zjXXVgmepNni}qCyXH5FeK16R8d(Fr2YJE0LNv_TH-VF5z zR5;BJN1kLYNAC_pZzShw?`RhQ#j%3{{Ppc2*Ce1oStfS(Y-^L zTa^via%m^;j|cU5bAjb)Em;qZ@obroPm5bqRGoS7xx@3-LX!7NQ-EC#z@P#ynY{_K zCm7~RNRw<`hH& z*t39i$S45yIAAcHoy+u;CdgVS zt1vE7G~|g?%+M>1{-UnICo#0l8UKPrv{uc2J$uGYWMl#WLi_XgSiJ%utphGXxcN4x z3H5ZC(OYg>v8+~f7i1EKF5Nztjh$3jakBo~GMvpqA8d@r5jECKbtd$FGS-xA$^kZh z80+Qt1G=ez2brerK~|tZivk@=qsQr19YQ?elw~`qBCruvG^Kmly=7|MYZ=f!Dl+VH z_{JNaJTit2k#jcQ&4}|s>2&&*490}nypi@j?|Gsk_9hk zc*<4NU&TPCTISOSmORVnBj1CjCyvftHd^@fK}CU*@d`jK%e{Et?Z*IKcoFuO<)pyF z(#an=>`*_)H+4UytH3T+tUB$$Pn8WbCLb?|9X&$164$smeA0&V&N`Q_{BE_ROSDn; z4(P(E%eJcUu%anBtN?=0HI-l_ENT3MECp`dR8;xp)$oi^qsjp+XyJpJ9qNC?V+KIg z&6--rGs>DNh-W5GaAaQjFUkPfRrO&wl~{{O)mNPl5U;GVhZvvkWj}XX$xa$@fw&$= z`O<8w-de>svkM1-J4cK)p)-_~87K8zH{$D%T>93c4WC>192b!}55 z^FZ>WLJE#JmrB7CGJlFfB0bKf5hP&J*f5A$gEC_Pda}cY}idmK%6wU@k-wvVQ^s z$CiW-{uC?{24B7zy!exbrKjLc0Q5H^5Lr?WQFVeJ10~OvCDMS$Ec-9`A^=XD30HS& z^?!N*=C38d@BimwmiaANxFAFuxVi=Y_TZ!y{uIAY1JXT#$w39X0+;)O={Ez{dIQfu zK!2n?WS<}8#`^~r>4I}aA);V_FrdkCaN)M60T))!`ac)2Zc)HGcaVHEguh|w%EPWD z2Libj6QK$5>z!erjD{x4mX|w$lzx%>-8(NZ!`YGl;Dj-{njNn!GrZXAd4AooKlTQk z-h^!m{?vbNE}~(}P~>Y*w^-kKV`9_Kad4BYnNzO*ekDP;HN>7SxsoC?1Dad1>q zdT2NmstVXk1YPe1#e8WE4d4Cara$wRCrma6ZnHuzS;qdE$dahM(;3n9hyYdS&Asjp z;SUy&7VU9Vob%6MLu{|`=5)Q|0A%=9XcuJO1cXq%{**ACIFNgw+o$fk_1CrE?(ciD ze-;(I8=2wXs*%Ui@DPv0_-h&(FnXd-^5pj-R9rX27>hkSI+kzzsAKp-5%_{PPp`{TT;43oKkB|z&46c)86Q9rP_N2h+O0u;o@W%)-OafUW z*Uhb`4zK$|$J+CjY+O18QI@-W9?wj7RncCDNeQarU2dwX<}0)Il7j|{k1|i4TX%P| z_Ui`8&R*7n5sJL`M-vuAfeiiXm#nQ1KhOJ)kj&!WLfsdC(ntgka*dx%;C-UoQH+E) zZ*L_qq(9;_{W}I9{^bjR0HQ7YZKl&*SaaKGE_4Mbi01apf0F5Fkbw+bE01(G0f1Gt=>xim})sc){0+HaVfJ0X=y)D9g7;7wyl_c$iY(g;KV*{=55uVv4A zPGzL}1H0??RRW(t3XIG0u54(EjT{rASl+VwVew%&m{W=8Np zQyC}BVH&wm1e%eQ2=*hq6%Gy$j}y20#T(=;E11Twicj()#yc4=W#qK3H+p~99?}HH z*w)I{cu)Wy0M%DE(JEZL63#YrK<#F0zY&2&nLN|MIdE`ALSc7x^72FJz<}L>?<|1T(E+?fyIm7jeGJj?dPs{jJiMN+%S&PW4V_yARYM?@NuYjzK`BCW5Ndj#24Z`CsQYm#mED?07fo3`^tao4h&n)<_A; z2gM{~_Z*XZdj%aA--*=zVNN#k$wVRWW>Cy;BUbT( zzXhC*l>I*>#8XRV;MS6|e>etbeAaydFgdG29AmcWzcC0vcRs8|4>NnJ#z;Lc=p+T_ zprsWd4Jl^EnRJ2H?F4iNm&qP;(%p0`DW|h2a1pK2JKnLSPg03)0a>KoR=Y}MWarSE z@Laurz9hA2t+}vL(Qs1oOla&6%$sC;m*GeKEioZfDn1|!Jl8`jSz0SFD!y?o_w&3 zyV*&1N%NPYx7r}mg5e?!8jS0FU0q`M4@3yF1C*YFT@YCXR4RnBfx{Lw-J^=yP)|P` zH%&P;iyjdL1s-4^HYkafQS!|P{aRDr%u!(UU5?v;3VfWVlN89k6CT&E88hkj9-zxHGW=_(4Em_?H}mh zB_NrMqsp##>o=z{hmb7~n+gQEm1P%S-RQFYPHJEn2+#UU0Nb)JpAJx-%%ZL%Z z=mK{RyS0#IXYZhpS4K2H9M{y9Wv@t3adW6s7$yR7JKP>1(Al6L2Puh|r-OktU&Bzu z0D%f2Pl#*qvO_m&)0}teKwz3x8*0vmSSO0DJ>E6id4|)p*5{q(?7aVP?x!sQX#ygS5A3{|9XD7^$X#Yo zqjxOdnmdKH9C5)kG5`Ta;KM`QhCe{lfCOTQR&|oPacK`j3(2Qy6JW34YYn+ckk;(=xBYcQhq&UdO~HS9@7^pX4NlC~)_+ho(nk50E$!rpn0oP4EjJ_ubO{ zAzz9j2g`I|yMPNig+!J4@EheWycs82%G7|grNI+OT5UZ`*A#c<=aMaWa8TAq94YLn zA15;~v$Wr=C%uj^?Sl5c97MT_H0TeJ!4&OM5r{!h@0co612YLlC~BjER#s@7fNako zJ1z5j{v07@;jIE(7MJIMozz}ey}iQx^nuE0ZpE|czyR@a_BJ~GRGpqa16*+W>561^ z(+ji3)dA*dw52?!De1HnZ}vPQL?r7lOf>U{h;TZY(i2(;Q&6!une3xQ`r zfM-)8y`VJ1gaX(?Xt1!Uk`2ey^NSqVa3M_wdrrfQzEKiA|7gK}+(h2NOO6WghR7rU z`Bs1?);9%Es4hFdpErVk0rL6CD7Qb*WeBAf~67bQ(^vL4n3C6*9?Ak}Y#cUnry*BL>yc>;kDDRs-X8m1@sWy4wU{fWfw zsRspXFG=h=O~a;#Al#LVlCOe3by2pNd5lXrlJd&}gCbcJSeHc=HDAL-D9oKl*ibQR z2K+x1SFebp*ZO>1xLK944b!GW*LGq=>j}86^LqQbyA?laR&1~{;nT%UHJ!@lkX$*u zy2XHaI&9bvprY^z#aIiTq3^91P{MZF$s{^Zh-VS2_-T<4G7C5CU>F&M^<716JcZmrdh6rVSj%WkioC(q z!?XYaP{3Qd(!_~#xk-yX{3u}b1F8N>>&%_U`2o6h7s6(kvCE+YYZWV*F=%zc zgbg5yRcB`Woom!_)!KZ{&WzI0ks#ll{{&F^&-nQ*Spmb@d;eF%S;&Hr7QfYjFGW8K zd|_3{E|?T|g`9xlFgs+|r}H&!lP~`i^p^?0V<85>RTG1dVCB->XG_HgW`mARE)Gv# zww2y)SyJ)q&taryKEL6D-wSqcxNv#kZ+)j17y~1d-9eVXl^a6V7X_xZc$OxH?BlwY z)Irz%>iOYLykK=3NOEWW-~D~`x*#VnP#6zE(tDj@THaC)b!nn?YI*I!@U_fvO~)P> z>>4A#d!a7;>iVC<7=jH)mVe}n`qT119s56wy?I!ZcmDpX$l_Gg&Wy{qEiSdFtyGzr zE{>oe)}_U*gDj&6%-Eu>i1}6phBYm+Xbac{q^$|*2m!OVN|F%>>B3fcmQx@}5lK2$ zq!2 z_<#`iZOG#i@9vAYlddse=>pJ=ql`{bgUn7^Uz&mzrg;~1k2l6^c)Ov z*nw}mqz~6qfBT+7JHzmYY`m*HMBV^an51)Ccq*Ug*Jd@R|I) zc6Fs6&Yf)~d5{`CnQ-!gbFFZGIA`Z?AFZ*CJT}t8Pf)f;^w5gV;ZIjx7O#RkfT~m#k(P zi9VAbuJ^l)g@LR^Sm`2fIV-^eYg++uc;YojO_aEg;9O=)p2`$Q4&YI84uEpG*L*0v zu1qNH!&y)Qq;rbxS@;8nwXK%^jus{OYF7B0r!h@6Z7p*nKzovNn7NVA+V)Tdhe2zn za!x}v(PtTHE>nA(_?sxn zGohI0maJ(~VShwaUAERhUM5}7CqE|RX*jb2@R)Zt8*Z)Oif0jW>R+p!`_oXl3l6+Z0=l-P(W>Ev57V!QqsXGz zKMB7@>36wx2lY=27Vbx|dTUjDz8wqTmD}cq+yNkAImp;2T74poJQkDrg>a@1;Y_1Q z#yLY%{1!LaOC_RZ(G}2EOV8_Bz*^~UCCDZ zM#Gw;b8u_Lk=@h|Mkb5Y&uwnIsM%+4op7;|3u6_YJH)z$LkG|jvX(1vh)lxkY04{} zR(T60ZDCfbxgm^iKG_F`y1w#U)3lpomzAsesY)&8*WTIEg(8!xBqAf17H{%s=eY{# z>!%f(-wl!2SGsyoh+cP@MtnTEHCTe!He8B@-W4Dv5*|Uzn6;yD1o3Fd*gLIAQ+c-j zq$qmp!mR3}Dg8ILu>(s8RbF`bw{Ff%C`wtqJlX;AB-@S`{FEz@tecb zd3JyjwN6nDHdA)do`xQ3ibIk&%>NZ`v%nl0X~rqMfRftP*cjbzjG3gZmC7MC3Cs;D z&WNORY9A>J+ridZTA#2IHCGS31-df;dlBJ=mPGy~xdfr&zzwB$uao>jb)*Z$X4c}b zhI_{&vn0-JOgV}ccVW|u_cPHP!cZ=HAcT{yr5n|;n z@2`H)Wg0UvvakWelOmJx{o@?|E@d|@jJ7Z`%efZG0L~sRUYKDn;0kU<7tWTyn1t*O zTaSKEcojwnjqgEwu?}Lh8%UDaWxIaGsL-`DqUUdu>sJREU5v%{Ac~oq&|Knj!ND+h z;h;~PSGNkUuWiuTtvTV8%z%*31j|TG_O?qCPQfx#4lt~uZcOpNwyyFxDOtcG4K*^I zYIvo|7L3h4ph7J>yD?^{L2c4n4DkipOs5|?zB)aS+a=LGoKoT+m_InIFV zqWayWJ2)_d9AukiZuF<~Rw*nsQHLE&gTx_w&^4Qm;ATt7p7U9=f~bZ(*;5?)l5A4` zeBXd7^OT4BecZ5fr`H11*#I{<4A11{BE5!~K+FoNfmj5Tncd_>XKpL%Z&Mg z4m=ar+}H9&C+hCnoR!Rd-j@718!w{Ul&vZqZk96ARNYz&S0Xr-XNBA)W$TWlvod~K zTKQ^6XTQ0H&72(+gl{ISSsd;4OM^HKxmm|n>`8zROFMQPZnoe;iH)vJAXS4{0_(hK z!1jwhlD!a7;Dk?tlhkTceZ-7jv5%eAQql_wXJ#vwC*f6BeZ&g5M5l5alSeQsN`$TX zDjfK=yhpeb6`a)mbtr6QpVXxnW$nEiM^7te7^I+RLgBFI}(2V~tpu+pY|ww$UO8(~`WjWBA{e>E+wg5v1-V^BVFshDbg54x>0J zXTfeeWS-vV93;CY*!gE*P||YYWAkX(i`-3;;jW=m_`q|_HO~>HT49}Sd_RX^Xx@zE zDNTW}A?;Hvk3@<(Q)Dyxx8oX=mp^6kzHM1l;W^ zT674~h38JkMH3LJlG&CsS?0ZVt+DeWw+aYGe0DK-+q9gt&Wli^pj=BMOc13d;VdQ2 zZ2YTve7Nfz-cp0v$lIZCWzF92f^QABp_yDFdzw_OwyPBIDPSSIw1`%dD?Zbv8AMeCu%J~jkxo*6pe zmqJP=3h;P8rT=<3eWTm3OM*ldPtjZwG!#{khr+_1WPxjxh9%vDmn)x@a*VW7&o$ zdthAAO$=2?4zdzERV&QlYR+ho##Pf_#$>nO2!V%Pz|^A>^@;?tBmSjCwupy2iJa>2 z(`uON$1b4qeh}Ga7=IYeZqL}nEWk>9Xw6M{$8=h@mU|lk%oH2OJ1h81Xkx^iIMOwt z8)l^jv6K1qw7A2pPqXPEEVJo~eEc)H%{AeM zqio%XttKc%vSNFat1)vwF0ocq^=nOWO&hs6EG3iebvjOm>c3I%vtwg;4gZ5LdM*Cu z^9B$y(qo{1I!=4wv^J~q#CV<9FNhRS9c};3xAHJ9?!)hY6Gcz+pId-!;=BcGX9zKA z)yuwXvAdi1$S$8{*f0Ke_ah6|Vu`nI-fw?1|Ecu>YyF~P(CY2G`=hCVCj#~z3b-8U z_e#L!d1by=4*u-_9q;+;lH;(@B{{4dvO8(^fghjT_$Y%Bt?fJVAaiSI6(@%BDAHTtT z?`XVJ+LeBFXi8};AI%+k{|=+PQxvBzKG<0lH%X$N#V>)LYhuJp zA4{2apDO(#H*VQ3oxK0c9YvpG4iKMC7q#!u#Zs^L4PG}w;J!3I#=0xN=0wY`}ZkS>>#+-$V$&s^#c?~WqF*` zhtqW}52n#gbD1cNFlPH*X^q3v0O=&UB~60Z7g?$Gf2o+X6!VrvLQwLulDJ0f)F8rJ zb%;<|+Y0Q@vI^mD&&01clChN1*6fSgTkf6r39)!#eoA(C+JQD@T&5sm%X#frFVgZC zhwp99>6%ZRyq=+lzm(`F<7@ss_MG8> zY4RU$P|_h4B^;N}*9d>noTpbL${!&ClR@F#+j+m@Xp)4#8C~))zq3rSWE~66Mcc@t+3Bc^o7XM!^WIT<8SMT|3( z#KT7MjW$%%8|_l6AtSxzf6j70-iaU+L-CSNQ4JRDYqRwRnMM$-)IF(o!?UXFe~}-4 ze(2qNIV(CY-C$%cZwY7W)W%npc%j!ii=9-h%gx&vjdfKn9y2q%fw3iPaET9D>-^0! zL8rZ+cW3Bh)9A`wV>(O)iRxYUmYSW7Byl2ZE4<*Pzd;!Wvve+z42rpJ@0juE#UeqJ zi&SNPYWKUWXCyhU0EX-z`ps`TiUJ3yZKHQvI!ps}fPBzcsRMJSr~gTYuiI}iA7sDC zZYy`tv=BS0Evb2i5@WTB$(&WO%MmredKFf`$F!~$$x9Vlc9l92db;lSE5RuXiRYaX0rgtR2&)E#fAOv};d0DnRvv=S7t9!-x-$~ldXg@f7LLrU*ybr{E8gT< zx%~kxnckS4f-G%>YimnpN#L6RE2e7JS^9Y9QO%Qwe9Huas01R7Cw3H%BEFG}9!rb^3P4}C_G@LU9&ipL2fkvvMAcc` z3+l2K_9~-nL$M@&w}-2Rtvc}xusV3YAPhdE=`5~_hQl4T>eA7;3THk$(s?i1&a4V; zW(H$FsoU2I8TL2Y1B!kcH)-c#S<7`Pd=qTq;%E1#=Adc zgJFGPj0-H4g65iCuM?TS=G3Nq4k+9$Or326gP@vHF^EbHwMv0L69tA8IIiq(S^hEt zV-0=cpj0ehlB-xSM?Hd+ItY#${5Z9} zw@)vHbD{1{M5;OH($z2Zx}9y)%TfSjn3EL;X1evyg}F9lr_{8)ll=Vc8M4&F8?N-; zuZqvzQRHdoETw@luue@RSNh;CP**z)$f^rQXxt1?D(-o>38WA{<)^h#vhFH&u3=L+ z?+tfjDa3PD)@Ll)V?P^$A(dLu!~6kkO5yO$j+c~pg&f(-N?JDLmUa|hAg#eBuLeQU7Psrmr3u9g$=Tzozv!^ zYSWO@w6v8eqEYs3t(vNefi%RyiVPqBkhQ(2DpVJ{MnO)R7+|Kc!y7xDSo)1b#T6&? zV~dRG@>iNo>tA5*>&eP|&~;n-+0eVxHgyXtZ6PA;WSQ)&$I?}Qoc+w$_5Lkz?UZZ2 zBHsLCCX8mIFy?2vk(W4J+?DM@a2no@rQkzb1>V;a`1j9$6TG=N)a#)|E- z_9K1EAo&DiUhOFA7Hl_-*=nMa%^NN`5)J@MF}^^NP=NaNk$WMoea@uBy6aXjzo4<; zA|W5=6{j|hTl|$$?-{#Q`R%NmZjj%+PwyWgj2osQE8lMMZ%6|2jgj3H?1~uRl6v|jy{uI;h1+-kz#$*mmo%59xR z{OA>Xk|e=gEB4PlXx=uT4pqIR-fI`<5DkOCpRj7|8#HaS9BaL9Yr-xH!EQZT#arE* zDXD|EV>sMg$0Q(Dt;A6(BWYGyGRnbm0BL+O z{l$*=5<44&Cf*NjZ}oIr(bxrz>nrPp4TTBVpE=HG(y~>59F2Wm3&C{e*j^8y&rpvO zyfAgU)dplNs@P6*+KQ6K&T@}c*lh{TY`viZ@>}t_p)HQ#$MVc~eLU=3&3pVE2Kmj3 zYmo?^=tQ>~OHG)M8k!}V@s+PpMh(+gI_7jUQi-fam7(TG4Q=99-AZ;2WT1XN|umc(Aho7zJ5omdoDF;$;GC(U9|U~ z$#%gU8#c4g!}T)1)7md8otaH0!7ii3&6Q-9J8ek?b-fDMxbMacBPbr?xc@MJq-~|m z9qwr?iGf%y#9irJJ~r&l&$Sok)9Oi&{w__k()^?3Z%sfMYMduhUesuW18xpd;~ z@y;)zZOI6NkoU0cgQ2jD)klHsvwB6U0JT( zvV5X{L%Jl0uKq{PrT3p+P=585i}H26viDypyZ)~H!z;Y<_kCBzm-CL{|95LEcqoBa zoLQ{*y`m`N5&TZ{mG=Wh+Pot;(3L zWiC;tx4v-!c|sy1f)Agc`gQAFra=qa(~Dh_ zppEvM_tf+-r8wXHuZ~~-!tCW!iyaleJm@ykfyV`%vENb)^8{UAeDL@el;WxND}S(= z9&6Sg()KSa5?~=|DvMlQzO|<;(oz26Z23-}|867o({M#(n#O;3`=x9oT2xn}VivC( zQeF8o4ikx`isZ#X8Q1#Tz0JNw3gWD{l(#)IvpiBsw()(;7sz*gYAJcLVRKEr>_F9F z)c4xt?9FNDcbbxKEU{`Xv6Nv8FZXuq++<$lQ=5k?W=lniJm#;i61)A)p=W;z!XkbL{a3@-(%tv$b+Jan8dqySujv3QIZD-I zf%iQuaGH)$|J|GEPRt;Q{<}F4zFh%Vt~Q!tP)w|1gngjPj9<(pns{4@h{O)V+K&#c z&-Rc|*LrS#Ix43!u+~c)Uyw7i$Brd zw>Euywf#;mm$wZ28QGtQn_ho{l5FID^3utc6CB!{S==*RA)rgDrp;o;^Do=k3h^t5i{dowjST^?K*r!xv`lauzFP#v?LU?LK5AW@cnRULoDV;iADR8-pe5o*C4PV;>#wthm*_&F`cil!-Anl%A; zzrpk#wgVx#LweX3AX6(daC1_zb$!<~sZyph!`-$!nnY9CIuW^C?p9+75rnZvxPSSs ze2eSrZMvWn3Ibs0|0X6|cU2VoLQ9IAsn0;tAh)e3Cx1OxOU|D7Z&dDa%1^gqsd`h_ z-YdG|QQ&ASRp>mDI~BME40cQIQgwXiPt^`+mCpNBayun?Sjl_@=f^Db-K>=fm|$v_ z^}sQ^pofth*6Y@~ZKZpdgaR02j#Gbim#^QJ%LH3HFA8N98+&v7kGcY`ot526WS<=M zD;pGqr4S446`#|J#Uf5$TOo01Ny>aOkUpEGYh#)=W}_NX+Hcq0|4<^n zoQUa3b91Tx;kW;NWK$>#5mcov_e~}~X}GbZT6?Q#&wf`X-7v|>?jvHutx*|H!LxUh z+K&QXDZ|tq8qs{9$qkk5Q-VcF22RZ-D{(>EKzAixbyw?|-qenZ7X6A{aRiWq z;ChyKlmjRy(-@e%4MTq_}Py7vyS#(`t9s>5fK=W^=*>1 zU5q2;&N5unLN7|?x{+A3W=kG9hL@v#@DmIMaBLK zyUtd?NJY?v4fzFFbIKUMIK-Hi>wj$A@+~F1Ms4FWMXSQIk{^643h~elaayV`Lt6AI zsNb@0``2}6>Bk>8bDH_PVv*7@(sf}5RmFEI=IkJ@dM)gCi8b^uQ_@7FbRH| zF!6aOT@wt1z!4ajxE{QD?y43LY`=)LGxdi8u4uj0(qK?AwF=4p?@T#6{ap%fhPIx`d|fj5xhDlaE|%Pzc%Z!0nKrd~UTW&Vgp_t!}oC))wB zJq5jg1>X-yI|=YVB*s}xr|h{1JyHtsVSSMivD22SJse|U@RU& z1JO-(d2gbt^(Le0`vjZ>cD>$;BWnv=7w2^vi)`rR7~Q|(AWxib+j6 ztERzR$MxfPSyg@FD*iZVJHpB??@gHOoa7sv-p<8ARnc8`And4W@b-TjIdosPNJH^H z+HFJbqPHx!DEYC3CeC|S6!CJE_1E(m`V0NF%K0#m*X-&ZVEDr(-hBAPKi)ac4`yf8 zSsNwF^HXtpwqb6NzazZr^DNyLyyRRk(}m+jf4sw2md=^>2yKUXWszm+py(#IX zT#n`qRp%FsvZJSZswy{JfYN4FTVY&0uy+6HdL{AJ;=q}0nhjeChrjLOF+l8FO|9vJ zij7=J0?BB!y=^^$>@!hPFgDuMM4hn}YcoYum#Ruv;ltR@~`jw*`ZlFm=us!hN%rPb6~7_HdtaTnkQRCT~dto2@p zhHqvz;R3KFGP03Dv`1x(w6tZy{E*nVldXx8H`cYLj8fDBQ}(+Tmpl3cQ~`@-_0i5@ zYQY5dlnPxU|DSe5?eq)KhsPRI_9nLhYDSu`F^z zt+=IcmOazAF;53>k)t13UmS6UllR)8)D<@D--~bZED%~p%IyXlaZJMnnqq-YZ1{Ek zXefw;WnlJ!zjw$snBi{q~{s(ytq2hHuUtWtKAZ z=lS51;kXmQ=dDnKihJWoQg++YYUYP~6aN5Kn(HXu85E937xa3YSVvOwfKAQCT$-R$H}JdrSXZrg&YmhYe6YNqNk6;%t(xU6J}E;%Ib99(Gesb$3pVz@BC z>wTn&6XG00Me<2W4qzPdQ2VwT%XO*b8C@()r?-1rU5vE1fKJ-tnE78mZZ<3t_wiEerboEE z$Xb14;f*yfFA%M(JGg(|qILgsOgJn3(}&^+#X(sVdQXLCV(MneHd5{*pnFk!${Qep zecwcR&@1ZUB{ws2c=wW4>{2#9dTjN$AuRvZBmnM}zen86K$d%=1!tFE06#g$wK!uv zlG(_8H24(1*jHhn`J<)cJ#fLqtlI6nh+mg%2*{G>uUaf^Yf{{KVam8RQ)tY72!mFudiHxKWu52c>T5@ z!I!PT1#K|>uj-fjwynrt&TaW!kA5`f6f5ke>iRlZ;q<>hYP+490wbY+6e5avRL=lq zq!DHBpROZwKzm^&_4`ypOr`3R7b{FepiXhFH!s_k0}jmS^6iAYqgkIPKi$U&=hkz* z#*9P)3`*zb6B_VAKM#P`Fr62D+1=pEhVix@#Z+j|`f%n1f4h}?n7uk%^)*A>tlc;N z!HfEFJ%1Oi{GeA>F15s`+~svWFR?FTkRS*EP9fJ|eoDBHDEO6(9^$dbz<$86G& zKGE`B3Ucmns9rM1K7U(FiH74h<|T3J|2Z6+dQ?q99h)(ylIBrz`e4UO?liVO9vRNQ z-7w@MKAlKQ6qO5GTPb?eDXPE{j>>h&mx?5+As=4RiEiWj{i(2E<>_BZ;UMKunYX(m zz8dd%7Q>3#8hTGMPv8X>;IJNfePobu^sp5b{ZZcbQ zVz%^ZNNDA4D1Lwc5yjTlqQGCMu+bLg#!P~d_x!WWkJy!L%zUcmUn;@#Qx3yg34rkh zGng;I!4VD<_HSEHcI&_HFk9~Ax*k1>5Y(0EB-o!hZ6$9K`U1cQnk8$oB0iZvQX|TDPkml@L=#q=SIvuC?#J$*^;ZY`C;HX~^7{M>F@^)0qdnn&{0Spd@^;>VRjU~k!%&y_^`l-)C;pYuXGtULMT0ozpkLg7eAyC%*_|f`pu=TL z=B)>uIwQ4`R^a>raP2vXiT2G9@Se8Gd)WG149iNRN}d~cN}W-CQ@)PRY^~0kxj4Wy znw_eR?jh-)oQ%~cEd0n3vc4+d6^dd*uY1!f7|3M+ zzuUlcoDs*aOCbjUB6!FIk(GI>CdeW=Gay^JlgY{*fZ(j6fg$!sAPx8r=5Z%~oPVvP zt-9aMk87@6oT0%%} zOucoS`MjSjlmy|CUh-CP;Gk$@c7fH|J~M{u_y zG|9a;IgYSaeXPQng#azrjr5-j>XF>Y@Hw9NisA-9(2}j#tAv_|zjX;}c4bYnBgr-T zea))kz)e-?Ynjl{SpZ@RtyskE7cB`&3#X4)3wG&}K?ZVk6yGM)CY-U&H`@!-^)FcC z*26Et2uJ&$zwP~HLf;@vYop=BmK5>!;gqC3)d&2bjiK6Sw93cB@fg}-n{*!eEUoB; z%Rv#Upk;aSmGX&}njIjkN}p7o#f!urQbRH*xM6BSV0D3*YVAV@ z26EUi6+p*nD{k0NA`r>gID-zApeq1lsn_@+3IYMZztKW`4c*;>0%OSntBGB3{uF=L z%7S~cwgFJm5PPq7v;A+_aZ;T!tU01B!GMc#D?I;ddx?wrG%30A*-1t+h1d2b^c)qt z8WI#sN+u+w0d+`TvGQ5*;-Eo(;W6@Pfa4=U7<7D2M`o1j*Q(=R(5B=<%`gDqhZLZ@ zz{9`T0zTXwN*0+f-sjY+OU@gy<($aT@}kbm3}`Tu6rG>_q-y>IfU}uy=fw%B`aD}j z%ahKAFro}C|5VmoOPBO}-8zIbx9Bp9_t>|DvQj?%HecQG{2et;*3khUYUxx2C{Yko z6F!1~)gZooq{tQOW}Op0tXaS`-x;3mO=E9H%Fls>^N*2R9zY#bK$!UY3~NZVOM7z5qsIg9~kD{W9f_(pPz2EqR2(Z@_VR?S zC@_q_z8Jf8R(OGQM9c8rn7#Nj;r{>Bi3ILP-MHkA;9_&^TthDkZJG<| z96s@l)ic64oM8IDsXjgPf>yZ~adBMiDWW`80a0U<`*+CZVk>5j&Kq+;3&8wxyfRF$G}Ocw7PJVp79v5ag&2UEjs!UxVL6+xQD z{e9SL-&WD)GYvH%3R;<;I5M!FII{)2l!nDYY8-e8Yn=x%r#Bb~a96v)>s&&}mDCm| z90A71S)7zF(_x62@1Ww!}Xx+-n+t zU67+dEOo^;Ij}xC`N_OO^Z)S}%UEfa_nsKpUZ_MjNiKwUe(Bu;@6CL?-w-imuoH8u z0}c>Z0)8No(!R&xArOS5Fm>n;$h}$gw}O$G!4y?l9mg!eKb4{PQ5ovN+4&4935~=L(*M5%pWb;3eOG?C;Hd@6 z7CaT~@3-JtneQ_H-&XqX^FLANpX!_D=YMd)k*$)q=ZVGQP4mzlvxJ<*&;GwDKA*qv z*Z-dspM}0pFAG>ZB_bx<4GRK7URjX2z%Ss)chdn?`yTqgX%6_|<$!=c`dx_=FJAwQ zzh85lU)f(K{r)z#__25WmgwRai+7Qqo}IQ^MeLtsmR#&KWlUPn>*M_Tum#)a=cWL|&kS_j_YmyS|mb;ue3+ zKX@CAMV8mETHnOVwCX`BPOVp~t-b!=HM6w@zEN!*o>>x9-(GxS<@T8e^cOHM#-ab_ z!ba{)Jz&d_?5)Mx?4*5mlHbgS4us?PmsLGG_Eh=gb0M?kOjW!kFGG!4R;yntVt3oS zWM%6qF(sGfv%f_SYhpXK5W%N|lZ^je_1zB~2Wg)f5NDrK-rp$rzp80Ps!9LK3>=3y zDWTHyQ#)*Jc9$7lxVf^IyWMR!vG7Ix4UjD9)M_AEPzT8;GODw!Wyyrmx!!P97kLDY zyjb!a+7MzbeM*+G@@3ChaG@iK5t$LI9~@uMD}hIJkd-DhVP*kfCLaV-cRZn5>jgXU zAsB`*6l{sB^f93-?xRixGml^}Fz`xGQIcC?#Yq2V9Kx|B@f#ikV$oDX0-S;xiqD<8 z`>u{4Z=}!WDt9~5HI7r%^_!|UC*7(8ca*ym3Fbt7ASGM5+lx5?-RZ4?n&S|}-e1*z ziN=VAu^A2}8erm#DFuw=4%Kjn&n~d%a4UZ*pz_6kYWJA3Ki>IJxAp`rO;6LmfG4u8 zb&wS<8{?Ed#km;VPQAl&TuTNHT(v;*1|D~0Rq6NC6$Ud3*{X5WD3gLJEtbUE#QoP52K}*q%l6bZ@JhY>~ zn&u-1(Ziu$-0y$6S`em$lAVDF95H9N=RK3gd&%0Ov?MH9hxo+yg2QEBIa)YjczL>- z_IJG8Y^b!TDJF6?ApS*f#e(eNKzro0=#ZZy5q@}EZb{3berLXxAH~h-D{~vForQwd zaVkF_PV-3TXGgz`hZy}K^MpcO**2@IF+|J9>Di&&l06Na5vuj=MQc#UeH1HavJ~aB zH-*yHvn}*L8(NfiW8ri$)_0yY`(1{1iki;Vve>2YlwTKQw!EoWx(0=j+W=|h8u`1n zpngnzjF3M1HHyjB^jWM`z|k+5`qk!KUv(yrYMRZ7$gPuw zi)tzdPy^{2$xo!DW{axSR?S<%7d!FDHG%AtCISAYngoqt)gM7CElVGtWzHBi&QP0M zGu7$5Zfm z=0p0ydl1@ZOLnt1JJbPEzRlmMbfWS#(fB70?o{Ylk~ry03FO7?=q$3diY&iYaF1Eo zf9Aoa*X)gDwY}5OgM|jEr?vHe8IvxERA9xJf!&D~`3JH*fiuN9lW*<*4aJD^_0t>8r6$C~!!Pw0VUW`&V+!5`qfujrQ?S~6#}ES#M?^Pa*& z$!A2Q*P-NGctg3}Va6{cN%Y9Pb@qkJ`aN2fIgyoF4|haesxixc z`0+>GQyc}bD9u9^+9|x}z)GH4TvU2kUlF#ZWvK&6( z=fa_$Kq=(i>1FOFkBXApQ1%(7DE|FbSlW$9g=WP!UTPMPvvOt8pE5>|8!u%bXRSC# zf0oEz9=TKXn)|qs9~~Y}y)D3MpDgS;i)^i~&We^5d*pYt(p=qDN^uA#K#QwWA*IKs zK%s3l@phe7gLHgH-_FVs)}@dp+GKu|=)&1-WWzoR*QIk^O%-+GxYKnbnvU|x zbU`?GlS29SefcX5w|IYuAni03!S-IT-~cUaA%{?i4^^ukwC<2?0x$zE_}Wk%jI=ON zXJic)pQ}Dr{+cOMofVQn^4|U567I}6iUX^bLg1=sEhZyliygX z8;yjbhZBiu;<50AkO@4#g~btdEO`loCFhifh%d;^%Iq;${gP~%MdvKo+QmWP z7;?uUSXqT1Y_ckoj}N24E95Xc7pHelKYZ}5G09!?mLrSuPZ+^cR#vhnGlh(mb=z{X zJ09E~Z>QLNBzxgfvchgSt2&@us=)5^n37qQASOBop;O~_%%LRa{`GkVz-zsX_Zmu^ zxW}Gv=_uN!i9bcsy{_U#iNbOiXf$n-{lY7r38*GAl8i9-fCMX`K5~)Zd4YzNXGI)g zMcOjV>~egOGvV;oP8s%{2fr+(oBu~H9*7{NT|e@PY7oefL8hSD#fv0PZsIhKXTDE{ zO$oc)Y?6lt$PKj}Lk0XuQfq-K=IR37^I8sAO7RKif!CCqU+(0h?bjuTc7?AuBV{^V85~>< z6VlS(B-#e~sSV&zNO5)^OumNZJrsrbY-foRLa;d}RTX7Aslfe9K) zghY8uS#o#?Aq{wJ#$t!dzRH?lX;I8G+P|&&St=GssCD#h=y5HfihD@h(S}MHfqc8 zG-ZkxY;lRJ7J7~X$rFda=0Aoxa3SVE&aWM!8yBqgC3{V*HGc-=SFiwM7!xMN?& zW^>-EVDMY#h3pA<3dzc_fPFF8YX-b=VcPfdNB#lnzGa{O?6n> zwiDL7)I4RE=(UX>RJ?Yd{w0u-tUupBPZoI4dVv0o>Gs_S@pMr4s$a5Pnu-4C@~$r) zm-8saozgG(u^-cqzi2EUf*#(@o9?aQ;nw%r0Dp`T`quhJZKVad^^1@B?<3ok_P47V zmMW(Gs$|FmC9!-}!;*xhL9Y5XuJ`y^IdKScU#@UIP$pSQMv(?LY5pxseN5x$eS3%p zA12%x(1*N?h`|o-DDvbl{^!}2*LEjAn_e)ss!J4nN^7PhY5SRBjv;z%s!jWG=kdAC zbK|Q!41C{(v`9Ahtf6gNm*~_`<}VfJDe3C|szd`@&(;^Py6B;LGGWwWiU2$`wfJxA zfn@==hh`+i>C<_!5!i5CWLD<0aNMm3(?sK1j4IR&Ahuj>)_%n79Q|Kz%J9~Syoo1? z?rPh`!+WspsbOU?#1D71^ees2J_C+UHpvEJw7bRI5nomFd(EarU|U@KnxQ20&2^u) zQR!)w44?6rg@;#mWL8?ndibe5DcOuTX3cedjY^Jhbb`I{D~D9s&8M%YN2&(3*aAhW zcBwnxll6lwgo-cC5(O(B(Jcu=enB|1WDVJ5hBhAHBUb%ld!Z15HQ5YebI`1N@wT#P zoi=*IC6o4m52SNJR23yY1^Ef3l@KdC3uDLHzAhNjqvf0yL-Tjeq|zHBxRuhZSr#2A zIP=mXyNPZ%He~I8UN}Wd&c_S3kE#1#(Oak)(H%kL;b-y=rgeN?jkmMBvroEP;i`!w zNOtzRKF9w`WTk>YSu~yB#=&<=T;Pf9buaKDepv0j|Fjia`krseO03zLHnR^sZB>8I z=JbE1J%igl+EVv9=d|{*`hUI|T7sTv|Gyku6ep?l^Av!%NZeFbSGP2q32~>hzPbot z1@6&10BZOVWY$~EjU%>Z>Ni~2L$moWJ;WCSH7({AM0(K82alI&xTxz}41U)3k`VNQ zy4j#GY`Nhn>s>0fc3#O1E#I$d`-zY`kb@;&Z%5e&4M*^doGlGLwy`inLw!CHzrGmF zb8tzu7tMNa=Qd3x8*PHa{$#%gpEShqn>gy=JH3 zQ!G{mwvPXauBx`%q64?gI0V~YS=?-Dg?55>dX^RzxK<95%Nar=vi$h#(ZAtuJ{)t4 z>w-AwsEH9KH!P2A{q_15-;Ud^*LGe^nZselMd}h~3FREtiO%9^A&1+POdhl3!ah>= zh87<0%&LQ?IfJKZlDF76(e@}qEjZ7|NTw1*975fsZ|ifo;|;LV21C$SS#D*?ZG=x^ zl)8tKOx6VwAQ_?AtkE@&t!*dIE^LjoC zRT9XG9`5gBk*{b;*$8xq|1$cp;-8B-;A6|h6I*xK&*bG-aK{%Ujp>|(35+|UH6-oB z?5j+Jxn<<1YJOCPl#!|%|B-Z)+L~~R3cY=v2{3T*F&q2u=bswsQi8E1`>)Y^7N1gg z)zn$g7qn?=`ZH`qn6l;E0eY5c{g}-y_4ig$YR}}RwKhU_L^)C;nM257YvuNWUwbI6 z7D}QsL*5`p!TNt{`VaxIQj&(l0Bd;zW`dETbFMIKjHIST7+T~;W#w;CO37xk9D1R6 z^7E|KPf3O)ufHuZjF$!;)8E&My0sno!j+{ac43zCam@ka)gesT=9Y=a`aDJ)?cv5s zrLQ$ic9tlaC$S$pYpS8^)NE8@RZY0<5bQySsYxJ?JL>#F2#F+Z$oqql)TQc%HYMS1 zho58h?LiZ&8VN6*EuNK!4V9z7b$r3_5mEaz718+3=Pg>H?7@!_nQzT7{6afw*a5p5osSt`oyEOJ}`up+Z~QMhYm zB=JxI9^IQ;lci00u{{ZQgJZ$(d6ZU@n*e8EB$n5Hgf}qR(Y26N8W~Le58^gO3bH38V}NNVcerNyEf^Uy)tW?hk0ESTsT*7xW7%fRV%UKq2l$}_J4EizaCo_ zJgFJP;k%hhz;^kcIBp zIA875C^J10=>z4tc;+1={ch&0bZbPS>QFATVc3ZKXC_^@1(l32Yz*T9bT+Nrmg8yb zHw<8-N+8!5j5LGP?>%u!LSxeuFG@-6EK+m4asmyH2a$TM&U@*JtZ#;~CQ7)OY0z;5 zuUj1$whkx67w?g5NnoYWW^+E$q`_#;RD8gIVRaT7T0N>t+NzFa*kLDCv%<+yl*Z?4 z&UooAq~B}nZFmMGjovk6TTzUlKqW_yi=^tmWM(pMW|a=i#FqvRBkEwQ>XxigsFV6n zDYJ%JXerC5>IEJK;J2Y{M*1z-O?(0gA$WEAautWm4@=ZGf-cO*&JV}9$2in=1@^x~ z1=H(q>bCK!+HE4;ifuCxOLIAtEUszgE`Xx*T=BZ+o`JDmhc0plKm_9Hu>*bxtfFK^ ze5TkP55kE{)xq^%R)`cdWs&6BfGWun>iP1wr<|XKN^q8d25Ggn40jz%(icX2QM@Y5 zkp6iV7eU~|)%=sLCOkdUQr!HoTy;ko7L%a4y5w6OL6E(MKJXC-TV3fn4+)Rw@YfR#6W(=5HCQ@FX~}H*EsU zmCH^3H0q1{-zCO9Xg`j#ha&0dgD$H_TF?C7WSx0bQ}^2a8A8%3Y6sL>QPhH{sPx*Z zRTR{UR-Ag3p^89TYpEj8Dnl8A$WU8`stgVZQ6WHPMM5q^lGcWyaE2B#C_{34D^ds{ zEf8YJ^m|V1eb@V2?;mz8tVNofob!G5v-fA8)TA+X18o9!474-G1P~O;@z3npX0%#9 zBepb)(0do2pq?WH@i>yUUBJVHlMtj~5rC=z>@GONxJo8ajvRh77%k!RHvnD&zL{r} zX>>5N2P9J;p?@P==fuO>(Fo7%FP+!A!caKJmDoS-gx~n;|KC5lzIu{0m;CBAr#H!~ z$?LtzuacJ?ARl*qm9+Sv(|VFe9O=V+w?LvpgqS)PCc)Hs2)@aM|Gj0$jcSEs)L zKP2xLfS0r%eT@@`5>o9(hJ0o@K~h~i>84w@AePLW=Q)3g{);~sQNZ{SN+P`rwV4|Y@PP`2 zqKuYz+x~DR!8#zdF{HJs~h%u zbjz*1PCdo8w#HkK;%x4Em-LEtRQiPMD<>WLr{%D0mU1-AjrL+6+2s7o^1t_y|61cV z9c&Ii&v9cPU69Cf-ydfn(?VxYv0B`N;;f4@^I;~Xeen3LLeHBm-e;>#NgE>;7OGx; zp6?9oTvwNO^AEgpbxuO&@g;e#2WBS#)AQF!(lYD6&RQ2)NqavpSP7rw5c?uhk<_w^ zAf|Avi>BSVt$6|!uv|Dr-y1x(-OlI3V@#p7Et&?r(d`0$IN07r04&*SirMTkmDjzm z9SDU(nk3!k?0o}&6kAd?Fpox*5Rn3lSx;~Ak*P)p(YuNc{7_fzU%ofL{O50)aj-RX z3x6J(l5c3n<4z%StiwYA6Hz!hW*Qs1gm!YG6ib4H%j{7}9x4B`(y z!(R7j_n7YteoO5%7OXuopq!T;#Mm<|*`wcLJsr#4s-J?f5U>AP6568$ZX3=m7w-|C zoVUaXladnb9?}GHgu9oOIL60GKBs$~$c{l+b^pD8v|mok+T0x3me8E+OVv1j{DQZz z(X1wLDu=UxZIoaj!aaFE2sY&pXl77wqLsP8k*97rF%G!~$93ThR(B!h_Fz8{<$6r= zxuegYOSY#4(A#vV$*hrUhnnU4GyLHd&##`5sb|dXD<&n$s%ETKhu4G>eI`gP@fZ)l zYZ!oC@*jUJfSx4+U4A zh5aHH1>VPvR=G(9y^ApWTVVEIh@y2p(2cNY6q2o$r@FNt<;^WzHSWh~z~7tUEckF; ztn5P|AO`&2PRxG#o^Q0EZ(Im;n)BVSa%}4s+ORAf{l5 z@4UI?7FAyji_Mw4 zRggaiHjx=GHjzX;v-((7`$`M{-8Ss?VWjHoY)RmD@NG!YkBlgH61ZZ<8qzdS(=?K} zqdXYfW*}Bd_^d9X%-LliQe+x4Xt}&z;9kO0BreM*Q=0ddsGD|qyMud4@NEvenc+kL zA*H;L<0>eifP>foMER&A2xo-Xc%fGa>Z%SW0sN?3T`r5!oVc|d#h)LC$6OX4p1vo| zNDWa`dM+8Pu-&xnF-k0Dqdyv1*>oL-X0W$k;LX6~4Wc+vK~MDm;T-T=Z;OMnfh4xa z4h_QU1=A!S%IA-67)MMu(JZ;pe>eWdCPL;sKwbS%0Unq2Mwu0X11 z+Miv+BP+WB@nQdoM_CX0;HPDF8)B!#qzAU-KR4P;h=H`{ahf9x*N} z*scP^iQr_gDFUoeDjPJeL^(p6#U>50sLe3KZzSgbsJl=*B^YwC;f>q|yOGuTdINPVPg7$MsTyyIVQscU;upw*!tF$O6HV2v?_Rv#>vH1VwT zLrOo4Yj{Km zTJclF*y^F0s4i<3|X+VeTVCJv|@5u*udW|%?yr8PlgfZ(ta1dP@ci0MWQJgd|J zA}lM`T3#p0ac3a!2`zI|rWUK(Ea>&Uni`GOu=?Plg^6i6;?R6!23Era@!Vjvfq+7Y>1C&>frQ3ZYSK?w z;RTqrq$K#|{{*1pSv_|aJuQl>wB)^Hq~m0>1%g>sNIk!&m}dh~35r-ky?%r$o>ZbC zG&BXvF+apU7na|%vNp6oAK~sFp2B%$D6>2UJeH2@mD^ABAM-F~(%7OMFunH@xbCL* zd1u;g?Afi&W8Ek9C_X~um78dxiwfEKKE zj-&`H8qhjml4XkMyDFBQur<(krND7EyR~fU7!R!LM9ktgR<8_(UT%1?$NJ*owPft3 zLtY`mn38`%x3%zyfn&)8Bn#K!KWMn+xh@5e-jg^ldW7a3H8H3>5);mdl|VNpHe`bG zl9__w$QZDIYNtAjh`F#*j9sxtcb1!VWq*O4E(UU(o>EcJ&p4c1LFLf3=d}@dc3|_A z6ScfjdTtpZ&%oDW$JdpgDqFw>4cBUKU9rV3-B}=oZMyaxjIJp>I0e`&Pk-&J9Ii@b zkKYJ-LiZNKz#$eErrJhkIw$MKEk6zlhdZeg>Kl8qVX`v=2*ywe%7f%QdM^cvi>2`X3zH zT&jxb`IB9qguFc%jBCpiGshwbzfpL5?zyZ|%Mg6hqlwikWH!=TOQ=(cm`gJ(n(=bH z7Jiua#dr)9hs61*07zXBEZ9yUq@tzg&AfavuB>tz(N*~?mC|H0TthP%jNME*NX@*n z^d2br<$#SFibs+OH;kROE1A7IZOk;5_f_3g&XuaF?e>i1ce}vpE{Z(*l2y}`{{wVO zrbYto$RX~kei9r5eNhn&c_RZ*iz7wX8Bmm=N^2XJ@~Ym%r6qY0qwuE3F&MFQzLmE3 z(>zZGq$b>w%qVBu(4s;xk}JB=OW}mRz{RSEvfExW8S#5lCmK`h>}GU>ox-BW z@6{38xeOSV#@b|;lSWrl!6we_5raO<21NNm!WDZC=K4Okf6qc2=;hS95_`)8$w*uhlv%0F!FTkK| zpXEaHw+_1{)u7c>Lle;#e(9qj`Qs+TB|9r$xA997eS zZVW%R4ITP66?_07@Yl3qDFz8omYSv6re>XHnm1t={8 z-Z0ym2I<|f7(EEyS1d9IAJx!3IjfQI)bPfH#Hy(!*H1LjqN^Ni9BUY*?-jj`?K9 zX}Ig5@=d$(f0`emWp@5ym?cyRQ<#%rmPdC`%8J`K~VkA-0U{mg(*zxi{%nA3A?Yu*R?bq-4LJ(|`R=c`ikJxWgE!F`r6Z*`*b)J6{ zPH0)w?;6fHX;*(>jkrhTHUHU6X<0G0sij{okx^+(2qCG%~0zytfJv-RMt{t8D za*OP{fPYyGN9St53}w^|d?(Nc=k4K*XKf_Y$EU2r$@=?EHz z2>#`bMx8=V#ymsZ^*4+Kzim+-wZ?Znc={$E5zzX-tAFe8a>j`I>TEYeD7lZ$e8+3% z{xA~H{nt&jahkOIw(Of3{!fiSU`31lYmvqzBC^ILgwhIuziJ*!#3T>)Te|^g59u`}6Ior{9X|52(K(78P{NH`T12XY z)JYwlPSAgjtQkkjMqfDmhC4g0IN!6FT{F* z*GpU|;p3|41uSq{@(kd6gU1={xM6mocPj(c0^fWsq?#hNUZV~?AAo68iBxKQ;am~4 zkXniU!#)v}`XVh-IClz6!_pb(_yl}9d+Juu@5pCF(_|EU)31N$?(2b60i19#tTx_zYSv>|j1 z_G_lg8~?iTRq2tr;0-Qwqk@&ynBG_BtdiQA=~Gn;k3;}d_960K1w5T6@%OGFwapiC zN|p}c{bd=Ma!@W*D}kCLuv7_?z@sO`U|uDP8)JAOPq4F19e0e`X^s%c+EQvYpqvI7mT&5JgpcPQt*G5dal--c$fX5RXQj%X=ux&w`aK zbn8KU5Kcj@y8K6{-~-vx)6F|=&-FKAPS9{neLj5aoi^Bt;P3gzVRb4g{c}L%a}N$E zz0AOA?14r{?mDP@4;_#Q&k8zP24NS&w9s~mI_igP4ufG)jJh*#dlXSF5DEJ*!cYh# z^>~!K&0ikOAbf?y#k$WV>4!fC#R&Fu0vI!9ODz*>)lYG$U}ilBf5$Y$nY29!ON3Ds zHb@4Y9H8xJohtk3Vpx@Ge+$}Sm;R@>Ge0<+8j0AsD4$l~Xz1ip&p-_KVSCYMl2ll9 zf7{Smu7cVXSOMTQt;gZ&R1Q7@4or0VAL-j)R~YJi=VYhZ%~m^gaF>-OR(0fhhu z&!;IgwRn9Jbc+b{e-NL9t_!1jFd#a)tgDC;2@ZA0VH5L|-{cu&n@Inuyj;qr;@EGt&gd z=i&uEV-bMkjFfLW8;S7ifZ5$op9PcGY<67Rc|*&7Q##Q7LtBox2w=bmF z&19IR=3YbDt5AegS{EmMS!e^8#$T1ft^qeTSWFJ%x@fSR?K*vbY& z^x_>6iQ*|8d^a#ur=_=c<{5~E!A|79XrH|W>UC=_LP$XmH?(d#94z!=;HtM2!{v#= zhLv9ee&M_ewy+d+XojjLDAgnk(7gkdS!w8d532U88%Ev}Od+f(%?VLq-F7OW=DH2a4IGyQh4n;-J_lj~1s34u4LHU(_!~$T~AOZ%(7EPyQ{NFPed#Bpp(33ee;A24Q2NQ37Q|Ci$x7i$f(b zK!XzC%p!_@=UQD9@y7AT7%W@T~&8 z*Jq881RjQ*<2$H8lYzsYme<>iP(V)MWn^2%Yy&rT2c;;yA<`HkEZCRD?uH!h2=9*w zH7YoG2Hn0^g9((F060#5F-lYr2+vEsH1@1@0qYrejSlZp0+V;F2`FTAOdvc6mnp28 z>@8x+c?%FFS_e8VQ>h>XGmjV9Q>|v@Ps4Dx(-3p4-jeJ7ZJj8!2dfPoq3`hbN4Vm) zpUeRP0KO7|abO0F!zx(Av)T~<7;E;(=<7su|jz)-Wg#*F(G?9)VP-`4ff^%XtT)Ht&Kqb0Ys}qy>yA zC|^u)?6cswumxigq$&7|>#eCNjfG4JusY!mm@4TmbU$}SdSkQ_CEm z*_v(m@U+z|`-S&e%=W0GZmEE*iawtU-IiRfJgZB^E_WIG-Ff)Um>u8kJb5a+ zDbt{%<;Dam8grpPd@Qjij}DiO_L1|ZyWf}^)-N`edJhy+(gZly%2Am(fNxI@a-LcH zn*#5g)TS}-G5TwE%VCn#^M-{+DdGaNChy`fUeD6d7H*94dE>dX@#@S#-ZC)<)R$hU zh0)pI=y4&oPGJROvY!GpL*B!Jh5qqvK|faV$PbZ+H`n&ttIoq`bAt zZ7-3zbP6H6Q2eh>lg?8?q|6aqo37nkfN#KV`d13yA4X=ZQ>pXYGiYbC`NPr+-dDJd4COLK`FaG_={3Ry0)0YB2u3r^E@|`ppJ9is`QXax6c=x zt0USh7Xtg%J;&#jf#i9sg5AhJa+ZA>0v`Z@Po`kh#lsx7fhq9Lov8cMJGa+|8c_$b zNcH$;r@m7I%u^rC0gIY--02HUAoNfYHREkiE$Wx2sl z`!9cCo2C%k*uRznQ`f>YtS7HKPCB~ZYRsIa9AeE*|NJhg&C${F*Z80Pf6v#CL)W-j zM@hUR?jf3gm<#4`+{gU0JPJEadG%uVJ+pHNknYXLOG`Z~racN%&-pK4`VXydNLaGw z;~OQIE3LGu>U|qi82w_LGl0tmaB3cVr1hhtAr9=dqhQv6_ zPm!2r*lr^!g6%^NsmO@evccEaJgUx3p{ZNpRmh0#zTL>$ejIZXcJY7nWd)x8U6#EG zdC<|_BDB3H-%=^viSsxbWWk_x@%l~3+!%dV!)I^aLmu2%B|pANK9#DIA7*dD8_zUW zpT!$*K+-Z(cq>*Y{Hu}OhJ5^U(}#VIYR`JGvBrorqvT(cZA-@H`}Ef>Fs9F6lkBla z+6EuChANG3UiaHbasY= zW5rAdeapy__@OsiXCA+lhTZQ+m!vfosIj_>8<{jQc|Ur&ZU=T>OWwN^nze}7BDb9U zs~WHCBcK|{{i#`xZ6NA<54U|D3DteAC=2d&#zgoH@CS=9&ROez6BPR82PP+^K_o*k zz2Bbt!aJ4!qkOEy($Cn_4URv(-|21+{`t>U9-5&=U|}7;X4rwS$9=CFKa%}8gEQs& z%61;EI-nBMd7^&R-iV;*si>V ze#27|t5Qu5XzFWNmZbCJ*>RNf zkEiWL;RxpqpU$$W&hNDDhXZ18%9D0pKjxMicGBZt9=Vz{%P3*P8J2t1N!sX5NqWXE z0T_H|Vo(g3yVL3+8E zp!C7vQyc1aLR#Fbf@?e#GEyV(d9}`T=AI-l(KZO6g&uX00w7B-nsB~2TWV?n96t8OmyaX7Bqj37_JFc>Ae_F;pQHsU4K~!6ei~|u zzUF?j@%jIRNBL_5urqr4CUJxK>Twg;h%r9b?MfodinrSmj)7^v3VRin`^Pwow#5NE zfjD@8o$UggN!X&Y(^#E1mH67o*>ADKf&3(7UMGyA)DV_~jQ|`6IKIGQIT>KlX;Gzi z4V=&ITe=}Yb(uYB8#xZgfB5E;a9F4MBXxGVsK6e05aULxR!Ao4XS!pEHkZcF1Dd!(0jN5Ith)S@Dlq&sHJ19&Yw7kL+t3V z3qL&$I>ZQl-;QyISjBcW{DG5jE^3+B0DkFW+bG)zdP^-QBdWwsZ9;N*6?Tgm3m?Y7 zoomKxY&}SwzfmvD7p;rCu?yf->VmyNF~c|uTJ*L{(Yqr$>;rMtE}<0`^YLZ(!IkeR zl(NgaX+dgYJ7SkzPIff9wif}QiJcwFl0Q1e3x-vabmX^k_!Onv z^S+u+QT=5;#{JaFt_x18aG^q02%ufS<+!zO>lC1TIzM57v-!{eSn(#Xk(~=b>NPhp zclJXZ$0ME%eh<;qgDI+&V@3>DheadY*&XPq$jA&Wh!slWx3*f*2m^fzYklzt&tENxM;E3A2*2{4hE(BD|= zQSBLw%YY9La5W0nSr@EwY@W&=(0tM+!0>rFi9@uH7R>Ey@us#ucg|P0=ft)?zQ6l$ z%sX(thgygB%MqOTOo6quHgdGs!Vl6{ynPLJ5L%w{r&OlgGy!8y3S6l$3L%`fGJ!B4 z2q7gCkW;knQR)ob*Yt>(9-hC?G*cDSP_@U}0NS1&sV_HQ9K5Otr|$vyN3NnueBdE% zT_q+0Jz>vDm`a#E`0{YJyO#!Moxz^!d)>JsjNJ^v<*r0)!R3w+_Lvxi3(^Oa=s*bq z!R{h5sUVHBb)ETPV^KkIgk==&3B2B5yRq1LO|!)?{>!USpDS(E(H|e2o!D?(F%=VC z_~S{#DNTzlg=jOF21cO3UrO6@9(i*FL&yd_`14Z4Vtd`f!a#dd!4N3ae_Nv_}Y1lRGAXXb)x%8|ZrDkQ6JtyEe`p&w_06}AlBS--IM<7Q9Wy4*3 z6q;UV;A`kSOv_ebwJq?qPL`*vgijwwTsL@fwAgOWu*-i^z)w4NE_UFH`~e9BI^e2- zG+zdUQ7+sPden`nJ*8B^sR8){_3+QzBNB^lYGwyiAu52)N&5$jLpOZ= ztJo9OFVRRE5ME!b#q1l`kzQ$SPlh{~GMm3Eno=%BPs9e`XXr4I1TX>!hmRdbf(-7( zI>P>C11}6_?Fb>D(ME{*4W(3^4hY+CNnP(eb|(6E8dtgalw>#_Fr|3pOP0vus`=mh1s}B5=le{Ow7OS9MPdyzn z!jeMMiVd=}r#KaEw&~!+*y0b*qyh>cW7uO8eM$p>4u9*JQ_ajBT8PQ4lcJD83xY7n zTh{4H*qma8%L5eyqC(NEr71dcq)nB`!4=@)e!XSj-~U->1K&5{_5}hDOl%yR_`JCZ zh;X3k=?BD|NkTx?()X63x1`-zwT5^ZKsgnH6;4n#6-vmJgpj&8C>zQb7|%AYAKWZ} zb}{soNqCTo+VdiLTcn&Ba2s*F^987ESGXi(V^pv`@;2J0K){lkAGzNi#^8rQjnh!o zxN~%N^9!7MLM|ir3w~&^WvFhy#RkHcakLn4oGS#AF-nu6E+%8r@La6lf^`U*z#QDC zG}+-95)=j%q7clnfj>V2z>2d|`9EA_Rk+yK%T6TH-WOr*pQe-(5%8+x>2@VrKdKiu zevi{Z9{U*}hkW_LR_plsJ_gF+H9+l;sT>N9uzSf>6=OGIKMsbc7xN|egpQsVHtGpA!VJ0Qav`#`8DFa}NOa1?6Qqrq{X?60rMt;?G zK6$OT>zm}w2gvimo$Jpc&-ZpsqU`1pQz_7Z*iK~l&(-kj>;FBKY9#-Lsq}^K_hm5V zIs-;$nJZC@^0L#bb5^;!%%5>yc8%h^%xQ(&TOUl57LZp%NyUMeNbkCw@g^EmLX+M0 zdXp$yT}ut5Ykhx_Pma0W2z6f-MD}s(oD&Z{&QQGRId93tJvTQQ1ip{{NsiDiRD^>) z^2(}*Ht!!+JNYfYW`B8h)vu&izA!JE%OiPGHpofGu38sIQx0XHwD>NgbYFtJr|&;J z*8J|Fx;OdGveoNl<&^gT4@A1Z-{g79XT!A6Eyq2aUrFN4pR$E5x-ML>W43;F{a~nP z-_|FqT$k2AKFA62-dyPYbr#DbPwMv3g%`D(AO1pi@t)IlvhcvE>rwY&z~8%UV*T6E zP7%jGQbgs=l8%>$?%Kyul5Pm1rl6vb6Z*$AsmL$l7jW(KLjO>?vjpeofZtQ0&5D`! z*ujaPNBH&+yC#e<-)&~-Nx@*Wjne$;QhXmiTldC`P1mo77TIT8zH=^V0=)fn#2HB_ z)?uHH?c%@TQA4!8^OxC$0c3KIF8h( zlYdRM%^{K+7EdvO%9#Vs`W@-1C@X!n!yx^vJvVu4v%MDMK;Ag@h0#-z3dvP!1kRn^ zXPoY zq%S2Fp_uy7mqUd}9TdIP7V9_2_iF&~c+87gp*+CZ1h5!nDR{}s5P`lJy@z@ZpMUxe z)Q9fG*zvI6gZ3qe>R*6f?gdM-ah%->Rc0RBzz)eCMcVVVzL~SJ|CKwK;1F00S9#hB zXJ2p#!23+ln_Zpe#ZNxRQFogujdQ@;9> z=F)~ENbfg6?O_EqhzL@SAJ`_sn^`^lq{vYg%m>`{(be6QNl9$d+I!M|r<@-J1 z`Ebcs;*N9uRttQhAg;mniw0E`FuVi2jWog`5W#d}!$$3J0SB%cy~;Lc6wb{=92AS=p_0fd|pbL;2N(U-woJ}8ujm;)lz zC6!&ASKs76jvYwvFFd7U-BuTm#hM0-)p$dBTM;j-?K;FpHlcj5HHgHg$0hM+>(D>k zt|VN?LB{1xyOr|b_N*w%sE{T`EO=pVKHi=wX-hof5fmmQ7FQLcg>DTHXXU=r9OiwM zDmXOPmaWML0P}-&;0e^kcjN{*oXzM1Ei5szLzG`hK=}>4~oj7#Xg7C1FBVHK4mz zg{>K&1&;PtYH<)&x`x@u5WyZ_R!oB2S0yVlmC4M0F{(qxeTc}@Hx+s;GJfykBfJw{ zjI=5dCp$)dAQtCzBex&QZh*az^BKZ@(tbHc*HI*ZyJI9{drwEp0Eogw90890{T*to z6=A6sZ?l>^b4Piy(qy)GuC1vfw>OQuE+Nc2lRD6m+xo&I#bSkm44~`Gt?LCYyP^VR zMaxYJjYZojEC2pYuOPikU74G0;}p8#Cd!&PkGu{G`+l@mX|F)tBt$JDVGS7aK7VuQ zTkRCiE(0?YhO&mS>fxptqX?9&IA{t0i>za-4v*aRuuNaPha*yLQr*tyKxL-_)|cxt zlkW-OP_FfGk+}Qva}3q62xQ z^YP`m3E{}r?GcEx-JDO+sXML7@mT$LK6yv8_&mgDq37+Tj;%+z<(Q|`h~~g}sBc4j zGNUUVoQ=aaCgS(Nv;ceYwTgL5pktoc7e&){sgWtQV9_>CQ+sZ?*R$+Mt{*H$?EUSz zYN+!ug52Wh^AT5k0s_Rxa9f;)15m5YTKJ`EqLdFa_X|DZA${l3EM=94X}pX5p16-w zzxO?aYg==B*F#3kb*x4TwRFhAksCX4#j+k7&I%!g(hK$u!D5RQGeX}c648Sy`J1E| z|Jgdw*fwByh)y4rr6Klk8)P}<3%GD{Wf2T)0zZ?1-;IMN_zARndiA@+F_uHSWSI5N z6hK$3rDZi0u3nQ7Dxg+Q&Rkx}E&H?qB3yA1IBPcHJL*t{TTFbObfnJ$E)2%5zdPaC zbP?1C3$aJw@?by3H~UbL8v8P1UqMBR{n_j!&Q{tA-fso?3cDP=SKg2AOigLK7^ugV zHZr!AkE0u>;_-SvVhxAfiPNIHvId}z1VW$jow=3S2O;m^SUJ6#5fzQF5{7&;jVYbE z&Cs&}nZkMk8K8llkS{sYx*bFiKl>mQ&6c@9I|#zkVKuXW0c}EAXE=>fR(fN86hFX@ zv!@|sJ)r})coX^>{KPnTL=_WUgabq75)E=UNC6QN)uN!V419DLIz%+1z>N~)cQRKG zxAZvV(}950c-m+BS%}&X%a`*WA{eeoYswS! z(}NPru^aTQjm0MHVryF=sRCn3V<<(^;tc~h>mczyBXsHQ^19l_UC_T zSMZrZ_9^%roI$F==3RZE33NF8%j-xD$3GHtw%=42wv6NT!h)r#&He(6HDp2=&6yBj zK^}}eL|ZO|^WHxfgVz#s1Im~pUPv%xY8QAp9Iu@@tWeO@X@S)3hK0dGZ|ZEez2Z+L zP;9d`NG;@?TGY%cd_Yaf2m>lj20f5@EHNz#3XOB9iDjW-GnIe&)m6;5>%}PBz_x#< zjVgDu3Wsq`AbjxYl1Bl0+OF#;CtgpV^UV+I`h}@kgYtk#Ml7d0&w1=bW4_+pfUxVHcs|`IJ7$=%Eo$9EiJizlVmUnaQjs8hZNWK0P>J*D{7+Zhl+NuXS z8@|rYF7#w!!hFB5N{r_Z^n1|^Low5;xiTwm%qYo-OBRNnB%ywS%e=#n)_S_jk zo)Vlp?B2SgE`kigk*8PuSm{sD3J^|ZTryCLMkHYv%1o7x{3oiRi~Ss7>$gg!26JPc zUOIInsM9kql%Et1;zqbvA8^(`2jdF248IpK(Z>ARpf2~z(Bhm>6L{c5Kp}DW0*R0t z9@&&rejLgfQ_$(aNLb#2W(g31N@Agj-SZnFv>bqVXaw)JO}r=wx-0@$10e4?(j(wF zhB^lrhlN-5ayB$BLEd-}_-!`eoAdyayj3l8<|jwvoPKdzrf^{%zhSuJWQ-YMGqIs> zEey1-t^qxjnugY4h_@!TU@Fcmxj1MBAD|S!8%kWsRGdR7yQ%G2dbGVVQQ>(iF>j7> zJ3&%vQb>>ardgwDLCxS$i-?5gopoV{UBU+P=P*J_?8!rB)sMqR0OqA=LhIEq5gE?Z z^cukwku+=>6wjcnlnT6NmiM$HLX|>zovkCisnI!ery7zNH`AlI@H1C#e9hK?O4GBz zcs42dn2xhGW(>J&mx~~dPrzXCI}A0QbyCS^Y>)5wu=8g|wzRkgvJIKpcI0jtkXIbs zZF5tSn5cq^q+JqjOy2T{N3;p+OKXmU-vDrd;8ZL4Dr>u9#79u!WeGt`-3#`4W@e#)@?5C>0Jjaqvb(0#G z44z7aZRJpjhO*o3nGxqRjX(vI^829aTv+g>W?L8lwc-&rz)nku0FLZe=CMJS)HF-Z zXpivSj4<_$podj&`K1m2TXeoN!IZyrglaG1%xFwir+G7gla8$tGNo-eE2*iyy8-CMv!=@I$071kJ?g;SulgM4-Q+Nj{y1$ zfO_?l82coo14gr@?H)4_*kQ++3*H|TqP2oaBy+ffr=Kie=_iDP2jB?w=!r^=USCEa zztCqXCXCG_bm$D(CHlE!kAu?2K4gSLy$EJ8p2a|IEJzGosTR z7Z2EuuekOXM3Yy$y6kmY|FZMzA(XXlA>beX2W9oDY0`&u%6_+NB~z4ZNtC@c5LkA* zvJMvGW0YU_ySZ&-xyJ+jXY-#M$hz0hW_j$`>RRr8WYHcQBFTFrm=5g+1tSBlN=%3k$uj|U91wzM4fK=J4%IpNP6qxCr-DOH*B=t zcG!hqO8SE2eZ*RrNm}Gb@l7TjLd*r#vy@|DZj65uQ{$y4?sw)sE^)cH0g}nR#rpH(~k{YAnl(y}c=#Z4h@Anm=~q=3sC z0K(Ix8@JoOI5yu$wOabA)xF_a+ZO~9Nk%;z21GmF>Hwnqw>>9hVNjR@_=r^tS7)}Q zwC4y`Q|+LoSgm{j6epx@9`7shJYs(TsGEK01&Oj&Y|CtcdW@me8_vFh*YTQwO#7Aw zE@2?MSa?+#NdK2e2$im0l5B}BmlNi1Cqb6@Gkn^m+3ALHv@wOYng=b-@y*1m&*B>x>s}T*@4mtXnF~}*0W8roXj!ZjyQuQ#oF|D&S1-ByZPjM8K7Fu=_4 zs+3Zi9aFlExch>FFh4dL-btLLhs~Rpvt(6KhY0&{O6!3iCo1V>SG1v?F;Y-I0&Od> zJKGJLn}rBV2zB3@Lg#m?Wt+c4XZN4a7KSeZX78;Pz?Ym3TgBUNF{`UqS7$$j7`_#{ zOx7hQWJ>v7x-jUUu&hx2Ff_*87ME3QiZMO?)-;Xmf*CH(;=Hl#E-mjJaoDMMq@6j^ z=wQ3XAVF&(fEtn3aqv`;MZqkwv*Sd_nvUoZ$f&YnkxX5{0O~5lLh0s|UTd^+nJr%V zeyZ}uE{k#*{IxykU8^3e5u_)3i>gjfDF9V9DZCl0e07^S&p&gd@O`1(g0KuXYvgT`J5e^|PCv#T z5Ku~E@*~aolI{*Z27EpvW9v1C$B>l@Uu|utI@)GoR%Uvga-8rwsJbMGiKoEn#IRH2 zc;p8$tDqEyGXttc-Fd2=prcyD^S)%si|yc+u4lwqD_m5!OKj4ul9REr3En$0JJsC9 zdi>VVqMrLRUqkt^1|!8Nt6tXz#vm>u^4&Huck?YpVNa zNQNxugl{gmM!8Hw@Oej3$kC@Z0f9!rS;w}QoYfaggs>zX8!!8K2FxG&gjPmSo7^NZ zpKyf#3Zxa4&dm_EWs5Bl49q&*Z-5(w`10Nn+Q+e+ru@u!td>71$_t4C)LjG4swj9r zYXT<4jpfKbDUPx(DA89i`Q0e?76B}?Ayd@eNg+6c1 zSh&X?@GveB99&HuiWH9?@S$3Vu{(mkEp1^LQ2G_K*8(MickBsK(L01ts8+I~#!Ue= z;~L@y8CqhZ$8Q8#$Pn3isV?FOkRl1Yg%M-*h>9)RmI$TYo``w}Qt7W62Y_Tfh24ST z%SsNGcQ`J!ql*cxaFH0QlZY9C#~|q5fKtMr$S&!yT6Z3$N`pQ06Il>(?*hL>{H`bj zfGPv%r@R5YTBXKLIP?K}(D1a^3D7glN#I>Eqb$8z{zHrqu%>=me$TLKq0m1p`klJH zCc%B@w*?!k*x8$-5-&pC1S=#lO)CGv-VzGd;zDPC-k9~+sgBBoG&XRpQunXWZ7>Mr zQve~ySYhV;A6P>L8as5Nwgv^1AyUkl2TjQ=6S7&`RzD_S@LZ0iZ}uC$B-7R82;3JNu}Jvmcr<$iJV!SVHk8V-Y&B7*JZ%K z_)JzVu;8p-byJ?H-`W;!REqLUf&TDfH09ByT;(z-o2=)wp|4mZ#oMN2HfZwtq#wi+ zcEnmiG!HAVBM?rp$zhZ;avrN4iXHj20p}cxmR(}0YZvJFW_=SHbVgDjc=)t6`b1{{ zXPQ#VfvvHW79_SjqR&+QGow<{CO$&&%up_d-yNu19s@9CNP0@n#rer{qX-q&5y^ty zYe(<0?2;%OM%ST4Mv&c+Lf+QRh2)hLVoQ^>Req^T(YwIu2%4;wFML?U2?&GJwm((5 z%pOkvZ1kKT!pX9Y4@~keq@}PPci0UYn*%|{; z8x_kd#*v!MOVq40c;{Osh#=DYn=l~(z2u>Hr@#pUBrCRoRbn>}6eK1RVQvl&VfTqk zY%GaU^nC(&tY$aj*oQGGIdeLzR?R*YCZ$edw_@~F0a0ubef7pB%vrm&H(J@0Hwp%{ z?er?4c+6OJI_?boZ*FfbUscxrt#Mr|{XMZ{rAlJ0$h(+=vIAka7mw3mzGe#-Z{w@W zKHkot@1{S*MI?PjqqtrPi5dNI~2V#L+#OxH%v_^8#5KWKP<4K?5Ws%HxUmxXH~+78h_ZW z$L~~jgRqzEh@RY2!qG@?MOi8c`*WJ`JNQWcoXRPDvRgo~)f)pNh45xgfS{Nvf-}l2 zkFhHPGDbEf0h-8`OSKI+J7EacOKiz_={F&j>UTBfDXi`(oXRk)`lx*bktMekY@NwQ zS-O59?Q#D5BM`A+4}{GywoOVay9vcTu7j6IKPc2m@1*dob9xteC5rk-!Xf8R_?OIi zB;_n@_z-p|q0{op|LWFyXG%db8f5R(KnbowioUMmB<(Y$KIyo$Eeldw>ijqWbHU#+ z3t~L9Yx^^~AI>TO>k9)RB@pRr;gnWuRGIC!70%>x)^K{)oxX;u{ zLVa=*5w;r2(ok(CTpVEH+!am?wuCA~UBQx3MRhQSCT$k9-Ixiu; z(rY8FBYR)9QjU^+kB|;6oAq&{xz;P(!oFEXavpT0XuQc<7Zvyoesg+j&dRxSU_tA@ z>SeNFijo;je(NRomtfU!U>d&1?tfC|`@raRZIZI#B`&GRwubB$ojh;J{=eoe0r%N0 zH}WUpi?=2Js$aCN*N^Nu>P0Fi#rkI2g4X>B=D5A5e|7c#4PqqVH{WZjOd%g~k?{X; z@fn+jArgAC?b+KW;ytqDmZ)Eyi%mcE&r>Fkdd%rBYh}L7>caYkfD5DtXklxyYW~@f*<4JoF1|)~UYb7M@#Tf_O ziZp=gOLAWVX7Oluf#QGaiopsp|8;mXvYedXdEwZ|nR$V3bD5j-w{Y=+8zEdAgZRK& z?b1&ZpMW<-d{^(d<{&l6;@pXkR9gy$@OF zmkEp-g?5=xDx77qU&xO+L$9uJ{p-0{ZFOHPpYNode>kvx!F+S=*SrO~3?_x)@hyKL z7(I@27q=`3TK>fKfEROVl_zt|J8{}0FLm0qo-($$ko5c_2o-~_F0z6nKNY1PPiVh< zT2}ptRn+-@JG+mxY-9VCO4()Ldy6`*bY4D4E>iJjSBSu<>~dVxe9zn5H7a20-EPnA zU4BBC4@e70YRPpH^vE68)wwFTe}?S^cqL?;qY^S91AlC$Y9ou6r=lsEJUi5)XPNQ^jfg5K(? zUrIYYkkWr?y>O;>C_V}$33EThhYCXhSH1Qi;$xN>)CheTT@p}=ZYJ$k8GhDch!ht}pcn!$L|_rIDl8GL#W2ERHNE1_FMsZ;?^s#X0so4d(orNv z?$v28$gElVuG;55$XMpDS3%J|r241{sg}N@&I6n`vj}}n#v9&=R-GxI@dcbWM%N=1 zAI-WIHRTUOWDGX;MLL`w2Oh@6vgIStabP_)YIlB;w{iffZtzSPOGO2Bz9o`=`H#n0 zJN^Il-jbLJK^S!EXmT%C}B9kSZ4YP-lmo<^}*IC&xg?4*YDEFntzz!P9afY!^h9~j6 zPqA~u8?aA!#L6?{C`>y7n0B-_Qs_J%QRlZJ)dHcwW6y*L4lW$enCzplmHI4?OvQOuczH)NR=Rj~P2Nl|5@2OV$>t?1T)W+nT*XDWMdStr)b38itk` zD#kX8k}-ubE%s(92HEe5-1brkGyJacJm2T|{c$^vx(^zk`ON3KuJb(KuNMnSW{qa{ zPIOfN>QkKrM@dH6$FW~4W2l6UUWaBwHZdGkS<5nvO=Oj^*ouD{hX4Yq7IbgY$o5pw z2HI*WKdazRv(7Wh`2@0f_A@I0r;5Q@FL>!B_78#Wr4P_}lEGO7EN0Y}v^mero{{Ae zYv-KRpxzkNrIOKQ;g2zSkzkI4$PW?Ja35P=YZ)>#=F-OAG|@k@s?e-M8160N`%&Hn zWg%-`Wa8tnuS%@C0k*L}uMZHDtZPvJ{AI0z2~Y)%D@>W?k}s*f>Rk&QuWB$O3cM1P zoh;H=IMKDUZFO*Aq$Ua+WcmPL*roMW6-+%cl1-xWJ04iGXg&}Kgu=Y}qDS6RSrZ=s zWDT%P4Gyd1<#tqmwd3YA2si(!%HtA}tjnK+4r%o$gER=v!(b|(MZB_HEITvWQTvy1 zMDhou32=FB^GnW9hH12sDY@*_`el~*^5#NcGsktUwuAg(H>*7AQL<$ct0sn1TxHxd z4C-oR9IM3Axfu29I3~b{b;&G}CP=#{HNp=i1EED1>kcca#0<|bZ?ecZevn|H;7m!d zyme4Orqo1J|3U9*wGaTS8^dtgDm>Ku`s-OQ`m~c*0P<<9{W%=^F^Ks>EPzDQ^7MDnMv?0v5LExCK{}&{X{S@un)?AWeyBaOibK7HyO`GCt&^TMJuE~XMio?1uk=Ar zRQ*to1miC_oGs66Y1Ro-BsjGe*Vcq75S&Wu1;g+441yt}Vr+mR`exX{&)s)ol1`jpfiYZAk7j`13381rf7aw z!!V}}qwY7oLWv*Uf_1fI_2aDu$a5@m-4+#^)9OXY>WK^hy~VuM8Ia62#`GOnAzK#G z*4aC1Lbjx0Y*Hc!z=MQZtxeQW!9j=Idhz4@{(ft#}mnfr* ziX?CntRUq>5fklWW+5yhnERiaEv8-t@e+#FLIEPI)zO^5+SSxs6|~Iu=%QeL2Z4-m zNCixt9MsHO*HX^=4UUcd_^0ih?K)wad~ zgu$5ld<-Z~1OZ|*ab^_!h4(t@a#3)haDNFm9n(C^^!1JDAb&w@K7V;*I1)7FMhjRW zlZ?-E<_SzuUOCgGp7FP5WRyvo@`BsbGwsvn>)E8SqPdaH(Ker?v1N8yWEzuLg7fL^ zqCj2npa*N%{Bx2)O61#7rB%qkTQb-{e8vy0Bvh%Li3Gq*UNPsLvq=-U;fM>q!0Qmx zk}(CsPC=lLi81EG?}J-2E`Xy5(8Lf%v&VWD^0*rW09T-~6lrN8EFm!UQv`&8+=Y86 zUNtG*=sPxS5+p|npq_@Z0@dJfdK6_W^Va6Y_UqJ0kOxg3EwR;)gXzyPXTXD>2=E&~ zA7kdf-c~WW6~ADSraZuTH6CD^x9~}f>S%tWxGjC54}gGZEK<-*v<0~)92-AL=iVcZZ2~;V5f}C{ zFSt0IWK77G@@%Gs)-nmc1BIGJL-m(Ff)<=*c3BjwZQ?jlm#=7!Y3~HTBE=WR=Y==aJ~%mEK)tBKN*?oL4@gGMpIodCiuTm9j?k!i$-ZFhu)F3ddr@VzCI5^}L% zB!*3OcHhYxn=X*0kIh2eigH%Lcag96k_*IUfcK2d+bH-lKg;&@3Sg4IerLqeW*KBZ zprM~-RrT`X!TEyU_y_&}fB9cUV(k`>8y35Srdq(!I~5eeZ)jEnZ0>7p5IaCwt0|A_H5b0_Ds5}=DTzYi>F6pk{1GhwPOV0#{ zK?C<)`aScnj{&5Dr1sLjtTYN`HQ+F5A zrBv=d)Y<9s3)#v5^Z3#YRA$cK#2QT(SsHqWBJ`s@wa^3&4g7nfX#;(Co4zR`snX>A zE_;i=Pj^O8|Gbnuhl1$}34OTntH2kzkJSpddDUq0KoYNSoKvrlm%G1aw)3u4Qkf!=+cVedT(@f%LU=^m z5a$)Qp`x1URmX*3G^3E^Z8h)fEZuVO8u!s&zFJ&^K&b2p_lmA&6*B1~vOsg^rNeRP z(}s31l@)}bq>Nty7q_WY`7{5a{4Pa=(0->C{gBfV$rZJo`hS}&%;YG;Tw`@zmmj zN_tKj45EGTWZ~f>caaw48KiuPvT!V9W4Q4X47za8>}=6fLynqAUc#RV4*`<2_gycfJ9BHisMz_|E?3I6AfRrT!ESljxByH4$e&lGLhKb#LI_g;`@lkSH))Ta*G(x~n zX&tHh*R=WJxA)R263#eVs`h-C0EQ5TP&StsYs5PsvaTYfk~KZdgFeBeWaPN$60CTzdFq8I2tE3Kdf+bfv<{1(GM`jfMql{*sn}nmNGW_`HWl zn*3w4qRvArI^~}q6+?v@2t_`FoY)>EN0?AvK!ur7rCeYE+aTZ;m2gz^L)hJhgw)BH z%C$jX)aNFYtN7(_%MN?MTA#ZsIWP_p{`2P)Om=f3r%*XT`x0W?%g6@g(IsSnKEv&0 zyQH*}++yv#y)D{sT2Jk(8RBq!6yhJK@rvO^azzozd^M- zNNoYKzaz_L3{_4*vXJHvG(@!mG!-8xJ{b_2dXbE1E_{TTQvG~2LTt?-Td_2x|DC_F z1>__8@wF%Pxi5(8Gp@1`u$}&@EM$D7#y7;6j-OcPmG^o>ImZm7;>D$}T+ISGW=++p zg0+hTSpKVU89M|-Sof4>ZrR66!YX;H&%R8uyZ${hEE*qH|pY%VpWPy z6k+}rNH=Y?isx#)%+L$f+2>Bkle6LfA@{e6x47Y|GDQrZlQh>-#D{H_jnz(8w${DL zv#=z%J3i`^K8cob+G|y)?V@lhT}4B^?q~f)zwb}cN@7}<#Dc?YopA*s29`K{CDVH=I|DH!nT-t`! z{fdALCK+M0j5xPYs<$=I-^-2Z)NEH2CjZKPtzhjh4wFewp65LIkX3ms zyUs;wpTxKQR!>WkKEZUKIZT=szxM4DL5tNIFU!Uv{HWf4>hvxciWf5t@i0OAak&s{ zgTVu;CyM`lZYqX2@LA8|Jo38<#x}^oaQ)|;cc-LHL0p1A^clntZMrD%x4>yZ1ZLdw z2?M=c{9dcHr{2N?fA)mE$nl)(?_m4xWv10bKGy{@*c6Ig?itgOst@ZnyKH-of>+2WAhyEPF~Q(-=7Z3PEUbFh$BN%TPma$3nMb_3a=L zW{K6?5CVE%1cc8^W~wZm7YV;;gOsro4b=Y{jcq&uv#{#`fPTWvtGc%Zch4c!B$Xqe zF!cVbiZ=|fZo$uYKo*YYSq(}$7~a5tyZ`SC!jL*Q?kLX?h$@(AtiINep#TB!(kU|NS=M*oaVf&=MNw1Xc z!b#~nOY43=dbXI|i7r2h@9~Ej`Aa>vt&43`t+#v%b1>GG;&rD*24@t`w#y--M9nGP z4~y(mcbrj>RCnD+_oK!GY}ece+ygP)PJF?v&r=$+eZ&^#_*W%*u7ojA{0j-E zNL|9*K5%TifSu%k(_6*M&3`Nq+s?{73Z2i(c;gVg?Yzom!!QG>TS!e@=#psU3Fq4# zDj7&+Va29e3>N0 z@;x7$ph!o56+ai$uN|T2qe+U3LSiUQEzfwBaQ}{b=(McNliH8bnk!IGgpj6~4RXk` zJQN!7%-dQ;!$&(8om%gDSw24p$9{<2j*s>A5Z#*qkJrLK(!$rd&lyqp~=4jlH$Vs`p^9zMali9}D8 zEbxrna^k57;*6Ul6Ad9rCPUs7v>wL%e4x08^vp3>Kcd~I_fVgOmR`Aa=YbJPEuw3P zC@kd95Gm6C>H$d;qx#Y+c`s=b47SwV?9*x5!RuY+)VpDM20?{V`^+87c19v}9YeF; z&LSWyDj!Ism^72m_|R5+2u1cEQ+vctWAl}|a5q&2Xj36fU8b-3z-0AzeD+{$q!~!6x}TT6-Q+Pf9p(p-O#w zx7+p>D}VSEn7VP|lV`~h7h_dnxU4cZQR$|p>$&^ty&ieWO&Mjs?(v6q=q2Zcvp&)#MK zB~{`v(keScaz^K`#35u)9SwaZm3alDDu%xm+N7r?erf;UlvtwC#a?^ziMZg7@F&h~ zgAkU#>ntME#pe98RXuxrS%S{CWfM-=_fO-!K0fp4?K^f~auZrC>-YEsyY^FV)eNC@ z9yVZ|o_Va~`WxfrMS6PF2jP6zX9l~v?H{3$^so$>{1eIP#^Zw~C$b0GXl%SzFeH#; zpZzJ-MVDORuf8FA94-7pV11ho!Y=g#>$I}@4Vg@LKLF8@@fYwp;Zb(iLZv_4eyB_d zQz(OQEq;G=7jDB3c54G%aR9Q^PIqRGD1Ui7N5oY1IGy9P8{c{lf!JXye zh?AXA+s-o_$g#I?kWp788$aC^u#p$<&P_u6LK`O$U>lQ1kZ_}9G$iuGolXse(Hrh= zyo{a?5+R?h8-x23KD#mb6_$ghs0J)t(?9#YQ`1qm7j?AT7MANTx2&&SK9uvXKf>|A zK@XKcSquH-6BhS1?@2EVj|W6h&=EYT`5%vu3kBNYK0TO~TXuPUQGOEKJVs9PlXY2# z@co$MC#u(J>pjM|-s2xzuCOSM7F+X@_Am(y7uEyJx5_r4;WHsR9Fa^AS1g(`L--uL}Q6ytN58`OR~?nb8>C@ z&n&Q6I$}1Rl1HVs;m?dopk9}@e#Cw9mxZV|xd{3gRO(+nD=v10R*26vvk8wTS%g_$ zu)t<0VY~|}d=*L%(uE@b!fd?MD$rtAAVOLbC{3j*jU~?jON!xv1w+@J0x5m9#;SNFRc<=?{mbA`{!nnXJVO^J3>0&YMXc%D)0dDr9;eU)0tQD0*J%450lSB zQlP?0&0f!Qp6Tx;Uw23iD$M9(w7E&<`WxhX4cvQiblZnY$W_C#ZHg+bc#--#8R>f+ zwe?c?6#Rer>|YZ$&$9{;en~RaDLEQU8i%`3HzN*v<=-pUYhC4{ZDy3zt=%`Kx?lM_ zuH-l6MD<&KtnMkQu6h-T?iW&eZt=Q9YSId&$rh-9h_Xs z-Oz%^4GXPi5ZgbvzIg^Id#_?#XpuXERLn^2Heg@F8E`goB6r+HEFG<>B9ag! zrPlN3$$g~MArI{yf7zH_DrT|_r6uRbZ%=Es_tNlaAMN(Sg6-jot;M-?^sX-1YkJ6C zhm_9fJG}1Cn!6HyHm}6ePv!7T)V|LH?y3j7ZFG`5>hrE@!B&)E=V7;U@T<_BWc*Fo zkfQ1yAMMli#cYI&hAXCXcd*v4><)LNaKeGh*M`4l%Jo&G_T?D6ZU3?u=6TP?rTZgv zF8kzYHLj=6;7D;eWueBc-TT^RNHLvdhsAnTW=|_C2+*v|%(($p8VpYMxp4 z&0JB|KE`!90d3@KZloVqm*a5nxx_e>N>LGe7r1d1Z4`%kAl-MhKuls*ae`?m_j8;7 zvUK5XbL6ff=Pa{JrZ0CKIkDFGb`PR9Wq;jdq1@(-+5-W5nN)-i&MG<6qJ1hvuD2dl zMm_Li94ee?{W&urVn$c4gjy#JyM>6y+Sefm^OSZX9^1JD&i*o_MT)i}3&k9{@<(>~ z-PAcfKlnxt^?l7L0I#2lMmiUuTXT4B+JZZT0zCzP`lrh!3HSx)M7T+r==)A!7hPWe zV|X*Q!r$-I$qMd402*=#Q1#eS)XOl zi@t$JJ-Dhf0l7i){CB1NWV1!oT%Ao$O+Eseb8oCnlIaK^*R6a186`TRk`eC`337nT``8eUlCJ_fkVEmFQ;|Xk|$*TRy@<8uV)6~!L zM~jK7Zg<@1l%qarGpVba5UD_jZ^H=FQjgub|9jl!EnEQIPRGN#$|TRpBM?@@qAAh{ z6}V6U#r}W*rXUw$@W^1)E44{q2@dr_Tx-G)VH@C2;VI0e{qsjw`#XuV-jAH5f?cGc5c=EL}R`Elq|xOkeOF9o~CArxv} zg4qn$os@=49wQlEbWOpF>E`^@QWG-Wu4Ppep)0KQ4KBUSP>!nheysgFT8Um-XI5m9 z6MK$H*Lnzt4N!c0gM!djEBg8JI{=&FAjd?QzAgGW?QK-{zOvZ>?f=eSHGP%WD*q@< z%^5|#3EvS(2@60JMaD=Yi$WaOV&&ZhS9^CrSJvC%vL?}cS;SW*ZrIzj{6ie!NW`!A zDH-A>(HW=Va&5fs!!J&CC?0+h8gWirCnJ6P0i;lcriX>T%kD6<*O%Z5t+a9FAFET_ z^1W~fS%O3#5`J2wIzi76vR{;21CrRKl~LH;oN#lateJs>(K)YFeX-vz!IgcxlDlDA zsG`-nVK<$9-O_jel%Yiz#j7Nb?p4)b_!?&5@TuVjrWBLEQb@1am*Uc9YEx9^Y1r#B zU6h)6nQozi)C4Q6MOy=|QLCt04k-vTAS)o)-$HBP{HC#l2QZk!^1tJhd5 zaE#R#N67d(c!AA|N`hNsgXz~3;++MOcMai;xsISuftGS6F_ z!mn^`DQ0G${hWWnlTkl3%tjzfG+I7J9bTu?tEwvXt)85W*CFC=-_%Qzjq8Pn?I~7N zD7)cbcp0zqBRu@XxTdFq!$bXZy(1KprM*AK)nL2MZTeBNtAi?RZGdlvv;}BZ4W%YkX0Z%WSZZ; zLw-7FhiR9J!sz`=9Y%X|d}F&!FH6aEJ6R#r{VI)39KIa#pOE7oiz8qTg2U#|!91^0|Bn4v? zTzC*+^6=Gb@gu|L9*zcc2lp2~tEFUJFg(T4%36axrNP{(?iui7ORBbgvY|+$J1CEj z^5SoQIYkEx{I!#dK{l)Icex9RxYf+uS11n=w?qVNg41W^p+{%)&&cZigF1T0xrNje zpldp4&uuoZlbz zGd$pRMfIVUd68I)7VLsppJ7X&wEJe!rw$pnjBYW*`#u)0D39D>rn!FLC&)Tjy%I*I zY={{dPQN}W%)EDES7z{|zY=_D5C8HW(G>?u7>wi4kkH z_J-)>GR|UnxapGLjDu+W7a{;a$X|k$(lU|&t2X|q*#3l z(d`g<<#<;z_Yv}e&`u4#Xh(4fhuQ-_75$6$lbWZTajp)wg1wJCr+WHK1M_@e49@L- zhOQ#I!}Uwf4e$JjZ~I_5&%Wz>od^wuyT%3=K9O0}lzvvfJBp}z{~Rfc82aG-$$`?e zi=+cluV0}Y_J$VVT1+!aqB%)O&1C!RST%G2#4Up%8nY{NB}_|C4=HAL(gm`JR>ACU z2ZcHDHK7v&R!?(8ZoEIIH9f1QFenHRj3$ziY%8a^w;U}If+#6 zM|_fwis`_3S%SxF;R;fcsa#)cx%;uv|XIlT6XV@3q&mEVPms ze=iEZY$P}qh_rtzGb$`x3%R`XvwOdcOJY%98sdx{8W~;VEf&1*(by zUaeJ2Kaj%v08ew8s3EDm>hZGNuQ@zmbiL_O-OcTC_n%ODhL1gBl@!Fa(S?=Z>4SrE zCD?CKD-QznQQczA*7Hbd-Ov{is8TuVo;FFN$xazXsjzz{#pW}z$GBO~FK&AYF&JH; zREI>$(vbQ(*M3~ZyIgUybk)6OqQHKnDOz8NkYV54M@OCJeS+WS$uZDYeW6$F^qOwx z6{m$1ioEfplx0)}irl~3ri+qNimmzRqR2WMju!73YBd~{cldJ8KTnRKeI;>yCqnu2 zsX$~{h~7OP8Lg353QZ7rLEcH@xVoq2q?|_vH;*?7i^3o5GBmvZG*)ZWSp!EU-VpiB zXcCr&qo+x7bLX-!Mj}$_K5Bu;1jXdMj)GT;LQRl~Fer6A?jod3e7bVH!S z>xJ5HOQ^2$od}t3A1x(a>H|k{8AFszq3@jcrN0v>@4JP8>4XQ{$ls4!ZqMox625xA zPvGWbM1)6>!;8X;PJ-V(ckk0Q5YlKo9DmpEs;t`%Aq~y2LbasY6r>{@()7Z^vF-F# zy_<#Ux`OvT9zeq1UC#fQmj4V9>#uka32{c`9vgQmESAvE)rpnAHtwz?u=JJoPvNVs zVP?VS-5)f+m+tJXL_qQmtKSwcmp=VAJr{ge&h~q~dV^f)rgUxW>CaNzx=F{}UVRGm zt#vd0b@#`!anJBqcLfqeb2cv(?a0h^c}@PFxfpS>)DF3AuSOJF{J-KEk;78}l1D+=y+8>ibpW6SR32KGbNDuu!z9aMnX*<-s zUFXv-k9~eHnVcj9tU&g;!9!N%v3iO~kxgf4MbizZ-M7K~@QOsw?2SlGa~X@r(hZ_& zbyHeWxh|?m#q0X#7Q*ne+220tEd#=fG0U9^_`&Hd3kZjD!uJ-DUm%2UgwE>>C_p((Sg-*l*IlrrvhFE~NfcN5 zcHbY7sPW$g)s!gJsS%B*@YiA1z6|asR(^N;TM_~nRAU#>U}!0Ro|S5Bfs`7nRTJrt z)x>3fk7!&zXdIAL?Xhi_whCIZ%gCDOVvZElbSbx&bfVF>{XTaWxol+okBf|{6c|@j z%7)XkonISdVcWi&6~l{+&lV~;>~OjoSfO2zSNHCO99Q11Hj5UrM>_qse4P(l=q~KU z2c<(A9xSRHK2oKlQ#0}M!z@{KctOiI(DN7MH~s}=Pk}E&AlWswTx{po*RKhP^Xq&p zT!~d9uDKr2?mxD0CW>V@Q)`%Ie^!A&+!MG*gK4*_fGn`x~^A!T3L!19XGcgXLwTQwdW2(TO1BOrlx=i)~sA>I2>*Z!;){Q3JNqLco#v zqiAxLaddcqEin(=Kd}Mqs;Sh4`s}!p7YV+zy}OxTfJ5H<$H*Pvwz6}cyoW1UX>K2- z)@TD0;-}FVn)ZYzpZ(ZcxmpWARs5uTWJ?xJ&U$SS7#NCFLZ$(9b8LarUd1{124sau zsXtl?vgj$bV^x4Qr=yp0v_s>MXGr*8Wgn(hTmFYinsI+2cbysF775tIh z#Js(d*n*lEYWikPr@Geg(|RQqLEX|dRA$)N%peobUZ6LZgls`<2{T?`TAf{%*043R zg0)=$ad%!{dzRkP!S@tL?mWQ~U{gRW8!-D}`Avn>zLYBo_V-^D6PCJ2nk&E!tC!SPgkzC0G`9R7@XK&S@*eRc!78eP8@jjOv70;nM_Hej*@r&j zzy%40sl;(Lu$~}YhM(+_v*1ljsW-6$;=%l*InbwqXh7o<7*g2Z6fg;3)KxMne&7TlWGJzzDY zCX57wF}o$xyBRAbRZq&y=|IXF5Jf6)1{#x~zAmB#tFr%5`xPRS)RS7ks?8}d<^?b+ zDKl)!O=C*Q*_AcEh^}$;?mQs+c=<5H=Y|-8YdpY9s(HmGu@=~67$W0|3vZcChz16w zWyW)V;NRlUmd!B;-c#y+RK5+q3aI6J5os#`W?I#6J-n5hr&IE2!PaE|i-{>1VjU}bB}@~t^Y5Pn*wh@P97tYXMJ2+T|`=aN+c(5QM%YeN-3cZ3Zrhr{DBdV%|lUIGVMX~ z4n=^AVzhm~oPha`&D*9wpxZVKOu*zgXHBblTGU&YXZku$^!ZaTJ+5gO20g3)@CXep z*&s!%#}{R^>Z2Jcdvk9?I9}R1?Y8czh#<)MQwUE75n<%+gHaL}@1ieUi3z*-xEreB z991r2%Vz;Xo&BTbh4aSzqa>gl!bSN%(ki4F3H|_o@`+$+m9al_CJtzs78_G3eX_5t z!^%ubAP9M^cmf_im@6byLeA!S0dj25f-HpqaLBnr_N&39oN6$39k&5($Xg{p2I&O1 zd)y`KS&Dq)$6p6>H{ycY-siB&|I9N5^gaOP+7w~m#N&WmMwQN^(+3#ap0Iq7d z)3V0H3`m@E2U!V_;0Y7zSVjf;(&I z_y_vkUSPX8Ea5R!V@XiXUcgvPO6rcN?L6#Sp-7CVXRavhR5$dYh1bUnU0AWWr@1tBjP!jHUPdc{x>l{95@=*;Rycaz|9 zHrbU?*~WO;g-~RZOPQ5W)#FSuNLepIof}zIOQLy=%+e+3?Z%dzZnz52mUT-j_09ve z5?tj;Yi76f?p}Tj=?ckc4Ut$g(wafgNuEERMFz$y`e+vYO7$^rk|&!C_~Q#h+4P?3 z{?(-4Y%-uDtasI>Tx&l)eV~J2q4Unk;2UYhoKf?nmDEO@v}nmqAhV^Lli7#fFvJ(W z{H=REO6dvZxL3z^Q1SC6E1H+mDt(gPNmu@1*7Z?FR zf<+NQg8@qtAnpT#@^zSc5xbOcIs&tk@)jT}yOpDBitYnt$SVXOZPD8?j7n#boETQ=5q)x^)tJlX3+kN5C3V+=6m<`$;C^j8=AtE;H4~8yJyr~2s?Hk@x8U6@qoVOQLyWtC)oo=+@NifK z%7R6&Q~%Hw8*{n%HMrzNkAb$##;AL&N$eiOBo-A6!q$K-5{7Y;)&r0yfE6E8Vm`p? zZoDe3gkcjGq8NA*Tpb9~kAP4mk;N(t0JgK~81tqmYI`Oo*nTqz#H0y&AmId1DUccu zU_$4C=yx!PshY8@tjhS~9 zrfvSWl*p|9GLG?TWe|Sgj%#aln<)GVasZOwDv4Ser9QDcUXRA2GA5 z&H zOQ$R{$euN>!qYJyTW@S7kr||b5_^8&xcqrS0}%KOGDt%d&T2J%E<3h=nzOl3%PNnH zp&lsWKD6=UKKppTAdgMLQR_^{cz!tfiB}9^E^Rb-X6S~bK5%o_vWY%ESq_b63njLg zwp6o__0weOo2&-yM_;!7<8Gtns*EujHO3Tg3S1htG0j3+t*Km}idk^C5 zE&$4Z^&q3vAJ3QCu}DKThu#oYT5kT~qYJ_Kbq{b3PxDZhJqKFS0|4ZI1PDKTxyjy9 zxf{*vyvG&;bv8A6o0Nkoe1tl$fO!>Y&l{-4vdb|*cU}W1OLbWeLCr%rTWd_gZ(I}W zR$w2ncL6)z$dmR=F6%kaxQ1-yO|fSE{xI<9A_9NH5ume5F>^;%Z{2%oeQ&94_k7p3 z@|*qd6ZG7f)%cv%X7UffBEYc5{xg~cBjddVV*N!-1`V zCnTOpG6x=eF0iC;Zg5$p3E;Mx8)L~{@nJP2Z8C55^3mCRbU%|6*8oP}dza~p*EgBO zpsl=SONKWe!Avc-?yn!gE%sXY<4v3Xhs4~HUXr?GzX=eU1df{!4@kB))@ieXae%)8 zAOpk@O5bMn!U)*Iho_4lqGyUOO>Tb1FxWp=aWj?+mVo7s@Q3i8L`F-3CE zT}??yYR@}Dkvz}mmGajmT9!oLns##9Ix2Q)xh3OGzngFh1>FB` z7@?pszFLJ>m$~&Rp=L`C&5R0f0_j9t37B3_V3qX_FhhVlQ;#;;5kh7&E3ASha%$ti zz&VM3|IL47R`UfI1R66e9(*_!K%!}ZeX9uU7I_=Y(o`P23Lt{{S-qrUvl2J;A9Sou zirJR_FH4ivS28$r?CeUx%NVLXcY3})Gj?#sVHHRQ{qevG*hoUfI^%OT8`nuV+a7=q zXx`vWeD0aVs>cCeAE9TX*s`XfzJhZ-vDlJsL|_yDY!ruASvQv0`fiXlqt{zBxa-C8 z6U5eHZ=dEYvxRZmmfWm8W5ti%yZ|VCTb?!cbm~|<&US(CSp(4TwIxJ)2uOPRI0Cdt zOGeQJp8aZnU$*2P?_BQKI#_G0mgP2vCaUI2_J&VCP)*_$h=z}V>z-eRD6 zB{YNg{5rkPD`B1W(C!XDg+xr_mG?XA5$a;U*JaVy!Acj2D?S@UX0h=Fw2L9lJXTul z+2U(8^f>S@k-KQAR%7w4s75a-eR_M&CXit`@#0I&Bl&>Gdbf$i-shO!oftm$F^1Dh z0MI3HE7(S+92cT9%0{jOCD9mQ3oQVDCdguiV%&39y~jX?WWt9RA2O8rzRJ6QGc6qG zT}X`UeQy|L3*3$WfE9!EWC5I~zOKs>5AfSAK1(Q-CtWtr4J}>X$ zbl&RpXy)6|@KJqAe=pa2E?bi3%irLnKDOp^zxN~-%hnhXaCR@hvSrfzz^C3y9~&{2 zSeHFP2UVXIP1e5YS&qg8nS?#(wE!d~>>5Nq&Mt_BHE&1>X4$01%>Ck%Bd7`b6K$By zQAU{?A9QHUCQ`R}Ls=`+^45SH;g8Wyp1;sI!S&&bWEe!_C4OlLn2{E1!UETN?Kj83 z4+pnlE;nxBM;+C(chwi%^_z`ALY0u#$07o(T--zlkqhjxbjGKmg;~tKzvCU$4bx#q zI##}f{_3G!`!3GMW=w|-lR+Z?IX8Td{E=Pbk;=L=$|{c?r2zF}zWX^Q8Bc93WKpno z30~ep#z@VgN-h{`N!L_Wy4d}ioK#viENFIs#Q%-UB zQ4gAN1dtFm$qmpgstGiFsRtpCyEz0xkJOya2Ks^b@}pGKsrrrIRy1JM2CNoRPf{5j z6ZwBabTx2rJA0|9((5Wol8ao&`uyQpi$x-hm(pc44U zp(P1_xKb7e*GMo6DeLua*<=Vvtm{Cw0bKeQWrWU@c$hjOSQa(*&#EkF)@5J6E%rg< zFGOeE#1Oi?U3^?Za(q!s6)DC=i!1r-?SyJ;FDYI6yltnLfzD&-F_10??twB<_(9Qd zr{C~@L~aAox-?yM@%b;EL|7Zpy~v9k??W3TLw4EoQs}CXI&F6?RnvMwlF*yXi|`RQ|<=T#f1=B)@-` zGrm;LApU0LhjaGVv-1D^XliYokVs?*uN(D@-lKw@@42qpexXR0K4i!ncI_ggl)@m) z88Y~GY!LBC+%q#Y*GzeWi4n^lmH4)DU2GN zf)gljrjNx;Y;HXo7{tb25WNF2_5R5vmgrUZHY5R z$Tw}Ct-FGi`AzL0+(TfJG0AM=8&(-G^|TBx^do1wn8N+%IF1`sT=?=p2LU^B<;D+7 z@Pu1~AM5I$StKZ+y4WO7R%U6-(PeNp1G}p-5nC#7jj<~Ekn|s1k>89L1wcnkWyxT% zb{Jm;J6?H9x*;c)Urht9XdDohP+QWy8$d;c1guYo-tc+qf7XtzHmtBo1!?uuE7`ld z8re?Wk@oPGndJ?m z*f94=R+WqTqg8epSXsqaThIZbl1bRV0R~;(e(cYCKsGL%0bI{aGLA_YU{S`vNzNaf zI+${pv5I0JzKM=GGZ@Y$Pw=zBty%b{K6n#9*32L~SEvP&dx18zu{En795~m(Rrl6T z>)kqc05$~ zVj4iwjA_Xv{1NFVGs-zIbqYJ6sEcT@$ba!lfB)@>sIDY{I>Or~? zL~>VGhESSSL;y-}h)yGr*yMua!VS})h6-wy?Kh8J|< zS#$vnozX-x2Sh`u4B4JF(L5d<5mzmxGPc>`vIbY;v56!6Kec8y@eB9-#X~EZd?Afl z3Uc|)^$em9FjEo++cFZ?9Jm0KPV5E`;)MmUAMBgK2sMIg>JX(sxMBT3v+ucfe&@+l(GzSnsR{PQhSUnf{7nW7(U!lMV;pf4zHbq-2 z9I(&Nb3kl&85~A{uPJSz@BO4tRALC&ZC7|4_36dmPi3jkd;(W#7H8W z;EHWFm%&~;k-2k`?ATMq$>*!**l8*A!;JE+whg9KTA@tFgIWa&|6h6KCVSrG#RX_HD3;sgc7k5*ZW{t0dJYm6p1? zJo(Cf3y?${B*Cl3+A;to-mW@|LB?sNO{e;{rZku~T>uXX4hf79jr9U0x8o{M856C7 zwob3$agZBYx^M1L9^PvVQbf>}f(AOa|Exd|}wE3~Z2^E17QDMKyk*Ly(~4S1WqyLv12 z#)3H?)&YzxXcPP!(JOi6q0CrH$gpr+eE(6J4NJ){aFGyu*R& z&3pl8*|X$R{LktNh;(mY>j50k!N1>+MVw$U%6fuXlu;J38?4untmY!pv7$lRePaNe zFMY#jya0MjHKKWE1JOI>xQknSC8ID9FMQwY=@|$|sB~kP`s}yY8!+a)7bo;j$ ze&7N}o3qPkfPDeD0am_!Eg98u@^-y|WxWobpum&0N0aR z(s>R2s|zGhjRkajpmK|Q!qAyAU5hL*+K{QR=tJy!Go{2w{D7D;=jZYVOWw57Q-&^+?|r-M8 zxmBl9jWe17sqTLbNwrM;;t*4f)lxKit}r07u{nNZsC^fS z%ccza0>nU)J_`?aDu6II-NWx*thA%RUY|}L1iw4UadZ7b4ZG~mOzN9zQl^wMp3fuS zWR&^_O}D(+0+KJ_Y%#%oph`O0La3om`VCRYAp|o5N{YHMMWu5An1Xt1X&N8`i}OhR zQ>inQY%ch;E)e@PdFv>Lxkm6X4`;|ys|_~x0rz3e*szcB1iP%noKK6aOTewL%h=Sd zJB>61n#_2s5ujTu&m!~!FHQ_NPEQ9!0~snHvTSuDTe2vrpw0LU{3jr=o&QDXW(=t_ z@yFE}PA)eARNptZ_E<4@%F|{`UU3T;scm@)J zMsJM;Fh~{p>l0t*>LHU<6x_d$6>k*6emV-?U!G_l|B;B=Xx%PvQV5J;+6-D9syvB)wdbn zJ5+S1q_1ytq=ZcxNsTP1Nfqkz&aLE#1N@!aAg|JaU7=f7arRBfjR*- z%V8{NwZxXn!kI^hGjEX=Gex3fe#C^YGfNidvP4E$b?zP;pd7FU7_FQJg(KHpa^3C8q^-F&b)mBu>r;Dj;)@4gpehOO~REYb&1jO5l7p^S*4aIkM=?lBO z)-M-Q*8uwp4D3;_NH4FoBF`%ur@gKm^{Vjn@E5LJyEDe(;aC%J*H8a?tF`W{jJ(%(OjQXwTEhVRn$a&9(}ikx<2Z0O_YGTUKjN_WWGrc3!uVG z5dFDlMM|V#+;?r>mY;Vm5hQ)-{Y!&K*x3QUFz3K8hxdp0?aQV{(F}fJ>Rg|U9b6=^ zsFT0!*r|+*=Ozx%cQj0uSq6YbkD{zT-+0*F8BXRH5|dTu<#%caG!6r{l+(){HZ-jEotD zaYS&0GC?D$92)D}PyKIy!il4Us^Y42<={X7s zqYI(89&maZk5ZSPLhWriTEA@+X+S%y!H08M2qSm(^7@jMaZw@os_9&{qe# z2hYfku19A^bSCPRy@UYDOcYOaY-)8ed1iE9&VZO`811cV!rgUfy)Izf0!RBS6#dm| zzkeK9z=XT6!@8-+-P%(&5Lm*5fyGsv2|Gt#k$bUM?%$Xp6QC+VN`*T!a|+)o;q9lN z!d9NX)d#Te{crwsoRBp0|I8u0ki1c^UvZCxizy@5p(d;{&>|te-2nQN;W}}=<;gWy z)qL%5;oJP~+!w^%8;ls`-z*Hkj0H(>D(|fkB=JUI(SfWqvmmKxalC@1W~ETdeG8th zE@M||;<#VfUg;Z)B}=6ndaYT@Ggu+x!E)(dPN(;<~u-9Y)ZZ>}&)-gHI zRC_C2R()aFcS5?}J=lNd1hJz57ly=R&(>f_4&3_a-TBa+Xd_+0%~B5o09B~@5t^dB z1P~9X_2G1C#&?i`G}!H7SuqZYU^thWdeQS>CN6|9seh*diYL)a&fZH&_CO}$M8!`;fH2v5E}aFwRSk_ANusLx&av^{OUUFT zORBm{v$kAzPSh+{8!<_&1;9tUjr;n2TsUroV-Er_?6d)F-E-8#1qdULt7qd}1#WD6 zaxFXs9{6~D9>*Mr=c;iQyKtDO7m^9ks3e9AQ6}ogtt*8l04QMwB&j^BUlc5=ab#vS zAz;TtDGE!Nv)Hqw=@QW+=a~S;3eHD67I^~Z^LQlEy^ymQHA*yOK*^S>>;W$p;KuX| z{S_$Qz<@`=N6*S0?}umJFB>6HDRUV25*bG-HI}-As!7f3kXawo31LaPF^4W_XX_eH zxo0|%)zc=pZn2(bLMD}Tex`z%wWIb!o_7%0!*bTNQl0`y)x)SD)j!j^&{ZK$SRIyG zh~3$o9#o*s;2wme@Smi++NKoUWvb};2@5<^*-4fGjB7 zC2XVo>;dR5T!!C#i|JTj?`hM0#+DE=W%+AK5PFsdv2LCHBUd#Lo96&%ln^&cSW$CY z@+sHBThGRRuj;Q0%^T}ns-hXoOofgv5DY^;!-tyaN$^woRFv=uUu=v?;!Vk zuE~7^rp)-sL@8NAj@_{VAX99@C3G^U&D>M^geb-vmh60jA8Buj!t!flbG_e!JTe|HkCGD5BA+GQxOu01ij99grfjt-Yb)fy zeJschx540?I7ZyzWO(~q)41UU5IZP`mSjyD9F1_%^p#7K2Vb?VOP9! z)hvbC`^Li$@W!@bcYi97nNR;Qc~>=uNg+(I30i($DB_K9#Eu);!-Xu8V${uE6;6I;(gq{rga^d?0hYt zC+=i@RrCug&5#rIVk7)1)T~LRGkE=l5lp%zUUjX&b#jo%+vscKa?Z~th3vLUw zxK2)H!Ye>(2eHuY?pFKP&&M7sl-S+$(Uy1~UgmB35to|x_ujWcGWf#8r2NWb8NNhH zAaWSvNRdV6D6+m8{&6`j&zxdF2RKo$*wIltPhQhb{#ziR3M-~z^=j;=%qi$8p+T<) z*~=p_l?SOVbN#eC?;?ShJB{3f;fla(?U_eBoK6>*GLWrBear9g}A@Y91SpDD`e1S~z9MgPD<|EQ78W~^yr|x+5 zx3EI|2}a#Z{}nBMyeL|HXNe!Q8?Q*Eu3EM&Vc8~6j|9&|&&X7-1JuYID&sxMf5VEG zMlM-G?Sg;4^#74A`u_IL|Lr>duXGWzaw9>y=nF!{+mx5*sO#RIUAlVN`g+hYdTpHb zJO~}fdzM8$_VV)ah!%KV;s5Ma7`WtzWt4Z9{Oakk#_q``88xUlUcP+o#~!}FXRP#( z`o(tzT+5cb%lxzJ*GKtuAIgP;xb%;z zoIfego@zg>{^W>q(-3vN>Jvca_sWNJs9ENInci|FhgzMYq={Dg8`Y-;-a>d;!W39Ek&Yt}#%!-MvtG#wcK4Sc^rAL3(YzIoce;Z0 zo#nh{9^!MG0l|bx_QA_&ebQ);Q{)dtKCiZI9vzz&p$)$c{^j0`c0(IVo#-c7n^i@j z4Rfr+47sV{$v@ugyGQERWc>km36a)fxaNY6;n8l^)<<2{q1boB-Bn*;x2>L$tvyw9 zT`Ym;l}GZolP`K6P3x^1_hP5Om%0N`L*G-qRp!NtA{JtWYqV9F7q=!X9rSp5$m)do zwoSW7DTj_1kZ1bX4?A4xgbOTtv1ney7Ff8N@-yY6|@wrAf+PXM!j#Dl4ZN`P55#jWTn9UCAk zrXV46ah({sF&Ne}>>lC2A_ArOiKnH{i@D(y?az823m6cz`3GCoyScnIjSJf$vOf!5 z-gyQWUk~zo%Kr<;X!z`j0*3l#-l4GyPC*VYq!3@%ainzY0=}-8#{Q6$l@O8?kj-l1(0)>#a-Q^xPEb6+Pqi`>UQY z%c*PYDS4ieDIOKqy}Z(>ky{=^j=Gl~cA(8vkBoGmfT&+QzcqOy@c`w=d#ni)`>#sy zKkhs>vC97V)g@Gq_SJED*SEyGH`i=AetF4OmDBJ27U~+W;0-QnFwn~jyj3#lX^m%s z*pt7Ega}^#L+dD|disYq+k!pqH4YD{m$TU59rLbz_Z|rH&h$}By&-sb)m4R0nC#Ac z5>Jyn-wmqihc!PW}F!4-TH(mOdAI%9pw^ zHhm_&@HBP3;KZEgLH$R|zocgUyy|I8*0|8)M#eFdz&pUirmgXjbXt#@;QYxr^Bbv8 z0FAzCpPKOj{{0ijmuBb5KRUSr&x84R!!5VB&ZAo4cy%** z<*-(VGJMwFa=Z%Npia$X?Y`!P7fU&k)o)uAWe7K@OqQKuULx95a8Zv7$$1*2z#wG; z&47@dTOi9GW_=4Mkh^$>xC_&TXnXm0(n_~G^x9)5UT+DBB`9k!;cL&ZuLDqFAORCb zEADw95qm+~syi{F8DpFxJlczJ;l81=TceS>=&{aRxZp?mSg(Gtt7Z>+8?={ko(E~r zj2#OnxW~<5Z2Ug)8kyalOWclyy$=?K3?HGfLGysz`#Moc-0Co+tou{4I%gOa=P`D- z4R@D-2ZNqZiclF*j{BzaZ`qf=ivrJ%)q+V(Rs+Qh>YfD~-+!(HTQae|Pg1kd@WEHB z!_x?vt}a1#r2CZx?yC9F!n%{ptUmF^AO|Jqt1ECEz4yjIjfCHQQgd^m%I11F#O;sG zwEMgb74@SHZH@N@O(}zo?3^3{6uCW=9`mR^F3o{v)e*AZw!zR($_JrJ*}i&TE2!B> zN`NNY&IuSVCbg=NE1m(AfEkyhM$c=tKxTmUHw7rZ%}EvWT2{uPV<{va`YbZc% zN_%l`3=K;f-4c$9RW-O8#~Mbo#IJ($7j*g+@DWSks2LwYZSm=8m}Co+8v>!pBW2&e z2V_0_gV=G;quYBbq+U0SSWkwT5N&pPRcwO#V4t<{q5#gBV(fM?sS^a!0?;p7&m}W7 zcra+FxP#oJbIUhKlX8pbPnT}~XLk4Gf$H*i;ux(-G*AIPhCKHadu~BCT{kxfkdf1U zK@UV4@W&48sN#gVb$R2g)#3hHLVbBZ;pu$%V$8_( zq*EXoo8+E44;{S$NU6Uemw-9?)5K)#uUle!S+MJyK-4~r*SDEV+Y{iR*^k#Z`mAkN zaoC7_t?LC)X?{&K@d6&g(T_+*}tHt&e!$4FM?}#@+Z0M z4ENyPP;?)Un~*-W4Mf(1nDjJKzV5u5dy0+h$E6_ET)>~ySix1rJ22B-ro)jP97$eJ zrNSuvrj_heAtXG0sDc#W!pu5cGm~cW+c)6^LEOBvYikltQ5uvC5*1VNR#e7V ztR-YUI0tiGyODRWP&MVl18~UTENF#pQ{2Olb@LE`hQz?uHd6lDMeVDo)QEeew2fT1 zoBO0c>R*4@a)FtQ$%g6_xVkjTIR)ag*fEtqpUK2ds#I^K$W*GJ=>pYT7V@S8l2`~+ zXv!e=R9MvQM;4v6cIM)Xdf={0w((%*gcFxI+YdXCInDQa6RltZ0>;miuUkvc0)o{i z*Rm-#!0FX#BNUrf2I8Z+n!Xtq?lHBfIl}IC*qyvAsCSVn=5(*mS-9Vfxq!k>YUnPT z#AWFhYPL6bI%gJCQXzxq(@4ESKoWI9xo5BzumQMY)x@1+Y4~hT(wcn=?m>D;A*`VR zzrq##wmh)JUTonWG>~|vLaVpY=UQs%190-&tFv*1#)Zi%~a*#BpXh`eRck-Ag z&8MMzOJBt;t{ksW?b&eG!hSEV%0dZ%XJvz|C26$@4)&=4YVx0W! z;wU%($P)NDZum%7X^55NQ{ji5n+RksY}b6x)~zyT>{X`F@VgMt4vs4T1N2bXNGuhw ziwmFn-<#vci6ZAk09GYVoB>#)t8~^xE195II`q5O(=~JHz+e7bP-5@tSw>T zqmWoPI;5b{1_`No@pJ_i4(>9uBYOL=pzb(+c$7S4b-a>(R9dJVhax_O{E*FsN`=r! zL(eZ{ePWTIve|K_8YCF=Ms;O!{=ngRhP=E}U`A!>V$i&XyQ>A$3n*hsf)+Ep7 zN>~3FyPGqu`Y~ZqijCf86H@vFDaEcOz5<{olMg#R2-R~`-~lOyuPS|!t?s_WkdN75XO1fp@9mtEv0AK@eZ)O0e9p|f~s>e#v7=XA+>XiO+!#g`WL9@L_bdCxG%7x z+G2g)25>lsS=XwiO#-nC7R~%x)rZbrO@1zR#|-^-H4=MzbAvj6@vULEq1zD@I015U zQ|>7l0Y+Ug;CD#7$XViF4;(M`CR@F<#^T;fLSO@pG*HlnTC3&_W)Q4r%#B0&yQ>%= zIEJalLv7T4nDYhq^{ITI+2vT7^}sON03q`N5Hf}E(FU^xKs3F!fOk-Se`@U`J*l`P z>O#~{Ts@IV}xL_NwcFR#5sk1 zolPS$beQC?Piy|hmw>V4{v790_-J(#qnP27Nkf~~#iDs*sC}&eANYGeJ&#%$W-Y9i z@?_GwgP&_&SA^Q0ROMgbe{-I z%VN5QK|fr3FQsq+yK@4D43o3_6Cm)2_;Rp8=$ z(j}n!abv-^k-N1Xl;m1TY#_%C}?NpOoF1!v!PQ zNhSbT>>coY2hf)U&KCsic>vCDp)EF4zEdjz<;o=I!+3$;AtQ=B76vojW@*A`&CGVD z?kp0_6xm=6;k4xEx6uJQPt$d`!2n<#9Jsnyt+{d=ItfR3heXG~I%sirT}olYR z+?a%vv$+>kEuW_$NeB&eHYspjtL++a<-x`pAMMs^|E+nK;vK9(@m$&25Vg@m;W1()_KUw=-EnFkI5NAC{nudnw$yE1IT*5$V~9dJYT5bN;86mW$z+nak|+%gY} z?;&jE8A2wQA-;S>Lj{5)vd2_rHe>lCi^5{Ge!_7Im8OVb_DF}$oQz#<)ck^t2RP5P(a)o1>bKTy}+tb#Y5pN zTA#9|16MN^u&=-*9xsIsnO5R998v%f%gzZ`hapm|KF&xgDWrt-oRq}TLGr;TC;xCl z%ws6+snzpZx&(kU5&UP#HvpuOwO_3N50JLRm%1)?*=Em80?z|2)Ps=Xu`G0%-*)N> z&#d>o{`g-c&Exm*FSrZ;g7W_tNel8_^1mkF<;#}C5(?(uB}?wWe}VsB5C20cfAtNh z1_RS4?4>V})b!l-rCsACUFfNV z1=XMWk1cRZT=dxxi7IMu*7ohKil(aJ`@uC9p2C7|82@5?aJgEUjJ{-{N+fJGha?!vw`w5 zyxY@DR#Df#^1{;flyx(wsaw1vZ!Gm%yX+*@BbjHN+WWUcF zo&t{s-?brIe)hg)@D7Of@RXR~jePuzZ?(bycrT+PA+;)?o#|8*+J+vVJ-- z1K9TM)JcOP(;|~kIN9`-WqI;Vgy~8a3`gPYkg5MwUj*=ZF$@cmmH(m+&Vs_Ql z{E+Rdt=qqO=e@Ik{ic3dK}S(?&}zrU?#)F%6g@r7Y^AQ>%=ibxDy6PxM$dXir^YF% z6}D7HwC5G1==fu5h3d?UsXqR6)3HJN>V1ZbxnA1U;Znwl#NZ+)sj}GJW|&=86Or(M z{Db%jhNAK0L&2Z_adi0v^CCq?q1Spaj!tE@gQ&7SWYLc50GG!bjOQ4o`1?NbriZWm z_S5p;lH0Rb_J(%TS;EUYU^9E~Q(aBe+)?Xe#0NI^iP!OiQHeiy27dwBxmtE&?2CqH zrNe}{rtDE87r9m1j~vCsb*%aU&*)pY7-*fsvic0qE3{GxximF6yipXd0Ug8#O;E6=sRRUGo**x_0IQn_WOxFn>Mm5i2l286g_|KzAMZ1}J4ccH%I6Lv> z3xC9y(+6?c2OD)Wt>^-%r+G-*{FCc?-$QC(cc#t!f|g4o#NCtFy-<~Bv{Lp6NAwpx zD~#s9Le~d_Km?u@wrArl&_i-T@<*Cx&uPP|eF}gwfrZFLk@4A01 zWUc7Ev}iX|KT@_4I$hH{2zdhIL6WHodXT9JL{l~H9IV{yG z^o`lEm3zvXMj-u4Z~Y!l%_F>_0Bd^e89m%B?3Zp&qe+7-BupvYzEIS~V*3WPw>H!z zkX2-6BuKtyUwbRt!Ufmja2IP@2<;@cxFPFs*!9t1w0N%)jZ}v<%~{fqr~cy!FU3~dp1_D$OK9J0wDxvjDc1fD46ak zots$mHb{qoSuc~pGkZX|sUQb-JO!zH-m2?XL_ymfnVykXF!dk!WvVa-lc=ERB^!WX zXlR-W53ea%!0X$aOMjC#eDmNh*q=@j!iPkCiW>7AKahhG`ca}m08A(kZ4mBMm--iF z5qJBEJDDKLhCbyWUR^j_Rq12Pz~3RIY!yrC{avML9N(b!R_!%t|~J+TDmDjlDxCVTFksD*eFTaQ}O`-cXjQwD5=3 z&YqChE}d`Jb0|p#uC#fZ>-0Gn<{_H=)VnuOAwMwv>o>62WVBo|NosnElAhfk&21r9P>j0BSrR6N&@2dtQmyNyyIIYboO9p3zi$mD~MCOgv6=+DgB( zjk}6u;Oxj>OqWsL_-WozBJ6M769Ns+(za=$k**fC&2)*0A+HrrB4$VH+AMh>YLv4M zCru3z_iE6q`BPG?K?}e`hYb_V0ES~B!R9RBmZ~`WcH&p)?rKMQR41#;v=&{HK*)+m!qi7 zZYJ_r;v<;rYmuL$4YBZL4GSPYt^K* z>{7b4K}Cj6T#|fXP(RmI){%I`L(9g+gU^09u*vzkGj6#`MYDuGyD5$HFp*{xj)$mS z0}e?MhcZ0r5zjGDTpi@=IzswyTBRj)Ug~f?DIh!-=E}UcI-Ztpjd5X8A@ugnGLuV} z5UlM}DwenVp?*WWQ^$&KBg%cZf-;L^L;n^|%Rul6N`9FgZYt|30{Nk}6Rs`1^js&Sy>@x~eO?qt^O-xD<6(nG zkvWyK+-jR*Q%tJb5gsNT-N7U>=KByZ!^EDdM6@ymciRZP02RA%-p5-QuFH+R+CV%5 zo*r8Mv|4v`tQbT^Blv3t!`N>NF+_N#>|qurUsd^44 z!h@uEq1;PH(nL8p!hx6rTQ@63y!vg#8dQ>tH=ow-dN3YThB$G=X+J^%b3Srra=FQR z*sUMo1UhsC2y5LlL{kl$B62(H>*v5*BZIC;10TElPBmz{Kp!w%=}t;2EIY@KW^~yPGGCx9!VM2FCwG7#jLLjc$`9V1Rh`;i3Owa?G zhNxr6I|+X{Mi8<&@J?j9UL3;&3ZNtosZp6R#2Lt>yWnVX9C=oko>I)j8+Zmk%PjQ< z^o=h7KB0(m%d&U&uoOW|dukN4PuE2tj8VzN>hK(TE>n8gVV~h0N)tr6#Y4U2aS&Qy zACiVVE8SyAji=E0mF@{Oa2vKl-l4{PI5X%OM$3_ESITizGKV*6%}V9a&4`Q17_u*v zd%$=c6Ctj-(s%oLUm5c6qsUw*-q^Wk3_ngFNLX3D1#cKN6Ad%Nwb{d^N7`pENd7!( z?p?~0#q#j3>R6%oj^eGvUG~b3;+dLXM5|(9UeeiD4=1nM2R}c;d<;Bs@PEhed{w=(UeG5IffK%yc7ftdaYu)GCi^cp1rN%K~EBiiC{Dh*8jR!OO zmj~CKgN^)IKVL1u5dBEY#YZS|fL>hp@kA@W`~;ud&N1`8ah}2v)sSYxNd8F6WdzDa zzd2rWUv7Nzb!Ml$W2T2Q<5JEI5y%1kN781dTEScCUtGu!BUfNcxzEf+Uz>BKe}&Jb*8rO*5}(pu z>(M^PkGR&;mQhP_(|2IVg6iXhDHipytH4^wQpW)(n#+xF%B)X3Y>h`KBD~7&WD`hI zv5D&kDJaNlli=I?pGH+aZ~d!#P;oWedR#rj!OPc$8!YhKx0Ap9JnOJPy^Uzjs$EDQ zsq_fVQcVh`(T3D4tf^7&b;F{P6fD%-uki`!GJqYpq8pr7CijVgN@~Mf()YkYivp7Y;miljP3#y;lCMP_x4aF_*1GuZWqE*3f zm}9{n=c}sQGCM|ymKu~tZ?n~8rKVvuoOUNCI%S7upQ-K-`GZ(v+hpy84wwfi`{ZQZ zUi}D;_nYO38-tuw! zrZTPlCPvp!DEEny<@l&P6ApNs4x9n_FVynj!%f@M3cxy|F5KD0N^g&JcPg+ZypdKk zF$A-3wOcf`O+_2igbQ@=HVeC0<9eMti4*OfAaG=-7T&)pCfZH6D6P)oSllCjWb|3( zPv&L$!-UK|TQG`R+|sIB@hJ<>pQ#+0jf_(*SKPAyd_nUJv>_M2H=3aNycIt^hqukO zVt*zVgWe^Ox?|z*-wCNZW&*LyRkj=elu)c_DG24gHl9HOojoiAbPV2`mhSE|DbRZ< zNMKcG=F#O04t`Ii%PX@k$loqVr(ITYBQi|`0MzhRe;GU9TH?&m_Rc@<=}rZV_%GCwMM)bXW8RmIW z{WEh+9~A87Mj7qDl7Ak>UkAdq0EXY1tA5GQ1D zT$4Zc4M@Ym0StLUcvIsXmhnhU-Z^y<3nx0eSn+{Oy}T~ckh(|79L1Z=DUo22>?xvt`scv059 zL>d8>u3!HjR3qr$s7910iM?!~?1UzD_-ilf!GtB*)X1nAukCi~m5rWWSFF^EnrV;U z`>yT0?cuXl5h%34th(v{Y(<*YB^p0xLWNtDM32X1$IiI)YqwYW$*s;FI5$ zj&3O71^F7qKnkgoA0fHYl zZ>$dBJ2%lxJ6_ppU0wRl&3A6zKDLZ2xWWI5E2*eV<$rnO%YN!+URMookh(sZ+Y8!h zY26?-QqJoIg;ElCFqQfPzZYx|#j2uYZ~q2!uGi-u``mqWY*kc}iSa>;e-_C`vE|g= zyF((^8{TJc&tZ!8J_y~i?4$3}1DV!YOUsL}p0@uPwvbOBzP+vC&bBwj=e;w}kaBi+ zc4KurQ{8@tGAbCTq0j}c7YD#K1ouySEF`uWMN{8C2L50a2y%@hW&cEe_oa_CqvvX< zdW5rFwg&}mj;Gqc57`v_&m({O%b!S81nGHWU@Pi;AWa zK-TYAnYn39B*1%Z}5RS=;jp#OZ{jpu9Wvs7F%%4z@2np5mOSbc+%oUjzI&Bh~IChS*&+wf(1G z>j=-zGnj1KGp&n-%7PSr1p3`f8ur?dvWKCV;9}@%3l9qB6%h%SE_a;9KPKj#vjVOsVQ?E-jW6*8;iMAeRoKVq`e=U=r}&dbZcQztu$ zj5Msplw_c28;^P*=c?V>iE4M~Oy{edK52Pi_4)8v6dA6|FhR3;Qf^n$WZfF)o4;Zn zr!dNt0#f)4f8}3>hk8~fmdY1h2_G<28fuB==9WMxU!TG1Yowi^p}b>*$;j$)#VnJL zDW&Qn(z}MpGYVxpg^({`1sTGK?DxR)79st(bfA4tTXwwWyHQ-28tJgw!c65QS$FEY zHQy_a!UcxkGsUy@d6(18lgq?M2-$e;a`#BN?7g|23AG+pepiV((cob~q8+X|qUl0M zEyY5!Ry%k@Nq#w)D08sugS-GpFFREdgCSBW8cK%%0?T_geEE=W#xaWSXnPZ1o?l2H zr;R9+r#*tW(+On0QUJu{Ob_-q40$w5H_GlBCMW`78I zYuVK}i0y*pFcTWJyGJZwA35(AW(CM41a`0v3^~6?sZG{)!_h2(VMAN>t7&|b@BrY(_;WDt#!-O1~({r3w5zAjBo2w&pph$T3 zj+y-0E)ewx2-xw03MRmYkZ;=QnX;?Zw$OFSq^LWj7X@8zFRCQQo}E8!NDq_4lmW4+ zGvj$oSV6lIfp^}T6y?spC2uKmB;~SizH``-FYmgf-T_f*DIn}6%`_lWu)rcs4V{_(LgIecO-z1aD$w@Wanw_iCPcA3~c6bbrPvTq1D4iBhLVa`xj*gPOp$`@GXb zw&Rz#IJlAL(&V2BVRuTe>k@Cno28ytQpN^ho`NCl{72e9rLSC@#HbUCmfiq7VobNV~M42$HeqK?;~0NxA#L#F?VvVe@j+ z8K$}wYd$8ba;sY#1u-T_`$(>I!zLzwG#PTg+R;u+!|ow~Rp!_#-E!k}{DGykD%X?| z-!nAW_1TofeU?7lcTa+bj`AZo4g$$S#bfF>35q`LX2gs(u$4g6)4aCFZe4kF8|X9# z@wx&FFHFgc0JmfVJp)575_lt+nrtcs?3rurW|eHl0+t^gnJHBoBvdNrE?D3}{W!1; z)B*Pd`?V&)?gtZdnukgDXqpOda<<2NShjavQJu0BLu?MrJ85}k`4Fx9*s72N&#B4htj{!cfvl5Xew2XX#Yo&ay7Kks{N_dnAqaOD5&N8yM>!o~)Tl z;9;`L(S8|*AvthqE`)+ivq;r)#X5BcmlfcM)K>R#4ET9LNQ5=WVX&WaVj1c1MZpY_ zOrD3Z5DHc{8>?dvAZreZ=PMpeb2`x^ zBkDcq3n^@3r%BRsCB?a>4`HGR4HKG}rn2bS1po@L_iZCs(-s>339st$a8=(0yGO;` z?8a1b^-3e|ntc3+QOTl3x{H-CzV7(2D)*cysGxn4XnHcStF^eWGdWe?1c zolFHkLJt!eBXe!PEFTx~2@vGq$exXA))EDGt8r?mT>hiV?YRV^+L_p0Ch`t2Vy6x`=j!Xg#}TIHma39LfC*uQWO2KJ z)?H>v3N8X;F*{}x(+GF~k`YaVFqtWtsxCq|kyr4j{G3~!TO!Mj#hOQ3sw@LlbOC^b zOsj&afbCzb;zgX49+oG#E@+EkMIoEhi%}V@kCc2^W!eqZZd*g+0Sih5Tb@-aER#1Q zzJI;qOEvrB4kdvo>yFu`?&F>D7Vbx8%Qksuam|WTX0H_*v*;0qFnLq{Mu_cdN`zGe z^t4U7VO3K^c!c<$uuD;dZV&i?%m6CJ8^(_X7sz?Q@C6s?3sGsFHHaxMJx|}F zO?pFm)I$wRG?RCxtUVE8C-#*ibXtiDeB%j6muH&T9_<;Bl_9OAi01S?nF0f4su0{S z3SLCgqEs&nL-+(Lnuh3YK0!2X1+tg|MXRw#q{c_5wiI+;?qEO=7w8`eK|E>1!9aeoG60mPw&9kjE!uU*&Fp4H zBIJ(1fH(!;#Wb?3b;{Aj(hGK}?W*qT3t-Z-90$1$cmYEk<5g~# zk&ykB<-?Zf%2SZ8`%{x;=lc=)<@O{v5JvwMCcz?-+MUdHO)W)L?xQbEa$a+a&Rol} z!3hDe*0Q6uOKlk?KKIcadM73lJH~2jc@dfK8O^g&2|P`15zTgI1u~pT!huGIhw(OjtV zMaZXCOKq_fwlfvlL@E^)q}}B+NN@?*ESqMeyBWtfm8D91QiF~?bn!Gs`W zt@gk$7C7z`vV}=BBdb!TY{Z}A{xD@Q*@NlaZL79aM<0E2|Hf?MD)BR3~N$pfO2bW2$xp7O9meS}L5bJ#r`Ymz;guRCoTX}KD%mp?}{w^;Cdnud|jI*t-8#{pq7 z9ffFU;@;>ZgOOHeP%q zs3_abi%5ZWvqM_b5~tduZcm0ShJ#BG>rT&M7Tt0_kC{@4HX&QJrAC+oMS1Ya8eohv z-7jmtU{^0Om!6MDYAK+?zemWQEO*JyA6+q8OPLta{E)4v_2|T(=`J#kW6LL0O&7n! znw-LO`gg5^E$IQF{L|J#`PES{nKwa3lXzi=xa6%5>b(WFn|>e7{f#+qR`H4{Yt{CIB6#EEpfg&Xr=s)Hu&08r` zAN|)1vK?R_9^776*Dw78WI!zazZnqT|9=?}zfcdJUUt?i1{5^`o;T=$GhR2AOdh5F z`tMYTJJUY?t2`?_q`v!B`geXjwPv4T)uC{Rxt8jx*cD64TCO|e_fkWXy@DsGM?PMN zD|LD${LYg0yR^a_Z7$*#C!ld$-9%9$>&vtDSHt^^#48&2a!r&tHI3?1Z1fU2)D{uj>sy%N$`t#Y( z=CDEGlOe0tosUGjU3&IZMuHpH-?bw( z=9!5#`-Uod62@1Bbr>)qxvHMUBx`?qMfKYFu2z?D!sV4KSS}5$vg~2CNRO_V$W!1* z{wr~3E;H2iUXA)Q*Ya->_a4`-aPxccos8P$gYvJ$YrGz^>6@Fe?Oz zfjM0M{m|t7Ihh1MDmj_IufI7BJ$y=eekzRc)V_u_)je%lwGD0VX*bJPf7s0#LXn~| zV#Rnm_EJ(u@2^i#?@V}peGsHxdSCx%$8*Eyo#}+UpypmG(O-+c0dGEr_dYdJjhY|2 z{?zAdj-p4Sbi0RnsFhvi`GP{Y% z0IX?tQ?F>3dLXETF^t~J)9yC{-ZvECWcaadfh$d|=P_ zm$mU8RuP-BFmRM2tadNJx<|fr?d2>@w-~1ZGP0U$Tg_kQ;^yApvdTg40L7I?6uO8vjTTSb~h;&5v6R z1qr*=G|fYyCa6dc0YCJk6!${QVN+71gK-O&Y0s3{;7O=f@*`@Z+*8cZu5y00+jZc> za`D_BVj<$!unDYi)$@#ELY9{gOz8}0ifS%>54dp-$P#`HJ&D!w;MME} zcApI98x4&R361!24G9@-)x6B(wQ=0%U~9)33V@MYFw~#njh*7gL0t&gPaDm><;Uz^ zH&vhq>i$rX>JwzUfHm%Lu3TG7ASqDO1Fwn~z556Ua6aoWZ1SLsVr;@>+FfcPD0LW; zZ3?)3ZLNp%VMOhKnK`X7EFqpuF@o7RrbuZm>@7DX)l$F*zWrS?vKYH(K2hqWCRIE+ z7@{g25gLI5H$Xt^f(k_@Yksug=<-K&SmuUs(IZAK_oJ~n2(LqtQ3F=*c9kFQfL(`O zcGv7|EmFxlf(kqHd3A^QPW7A>ate>uObMYj_NxLY)_IxHs?_%~Q4 ztic8O7l@`jQ$>{3zW+$_E~O2=5-<{KM{V`@b#En(L+IN~U4%m7k|(E!Wtz?u&>nTM z8l&76Te(lF@F>MjsLtMqbc<*V2$dE_&UfDLV(Ab;c;^Kmax2`Oh_b}zIexbdCoX3m zfKah#hHQiz@k^i~FRSjPS&0ugAk=WdqAZV27%hKse>g6i*KU|M@;?rNj8SBo8!%Md znKz=GyU}eoOFHwF&WG%29I=_aZsbdwKv^KZUY8x8<|P80!O1330(i0y^ga$*0?h~I78DR_PU+hhQR zROJB%k*(CHiaHr0<{m5RwKb&2cWA!qRB}Jo8Sp+t6Bw7liyYK$%(Tg#T%Q2k;C!TrIM9Cxw=9Xw>SNYLNcS6QR*+SYBoIV5s#v~sU8WXzQd0`hYx4j{%$_Mpnm1S= zs*0hlHLpyBg_c)(&b`G{lLdhi_Cjks1hP^hM}z1&vOhA`_7LT0~43HqSU%(pBu1<5T_ri!y7`h{+UQn0n%FLlQ9uobTJ1- z6yZmTb9z~-;LENIzHuVB?4t811t>kiKpsfeaYP^tw2wY zIar5nRvCeWb(fE-1cGM5Z=j=-2JbbkN7MoLXAOKYJHa~by>`L|Km?!5q70HaVcekqWHTnz~H*?2E`HvwELDf~xD^71M zkpqyjAk`99-~(JBCRA3t#dbg~xoCqvwaVcf`)PEyy7a{a#n>}_2(xBV&Wm9D`V+7D zl(^LQLo}@Me(TlP6c_I;m#y^0Jm#rqs0zSGq&rX(IIMS-z9v=g$C}u!RUF0WH}Qk` zy^bTOpjg&f%yj;SOvWaV_%W=3L#6>*fGcgCUEboiPc{N861#_$IdLqo3^2fE@%cRu zGBdiW#fyIKZkAgH&VV|+DVbK50uMIM0c(wit&nxza;&AyDXnzaLrrCM&kRlS9D|Fw z2nhjnY_yc0=U=Elq|ML35%790w-q-P{DL8|W90$-2`M-7y_PE$cWWQ=tA67n0*M`D zMN1JNhK~1C7v>Ouxp$V>@NrO8Qh4ifPdo+oJ6o*fxajkSltt(^-2op zGHJasmu`y}?7Z#75L@GJHDaq;rU!z$g8`Lmnc=dGD2FW#=$SmGRead}f2jKMxTf#> ze}w=}LA!lX=c>giU_JS^vsyu=B1nr08)pR}T_;-Q+SEY9l@1|j<*=53oe9~L5OX7v zq#Q|F%c1Z&IwVOslJpQMgpi7aH23fIq2GNU-`^kYhCaq1$>;rky`JX-=^rmOn;M4m z!7GSMxJkJ*gPDF=9j-pP+7K#w&62Pxh0j<4%c{+j2Rq4lBgt9DGpuks5s9LCr?z_> zk%TE^9~ZghQw!snpXqLZ#z2xdrK@x6;lyUXrX3%k(+rLM^@R{9g?-g6WF~-9*INH| z1IDE+HC@1Wm7~A4X5ic@f@*gl63&BKX+CF@xd7~dnHr?cmbOnu8SnXPr$RHJ>)QXY z*ae$(IU?c3=TpmAtfN+*aG?4U7f_PO2=j!!cRSQ+t7pKmdl8k`P&fkImkc&mlt^CL zn>y{hYD_G+TJ#xXxj3%LE7Jr__o8PugHrzOkjZuj57+PT>@58tGV``XLwz?5hSk)Q9!({;XzX|OfJmJ=2&DN-Jx)Dq}bF@ zSc-|2#PXDB;>b2!e4dPPEsmC7b+WE9;pXXvcEQ0(7@Q&_`<_dcpU4Y^j#2F2ohN#) zmSAIG36vxY{DaCV;I{93C3CxC-NBw*@Ykt{-!L_ps?Fl)Lx|IO;EHOn|3*G25UZ4& zsR=lRK}?dx%`fd9(|l!s+e15-2t$_~q}9zoR$f+jx{%i6BF1X_g;W2$H~0JQcRtf8ys5uBPHqoU)_ix3z3!AzJ3m9RSQTj!k8 zYlE3D-}+7fptW3OWeqZqsv1Q3oSr^MvaIiGdH-Cox$#sJXjI@KXW@doTHH#fL(s$kS%!E|sOZ5F6`}@RM@m@L=+Qv#a9i=mm z^h?rnE6P-cI2xo1dy2ZNcq655%_I#>u0Z|Kjfou<2FMn+UjWQTUSo5YNb2udXX>18 zSygYLO|!45s3oeb3293!7a;%C+3Ie{Bd-H^1n-3j5xStp>sMg{`szW#M{sl#{cCo4#>t?oz!p^h&UC=gK{ zAOGaHJJ@?{*|#pz&42s&o|y^QQaA6T81|R2!5;>V3R+7b66?M0fN|6NJ3!eMX;%2_ zLTLP*J4nH_l>I$TF}9ho;^omc&|hydNmu_6@8kBw+zIiB_eL)h{LK})_vW2r|0m1C z$;mVLC6IlYn?|pK`{@3$Nw1n4fYZ?1FYG1VurZofuJI1Htp1}UV0Eiwb(DI|Pn-a8 zYG5tp!rJ3I?141Pn#d|5$K&m9h8#h6c)=H+K#jz|f8Iqp{>9oo-HI>TqQCjtd-O@7 z#@+k=%`x4?wC+KpVTiP~QD@Qh5p9YS51>s^-zOzyYi|tu)X1M2z!<3&jNGsU@I+51 z;A2`QaQQ8)Jt*oU!!YNm-mJTpyLI=`Y0De3CYN8<8$AXwT|!`=Ye1+Id#i9)D~nI@ z)#g#tVrDzE=8j{UvWNSq$O)Y7%c> zy0bp(_zcCo%IJ^8p^usW^~V!SNR0T^zjS}w)91zzzZ8by(DZ5OSA;cv!zMN&_-rGL zgmqT>E9Z+w=CoabwN0-=BF=f7rFwZlbDa5g25aDA$2&IW_Zr_LU|T9{F68>u6eKmw z5o~RXZ{l=7&=Qde=1H#aFl16JLi#;e2hv?DCA+4``?sq?OZg|z`^WCQ{zi`))DQ&; z;@yH6r(KT;gcRT6vRo?H{ZdlA7g?rwlvL_Ami9o*EQ~|<;sA@voQZW3dI9Tg^xoxq z+uE6g>W8)~y(2OEc)Atgl!O&cxR`2bSW!0laO(>^H5u5Z@1COE=ZNI_7JQYn0A0f$ z(@?-_^DE_UWPOSHxYCNXof%Z*;t6^BPwjeK%77TUGNut)_2%KgMF$@+or>xZX)e$v zzHwT3NEMbv?n@0mHOiA6ZxbQyu#g)Af-(SoGxyOUxCk15?uVDHjRKH!jBZc3%TWjeX&S7aNyvcfmG4om=5i z6Df!N^axjtla0zB&mL&6w{<~6%6)JbI|kWr-~|nHlM#`(q99^p;OB;C*nL?uS*m9r z&T!=}R;Ns&zp|A>(5UK>;P)YcXRm+s05F&w_LEUfJY4RA2KZI;RA$KJBYYUXM-;L; zrnHBZc-k@!J&GY9CF1xD_GHVp zfg%KxbZjf-kBMK?*khdKVe}C0wkkm`XEi~44q`kwW0lD#Ky$UNG$IY>5&n;)0;+)r zIJgU(01!9jPKpH;D%_*6K9Wy8oPL4wUN9K*avAQ^fV9c=$iet?7+++xj_e;Mu66^$ zHQ2OqygxurO6{GULkKH_74sb8K5@=FzOm-UwdZNQ-?GuKCxwi!g}&gE5;B&;A2~}{ zJ}!P?Un5*1n?R@o#Ag8_oE`zQ-ApjGxCsUEdKcSR9n7|}WK2$6kb3W3V&T1q~CQxOy>AZblS-^EKStaAFpsbutfIq+!R3o%a5bghk+fr`Q9 z&Q~6)ewZhE&VS%cec-HSVa~4%MRUrBrH|S{B7h;hktT$%5)4gzdl^i*J&2?SrZFW1 zQ!vnVpwy(MG-9m}u!_aAbrE0`EXNMy7ch?yTxiF!L|#BpKB$b0Yk;3VXw6V98dD%) z6Xu;Hhyx`iDUdt@76mc!sxn?^1-vETbgNRPLNfbc6xtig#XOmfmkkk0V3WP-pruFjJJ_G*Qe;Z>w)SZ>=BVfcv! zn%fJGo8R6-TRjS=(fJB0(tpR^*pA$PpV%guZ)It-kyUQc7pM1ZZN6z@-k1_ADr3_W zxO8V^Prhp4`eRID$nJQ1zJE-Lv6_G_`el*Sj3W1-t1mMHmvj^FT~>8S`ns4*SY!ml z!4;s;XcHywQ+W#es^daSi9gOSLEmfI!|u|Y@G@j`ennl91WKfuyb3iZ)(H{u5(^L) z;zfENv(BSD{6qEqr^3mk{+>)e0BBYhmq|Qw0264t>YM`Vbme8qgP#mr-@KmLw2Jn> zZsks34hgq%&41j=Z$s>#uh+7`o6A;t`}nQbkha4o((jGq-l^UnBzmX%UQQ?djZ!Hfcu43;c+wTfez%SX^a2NlYa3`;_Tw5MWqyX*- z^xL#>jRZxtzJ3WU%ii_d4z5@*A0H$!#BclgP5Rti|02^r=(<Y2+q3!|(n}C- z<@DWA=l1VdO6FTL>UV2d;UHjYBCbxRs#|;02Gpk0u7dHQX*O``YUcSBQPU^Z!>pO4Wee;W8?}J|*M&3D8 zdg!kQ2YwU&B(irtWbLZRx$Rz|G5&{qV;9bcnMfaq4j1~?Xb)XTC$)h?FuDPWW*{vqYzJ_jeu0;Bd5CAzPWCHF|DJ6q!BLFb;Dw{jY~`(E0t`y0M{`_X5I z{Ve-bp!yN>yn{<2c*n3{_D{0!dl&};QPAdSo!1{f+0|M-lS_kD$|5;i)}nfR%&Fpf zMLV@X13fVXq`vdDCc#H5{q0aoSnUg3HxN)_O8`M}4nF zTNL;*hd(qI5BwXMaE<@!abJIA!;lA+Fe@#p7Qd)_Rg5a%7J@6gWPduHl9Q8NS*;3sqWXHDcXpKG_x zZn$(s&~$`Cz6zMwPP+VgL8rinCjkzXpNR@*_Q{B@7|6@n4>uL8x+QX~o#H)10f*tV z+b_8Q0`l5-roha18@&(OlQKAV-B~eU6srD0l;SCcza_dh$|jMzam>dGO3;Dfqd(j# zf@+OP{2ny${OYm-u}*f~0wL&=;Oc#k?o&72LkR&Cg*`R$7&b+4)*O_G8aPBKxj;4168R(r(Dm_dqfs#v1{ef#Cqc%W&xBn zK@r*5M3UCNw&ScMZ@5`Bw#tu&Fk!;M;fWH%<3F z+zGDjly1v*&}E$Kt#XXi*;3$?SQ>*)jX~hk;nFF@TX}HF4!UI&5er!{Klf5+;L&Y? ze}JES5B}vVQ7_%ZO_L-+lHp)Ia0FI92!=R5;B}2GPXO!{x;zc6sD$Fs zFJUe)tH0Vwgxew#GcgwwG#QgaO6ByeEVv1EfE%Uf(mYZiY;aVP24+Kn2Jn4O9?cCv z7j0m+`pd-lZxan(I(CAWc#s`4=y*Cg*0|hB0W+8iRi;z>YuqB%y5v!)9}XU~3_(pCeRI?x(eBzyUl6zWC$HI}s(an!#Ka>+%N@?q5CnN7n6-jV*~=i7 z2ttz#vJ&q*!8iLXH*XLWhK{U080&Z|b%(ga4=EHPHBp-$He7z9F19KJ& zu2NS4D&UISD_=i81+0OKeyoVxh)M=4d6WGQCfgM@AyA2c&4I^P(O~KD9ns4TiG)%C zogL4{B~(Z#GWA`H3p{85^pOMey0xGxfRVgcrh0Zx3Q6!qaJxXyN|h_49#1Cv(LDTO zAl8OVOw)fCfjHD50t3iQX;1laz^!9E{G9rKE?0W*ArSPe0eUf|SEVB4ZP0?022Kw* zByub2J$BW}TDPkk$tuJ6Y8&e?rw7I=w8ddS_(QaF)v+v`c|Hg9g^`H30OL=GeLAIu zCWqI1vQPCpx(_O>1=tA_*e)MH`d314^(2-xnThattAlbPB)JTtbZp&0Z8^>G) ze?vS(hh$WS2o`o_8bhkgJ)p<~qW^+xy?Q3(YQBRW&=GpQEA<4NiQvA$NBYUq{HnPN zNw@&Ug1LR7ou@jeW6~{TO)tIcvaE{uJ(TdcDxh#NA8hFaEGVz~Dy_RU$~@G5&E~GM zSv|(#Y*hH?AB5Aa9_G=rNQCHx>{?b@p|f{p2nnE!vp)<8W3DHgn~zF+v|C3C^f#d1 zO8jI>ssWIFY_j9%JSKi|yCX*9S8vA8a+82VOEqYQ3Hy+E3cL|6O>4>l%i1U@poBYg zVDQjLsY4^NICo!Q6F1%)dBijfO|BLZcVk{siNk}fef zq1KZo1@5BQ1>R6S_e^q=kJ^k%+nK&&GfiF)Iwi>@q~?1F5Wo#JA`5dzk5e2F7mM^rL`ieI9LbU^@wvOq;9 zN=;i<6jMNXl0gs1hLs5ATCbi>)L@8|kAQSkS zvKb*gHpxXiRDF^0d8mW|TtutNufE*K4q_038MO7{^co&$PW8mD1SU|{+JjJMCMjk? zX-&8QkvIXe=E6@8PI-v}kP9^>tNCcobzO1hL3?^0HM3-ZKCNCGqg`Yj<$>nMIw{%p z;HhGsy0|;N4&sOPoHOm($Sl^=4Kr!ZLc=Mz7e+Xd+GbQlocm=qFo`yNQv|L_g%XUz z(&qK9E-Uu;K@cWIPSVV#fA!Gcb}3zWyOjR6dJcogiB!gul5VV|)X{NbN14yfZshYs z$kZ)VUGIL-Q9S-jE7t}E>v_Al0m{)1I8anhbaT-<-cir2jmk+0Ed>=3bPm#7nrF|> zs}D{rQG$X6o<)y7IIPZ$X(Hz8Nc=wMq!<4Jh&xl7MSplO@jQ_Tx-j%!OIK+;?&EO! zXXEmvJ;-KHdV$0gokLdH2sw|b;mK~J6HhUZRLCk1srz@tep7Fp#@N=>6nE##tDl!R~n<1iQIJ`(wEWbdp^se9Z9Ig_8U#BeW!w0fLt7 z%!Is@(R!aJ%I^dXULLG2SHha`nvp;$Ldqg?pKyATZ#OKT3x|hA-a9pleX>VUb34-k zC0@_BG91MR+N=_!?K0Il+Wle@(U&=xacg%rU!Y7qx<7!l?Nl{)$=&n#{U@V+&j;Z( zV6^y%`J-T#L1EQ0{<=%+*=P#l4Y?e>j^ zXzpmzk&j>a6$JW5`-J$kF8f;TTmSFpzLYNipn6{)UgByY3&ak0NUOh6uRD11C(?(U zb&(RH5GcVrco`?OG{4wLDtYJdkFS!pT{p16C+*-ZKXxsJo)T+5u?hsOc79Bh!SiLz zmZ<4vLlwjB-c-_mee4(fbM2S$zWcbOj5^nVcFE6%277riiGZG8|NHFZVC4KKPpY>) zK0)f%`0^titdSUhM#GxF`^WK9$Vv_QTlS}8XAZ_IK9a00e;5kq2l-zgW|x>v!__R;Q~zy4^- zJKo~(3$5}L(WRo`s~){%!@ZMU;(^V&&eb<|H8Uw|!ZY(}Dia4G?Odwa;)dXnZ^gv$f81g3sWb@tj4jKIt9n zM7 z0@{)l7Dh!$UiDX^jtYLhy4FMMHtik(*!nYEPz30iq0xN|sdLjt2XCE5TFzlUJZLX{ z_`S>7jz}(b{rHNj_BeXRfsD+Z=y{Yj9r)>#4Ot){}h#z;>w$PF(_&U(USBSQM0DRgKly%TV6Mrs-2wG8^pU| z3|qZ%YDPC2F+P&K@AJQ9u8&tB54cZW$rl7)H_O$J8}>cAh)SpT*eLskfPwnla$;3V z31=nHs1_jmMU?#Ou9;|0o_2&E>x=+f4JVh@J>Gw7Jjp$}<$vdI4Lc4kW9Iouz{0zn;eYAPZL zUBP%HsoyHqH=X$+7aWPqmV}hKiMlSZo*h6p7!c|>J@f#M(90DOJILrEs^WxkxAYwe zDAJJj#NyQ<1iRyJ zdr}g2_;OuK8#l1z@peybbvKlFCrQ!ig1HP-i73_*g{@FM9-(ZWU?3cK-ro z=9A#Tej(1#xd&T%@ZxOD3yjh2?_!b?GPJ8_us?9@h`1HqjI_B%wj}piM^4Ni{t7fx zCp{(jlkOtCeG(Bsq!3qyZZyv_S3K?4PHHCy^|EjGl##9#;vWp6+;QS({B0@P4MGcmV%UyZY@Q8tGMgdK%hm;gh)O!Z& zz1j6nzWpRBE;qG>XSy!2z)w(Yt@=lvICgZ_f{W>ft}+;Cphe6+U%6tuym_5_{#Nue zaPIAbhiVRADVTN6j+m=r84^)t>i1}yNk~8RqJY_H>Mr|w(;_|KJTdQ@!%<o_451S%BDSp`H8Zg2gh0_R$YS~D8!t#e=&w*;5!PO+i@aJsqIkxqmq zi+7)g_rf~fTRok2Hk*yz&r?(UjrkSgLU8^8T^vDE6|nj{yV7esTJSPfCOAtiR*;u3 z?V1|~@0H+wnI#1D%+c(3R0h1uin)(VG#Yx4ZKz=`E@jsuwnF6J94=s;FT0IMK!D>& z1`*C<%~pd-cY_xhd8@RL8Qrbu5tpW7XZP%^%I%h=xUkPDUB1^2;w_`>%_71N+gD|B z;rAz+8}^Mrd7*6NA;d4F5*~Cbt1=#hj|Nm6$Jy5-Se*E-1DM6s$nW9VahBu#mD4ev zZfo<=<3{r1v|O6i81IEob+ZefW*ze^?K%ks6%fbiQ7t()pp_)Y%x&oy@hAmA#2DO& zL=3>Rj@@`$rRw=8;F+@}Wc1y~?4#RuV&MwxSE3y`*eNaX#<<)@iuu;jH*!X{!s5A8 zML%|0e)WJ;Mjb9x{#DPA6;Gn)jzcInBb#CEFB=2ZVi{3A$6vF-z&;pZ8mN~-O2YwZ z%@>k(l_!A>ITVp(OlX9>OX_qrX^?&?EUWJKL(0G5k`t>vdkU~NFeGa8uV?1X`WQuc zJH_6(TKR&p)iB-qHlNra`L#|5Z0=3 zWs&)oErN`$aGk>bE&!1Txf>Bhm{}iWvH(Ld_WX5XT=e-OCYc`>e(pGk{`(xHrL^N8 zB!M`NIL7;~ie|-901b4|a(Pg#SMP;ji=&j8SIFsLhcW}4PuWJxU>yyiY^wkJYZj^1a5 zRPZ7Cx1E4oEs^gC_Np8rc}!^|cp^e*>PgMJ?_?cRLyv&bvRcv=22}&GDQ321<({XLl1e-Ugk-))gHlD;gAgpJ~s#wg! zuQPItC^wmkOQs3gMIYUwbPm+(y4FQqa4;*S_+vwP2`h%Xjn*Yc*JZIosB^$hxkqvj zhiR%+dsSWJkWz~h4AFgfMxOR%Mh=m{!KzBhS|fpg7iEd6`DjNlt&1Gv(Y`Es4FpTD z7BwBtEboDe>@qi`Yv?!tBqr5*%3y<@Brao!*_#}r>raCuOCU+Bhhyg1?MVdXS^JT1 zzMTxyAQAI`8Z9#V#TYZ^@OZt1;gR2pno)WFgS2y!12)KU;K$c&yxo((M32`*ONQ4U{KW@K1s|y7JV82Qt(GpA zGT#ZF`I$0l0yl)_CU|`+Cdt#~LvdIy{jiJH0~*xcqe)j33L&cyhXR90p?BG#5vu9T}@AiSiaaW!X5ugh&ds+h$jPsTxW zH3v8x12kb{;B!nB?mujVeILF&4nICFW+(b@aAkp7&$UL_2=&wJ4yKggCN5;z4MV#U zUj(AH$?BhC_ikrHf{T+C)$&G(veKwBvHw9?d^%*@LFkCUJTjLFR&m8yJ&}^qEQ@^tlrJJt5kI^h=N3=0Kyb); zfrxsD^bGKx88@9CXC0++q5J?&m9eEdww}efl*Kx)^jN1=(MvajAHe{@DmJJ7bqaKh zbqm1_%BT(~BgjuRyv*U5wa%i^mNL~~%YiQFjfw&rKTVL}<Jn*J~@ z%-(~XzmPT3436;Gjnnoz8>H(44sxu(ohRW0g_PjE!ooUPl47~B7w{q3%mKKC4H|*g%RlY(^w?P8IP+o2tIIj{%k7 znXd5&PSm=gJ6HnwO*>eM=Vjf4NL#!aj?^zQ{!|uY|*UGX>g4$lXjSY1;ayf-2&#r{WntS~WnF zg3B?Ur4Sl8!wWSG|3A4(E%sWQ#Dt11Gp?&SPa2jR%$k174CpP(npiJ;4y&Z^EwVle z@HkmV$4*Veub`{(tLE{L)mBUHRq zL@44L5nv*?(O9Tg_WN*)0&j5XqcW|OyfJ!EeaCOQ? z`qF^(;KRExKb=RLNrZ|E{nXR#YA`AYf2=;FsJiSrpV|29v_<@WxTSxH6aQ;*GGn!& zfEB&XBRnFl8kK?|%hipy?{D%;am~~_FR1S5pMh+5+=ItySkZs}w&Pv$7~UpcI5lxl z>X6sVB5nEzsUtPGFdMrc;@;9hkvQ4ou0mGV9ENtJ+OS$GS+czl-`p)z{4B>`^?+dh zK5KyPF~WDrmj}i`SQGEK;Fb4tB9Z$k#8jQc2?PK=9mcjStj(6X_hFGev~;}pM!sbR zp!4o3N>6abTsqsR8SxxWkll9Z{ivXoVL`~~JocaiE~+GWv%t3ld3pC2c%?lKMPId* zb8ymb$*U5b^qRpHl#5`|u>=clUMeq@eDqyq$V$qN%<~@d0Wo4 zmAui7-oKoR3GK9rhM~H2#o%vwdg;A(J#OH$uc5wd0F5M0{ijW49L%BKz^XOco`8= zr!qkXOWlZyaP3{lWK-!+tjt{QR=`q#6)Q)sU{y8hm|zB-QMQGX-o|oiyyd zV)d(Om%V(feE+>8f$^@6=c`lKMJW?QuIiG0+IRyJ-a!&YnsWhIpG+h&zr6yslm&0n zb9=1Kad$4-rak7gUS96AU~f5Ipo7k?YwhoQ=H9=$%=n=bmELTplQsnU?Ql;c-}(l> z?b7=AorrXZG>N1{=wAav+w;qlUQu9Z>w0gSuS-J`vHatdckO+bQ~gb@u5}-01pHaF zzSPdD_?rITkLmkE#+LO02-NY-^_8y%iCv+8HwJ#{KJ)X!)%iEQuf34^fA{f=OEtDV z^$54#Lkg{}HP@<-lQ!ROSO=|i`E_a&DZ3WY_*|3MsCSA;HLjNu*95udDkT*7gj}fk zSiSy0L6sP+FliFkOW;|v{IFTaqyKidpwzOj2V&#RnRE#u7kXv0uFmW8-|lL@lT7gj zi`uYz9bp@(9SDHfFVJE(Kq?qt4Ni0z`pr#m{+IeaWlFtGR{a&oV=V;st%FRs8jpGB z1EdJ^u^ApoUJHFJs`w|e6kqh0SMOeWZ6McjTl>dBe5DKj+11Z7Y;>dqR%9M~bZ-+U z9^q}gBSu=36B9t-e*NDV&m;~$@Pm9@`1m|oqzbL+JBNII^Go74#(1zT5VqfjSw=NS zl(J@{3b{vJdOCbLY3n0_0~G-2X90KH!W*6Pj%aS;{vMYYh*dbmUd%Q>?%=M-%Z&GF z!Xz1#HI}a2s=4G7K1GnjnFvQ%MFd3iNW#L2VppO_Y*c z`?2PMKigs4s(D)VB_gg3seb(0K%J^rIvJs~PJQqI5tgZ&M~)jXVbj>h_f7xRseSG_ zsk>oq#XE94f=#_K)K3u(H0C&KQ{U}P)z`+1L9~y?H&?zVpgScw436%`5`@3M*XXU!!QW!xdQ@7Dv<@Dfquo>!z zZ&~y!NxWBAyDA^(OCuOO7zti8X@s@}TE@U!VZmprzf3E-B&^YueAEMe z02BL3$Z_21TCjC6lF)w+@SY>yzO<6Ssp;2ml@R zzTve8D6$qGIMH+k$^uj3I#3LSQuhG!CxD!e98iu1S9KYhqvHi9y}GdGqht1jdNpj> z8K9L_6Wkx%yJfjoQ%dxe3RetKs>Y%auC~_)xKo0|o0Q}e`C`UzfiXdVQVb6x5 z8%79CIR?oE;2$K1R6suhyk($olEQqBnS8DGe43khmw?$6XNtlW)mS)Tqmfn20krCY zL>~_VHjYf|uO9xG?r#{Yy_=-zd$2>mIMUPK%`U=zkp*$I8O+i+ zkOh^f1Uv+}N7RXygLq3XN-5Mgl)%z7g|)*x}|Ozu^OI>wpSii?vWNq~qN zOsw}9Bn7mvOmNX;pptfT+eLo8jljWrpjo51r0Oeab6yE(h+Vcp;X&-4mg@QGF)AGR zK}d@)XCA$eL}*5tkq!)7lgwhTmr9U{Pn#fpB|;l)>DGTDVBVU5yvO{o{`vwUGE8#u zv&t#p<6Wt(si6M4)jV(`F(5=&Ze2ILrX3XVlc|AGEW1vm(oZLR4#jg9EHbzOB@bA(C`erv=~ZygKwV@Lk*=zf*|e)hc_ zkcL??-X)5o;}Yk^ts`gETM8mUvB#2|XrAh0DPgakcgUz6{T&nlMdxAr+L0i7o7#MI zw7(~eU_M=b^%`l@VWMKL@h#~Su)OxyE7Bp7)`7Gx#o>dIaS!lU5(1O#rx)vH_I8%< z#Kfu)n)m_{8=e7f*tk*{=5a9xFk+Vi+7``I)0LN`n0jB1T3l&xxNyluLBlFX1VO7e zw41Qr>{K}j3-lO2T~|;Q%gTvpek%i109e&An&%ue8TBGNZv)bUrxfM&T^&TTh_Y-E zK^3~u);$JA$EYyRv1_0nB0B5}be+?_CWuh0X_!Zi$-z{W?3gNJZB&^Ewk8AfXfY(Q z^ZB9yx>ZCN2vBkAG%Q3VW^LcZw7XCY@%)e^?KBQCUoOr-UhaH=_-R$Qq7Lmu#Z&CV zin*ypF0H9ulgpYMOjCkVWN4IrY)ZKs7iRa-sRHHW(h`Ym`Xu_YUU@a#TqA1$URFN9 z8)Cs0NX*+Bco{*rsxwPJWIYK1YD|@FPI{x0=zL5MDNU31RvBiV5GBC)z3pWnVuadw z5m`zr6OGv1`>BRMVi_28JQTR9-StfDjX$@KM3(Rh|iFrKem_ms`k(67F&6 zm(qc)QVD7F!l{}HYj_yylUeeSfAv;1k}{8oG^5q8aEm>atKP?aItx_o4{qsibh)5u zV3ZzXp6P+MF%2VlJB_uHXyr1=K9r;4Oywc;UuK2W^kmIOv-{WVBv|;}xQGYcqe(;? zkE^kL5E83BV-08QrG))Rf{RXPXurzIge%>_tdMB;b%Fs5nz3MPQ@i^rJ4awURIsDS zohNJDRzo>6%4}k8N;uc|KCFkLooSkmBi#>V`xDAi+2^JQHPPyGPam`AM7W@1?spb3 zjtWvoRe2^kc1KHXoEcLV?zA@J{9(TuGicP7YSx-;lTgi5f}WlvTwmjmc{?;vS)$lh zF;`-)^e;4m3}URmvP2$hn=2usJWjOJkt5OeCePHtD~Jhc25}8c<&~GZN>f`;Pg0sz zkg3cg1%j5(H8wPDDniiqAr%!x3}M_do189jLmxnqn;vc3VPqd^z&>fhT;FDB@VmvL z)UW;O4cHyr)c1iZ+|i^+BI2*isEAV1Tgf@TE-EdLb!2KGm_{xcsQgc*o(S-H@;K5W zN~7DBH?%j_g$8A zfMFF7KOdg0)1xf{;-xD=M3C&>lEvOeohxxLqtXg2t*W%c1}#kDT~Mr(Q>IbYn!!^+ zQ4yz%6%md}o36WZ03Fj!!i04RTjsJsC-owhmL^BIO&$yphRL;?rB2v-MH|12=&p>J zfI2&ExCg!Kay2<3$Z}K69OB}VLiu20hF_>><4rgI^yXC4J26mUc z&Wch;2=s3+ z7EFBX9;{x~-Jm+dbq#dIyTnNAEE1XkN$q^7$a?}Y|NKIf2a-Fbp-IqHrmxk^_n#c5 z>UE>qH9K6yJpnZn!^DpU9a9$LwkilX@fgfFFYbg_nQG~y#jK!Z!c*Xu)wh-`&C|@m zR@_@|ccOx}r>H1WTUcMz{&X;h@l;>r;2+W)r`Ry@V>onJ&7Uh~TqG@bRK-{rxR`+{tVvK}FPLRTEW&>l15um$EW;#Z^bQid<)Pk6sK2v1 zGKc{e|1XgV7iqYmRDrCoa-0KpHv=vz(eh7tIZpjgw#)MOpy(GOl)P^K66yP@_hyg+ zEE$KEuim_58-iXU&_=u<>V7x-Z3S7x2CwZuT6|;QULeK#egM{?EYcC8*!P-W4Q$36 zNhhI1sUAM&?B_nt;WZmONWMYKwv4RV(gcZDY;)koxb;y_e87Yo7-@%aqXn-(GC#ON z%=;;DOT)2kkh)e5gLcv7xi^@A8rgsJ4tzi5bfkp`$O3%StoxUk<+BK`z5^L%N%_ZG!xfeUOoBo zx_PhHZtAvO+mueqA@L#KCHW0fo7>`llI6z?Pj{@2^gmd1(srg@vO22kmkeO;rwc*s zj#t!ctCi$kB-UGZevwPu#-5OT`q@vJGoLe+HEh#A7Ct3yX6gUN8i0ymIjhh&THop~ zB7FcKncGd13%)g=xx7qTs@gkQ(`Q`GLmQ07)j^QFcR=O#i+^RdK(r@*eT-ESOj8;- z%NRg4(}hwfE*D!|eqGVFIglB1a;aT8t;WV-)}o`)OKIPaizQB4R}QoQTFvOr!1VGWXf^Tazv`#S~l7M~^Ko;upL^l-*H)6BhB91F)l#SMl-2XqA* zA?B7kTm5kG)|$^7ZW`*`6RuN(7j_|^ve1_9FMr1vo*{C-e6TlGU{UpjmoTy?+&8JnnZNy+w^tmY3W z&j9^VOvTqfGXDGw6OImCKf_#WGCP@PE*t2NIKcyBul=0ehzd>3BbIfXz8v;TIiz3j zWZoz;vE`ya;DT)A(s?!x%a+;Hw>L#5dZ)cF=7|GqB{-wL7*+!O_^_nz0MeyU>AFDJ zT=5pJW&MG1WgZw1scJY=i#h}RYkgoeS5CAg3Z8F)mPn6oClMxZOj_lfky_>L!eMXy23x6%ZaZXb^_t)}V67z{m(u(FKj(kP;5E z3PI*f2sH;jlE7;U#xMa6^pv@H=g31Suoqtt;KEXHWp$19T}g*H3A0%Q{YmB;=ZwmV z2wrFqt^k98S%|&1^&rB+N!-pGM6`97H`jAoe>Jli;|p=&P52bdK^))?0ObBP+rLiH z{1z1D{xiEjXtKSpH8BH533#~BI|?!^tgul5Pjf(rPjizlWYhl~Y5r%@y-oS~FpyHF zL+jvuYSHla4wb`WB8n|1$FC}q_Fqv!7m-B}ZBrSb_7ptv#EVZRMDT0``(5oHG;S%<=SI(GlAHZV~$}h1z2ut9LJW#vgW- zqFj%I@o*a6;(_l}fB6&@rCmrs1mFi-p~NxCZ?@*WBCOoxnj5P;wgch?{iTD-ynHkM zyT_PVKZ)?#F%ee4P{@fA23%yEg$^_#fk=TvFBdouy3rP*HK^PJA64Lr#fyEFgVMd7 z5Zwo#)mWQy&P2ahG(&$vY}q_`v}WMZA{W%^573(Vgu`Wrp}@HYBH|Y27e%u101R|j1KO7Fm;QZRk^}}HGOrw09wHH z2*~N4^--Sz`Bj0 z{G@4M;ZmXI+~pp)QcRK2mcW7ID31UKls!(i32QM9qM~p)`yj)vc={-{OjG^3(OCuL z-YHakfQ+?RPgU&2D>v9pxRA4peiu60x%1dv?J116IKVirVjh;yBX`LbzW)|OM`4Zx zqHCdBSQ>O1X6(p|Fv6D$DtDyu!k9~~_pKNQh6R^!rt;G8DB~}TcvsML%FZwgR65L@ zSYej4-%8~}QtjACZ@z_R@Phah5e5Qfr9}7-pR<6RVkjv~Uge+p0u$kGX0QpE&s?YXfjTpb>USS?e{QLI@25O`~%%e$r3nPA`$2vN}sldDdZ*RK)zTq_7`n9qZ^ zD~u5FYrmMsg%?XIcWlcM-)bV7Q5o~c;iC$nT*74Dy2uD$JqddtKbux4q@DU!P4;xtlfBxyHwhsRVaH{hscaaf*^M=D|oku zePmH1y9&LPB((zRy^oZok)bh3V7e9cJtAU&V1Y~{T4skJtiK!;6azrofc{A_$P728 zWL2)(-rXE$wfiwr{DV}Q*QR>#w{Qbgx)Qj4M%AjR<0--Xh{xeF5dst(BAly!W1SfQC}g8Fu?f*q*D=SBYHpRr&K^DHuXhnH802#)y)&$QKGn?~(?tl1{Hi&1S z$CQ&xSVuVX=$RbKI1+eXqN;}UYT@*Rdf%ezMrR@Z&*?Rw7!vB2Zhcp8Dv{=9B(8g~ ztq~tgH~L?b>3chgjw_2@16AyA{OG8>cyauvmi^sdGw+r?QpynVFgNcLK|17`rDce3 ztNu{+U0EI?no5Vm%5_Q>W$t;Z#8^1j&?uQV|{?IK;f-0&qeeTspTi^?z2?WnAEo7hqNWboq8~*ie5(i1-QS_$~OehX0>A zCIub`^X~d#??6)M@Uk#cV7lLC?}&7gkMHX@y?^KZH}B|R-(N3ZAtVUorOM)YSc6IM zeHj0jKt7-PA$WK8L{;vl4assLYg60<1M#cE7E*Gpl z7?J+m7ZMYTVn`c5hlr55dvl~OMj$N&!fF5EwMhxaS4!rBIodN*P&$(gU@j=$ZNSsc zeZ2gYUOCu%7bo4nRnxQUA2 zdrFB`WL1JwT*0o>Qo}-sT29FuHU7t zd(;~Gn02Y``Ckb_xRv?plg)^?4QtQ+z6Y#ltL6~C7#(@X(5bJBAgX@v6IH)rD`=?= z*NuZvN~I8)SaMR2kXr^^fI>uc+F(3ci|>NnhfxU8<)E(0Q)Y7mBn2>;5aGM3Y-pPM zrCM_%RBK*In_e3US1;Atjqy@puOVc{P&h9oj#Rj~ImCPo?Xr2y?!V2K@oSuOFz8uf z<#G&GyjF*BrZB|IszL>|Ptn~dB77K(zSf9yB_P4~p_~tFA5zCce;c1UcJ=DQA=WF6 zBkxQ`$ZQ=Kt=iAPB-pbR{U;fblr$%H&a>Wo@(lA8O!^O@ zNj3xS<)l91zZo)dspQrQ8Bhr9{z+!tWCX5_^|a#moc&yirmt;BMsEe@Sfj<~W?t1y zNd_XA_Nf`~zcNMu&NSv*k^o*o&cGGI9wh6pDLjSl-&ul2GQc;Lu#IL_D07?0+rZHY zueGbUVgW4RW)luOCLl=9W?KKnHPI8k!CKw{*Ar19rf*ojP-dQ4fur0Z+9H~=<2EYB zgsP(6n=FjmG_xQ76>SdX(~>4?<+y+?!?>wxc)`aB*xvc;$Kv^+Z1gUm_W~&x=M3mw zjVwTq3sT{!7om4E39uIzjv3eRh^@=GE{1;y2EFxf!>L^1f>;r!>o&Z@I;> z`7jVJMe*NFpVS;kCEDPHC9X9*8JK|~m?;AlM!41=1pNOn5xy7-=q@JE*!OpuMxfq# z8%>!OZKJ8!k&I!Q3}77&`GgmR=z;ZsOHNQwJzlN_?q7Omj(i&V*Hpi2Mve;mzjx)x z5Mg8lasVoA$b17kn`|A$1n&n|?c5fL+$=jaSn<3v2Gdayk?~URH4`SDdcF5+TPu4E zQ;ma`6b&GKLcZrN8u&tCk@w)k@yGdZ%IVBRzv67oL#P?71yqRbQD*`BSCxYK?qTE1Av@UGH4F`K-Sn|{6q~4%t`+uDAI0{Obz&Q> z9Cgu)dZ^kkmhW~IKVnz{BUrjVUNpw8LW`ZM6<*UnO{+ItZ$L8ZaWrPwaX(x5uTM4D z-TbZb;-iIj6aabMdxpx+rnFx?)cVP^A4U>eAKs@58_WUlwMfT?t7cnox5q!c@KB5N zNvTqGI$`n=Z|6no?_5_i@A^av|J^|}%t*&_M;3|0chk~)?Hoxnd1j!qTJ&<~xjuTO z3`2D009~t%V3k)`c0r)-0RCl-*Ex;u2|<(@H)+v8$&ab;Pn4^Bx_U-05eX3yQ=b=sf;wzh zZh4QLQjGtG=gIeT`Tldx zqU>^YCV+u-8zHqH@UoKZxIafsRGlf8sJ+%LaZY`8n;j%qs>()P!u|#2?&5QL*+W`(GMC%5HjKQ;CBL*6QSZ3u+5wEdw;95~hCS>)nKnmZX<4x8 zNSd{LY1$H2+E^W=q`kuBr-!qd%`EvsBR73{q28jYo0f*v%snyqT8>uLi>sRFy!>=%9I-Z`Sox|GTl!rnRVFQ@zk#V>TZeCV zQ&~(ZgTb)!(#YB)>6AEy_u7i==HP0wR(YG2rVq`mOk(up*a#3UEt&fQ4_j30g1>Ma~5&v`7$ zsama!;!k8#M+EEe7A%w#{+dS4GblQmHgcPk^xQMN(SXVO2%nZJrn=6Ky7=LJRfptm z5Alx6Aqd~XB{On{8BYRzS#4(jcdvEfrePUda#~_74;VF==z#vG;6;LgBOuOaha!rtQBlwsrpq2?ds)~ zrdSt0z=Yzf#F`j*dvtQ+Y6cHTA*2%HN>+jonCd7E^TL&f-7h*_fJ$tp!i%M?zg!fn zb9$9HE#>%vNzlBxh$8C??X~Q$=?o&EWJCS3 zl{G{imm8+ZsitbeqEa-)1zs`*jyI{60jgbON;NA2FRkB?zrKoXBIDwrY+O^%T_pe5 zbT=hqJKlCt5?Bef`r++P*GuhXSV%_{*h6z#c6jkA@!k=Bm3PFXbEX#vqN`It(psE% zN?!#Kf{Z0G#ZNh~fs`n4-84j+m5 z)Bdo==pk#@^_?wsN?&&ZALKGIzQTBOih6XQukr^Lg8@&FwT}Q=17y5AgfKKUReN$W zjK_?6eY~xMhLwd0;6fEiaRE6>Wu9KFL(G!ec+)tdYvMR0=(hk6s4&@ptTkM_f2Fsc zs%KrIC1hpJujR9km(z$Ae+D;*Ag=<;rS# ziuJwWV4^9^nONcVx*yOIyhkjK+~Mn8l(_#8{UhEGr?SqT8OKXHjz4d2!+K(;hnFFb zl2B6JL|KeAs+AXgXAphOjTdgNt*#aeaH;aL)D3;KbWJ+0&uZZN*Xg^A8px!s0K4#$7qrY zDDbZHHyLZ%%j2F)|9@IfKbdu{nPJ>X|*wgr!FL(VMqI?1RX~L*5vrd z@!aKq_y0I?W*%xXK6jO|0{r5!7?!R2>e_(ckv|}N@zAQAKzED3>~7GmynbiJa_hX> zq4>Qa^OeVZSR3{ah!aZ_2l{4=imFo zoVCNbZP|+XZ&-7|WrSw5m^jj*`H?N?c^O)f@7YL6WUpSgZh+Bc11 zP+x5dKYW7lOONdqhhBJ{kc50TqI+Zb;6UMt-#WyDh8@EP5i|`otk;Tzu)U@cFVN1? z>VNykNDK_L2#)yYSd3EeF2k>gmY@ z!Ry)Brm4n;XddV^6JNj0eX@fhL1Uy$W>HT%GIRwgq5bJj6jUrO+tO=@JD#o~8+*ux zw}~(FtGg=6CL03AR9$T0Y9nsL{I%X zZTu)v%dc)%LA({4P1g>qILF^6p3gw-91^3^cK;rhm8*~FuP+!j@mZ#I&)f7z6y)Gb zhe(C&5m}$-RQgkd@EuBFLw-uq+X-B82rG2S z++AS%TaF+;511EY{W*YuI}geyCBn1L28p|iGv<>Mlk&SzzAM5|!}g%<>4-kUpZoc1 zQ3X&F5+ZAhl%Fxq|9sp0Fl15@Uk%>|3*$xP(jz)8{tMyfQ`BcCn<&r6JN{LEq~nYWp1RoPCId zM6IA?XvdV*++KE|x|!ADBM~k@0A&RB$@#9j7tJ%Mlq~G}$M`)`{?mQ_8w;^+rtx2k z?9SHE?^)WLCiu`%Y0boIZ;=7v(0%6XmWab7oab`v{B5cp%~4AMFZ@==^!vQ+f{FHh zYVu12PSK28zoVpzX-u345V1V)C0~=pWcq^0)D+@NhNa6!z$MZbT%8}pqToSUwbXKK zJO)_;O1y1ecP6<|TFLx8g?&Pibipsxk;s2-D7C_y?lo6=CLg&6_t`%P+L*XpRXmDs zP%@e1vhWscVGSzFxvrPHucYagH1c2TF&LL<9&xtQrW#uIhzfCFg6$zM?#>`D7cdJu zlj1~wg+I!rn;W96iO8YojPP;Biq}yJA}Iz%E3y;Facodwm&VFkoFZQ*L9t~sQJ12K zaaxZ=2%?3!O?#`}E)c|cnUI-@D{FHKh{gemxn-F{ zj-2Tj_fQ;>B->*k-hwq14HZnn6olJsi5<$Fdg=}0>gK$h%?B^reypG zd^CGkiO7gbHlL~wf3-e5Qr6)VGGnV+|p7EQ*0 zzQ$?`*4+g^_i=|+?`;M45w5BVXwhCHWK_ZZ@9k6tN3O%ZDpV2mMT3=`BF&4sziN%U zti{^0ki=|EdEw~I5|qzo^;9{b!sgiM_!C*3oPi1Q9X`R*(p?qt3{6=1)vyrwY8b9D z2x1#ui^9uIBaBw00hw;f&(;ZFnwM>Yu7%NxymEcq)m1tGCuBMrdSq*gDy9-__8CI# z_X!pa>BEML@`;7b>>1&C4=1Wm$0iHj7&KGT%q$3^vhC#?PBsJ=uFL##DS~Lx{vA^+U}Or5C2Wfp;cD^;T8xLq>N58%ewV6mrAP_= z>wV>^H3mX@t%|CKw`X{&=G~lurZT0LrX*?jx)th$Jb-d*6de^su7PW!DbSKOnXH+X z7@fS-TXNEzA=0}U7qfwux*Y6)2p>#}XD6p^SX5xX{kOt@ZTorE#~R#f=_ZCxbtpfh ztRUB!FC-0D1=t;(rFlN>Fd^My0A-VTUSMO<~X!8xW+>eEEQ$bQb8E=1y4t5>q%rO zs%Y7uZ*@uQc~?qYp_JAC7EnTCE8G!MTTh*-p+BD#$+Rlo%WLx>L+K^!X>UIJ*dgUQ ze^Mgop--P;ghWv2-SJSLwyNmReUtNEkaaz|%RpfE%kzuy3sQ&D z5R8&t6U|37B}>L^3}Nl1?sq+JNa#<;B-r=e<+EdlVDtuW0!gv%regOwXOfM=7N3fW zoFWe|)a5oW+pUMj>Zcz`X*vkUHAQKJ$gXhkHg73r$GFUuLi_ua^rf}BrIzIWy-IXc zM8`oVtyBqbMr3HEDloAM{eWf5I8#QRCWy8*H)U^OM6wQE^pOP5*(0_Da3eNCBJz#D zHJ$8zc(15<(MjmVaJuzr)n{Z0I;T5}7wrHFfz%R8T11&Qw&vKor5$)u$6OD(RoZ4{ z8J&~dEgdy3IY01|{@w&%F_z1TajpZ1=%jKBBD&bNE_=0wd^^t0Ul8^`bRrEpaSCf) z_4Jp02Gj`r`{)0gMnn$SQ%3@3!)zM(;?5aijQjL}fAWmrJJZrVm6kDhbzt7cEyMI+qV`_4Hn3#ThPt018E zEV$F}?UH+^U3>DMuClwk{Z{&ioSFHOr|FsR1DF5BUGAQox##-S*=1w?ait8Sc=hz# z1C1w`#nHV=7U)LgaJXY2srKt@^PWf#O4N(zKb_;x6D|0xHDPXK*QE00rhuXYA$Rr9 zV~Lc<{%|v4@s**K@23Z|9fRNO4(Z-J%u{yD|Go0NdB1+M^jn5il>hmKRufP|?*?Mucq=*0#qX{U6;u#c5F}={L^;(j z{;|LO`Z|QAYr4udC|;-`_U4lH*NHC+iI201w8JzNT0^EA@wtimwYRDIp@7O1Kx2LF z=P*qtiRUfFsfg*}%BFF^i6?DY%kH@RUnx>-)JpQ8f_UBQIuh6Zq2eeq+Yttjv}*|# zN&)nIkCkQgl{6p%`hy52ENHE0BM9M)0d%6 z--R|ANyUd*P$CC!YUnL{o<^=I(k)(oiN>@i+}ruFz3S$mr%<;u1xan@i`UOAV70987E-4F z{LlXcaDl!=oAkc_`xot{X379K%f;*uYz9OOGj7umim;3L=^s;`k5qrYRx z#q8(Tun2>TS}f7eU8f9wqs3Br!+?6Ib*T#@)kGa1+I^g%R}Lra@zXcAm!vUnj6}h< zUC4V>Vr!49bXrU);9qjQx#JU@Z;zM^@CSl6%OpkP1>}L=nus2}s!wHBQ7FomjHqjZ zr&_5Q3Mni-jZhc7FqFPvIzFlX+nVwi4RDh(NqJfLi z^Yf@W0iCwd%8&l#C!Xa#FM56yu@M`h(o2=cMC7auk>fu-)GZmHOy`Q=Q$kNsZ4yL5 z*9)cFlPM{jfVDm@Q+nVKX-%HD$mpqhwg;6d-B90Gv%iRLat{P1F z#_Pp2A%pnQ+Y51~&rQXMwX#|0K4+xdouv^B#+*V(TVdJAW2Idf*<|OfH{~}Uw@vUi z=Sc!qZSHO;CN@42Y)vnwC5=SAo8Pl4)Z6^({iY47iJEs~ixSBXMzV}Mi~71{zv`cF z2HbG`{*!$DZkwH9XEbDOUWd*}DXsmKIE~VnsoFe7$Vq-Q!7$$Gb%BfsS#va9_Iuc; zhps)a_@EZA+T9Bxld^O-#bbzONWm4rrlHSel-*DrVSE`n38U+91@x!F%ctoh&si!ns*PlowT@zQ|n0UT;js5nCRp`drMQ6b_f`TkCdrZ#v!kq zJ=>JVTp&TXz!3UC#otBd8ocxw0e)4DD)kiGtJ?rJ<%#-BDJM@E9#0+6P@}cKu}=3Sbi+>&yx1 zU9~576BO$$= z4P~+98pPxI+-tHaGH1VrsvRvuzz3xaFCfdgoSz3|BfmiH z(87huLeeJ@VjN)}&^R@KMKuqlHLdZj*z|Drb%QJ7VV|p zk&{`hgiG|5J9`82)D_-IyXxT#1HeH^uOK?y=Wdf;jQX6c#WVZLsEaduW7@yzhDK5r zn}vt5WvZ#H*Xsfxyv*?YA(n1Qo~@}&JM6||e~%8nMV~*W)lBDG&IYkuC=Iwx)EXHK zh=#q9(G(*tOY+>oOgxPH|D!2RA?rkOkp}p5`THQvRO6j6iBl)Z2f^b` zoDiG*BxYW;D^*f8t2HXhGjWJ)xNZ?_9f1Q+-N)43XsB7*4dx3u$)9gK`#d`)r>A@C zyMk@wJrF*cn9EFca?B7Ki;t428O<~AK|`KG3iIK7D<(nui|`Nl|8Mbw-xpG+l&ZTc zW8$wUDInpf;%vH3_S``^ewxY%jazx83B&7nNb|!hUaAFmfK)#=w}1&pWF+bP&%!KS zS8Ljm(99Yi);ZB^C_4c`iz~v#iylqmZPvU!p+A#A{d6nyLi;sXmXD|@vD$ju7P2;r za1J2kNXa{u3gk5=!olq6)#ddNx65Uk+!H{V`H$$jUCMLrq+U%q%i$@XZDWk(iJFXX zT3?b+eClKnBSIqEhpHaNBkdq+Pw5AE(e7iWl_lUop(m#6Vzo!}5zZ}*_d&1Fd*>Ox z{&as+;bYGuDITA!^tND}O0W?P_o#XUm+0hA)I_h#qOJ_e9jZ?Y@_89D$jP$@o8>ypH7NpB{aXK(MqIkMX$}kDAceY3S!>Y^faHJu#TUt4@ri^Yygf`w+DJ3 zg?{;IM_+xv+OQXlr>=v0q}5pHZpkWXfMO-Sh3kiSr}mVh7NG8r(aS6O5HuvEaK4l5 z6@Bl9OxNCczWYb^EUmq*f^DuQWgE4mGuEe&lj)cYilmffEWicQB9AU?zLN9e zxTM)OkA)q7Xtc_;JB_-yPGK|Kx)Q51(}^$p%7c`TNKLN_KKZ1|0%qwv zeRyCUcY*j;^FLH0n%Pmni$+7^%EIyHjuK;xGY52c^_GNV`QwJV0tKG49C!+RKHx2; ztV)lkMFv#vf?UmNRi^Dv{yHzTmA@QwrzPLTk9Q*pN$Gv}cd2SXJC;EuZpe$%roX_X z96c^!n>NEQFxWdI@DEcvr~hHvpJw=l2d=r}LFXD8>u1Kz+*1ty#vcF6^X|=9;RX2V8)AcYSiX17-7y?AxI37=YSy=(Fp14ieYZdm94s9F=&ARnEqikI z{XamN8ur9T)Bp6xnz4leulO&SB@4b07`D6to1uIjyPn+wQDB?PWDjULKhYIzdkkhi_@ZWM2?ic4v!rnSz9Lwky?4eWuLzm`WP2DZ6pE!iko76(D6x(g?ZY zTD!`0uQOvZ6q?hYewBRt#)m%5>Dw>d>^eby<-J7C&>q)2v%0*)gA-+I4`1TEXgVwA zcS}Phg{0yVsT@i|%^yHpHQ{46hq5tJmvq|w!ara;BB;-A+&$V9s z5jk2aKzQu7KIKsVCnMJ=Y5NNMq6hS0rwx58+4`MgV3&!>WNjqTVCOH)x()eJ;PzDZ z&tRJjfghz*jU9$17#L;zoDkDG`b$vm_z8mIOb+6NV2WKXn&{~M?{lQ%6YB;%!1G(NFx+y(qNOelT-#Dn! zVM!a2tmbW2M#K>_SjB|o)LkO5s8zqcg_+7m$U0V-VP{b>Suc0%-aLuvxreMh00Z5i zlxQl%MQ$c*QD0LDg(~s|LLfu!70we|Xo;EWJc#0dm|+O8-w7r}jC%=gEpX@!kVP3K z=sboG#e@!1twZ?L=ww!5aRDje!jEgu>MUU@-F>n?XhdZF)`!fOlab?85LWu#q-oYV zRnuqW6;& zg54eOrD<})F%M&x+2WpgUXIxUuNtWPfF|G-XiEr!5w}(gd2&$}bS)5%xbeMc(VKk82=a<{QV$R(`=Ot8JSRwY4B}K;dcfyuYG|OeY_~rmqj}f|^4dQDRBAV(#)O=MNta7>DdXmbRF?-hn4L%i3Up40im|UQcq7Ti zi&n?Z-)$LQ&T;J>@D6Rb(Ezd4aS7s29Ubhp*RgK63CS4pCAAY!6ttkT48+Y{i92^h zRsJnvG#eBTy(Ml#)kAbHNPbx+D?1OtAk|!cBt5^n-FeOUhTcZjwWw5OW_7oi)-3^6 zE9t3G#=#*Z8ItnDlM*}f*I>gDP>PIf4NEcea|?N3qL`M7AJv5SGAky~cU=lyo5>_L zLv%M;sQcEZoW$1;_UUd|6Sh0^82NK-rBlm3o_fk!w^Lf>2ZWoJ=Hd|?(YjSnUAU1u zI`ttkMf|VRKtGZUHS-%Rr05h2{6%#H2Kg*T%mi^!63CN>n%aZR<_PjohEx%<>wpbR z58T2ovbL4k;NVngaPvQ`(v0z$1X=913d9dW7zb z0q#~h^BfcGZ`Zgz`IsUU8!J3TMfZrg%~cb-4v~pmmm9D|^V%fOUI?XK{3M0CDfJP* zscd`%PZX1Ray`4Xd^VTiY}Qj(`shzZ7WVA58MIPhp=ysCD2=O`x_sQl+loAv5L~YR zMEzrOKb`@d3c4vA*ijQkWX2nX4LEj3+e_{$5LagwM8zQ$$aSiqy(AUiUpoegc$v=Y zDaKpGJwOPZFHwFu@Gbw>kHUA9Hd4CJ<@s4$95$7hf37B!?>~#K94~HdE>GarY|}TQ z*om*(JBao>+1n397lF+Em7C^pkW|=!P(Sg-L4ku^XTwIu9AJcXea@y z9i~R57|IPhrpHMY@0r`pl)o1Ka)X8tMZjI|<-?YYN4yUrQ@I6BaVMkRgMaKHy`s7} zj%diIAt9%;MwtMc0$x=1)T<&l@3q^Cxr^n@zECXevpsC*j9VAJF|1!+;&9bOA@bhq zNU57~N^4*jTC)pr8sx@_88@8nX6E>is!;R$K`w-v(~`~k&KBSA=jJxXnH~z}-%&zu z+RIxmG3E2-J0mPaK;9STvl>}h&@Qmppd3H8qyT_e>m5jbSQE{iplU4w`Z?J{GIvzQ z=nyZweIl2Z9kW)vaKH28!8;4KB@|RWl3^3vj|Plk8^2^9)D4VNQfFONIGoi{!sJ`{ zR9n!-E8?<|XkD&3Y>M^6(g^1OzHvDWD&SIielh2Fe&n(7=0D2%*N^k<_y3haNCn0F zPyc#R&^GX`8mm9?`PL$v_27+th^2Bso>I!)Yqum2i>Dw@XxJ zktaaFYs%$lkHDRo3l)wl;_V)}=f2X+4-Pja<4U=k%O|RX1{)snGUD@qOL8M5oS0M< zKRRcPRhxwepjPq{CADdDKt|PuLFM~6eFsk2@K!;zdSN6g7gDz1&^1~SWNgarEVaQs zWI;nHKjn3&$pU|_OSi|*Q2>rRCpLhE&wy-NyB}A_^!tDu!zdvif#LljDRNo(kp&qw z(Mi3;vv;l&{w;k--`D4>%GC0y&$s5|!>#f1GY$%%hwmX-@dhDp4J2$S)TO~bx|YS| zMd$2do=*DmkPI&)ABo&vl4Rp9v7-yuokf2&c&#}%4ie(YK3>VlNhY5K;WDvMf)5v4 z+a-nq-34_z;)>kZa`TM{C*k%qbTPo0V4Vr>@n?Rrx6Oj=!XZ{SrA_1&HH5vy3$s!pJ>BURgzhUQvt-A-+_%&qNLX};YC)8|S1nmH?rE=R zVA|^qoHZf_4DCZ?2XKj`td3Fv%LF_E-ww6Jx80-Lbo58ZY|U z|H-MX12O2u@^*@0-pXy$i@zYGv3ljmN!!z9e z0sO%GK~IDy%uN&pjh=JO-E9bpOuaifH?rlq4f__~4ZZiqj3vt#u76q%1K;UTN#cCA zcb_wuJv6`kkFz?b{|&38-%Gnb9sf(tv}LoNeEG!0jHj?iZun?aIDfAHvYoS*r>qyB z3f%512+u%4cpnPFAI*6pKJaS*)vE*NyqDl#3HONL*9FTAK_QC+WD7X3HtKL#?f+$Y zDwKq^{{HX8FO13&1Jw|XEYCmx+Ly~&o}Xv<9~oa&;$`k0fqnZF2m{ubpZ}pd9U14E zfFO+=3%A8oi9RTFub!wqLHH*$g#EGazTkzhsk{B<10USoLtTmJ z`SK7^PyTTOGy?w1Nd6b(l_vk~gkUGyEf9X=zkOv_Nl`$>B}53|uxF2+U49wPXiM|RiaH_Vf zePHY?um)ODpf@-nUu!>b)|*pJ{P`Z0a9q%>s9gEonvSn0uRfp@59wLgd&nRizhgh7 zsk6MVcV7(^YVhtf>`X`SJCX|@J+iDMYH@zAh$HJz18t%d7pMh~v+UP0(NuKZVc7jH z5pthO9E|{Iw{9gH@2+AM*>&yWu~*w{RK0I-X)hBRG3Md)5uVJ>w_JNzev{i-7FM(; zz~MV*@Mf&9@YoALB2rgGtn{w8w~$i9eeMU5gluYA)gFG=dF%dpd$~c2U!-Q~?dFe% zRg^rVmpvtz*JM63RDdEyDC3Uu1a{)fMt<~XL^Ha&753^!Vd<2@3WML-mzYWmTO+x&()lJuHsy4`3>{w%F0TwMEi&?A4RUmP%{y5(%dckZAsPl=1(qM zq7`A8)GakF7F&4l_L;J}CD(LIs{uXUL5cj;--Ppd`Zu&kG6ku#=(9lOS#S+d zsoWFPBIdKpG9N*B#AwMiU?ULB_<*>Awa~3;FJ+?X`zYD<{j2hgjOi#L((pU-m{8Q$ z!4@a54UC;Fwqhz(pUwVu;N^<<#*r_09WQF|NLQ|Mo``i!RNq*m{U8XAILSlytbOUl zymvI=VaVSpi_vOP?HPZM5W1!~vp}#Vg zMvagnmZIum>IPC`{#VtPy}WG^#U*pD(&5Hj!It4c$AkYPQ#Y08dHblVKQ84h6rF^O zy_mnvN8PCQ6rr4hM;zlL-sH}yz={aluRc+){Ekz0(ypJo6bTCa6efa`y+*}gjfuS1 zhQ=ViNhF2PF(qJ>;G@~msqN&b_pK~R@Kp+e&naqP(rehqv$;%fsEv>VANOmGVo}mV z#FfDaG`nVya%~@VCB>VqTPp0Dv4p<-&esR$7trzy;=UF=OEIyQMAiFiBdAMu@&iHG zTJ?D~SqG>YP{ln#giJ9Hd0&;{({BGq6fr5t!GXHr^qyN&%slIEIs zXdfzht+w(f619X_prH79>#`o);v!WbozID}c;GiJ;0`K_8hKm#Tpe5~DF>0KqA6Ky z0o9C^MOKi3P?dFzaRj0+JtOa=g_1H5VqaL_{6_{;8 z7HHr*Ou}gwJ4Z8q7MeQ}Q7W*Wf#!}@$w+bGA>b+$yjU*YW})?wvTQfQaVYZ49KCJu zM`a@|jCZ2g*wV!fL-~SueS1k3dwznMw`U8ZEXO^H_FqKPw6n)E49{d(yNEG}F=JP9 z@{|xwH5y)Z3~#v6OUcu$$b_1h>WD+mIbaFkYjneEriO*_extMbF%4TjyFlT|MMUCp zyMFkr*E8Cfdy%TMAF~p&!s4?c`fO3_!HLE*zpC=%%uVG^@bgeF6JL?{e_??KcNe!( zGJS5dV(1vGD+y`jY1f457Ck{piVGgv?{&U^UE0Y$QCuD*+&^!HwyW?K2pn%i@HPwa z)m`QnteQ0}!ERV! zYOV5{^r(4%J&-OZXV9N-lRE)@W2r>x*qg)GswNNdGHfHwU%!75gELgmSKNu57#B^; zQU%y!jqF- zHR?E(^U8I!^duZu$x2oF;wRfn3x^AvizD#VRX7{87S3^bDxCAEXppO_(4;g<{G-iN zs${i3=-$uF1C zg^JZeY+5q4B-KF4#EH2341g+fU}NHK(_3}VB_r9XI)x#D(j?%RZHVQa!I04^Q_??F% zEjHmxS6UD=pdWw;Bd$;jB@vdIi27QQ4q;@7Go_TUX1K0Vwb_T-PhTIs-?b|>ts5JT zEbb89FjQ=2ZB#FFQp<;?I%6qOMuM z$e*(*iGq1kGq33RkwsaQ#Qs=MUTWXBf|bsg4-=r+&Bm$NqAzTA1LhmrU+HAo%Z}%S z>$w4dpk@5Ns_7@aIFklsRSHvb1xM9_#bwNJRz4VEt@+#CzPcZ^^4m2TtfC3XzpwGX zdBjQskloLzXXx=}x~?GAfLbj?p%iqAY&0or$$`{a>FeJ>u&xzBzr%&rl_l~CLe`Hy zv4#oLi>DMh^RfYb>CL2cFdPyUhl{SQj?kZT<^Uuw8UeWuzE}dcp~a7pC1pnuPv?2s zMuaSk9Zk>!j5wOhZkuoeR-4VI^;~SB5}K~vZ3o(FsT$%^8>_KAg?STc*7Z?d)F=Ze zx3gob=WmZv!$Eg@M-GRC& zlLEk(#3t(Ru>y$AJvXIyr(N7S3W@30wwR&-y>rDq}bfHVU??yHhukLPH$T1jK}RFOpomB@fp+RZ=W{ziRm-X z%nTi!K7aYN4KtSA37k16U{~Cj|E{IePR;UvGBuzAts=$KcDylj<{tufRYS6{cg~`K zfQ#aJAs2rQ{4gpzV44e+y=}y!gl1IWbd$@g%!>Tsuv7N!n~PQ!&Yz*)KXZq(!S(aQvm5Iu z_sPpv^jo{f{A!+TsPHxvgpY7uEHX^ZpUyw)RQCM^KyLb?Gr!)Y>MwP8B_Z9?RXgrI z80dXih6Vi!{sI60FZ|$FLtI%kJ&4dvuh*@3nFvf!^+#9L*2JR(0&$alU-{^kA8u-y(yK89P z;yk)mJZI6dQSZ}}3nNo?-%92@&TU25q$%V9j10yF+q_IN0%J~G&MFv(D9`G7a%{&` zIwRWH?oCoLq0$>ayS(5_QXk;A<(uc-)xpLQTB)yE=-`rnaTSuYEStKOohxr$BNTT= zsISqg;K-{Xo@hC4t{(5}zIs1YKz@A>qvN1%@6ahUxUOtJ*GI%Q3Qn`XX&MM!6-SG# zfxC*R#S9fRa6sm-v-BI7C=%GTCWGEd0IW`2%}2P$h4zoqkY{Qq6%p>m4pR$l{b9D!hO z`pQn=QUxNx^}V_d$=t=r1K6bHZ|C0)d62E|UYoiwO3maN-leWiZhu={wvxZRq(SSW ziO5Fr$qHwV3Y0GXGC5!fgiuMKXPml>^z#7yx$edlRsY^tfOUu{QAR|OVwOr5ZGG4c zH_+1Cr0kkun=&oryS!rk?uNX6P7aK9jq-Jlnl5%?$KQ(O8^f47Dt6R`r5tkEbYMTy zKxUfDzq8a|nC|WAk-SU$E4DmKO77eFPrc9E*2RDAW4z)gnosXeLZGPUl&k5Ylb8?# z!c8ujLmQr__`GJVc9auC^^sTCM9J4>_kS5?*90HDK&EY`-eWQZ?d5^@wSIhL2rjY{ zmqjxAxqha+wv}JXg&u89!t#7pAHNhTOjQaYcj5!*Xv;N1H~+PGfcJKfy8cT(A?Icq znFt1|D#5e9TQV{AWh1g$lgpnIZcz}(j0+f{%xA`I$c3fKwnsToa3OrTEyKxsDT~1< zNTCh?X^hF+qiXrYmDOG~tB@fU!iZWf`?w%!-ct84GB@M8K1`wIvbe_rNvod8iJ;{+ zUvB?Id&w5&B4SZ<-KliyO=f`LNCI?w;KIi*CJgXbX|q2j!AvDJNSiho z#)}2-TFu7S$MT!YY*F9>6MWV|%^j49NgD5{fA;YA_0a8VXmzg{F`qLDNTAj@TS z$G+HAg-O}G67{ZiJYT5^QtuovA0JV)m*$|vT*LektYJLLX3p`})Rv}_R=o}?V`$Ni zZJeN_HSXdY;L%4UFLjn86xgbG3BFcrG72=X z{F6)Fyi+iu+Spk0YSdtR^T^a-*IjUz3}z8N&JStV$UfgzsehhX6O(NGcU91l$WqX^ zo9_iv0sSD8xrj`cMUzGCW!!nv;%vEE$>f$m;fCB2mHQ^28dEalFG7$8b}h%50(Vkz z>ISXSmrP03-i5D;5tZ*2F%n*v@Um{QsqpcO3d3!RVM_0ipPll+*yfN^UxnNGk#H3# zRK%50%f0*E!r{%7EV(9KZ8n$hujX$xuCY?*`#}>Njv(u*Nouf-P!>*jM;RCus?tFt z`a+{iS&X<~H;re$wsmjET?4fu932RajA#Nu#TcU*@d3hnTvGMh z4qN+~{L}^8l+eTjiOk|$ffG6@i>@2I8z%7GnKe-iX3?P5-P~_o&eHmHrnFRf=U9G1 z3g@^0eO zFxnAtV8{uU<;wc~8Z=~uhxLG7rQ*%+&0EkdH80gT4@G?IHIxUfva8DX56}%c;Sd=2 z1EiCQuwnG3CSxDJjdGKFD4OZx42>dGb&HEXr;4OhJxc#I8BOmSF`6^5u8ewzA)gqn zGksZrNL6>**=e!!BQ17N*J-{KV2L{Y)wUv^>n^IJM4446KLW_95Q;cSK`XCh6c*YB zXf#gv9JI(dVU|EiKk-%GNQZk07T^Iv@Sx{KgbVX4N6lfG_xk_Lo#@{aH9i2`N>&z= zn{MXJ79N+bc0z-)zU%Z*;Ev52r3|2|y8J+vDkF!H&@^T1Gxc*qY`%j9BN8K=2st?J zYCCEjTm07JQ?xEc6ls{0@uE*ifU>H(*s(%H0v~a~m;N~PwX1ZzL66T$NqbdrMzxF* z2UWaKE=3j>AXQkJS-^`N&tUSYEeHiVWZ3CEr4Vf8!Yey6)tq}f4GjfP1MFS zs$858ci|C;2&0%Z9c0DUjyHrHwAc)kctxS2sJ)aMdT=-qHZ6N|jw*3QVR{OCxp;~g z+np+j^>g9ubWMq0X>-90$kIOa6Rgu4rz{{gMTjX)b=-r6WR$GcKIqO9Bl!8ozXy9f z8BpI&J{-6;VKi|5bX~BGSPG3u zUFLWqJ4%q`ml?*;m^-Fg9PH!a>MB1^1Nu*PXPa$<<8F>g`k(O8u-~1Cg6S{&0!=qz zmHG1j!#PfyHDh65aFhQt{=o+SEvo|~RtNqqaQjYt@vVSY|LcFMFi&Akjlpm5)&KS( z)^=i7{k;nF$r=97zAz2z>XPl#{e1iC9lxjiLziP^ownrD8Ovt*&zZUMPT=;>#{4%- zJNZU{fAoy_JJSLJcEvmjh}t==W9Ip&nL{(<1B`cqLN*2p1Ns9hKK^BHa$-=U3VBW< zfBzrj=SPiy|Lfd?!_OVuy&~TfHxhC|_{#dVfDKlxe|G7#h?l*8S-;o+4^v^+B>`)8 zdR{pe7`MZ{;WP2fJ+-cN8*&0qEn&#c$fu@nUCykwesB6U{4Wvg*CI%;v?1B^}Dj4^k2C)Yr*Eg9b<>XZ2r-*w28p&#;hk>{69)Mx?m{q`vm;^+{G#T z?a&%_SbIGcrzoFsMvey=QIjm+Ya-O|oGxqDCaJFBzzerXOX8o9=%jGGL zBCsdiFJr9Y8B%Es|K;Zt4~D&q%&;)?7NS+kyGH>Haf9LR__2pxZ48JJEjzB-L7) z{nwRUoIdt87iZ^-oRC4H_D*2*CC`;yvX<#VEDwpVZ|5-GmENa)-IbmvHt83qXR~1s z!YiTGHZ4Btp1YosptO>8siOob1?fs!vfA|el%=`++r+(o=}M+fCl5y?{#TPuv7#StTQmZYeGb_7gp~4qQv5Tr}p)H&YjNqSX)1m1rBEGaK zr{5+7b^g(o2lXoQtHHDMaKJ~ydkNuRe)c|*LAd*x-?$JU(yzq>HObu3Dv=>yu)tc}N6bvEH-DC$ zPDx{XzFGW*&G>~x@LR{t2=}U%X1#T77)U_rdc>LhfbKj1fAs^lNBoH9`WxJIEK=>P z!%?CKd_-2{sy5#f)wJq?)&jF)KDt@@QUesm`b!;!Ntmt+q<=n^3S&$Gd#PA=6p;aB zBOHb~rYxvFn0BXT@@g8ho?dUdrD-Dr_TTH4zrVaIu#kXB>2?@%&XNAD>mrEnn~9{! zw;Y{6W}cw@wsb49bOx-Cs%OM@SNWNAVr?vds{OmIA&;>ul94OS4*%C{o*9|-}rC_x4k9KN? z7;gq+A6@UcO$HpVHlLGNFg+OmFI{f}*5sMCe=D-sirU4c?O?SQrSAXfW(5^xTw2^_ zRG@;uJ8hL#1ZGsA5FjlEPzyL!0$mc)vc>GJ5;6iwTFX{=@;oz2NClI;(~1-Vqy>@` zvVG^BcIN-S-|>CN(e@}kEMf@vb6?kap1*U2RBxVwV1!-wNZ&(zW%_^O(II<)P7STLsn*J8@G*&w- zTjJGuBW^s*MveoB4X2b7<3|h{$gq{ynk(N(73!y3*cr&9;Qc*wy`n_bF)(DV9L+@` ziL_r=KN)FfbxxhAXd8<-LI-O3Y2JS3XsniZLK%+#DTQvZY^oY!FjP+H9_O~FsJrR< zZlo>Hn}fc+VRuJiYvX=JFQmfGZgn>$vHP+$HPJrYPW{V01hvoQY)fPVnCgPL%R?-Y_gz&x}={JR_ha>g|@+9eGa8tAh^p?~>Kp8-jxbTB3ea z*bTcdY2L_hKR>9=R3;OwMeAv$FU$oN|Czp=)(iCqLq9P3!f1!)+8mzxnxwQMMDwJw zLsbFzxc$8IaM`q8-$zO1D7Ii*g*Do&`Y2HD^`t>zyg{yL!$!oWG_e}i1Cx#e+e&9K-Pe{)J?p~@qh>!K2j`Pkt z2Llpi=(#~|RB}(O_ljS>>~Slr-c`0<8GMtf9dG3=GH`XWPF!>{7~wEvGvPVG=(CCA zTWJ{#RI+T-g_ty0ZO59S5~L5o`k7_b)egcHoWX>wxgop05c;9YuX4UfgI`8CCbCY> zq>uc=+j&s9=v6Gz3mrIrZ*Qna8AmhjxjjAwzUa(GfX%EvwysW859uZbr@|IDczM29 z73pRub4hURjZkv~Jf6|Ur*6;Ke#RMUrJG)C9M!hnx5A{r0WBVp>+mYhl9?Sy0lDo3qNL)JeJ zWb2NS3vV5t;a>@#rZ-z)cp;vucW??@d%vlV4`XK%N2&7wb4>(&4xHL^Alb}}5XcE< zKx9VbFL4Y`R~5`TaK611dmkzD#&=KUFlS`$;$?Ov$(?RQZIE5R+@s>`PPPpQqSoQ8 zIgU>SeYM+KvAzmn%H!#}NA_vGchv$M?C{X9RH)}D6g9BW677or+kre7@_IMROUu0#8rmq}0D2#`!I2a_WhKk$; z3^m8>d2e3Xv$pIiDJS^Qr}Rcs^~oNio$KruymiQt&{(WEB>|YHuexcbhmk;!3K5v8 z8Xp5A!>oHfLEEV49{*`AnQ`6p?|S=~9nO3ltC+uiQ#$k3po*$Jq*xLq@!9+|sa8W6 zTR~tQu@GRbO~q%{4j-DQaiGL)c>e-Ve8pTOWjS9>pF;v214Rqgm~MWRPSEZCah<`* z--&ms8T8-ro(El|_OxA8(pQWo z`Yppu&}Fx-Ru3Zu8r#UU>zgED&2569Y*`X1-atwD%agI5%yWZVJ@xWUZxZ~3c#fH^ zo#z!WHrep@*G8Yt^D4=j8_bhU+@?ZLaxcn|3Q$n=a;XO^n9_H-W1KljL%Nuu0xu$6 z#1QKo>~aZogEE=mFew3?#fAoYCboEYO9pgy*EBq>5p+{V!#unCg9@ES+bw^UPUG#6 zk!Go?^#Wi6ZO+Cl(vS(2&qAhic>8Z+CbpBshDs-8)NSXh&?)CNV)#%-VsA|n1B!iE z1!w(*kY~Ld_()+wr}g(#?Rq+UuOEg-3YgCy0Z9bo5tS$OoS14 zgpnT8)n06EdU~H3$S&ZWY{^Z4rd|1r-qi75au+ePPwMKTxtPApI*W?=#9LrtWcQL_ z(mE3S+rkZ&TGXTsAl#V4j1CeYD|9zu5~&qU;=X}vO`H|)$3x`ftdcYD5N|_TQLrr+ z%Rqy+L9XA@QoZ;b*iOm;YEyO!GpCuMZdW&cV$j?e?Am9``XamCurk^X@Q|yzDN}$~ zI~5G*P-SCcy;6hpgMXH?Bz_K6YjQ+G_o;|A)|`lA^lFN$84>ysfsW4>a+VAx3MB= z|KuF30rKfOu<3<{W~TRJ2cMR%!k_I3w|9?KvOy=}>T0XZ{M@ri)T-^4>P?OKkUZ~^ zrw5jr{ckKaEFfzWm%^KiBiwhk*J=-j!8=TeZu+*BwmOzpXUVf9Z55NvFJUr8pGIVW zbH?rLJva{*AYu=KDXe1lu*KZ^gXA>LRuUFJG+`MIbqO zk|2w!+c+_wj?L@ctj+hGJdC)a_nYLAI~nYJMftQ-g6ccgU?abW^RJ`&W|N=uMt8xf ztf4N&CTvh~jN5sk1=-_h%2sz@DW?L5BnM1oX5vUt7wWa!HnL~hV;{N^Edje5_JV_P&6i!x1AX6Y z7kaW-|6$|=W0-sr!(`1YjHbVXYjwqYcGA5;^v?Hw>&4hLYf(_p{7XS=Y_os2Yt}0d z&3+d8=am1JU9*nO_21PTu=CZ4Al9raHM8~x20Stqc$plBYkrYZ~4!=_S-~tB6gy!dhrcSM$2`OsPfEImA@^eOi7D`ml@ zyD$Bz?(!3*!Hxba%AUY*m0DIQ^WRloV+*(_WB;+y|DUBbJ_yR`$FbAOJC?L)ON=t@ zk))90mi%PcrP&H)(CyHisX3)z9RB1Uj?iu6>c)rPTUz(-=3OpHZn)p7n#^6^7&vdd z1>s|5(;zS<0t<}IdVcb^x6QOL@dWLc)ujjyn|^QS1}yI>neDFPAFs96w?1|%%!24(#O`9{?01fdAjVWl(Lr)iL{n)g(2di zrlfW%@SmE?TM3{EfF1RMl_l74E{(J`^lEKSAFt#{K~ap$XfH%0BU=R|igE9B^q$J=LzPq~^~ydwDloVmN#2Au^~;8_m}B}UL^{UL*3l)=KiiKPbgbH78#i9C9%n>3 z9c8Qm-GAX(C|chx9;6im9o4vR_$nwV(K`M7C&!qERKP$q6u#^&UZ5YGQ_GWEu=5LJ zv&VWnBvWB%Cs4%ib$QWD&V}3CVekM|XuLe_u(ubTGVb>Sx)%|U0Y>8MgdU@0t#qx3 zZtr~yPs{Aquh>J3zg)#%ayT1_lm1>cM1K+fo;B++@(;h7%m!0QGgc4WvgHm8eyy^d zXKfKflyYzqsE5^z0PbR{pD;@x-j zeS#g`bYVX{a@XlFY#(IMpvA^(W)zSDMo z%G8&awD~3h7~wH!OyjJSO_=(#r%gvJ#}WA}^|pA%IA7(@=v{Elv?4?0?4CLF0o`yD zUI^3r`)Xq>C3*c>&A*yB57CKE-di_4qqJnO_i5RU;yvA&kd`cyuFI7=`It*{u2m4O zL~6r@4ey5bE|&Jg!JhWQSaI-js51RBc#Q3wwFimE*wUJI6t|sm2ltJMW&kB|omA~n zCGDOz+w2XWV}J-w`WRue zyW*Qn+-_>0d8=mvIAL0ClV7i15^Wo3ERlI#I_d4{;$1_&^7kaJYYmn?M?KX`w>Yb# z(rC>XDavf`hIOh(h*wk)v5s_R89~UHFHIoNaqt=A7Dc-IXz51xaw}m3$*9^GF*eeg z(>;o?yk=87XPpvGEHO61j{0s9DQnrektO{mSemvBJ~TbT)ELVg8w9+p&Ig1?sq9ou*b3}P52Q;q^0K!jf`5K zj{EptncS_D@Zg=)P8#-}MP?qvoj6Z>^;!k<-qFg+MR+Y)bbAZJs}&2M#QnBzig?9% zktSmN8>^TAP@>aHjDNr_9tq1yv~vEcHnp8MD?%^Kko<1g2hB*o4<>soX& zeI+BC8EY)D8xpFcHmu{_#L=M+&o)+M!$CYliY8BJ`mGMWe~*dQZcLD-;oKqplYPEs zM~DPO18>pQ=}FE2KUzK@ueRwbf79ZE#8qryM!y))w|Cb+eO#W2S9P%cBCudEcOxu& z4iD@!&RKPInb*r^>AuJ{>b>qyvD+Q+IPg@ES&_z?IM$_rWwCFX5;t#b;h&5Fggi27 zrKzRqqle#wL;TdFu_O_Uv2I$rDT7Y5wsx8(F#cHJ=q6?owoWQ23G}{0s`9Y;Bt3qt z4$fdw$QuM&-{K<$(RH$eTknyN2?Z+7*;bsJdGfeVgkr+gm2Qu+MC2T$D%7F6>9p*OlHi!`PEKSt7=&g))JDaksBu;44Yq7~^2``7>b9>Vk*T}=YhXie z0v&98bNdBF-0~TKm?4PD__(ASt(_b-+EfZ5=fOCO-$voe8P5hw)7V5wHc&$IT`I@| zpuz2N^;g!I#g_y{A?)FZRGng|_ZgW+TD0e|wuGRp>msu~^dFi!D-*PoLU~>A#9Fn^ z78WT_;1jK}F?)b$IBupPpD>L9|3x=C+79b*H9()dq&i9op2fJkt>~O6JttK=^nC?_ zBoaKwl1Q373sW6<0}9@)6LkGhx@8Exy`mrP(=xS-7fSPk4`^XymhJva;qttT%C?It3eg>8U z_CT_9J^Voh=Jxk|u5xH-07A=iC7iQU&FO^_H%jWrL=bu9jxl6r>u77GcFyrE#`p&DBmnqAapMQ+UR8F5 z?xI73H`|0qwp@w!AdGVc&7LmHDFq{QA*nc{fKMdB z=qcwYz*?6dzuR;{xVGi~&&KRZ!(NjhO59l8RAspV+z+2{zZ<)q)jM#sRZcoP3U6Ye zRv+|Ha_~xW9a+jyUe9Qhpw)*$;#HKnfHhj15 zgO88F6_>r93`3dA z0pslGgWC?P@TM2x_#NM4bWLY-+Y(a@`-i)ShAro^dY{qUxc@DqZOYF6*03VkUC{9T zAgO+`C53UhO-U&SZFi&=gH-I`F9LIM2>(UR{Lu znKVvuz)yV2i!L(@c<&JOlLe57#VF!EGg7}h)z-*%i!V=0UjGezGusL&(}9~n)(5Qkzk22_jG$}q z3F`l+o~hdPKbsj>U?PQT$$_l-6aYAnKH{_EUYxyp){2K_Z>%Hg=4(^_yJoFGa`8~m z>Z!n8p{Qq^b!B)Kd=o^9_|w4otlRTf=8G3c)dVca%$y&x z{AXFoCGlfVA(;#b+C&_Zk69&dK<*v3QRutLl3H(s4}c4wXU zuW$Q5@|t@&6BxGFbMC4nV83W4G-N6$#^PTSNc7Ez{NGz~;lZ2>5I9f$0s7`8S4Mj5 z{8bWvH~Kb~Ib{o?7wj#_^dE)3Sw8(@T~csVwrnwb?cYEL3SFvyqUP1_e)rKbfoyS! zH*?cM#r()k7oU6cvm0~jnnD}2v*x~blX6eKQ=Qso~%xQgx2D*dBhYBd~~ zbMh_t1Dwh3Ae=^4cDUc*95l6nnBno?)9^!;Up}0!7+Ssg=HJcGXVFxz{z|-`wx@JS zehGX3v#!BgQJ$895#`aVsD_pslz-w-m-Lm@-_~CK`v;6alJvZhe0=g!m)L4A*6=-9Vv}G;KbfTzrQO#Ak8?-x$7;RL z|Kl&b$bW_Mwhlow40OvoiZ=KDU_K^$>2Ad@{ry4n=y^xs*?GRdtl*=EDf-oqO;^Y5 zf19QUKHY92$aSgj#lb22~R@_=r{bnm~DVO$?z1aDCZ z$1oRuO4So~OIwkQRN%3UA8pBBmi+4j?wg}%#6V4eRErfox)@h-k3J`lJ09hb?Dx}} z2_&G*>$H%jsy@8_m=BCFzd9o}ReWo$P}JYxFUsQT6byFs36)9&cMtQMClUS6s#eK~EuG?Vxp{l(!^$4e3dcGwA)hD>R zPpaVUO3t8vmk@MWOLt-oeXU|)NI|4vOAf`JCT??HB-iJftF_*NjgKs0;CDq~gDe?n z%e3NPWnX9!;N;+-B#io5jdcnGd%Yt6<_w$$^?O|2TvBA^vr01J$(eGOLRIv2*09M> z>$=kQ5&X!WANT3E>!h0Rbr)om;u!S`DJ8zmtzHr%H}O)_6MCO*d4ZbCo~9+=_O4{I zV>x?!W~3&a%`}g%4>8K6&?p zlzvetdj<>oc(u=c*v`q4B}GbNPs6H&UvLQSSa)p6*>@7lU04}NtB7ScUs*Zqpy}96 zMqK3w?X+;8JB{;4b%X+EgJA{2dHe46eFR_p$!xcW%={Pnoy_B@UbrI%`1^;{jM6^P z{s-rJx|S66=_-C4pcz)*2>;y(E!8{vQAK+jXPqORRw%TeOi30U1TCfP_(CfIThEFHAk5>*8k+h<;yN*-dxfH^%18 z+FXM3VWB2`w2c$Xh1<+xE}CbZ^7+TT_y2j?JFFam_fT0pmn{P9LYSy+EXma1EzR#+ zE?~D5?LG=|cU`jf%>iBA*nlQ=lu>F6*l=h70C`<`;ZT-5o@~~vrGK-kJ309y3s%Mx ziMwc?8r9-v2=#>D6KADGslG1+PW|nP1x-pcRYXH>Gm_GCGv{86{sAM$kBftQJ=`%m z)OA=uYI@;QzOLN<3GbEsk$cR;4$NTazZf*}n~J^7prsueGnLO^4owgMtf?8JFJg}Y zx{V;>Ez)V=9dt?;K1t|>30luh!g@}!)!|2%trvy7QD7vyC;jv5aK*QQDdcGyYTO5Y zzZPOKJ8?Jz4Xjw0xw9HRLth`HHb^aSjo9+TyaElfuvRJw|$@Iz4pXYS` zBJ)XY6>lgUwEPHR3aOb#l?>po1iO($)k?sGB<~|DY?_3zJ9r0;mPm@M)9Rb^Bp3i# zEk$QyEq&8~0!htdq;ClhoKqQ}TSMIsy0&|V_%G-^4$qGhT)?}U8tjn{ zsBlPYCQMB0-rT~{)hOvVWyFfDtGSuShzKoPt^3^V=`2lJx+Tt9v?j5;dcQ_ZiR$r< zwQU}5;runze(l-LfAm~hU5+PDzij_Jxl`8nO*Vck*J7GCT8sb61=de*v@5fPzz`eU zz!2A8ryfe96*DTA?R(gX85kDyO3vIkC-lYaB;Lt!sj{R8vP&>|ln=_zDJB4kVri75 zo)!;oSI1397Ioq`h|2V7kck(p1ilA8AjOxtk^D#>FT3K+^hAO)J3!Z4!U~aCfE64= z%qbh5j2^fhk+!ixzf2xKSLXFGi1lENr82u)|fipSR;YQ$&ZnZ6iU%Cf(zQ1o$b=H3D`89P~}!^$C*P zc4J14BUb;-G*C!xJ$y5RxkgWxK8tfkmMw5-D*~_%r4#r%>9mQFl0u1aN#of`OzT30 z#TL>DA|j(-+3lgEl9!E_@JN@bX!VJ1e1{~bi#kr|E^tlsqO-)%u9N1G>qW=;)HwVu zr-;Q_XG!)D(Xx3p8&rHw{U(9Wm)U_>aN_DbrK`=G>kefbe6DQNUK}$B>XYG9fu};i zLaIHR_`0(Yv0%e)pj@kM3y#Cr*x_aIibii>5Mi2uTW^McM9z&hwJi?|!Vsx=tsP-@^~fhBC3BVp)j?j zdgtu|op8)@y>00xGq7P>S_CI!RUM(mVK*nl!5#YEh9`5XyP)HXySUf12{OeDK(aB@ zAeUugvu)Cfr<-&l@FLMIHKB-Useec+pE*Z7Q)9&s-x2Sb)~H}T;2W=0Mem<$u2N+g zqN@VpvYoubs*Ub@ex%DI2i>JW`iO2+Z;j(Oe4ogghf)D>QKu=%pI6=s&F*e0$zbPH zFBZOyeX4s5igI@qClGe!VB)Z6rP5Oh#0Q(| zS=c5;&bV7$as1VJcVYe5~7nnBB6otnLP&WbujGXr*hFyX&5bk3z&*|!nS z3@lwfc`>MT*2TbpPj2IbpI6O)a^73`8V>~o@+}LNAHur$(CC6s*F8Oy8FFH;zrVD8 z$-d^pp+)9HKZSfpNY2-0hvw8Bd^D_3Qf>|TR}#E&`jwZuXMOO)ZSVVb|3~UPr9pwA zwXOr(&jqd>@jvvc`*L2;{>Zy;`>j}+_4@3;*njoDUTJ?WXhw!Ijr`!fdw#ea2VPW!R= z_5)Yij^BE_^H@dO0sj@T?Z+@~)OQ~6e_N^98c?cHwjV%*v+daM{3jFA9##aewAk8` zXBI~mC>w)7fHk!L5v@?p80EvwD1{oHb9z0$@RQxs8o^lRE&7AwKdvg8iQVO8NBXIo z)?@Llcrad+OdJ0C>i0$WLu|jE`1P%%>8ShC?g#Ye^5?JjRbRfK^ndgcr@c%0W!S~i zzYcaUE~gX-Z{DLG9q*fM?)_t43X+cl_ZFXfKm|1OF(7`-qom8P5+ciAr#V?x;|Jr3 zjN)#^xV_@Ri3)4Zo9zwU@rWv>A#ks$Qg|Cjg;Cd#3;Jl)I4T*l+lpoLLz>g7#>smN z&5`w}lT53ypfGhLm+yJ_rPh&`=`Rs$6DzhAX))h6A7JFb5{;xLlze5u*Umnq6BAKq zX0t9-(C%(A6Z`AU_1iCmB`^{>*yX2b|F|Jj`3U+cCYDv|L}|>Nk={)Uxu&ps2W30j zz|?PbHQjBUTgj}WRw_2Qzy6pJO!@D1dUE=LN;7lrgfUItT>3OY)fTeHQscq>Z8_|Y5c<0PR)$eUi1t-7EAUY}UvWrj4iJA;v|%LgO_ z&Z+Ij-Cpmni}Xk&u%}y0MPJ9uFsJm~TJxZ--{RtJ%}c4cWkpxfPyedws^mp2PH7&$ z5T)zL$To4?M|$Y_`aywklY@H5prNJTJ>ltb4 zv8J`t`S2VEU391pVAWk44`U`vb)bbDfK44m#F*)6+z(C{I}WM0HE5H=YP7|+$K__# z*W`dypeIjcD9GNIKdOrC^yUm8(#>wkLye?PA)8QN;y$S4 z%IJ4nb)rFXkD#u1(-#L?+)LsYJeTNfIwZmNfG}lZpMJy8*Kv7cW@w?7YS8*Ib`Wv(m~Gqs%&nf<(UMGqba zGR;|LmH?SP6D2lePP<2jb5j`wwhE-Ial0A5Vo7V2{X|ph5SaQY6`M9}YC5acH7JQ6 zhEXV_SSJwo=;bRJ#67A4-dptau~d>-C3%@m9mT5cea&|1+It`~H>)~}`y|DEsgRtp z5||ljvIZRfxcqH7+B$qr*9qQ=CeM#rt(2BGGM`I86gFj#35vC?oIP1i-X%u&rRiAl z9xH}S+@h0ZXYl=|xx=FkP1lhsR5!J?;&PZwNpmWugtr5@#QndSlt=GNIBzLQqHJunWW`cd!JFwOejl|*mlD3 zDGE+0{Y<5zY6fZ97{2c7S~puh#*64HAm1~fpi6?dQmx01mvhGEo=uSPR$kP(5iC~R z%B!(trEP}66{*91&NE5%2mCCjQYl6L48)#+hT3$p*~Wj68Zf7{L~4~w@3AaotGpWDn&y*BOJ8W9cd$qn;;_6XVpgX zkGvTaR2^AVpa5Os!XKl*?fpp~DIRQG;htZ;#E~P0;>g&JD@ZKI&r}6814Z1g<@`%z zuA1#~!>*N+Q7Q9+T1oONYUbLIRyz2tBhFqO)x$hsPaBqtNHw}1@RYSx)So-%8B96} z1N^fDNmE#0>$teJu-N2F(+v;cb?5qO2I(5Ii1Q6cNQJ}!*uw_iMGKO$VbzOhHBZDH$`4AqcCvUElMjo>b!~dTRhPiBcD#^}TbA=U zB@!V-4o+H(M<*L5uon+gH%v|}e0&q;BX?ih`DSut;c^&{f@)hqTcMbgh=Rg6c&KbT zMh`Br1xAZR2V_(U?vaVfEJ`-Gx%KUA&t*?|CK<-f7HE{hUBcW6!I@G2e$90v|E>WOc0>^(! zTYM%PWxW=RTm8y1Z;RMna^{c)|I%Ha-OSSo2RB*-uU6`$wsZhz^D_8}-QmBwI(#&dEs7jfY;)YI|gt8pvI*U$*8~Q3UU3Ye7;dL1; zjq{nbOM?1lmfj{WY!V&PLfpi>2&3fRb;xSC2*fYmG*`Tlst#k1 zXGE=>PtD@}0V4^eUa0M`ha4z{x(cH?>uei{S^f$Z3zumZb_Csh^J#5KNWKRNyF0PO zOkA>ipSh2}Q{-wtuOD}hOItJZ`>J=o1A@uX0o6b>X~YC|cxs`@i4rM7BC|8K!}!iS z5-3qP=`w*?Jl(xXfD3W~eis#i&B+AkNmx$PR200O3lNd!)8Ue@)T-jIAB@`vuiw+! zMfU=nYW_}xq+*_86Ml?X^tF*D&JzG$N#D`(*5su$CCA9=(IxDRqW>fC%7(gPPXM4j zTD%*Xct(<^J_##%RR-R3ryKVtuJtnD_kwMr(3TL=XcE3=mLQ_a#N5r*R;*ASUbH3i zE3)^@MYy4gU@&XR#!$jjN&lIG_%p_s1ENTej7Fo;1a5xw5kjP zS!LswPaV_Msm6%~J^^7Em_8;4IA^f(L6FOGD8PaVm!14;b@zFPsMq@|uGoA$xgZWe z8E%z<+pP=ePsT{GI#??nq z5 zWn(epIH8jao?B94juk`_3rH*+$Up4R0RHw zw<7$6XOhF6`WKI=8lqY}uEy|5r~P$pGqRmuey{rreE*r28xOwCb!6~_DT<6>n^1o}TbYvU}xil8-td#fh`wmvp1 zf9|mV>j8TY`(K78dN^R$2a`ctj{0BR8xU|0a)|J!z{vV}fB9`;b#zX!Yi3#UJ3j~R zwmtm@AYms%RHqk%%2YZLNRUAeP06j|D+SxDW#GOnQ?(%8`K#;`M7y|6frkjp=&@DOv1{oM9wCWOzfI3^ z17`e%}q1g`9`3J-rN3Yy-_79tX`Ehjpx>bSuhF)Cr{Yt%HcyN#ZG4B06{<(do zTfo}Nj7|P~F$qX|1yg~g(L?|6zwE${Df#|A5Z2;K%P{SyXCg!0e(d1W#}w}Qx0gn` ziI+6HSR~utXK>G#gtEuuiI{cHuk028M&~>akL>cwlgMhlg=yGa{x&mK?rFprDK~XT z-DK+S(X$-IUGlIG&z23|df_Z3y%*NE`T^ypnIM}=KPI|I&Cze^QfvXcR&-)H&t&p^ z{7d`P*}>->pFVgW=pRKjkKV?q8)KB~d3PgpFZS^BKBn-+?>tFgOY=X0X%fYf!g;d& zj6l5bNwaXCUEcm4p1Cmt6_#+~Pk+$PjtR|+VM*7flXW-TkQ#2wSBFj)#weLD*W_3E znKL>HBVt^6dfWv}u#4FSsdw+vv)qGSqOR3HFZd@6aIBw4L5M7i597JHDj<* zr%}IKH$c~jU?khb`HP~I)6_bFORczHC*p$)h@gpEEniVULmfVZWmsiGgW+5xymlN#@APYk@ck>PJr-Q$z$ zL++m^Oe$k~=7LqSMUikjX_bu!_=qNKRm*JAq@;k7qrW6WwP#x1U-C?}WsjFoKjK9D z{ltK?dEJ%8nmc{q#p85J%`UlTgS6E=QTegG>Z8bQ1)(LC*cFd4-C^sfc7FS!v`y69 z)S!9mDmvU_h6BUhh9{CVz0sQMUGfwotCf+dt5vEIRl`G$2Ik9Ay6#*OF6C@ptyOnX z=Flk*ivuohrR!33CkO8YT~_!6!`d-gCX0phh#b(!9S(Ms58)mCn>+e^UXmuGASSes zEg?wn(OCwP!^$k3HWP5W@9VdtvN02OZ`eqMo4aSr=@%WmHCZYA#X|!K5?DD;@M-VJ zK{ktj(izSMq?V@|qG^BirpHE_N{+@43FnUG<1vJGDF&N#P-tZuHLiasa=XaFLV1+& z6->U|URb|C>gX(MU9hql*K$XK?E192^g{z-%BwkljT;Uv8gHT$_nJ4i=aPU5tM8ke z`qOL&u_yOpr7+fczW#6{+w2qbeK#?H=mtu@HjJ0L!uavKBq(V-sgtH1qU&u={i~7X3HHD z#v57}cs5gRD&Lrl=Jwua#$83b?KZNS>DU~R(Y98VLDXu&7C|d5OVmi;b_sSK5zl`T zfaPe*!sn1H%d#xlja@)g-^}tipti>huPzjC)3;%J>FtMJr6yx9_sxO-@IkQo-=3JB zgSNM!DOk^auPt0cXJno%?7P2?BkF9o=X|nOu*Ti&{vwOiB!ZTIUsa;gxO6>z)pVdj zb(@!?|HPBsB`VObwCiN2`&KGcp!~&Zi__>D<=@eN9P$VxX1$Q<+5Ngi6S?OwvLi%-AUNfPQaDsu*qDNnMMg)g{aa6Gk{lxO!xG;B%B~sN z%dHZhP(tozr*TKqNs*cJ+SDW~=RgyW#g(PSOEEQ4>b3M>GHakkY%S-plejLq(b4MT z)%dhd&PMj|es~I5f*rE0MRnGWUTwxYCXtJ&)roXIu0hVW{bMM9#yL;dt6;!sm> zQl~P*VR;%=>tu8c?9K6eryWFDnmJ4shk_m6=tBVxU$3Bpc+;#~lkxB(x-C2jE8jRH-s{pLJRTqS8$o%a+Tb0rj#0AXEk$Nc)@83TGxotp z5f)c+3=^BLCX6j@8sER)_e2XDd}2m@h-L;SKfHUH`Q=X zY)?T`K*oStoB$UBbd-4C^ooKUVjUghg(r}7JZ9h9KJRGz`Ay0fwaB1KP0GDqct?4K zw+Teqf;60O>jJ+s$Vmz@{*MX8WtV~#S@cy^h2O|^N;dfbh&cx}tALW;e4c5r4V22X z3L5!3XZ4984<3@-&eHsXNL_pt{f1E1!OaH6jeotfx;uleQ5*q{1`}=)L6_=kti+XR z;>=SVS(TIQ#L0Ji6s09^XEc3#6xmF}Ab+_MVDH1z#Q~}tbmK(4=?kET z9zsgva#sZ<=M){t9r@N zZ9gKR=^ZDAW1yP&GS6C7R%?}?#4=WNtG9vQm=1r1 zd9X1Y-?4zBWBi@V`~F*s{62I!CnJWD_F6*r7$<6(w$2`hbrAoTmC_OJgy6f01peP| zM!?^lzq;gFDvEQaJjoN)U5$8ekINX@ongKxLj69<;}xQc(CmY_U%02P-uB)FXF4$fg=Ui*vJ{G95Y%6+R5b$7J` zpS-;<4Te?&7#T<-4qOC8<*G2d5mS{Lpn!4L9^G{eh`*vcK`x5o@=c|dr}6^Ado;v62sH!XLt zQTtEKwW@U#&hOg1p~jbhTEGIAx2;P4f!&~pTepe7NI#@gSY5pbN`{eQ?>nYsy*@z7D%eBN&`FY*Uu8@6O3-HuvvfTZgLSea+RM7KJx zr60v%RVR-%`*LA|opI6Wpgj8m&FFzc=3bs_z1-lyDedh3WM_C&XYvdlNy&Wbm$3*h zC5*GNsF2aA_sxca>YZU$UXtN%lS0uV!w`8)`lH~qa?TJxJo(h2@*7!u}Fy^h$BOJ6=mO%IA!wVNg4&tqUfiOB_{CSUt`1~1dGBE{p!4zMv!UEEcnO7 z)S>ctW=Ws(#ZN8}yjt4(l74iLxx0;jpAi8{R_O_9kLw?oP;H63Fh1pfmAzF5I zKRT;tZJk&27dT`+()R;H_qschMZo_!cAc&2IUZPi&|iYe62BFps+b+$`U+3(ydZvV z;hZ%trPv)LYz{tB>(uPk`wOaqw`yu<+Bmm^BNHWy^MZ&L`l0nhG7o+n9}=296Y_OA zdQPG=DVabe?ROMx%lz^E8HTKXeiwe`|s-W zEJ4A2tn1-a|6ZY2{RJqqB zx7uu;=F>U3xqcOm!(0`b6RJnIwI1y0qK6dUD@AR;(W@9q4kD5c^(Rj;l<4S*4*VQ< zNx~L>e0(sa*+^Y`BJAe<8`Rz2)t3EKVCJA{yGKX+IrenPpQ_&jpRC{}Bb+fBFC@zu z(djyE3-#Ihy05JDonO{#VU{Ne&xTK67`#a0kAa3J;irdj-`5DQPAzz{x@sg2?sSsy z#9OSIBgRadcx22oKjN2_`HNmvg%-{f^hnpsNKfQsd(jMX!m#0r%3Kz6@z-a><$Y}Ed}kcR3l8-+RZC08H|PSA zrwjk`dsCVISeNvzWk8W&%xPd63XB^U-h3c5^$y*^LJPfyhdVk~wpH}4)=7GxC1N-) z{yPDHGPP)5xSY!Qdp)4%8;B=PM+t$zol9qpy0KC%^N*U?~)7erTL@ z@giU8zRs@Cw{yk)+_rt$Ep*UKzJYaxbA@A8^7)1_uSvAL};3Cz0B(ljrR zP4J>Ck&TgQ_O^0DxzRz@TJ0+S!Qgc^h!0Z>pJZuI-;9B^mshBbUA!fk)p{Cb^vB%t z_TqnU!t)W0>xfm7i8q$}R?@$@8f0YJ?HaKcf6d?J70>uu8SIoq9@9Im1<^L9*Q@9A zR`7N03)PJO7}KSgrZ#_zL|+Rfw5~^uKnfioVoc?FYnS*-{c=2YPYmc{l?!(@|MCkr zYYTtl)xIPZ+wovs3+^d&$V8H^*3`b^EqWEapAvj$YVmHmOrUtgqyc~%nobI6iBH!7 z46%3dRs%lV=v$T}8)93Pr_`jXllM;B9IZp0=POKV^{DsD>6zbknB;B_G71uAej`+-x4YjH}iLi*D&Vd{*k0HEu-^(1!IV_r*7+=2xI zamrS?E4~9!G;rA5C4ZoMg+WT~E+c9-Gjk7fu}mOMV>!jUhcq%lR0Ti6XzFM&eX3qD z+EIB93z~HZaN@<(N}sPFsU#Fo3@>~#n`$70m@$yLNWu@#L?OI&1Z==!>U^YiQ~B(8 zf)_+`wjh7E*U-XY5Rs$c2ODA~hR zAo^vy+xYIPb+o*yy(ouGE3`q}f*Uer=Q~D?v?QpCxMe#_&D!2u?+}A$Td7xk!`*TC z-yDS4*7OnE-Z!1aXU5Kj6`FlJ1q_yg(rLNYUUDV@KZN7yT1t8j-ii_DMCZ)pfG$wP zbjcPx8>#&17%xiZR+Yr6nI3bvx3So0e7Z}lprmelQ;9QanIMgSn6JUY;9RAy_PYA> zxVub^FFb}yNwwHs(78f%y*h#>OZ*60hKj)gOU2|-GMwSVs?Z!yDi*(q%`e|Nf!)^pDQIV?iS)%-arFke#Qrbv1dvT975Cv~mwN=k+yll5($CDtHQwxBfRFSnRVt z_*uoy@Qfs$Xn$j7LJ%8|%1bTolaj{b-Pv+RCrLWNoZy@3nxrAkJE<*nx{>9$Bb-{e z9FSXI+a&`d!qTk+y!TUCbcfH#-TF@EOjwRD$Qj1Asw+gi#ngqbD zGqnIbJo@Or@5_sV!hXNJ%o zyPot&fwB~t%G9dqkO5t7oQd9=6UqP6u?`_|iyuy5YGuUF7R!qyT0%mlglF4_Q+)40zsAlr9==8Kt8xlaU02E)uwTu*mEX;LR=*H@BEgOc zldi5{K>x~Js%iQhI69t+FDx$XCVYX7sbAKr2;Rxvc0P`K$ZwpL41D_j01p~Ny=JT7 z8)byi;Br7^9fojYs6v=~%riWpg_pgbZisdFJ?L^yRR78BHu2FvfnhttbV)oQ*bzU? zBv=PJJ{UzOVgXdrL0^>xT-uG9D(oSWZR8v|tCJ8hQznR@hZuKNGGw>0@+FB_xaULk zm*8*c|2yyxKe4v$ym!Q>5yE(!ST>!k14yaGc>Tvr-g%Z*^?^L;9s+R-l z-IuY`x`o^fd@rl%6;1S_aJB~|1Gek>U7GRzOgH`^6{@Y(mCR&^9|QKAk{Hzl)R`I4FK?7S^>GqTA9>i^uF ztQfPWeUZIca{v4LU&Y=KM6Jyzd0IUqrQ|78pytrR$b3_^s`)y3yHe^QT3Iqag|v~D z=DNa9$TEc!V)wHp(|arsL%NxDWW9Otic94 zvpIV4CF9+p8R3l%m{pyOG5%bd#mz03tK!sa!{D;W{ds1PS7z_qyUTFm`WLS01_S)wDP+H=I&nsj<1- z<29O?9nG!PiyT8m^Admg0orO0E!=KX@=BK5Lhjt9B|W_>`q2TEKQmBnXy&Pkz0(_X z3NHPLRwY}Nh8#hvLRTA;!$@UEa9(>{FZMH?Yqf%?L}XaJAP96bBN=$kD%XJr(@2ThP{;MAYPiWS&53M0C-Nz<^w#uYYL`S{Ba*?EP>u=-XKa zu)r(}o)nG;bcD`3wcs!Ag{#i_1tc}k|NWsw`;3QvUN9{&E)E(;8G)hkDX4ki2ZHj`;}m49c~&pUj!-RRz<&jn01IyDu6;u%}; zUQ~F7pPFg^r*iQd{uALbOG<>n_YN-2%Rf)joXazI{fLqE(y3*<%-~(;e#wkz4(U$K zTzcuu+;1;@P&(&gS;6%yxqmDxIa^xOafy4m>}FY{(*N1A($@la9hzF-ar==Y{wLDQ zZ>s}$l{D=0FLlUEZy%liq{{txbg$)E%7Q?%n-JbYl*R`j^KHotP zUjKq>_6plP(Me=?Zp+_KKro2FuW-tk))>882lKs603?JVMkFc$u zAMuY54YagL%Fmbm)hVqusY`NXJesPl58UaHYXK|Y7l3X+g_c}8K&`cbV69lsA~(zS z^Q`>Eku2#3oT7P-hC%PtOE<@)>svCxP_>|F1v!okokY@G4h^8>D;b1&Bi!UB5{5a^ zYOvFO#-T3J539dke}fV=Qgv4AR(ej%3G@_hCWhU5op`iw@ATRuOntTP^D5!VwyVNz zGEc1eQRhGdJLN$O@mM%oPBt(S}vbRW*vLvxKEQPyFg(MY7GSdo_gper^((Lc|#?HL& zIqx}Rd(_IraC7hP`+c70^PGL~W{EacW=RT$_>17UnHl5)+t?gmRxtx9Jg~#J{J&j?N)t8z*!91KQE}|(J-_TBw!dn)#uddYxmLe*dIy> zCsy}4^)8~CE84dhq$+q6b)>@EspQ^dlFyYu%tYim0r8lZfc*5`_m}t5s{N_p^qYdk zW|lv#0R^{Oi=hljji&Ys+c!5}0bLVA-a0yom+{J?SlPvj{+8Rr;SG@HIggRFu05*< zbyMU?yk$W0>`n1K^eX)4HNsm6b5c!NJC1Ub`=sQn`h<_E4v|ezT6h zoi2gn<|+Q(?)(^7uoC^1QM&IHr}sacIoa`sUD_iayRnaPF%_n{z)}KmAIdtv`69Un z=uL>|KIG_Zz3;@p3}!`cf_E_Ff#)>WvI4?kUy)?Vqfh4r^Qo!b(X_q!2AM7dg>ev{e3 zYEM&FEz25Eo!bRkOiY8g8$t(4Mv6}P(?yu+2nReW#Li+(Oo$wY+b|)U$Qn{O(VVoW zPX;z6M16p!oJ-!r3b2aB;MFD8;&RPp2xY>W3K#16Ff>u=6{-eE6N5=yq(X8 z&0WR^y-{p{<_mGr1Eo@RYAu88lvRk~xGyC0!uwoG);orAcAR1WylX z1$3d`Py;GMj<+fa)Chj7%-g7=>z+QXoL?YE6KTUt>_#-98z8M+gw566cgdPgWLMHE zmhS>$&MRl_A1O|2u(-WQ>jTz-x*hH@`!W26 z_r;!EH6u+Fx?IWmo8znD*Cm5^bNVO@R>0mGH(nE9io%?1{1$h%Cw?5;%)O1hbq;S= zm&G80am|O~Q>!*-jI!dW1jz$$N>!zXohHmU(6UMaFtFgnAAk=^V=Td!QPjVUpM_)k zz0~k5VniiUbzlx*2H3IJ~cVqlBfKl~K=NFc> zS2(d6k)%LdR=I3w;Agk@!nxKfK_< z_)0m$q?%=&(K#Fc%C>daG-Uayjqh+5`guPg!f{7qyDlqp(i9nm-C#3sZgpSZTnr>A z3r)F?8~o9L=Ps4m{%Rya0raXozvc&nA5toN0xGuI?Q>-Lju*h5~@mSH!hAd>3!^?qd0 zLqVYxyU~!I;DqK_0{#u4JYQe=u8jX6m6K5(6cq}Lj60dxtY=iC)xP=S`$+4;1U8^< ze2iTNx0UOtKw(8Ye!gHqS(S#e4NT7%!n3}iWgwe|GL&IQiC zJscv-;g%Q3wv__Y=0;|KN|b@~_y8sHYs%;0A))Gow=R&WGJbiWu5vNRwUe53HO40! zf8hW9^76j6B6?%bnE`#G)~cv9wV`&8tyWlU9+4Nivh^EzWtIouXICCps;#VpnVfN* zSB`ykzJp=&U_vmy-xMm;?L&NEYfBr@W}rCWLK7jPiM@BpuJuU3>VOCZ1@NdyXTDQH zta}lG-<*2H3H6xI*oHxK*1^3T4h?pLk9Q;2u64>-2Qxa-s{O_EGE9Iy;8BYg{1Xz- zmF8=RfL7*~SJ1MN7O}rgRzcCn_6wtQ;rGF6y~|Q@md8-g~43`^pAHvL0tSsWC!DKtphYU*2t9ki6p zo4CsEzoZ&`%8TX^&S4|qrKin$RY7X z%;rU(l9-Kiq2JesbO2f2zB0W1w~#xeI^T|vm77ynuge9QbN zoAVNBOA-6nHuhhvq{FQD0d3oLeM&|;>?I`ze`;!}9xPZ9u59@E^_3#iM7^bOMVON1 ze~+N*h_}ULgq@W7NJDEA9N>$vy;RvMhMPV`=_MU;x^iHS0(*{YV!APIWbS@zt-p&p zhqnq~>;cF=coF(Xk(-7uG=AU4{+4ZLe|Y`tg%wEaj<1C4ktH31(}swzH2$s^`?;S! z&3WqcFpzQ%L(?<(>RXtygSHy=#`YrVZdsmFc7>SE7y3et zwV%i}ZDIiME5u)e=V(5-8cKkP0r+}fHNm2({)a-hZXZFXkEU%r+0|1Xu)QII4!G~; zRXk%0*xn#Aa4)Y!QKcrzEi?Qol%HASH-WaPqDOI_Qula;#?NC{ZE3Ln85aW1=vtRP z!R%$dZOF>}{J;}i=lv%)KxVa#bMHFqT7&J|1^?S^tRM6ZY#EcD3mst1l-#e>dbEk# z-LoRqsQzt4>CTiADod-wsBDXc(5f7}MJ%3-Dp!toHNR2wVdA>|WNYt7HUJ;ft?lt* zJuJ0HF(LTQwA$;M^5il(8O~tbA*fU~`6^U7#g+G=)SaIX&QkhWgl`o?uwfECnR~qZ ziAf@S+y*6pyK9nBX-lsj!lOa9Y}-l zfW)utZ5}JCe+8ztud4jx-#ot-ldls7+9IR@9Wfz#`1xZOQ=M)4xm9fTE(=XIN%@(r{ek$)aT)Z$= zGs$>G)-|UnNG`$nB~s;Y9x8kW!97Rmd!R7&NTNB|E$bg3X-n_D;k5eSgoHVV@Gr3s zj!Z$MKR`9yPQR#bj01Op%I6)vWACJtT$JMcLTI9sE^u!oifcS7=nLbY2kh32TIqWC z?>K)qwej&{H(c(J^aVw5v090IyTE1xVGwrGN7)sx=s}?2=Q3gUk15|fpN2%l!XZ2l zy~!g=WZ=(Dqc=|zHe;MW*frd_&@*jPtlK9Ufj@j!^Pxgeu)V?r1JZaP1&-e|xC$wB zlN)M6QsC31?)y-Y*iP3zj3uM|Q=kz0%51zFGS+6HAfq|XlwJR;!ixoYZ75$o*BFy& zcPlR2Y##95>o%(C`0(MZl1U?P8h>=Yi1~C|?`K0D*C)LA=6g2Jdd@6&}|IL2_5^O<&C-z zyc2akDTW=-rJpQchCeXo+^<^3o~{v^9A#*eIXY4sws%R|rr|I-*6PTte5EVWU)OxR z4COl)&>PCyI;9nDo6Ey)>~k}}bCj@`b3L;56ND7#xkF)M0yZ(|3L!BqmP*@|=WHin zL+#ekrvf$rjPERbsT<-*PMf0Q{XMe7en48{eA-l<$DEIAs9-aNU>`rqF90cK0O1c( z<=K-a^!SLPF)pL6aq})E(!}v&?At$8zA~Bbmx<1B;`}2s>&hIkC?M|=;_rAf(VKjw z$|b{d9RS0lLnS8JbNE@B(0To7=abuI3xQtPGfzmU)(QseJP}pGmJ2Y8w zV*%eVD61mr2l36hu*MZnOO7cCQv`D3-U9xD(~Gx`1yY0DJ83%nO`vlG2{I#ivAAK!09D2s9i~8EvfevubG1y50%!fuwY2)p$ z8Fm3#z6|5@2^LJ6vel`;_(fCe_6wA~VC1 zZcRC-9s0rT5C@^)d}|tv(aMVVX_a zh>}&bReXS%F&@W%B0>#7NTY1@Zk@G+=@ zL(NyuOJDVLjo>$SJ9A75`OJt}e*Dobt`*)VF&-Wamm5%Vq^DqO;bV03ydp1%+y#=dIf>lp{CuQ-RoP z16l4K*otx@hwo}#E5 z*8QF|bFZ2f*y~E0|4t;7h=4Qg{|2qKcNCq|h~PAA9J=Bh_}0sOLpb0dt)nbyab9gSBxKpK`eDIAj5h$yp z*pqyk_AleIX)&=I8^v#mQ=#}3=lfm6#>U7hw-mz02A-ETI4-VzNm#x}AQ0?YWCd|@ z9!5avL;3KLC7VE{6u}SB|NjR6fZzCU*z)WDPq++OzGO{G$d=%xM;FC}Z22_g`6X*e z@oB)DB|X0=_U_`S|As9SLAU%Xe6?@>U)}PzJO9|Y%cuUBp#~xYyQqab^_ek-CFdDjH(HTeiWvk^&&G{us1&dTQ@o z^)L`JlWi|NCOv(~J$$azF|_2c2Vl$UI!lc+>6-tPIzx7e3^kMM>~Adhx#yX|g&VGx z_WD0!U;Xg?Mb}s?Up1HXcv@)0F;B(w(ILAENy*J#AmSe5xQ-1c2L0=wkiy*l$?`w_ z6cn^-`+JKuq%LoWz_Q{=?3`*0)e~f;@xpBnD(rMofRphNn4$tsP+;j6^StTAPhD`Nmi52a!q{mvWvb zL2ooFU%f3KV!@)Hm@lAf_w5Cs3z3l)(AP3NB+vuFx63EId%M-|!1k&0fHH?iR3K4*cz`JOph(+whSlGQRmndr4dX_Xlv>^Soe2xx^Q+W z%IAd~DQ1k{$68N;9hmt9;gN!^KhdyW{Ubq=2cSQdwq~EAr*tPl%0_ro^V>?ibLOf| z3uPa_Ra$<)yzLtF+@7~2FSU(SsAkRi6(cafLaN0!()-~BSG7}ULip(_b zVa@}+MS-_+V9i_Lw|>e(8|V;rXqGsTpnFb?Ka1~eK$@kNS!BuZq_M&U9d>%%@J93C zScPF6ZRMrV=6E}2iM4>lifWv`6UkQ4{Ni3w{>k}mM^r~mx(}uqd zH(&11wo4g#*iGK?7u9+d(vnULn_Nyqpl}s!k=}dNlabf=AulDLt`F2&qb+)%XGsTF{?dJ0wv`;Owx-WD{(eR}v;UT}nb`0xp0KD`Zx0LaX>4piQ} zP}FP9w9YwI=PlEfk0{U^z!3h(%8C_tYd$RGuiBa`WGw4ma|q5&H&~SHqyFQuqxHYhnSBmFkn_XUk{rbxCOR&Jl214OSb*=3 zKNU+8gq<{Xzr70*mNPlF%4IU^vfIPRtQpApXQ%ouaUck1n##WdVoE#nja4pzwtguT z6;;@Vd~gZjLJpl=ALM7YZ7uBfTuRNxQaKmH(QhVxg6uqCY!@2OIvT3N$f~y;@=C>v zV{ZW5pd#N7YxK$l+RO+hj4Ccdxj9l)XaFtI4J{uI9`AMsab3C^5zBrbANT@Cg#X?#VM{h-KIrSB9!0Hg_WwHR zUBGmeOH*e?Iq_xLebovGT4JDUHqei1Dk<2v<8NrL@mFomjlxeeQK7#x71TdJH46eW zraUx^9SQ0LG*SwQo0%IG+A?40u)BfKqd(%;D^U^9X@S;EtQj2BONPLhDy8}{A)&il zVmWsWzROH{{Z1Rp>ivR@3#%K|kdF;%#%l9~$s3z2@yu&wdk2BI7T za3C%BA>gjpRlmvwZtrY59yWko9VO0T4fqYb4_aMtiGo;7vM;5W*Y3}aK_t-70_ZEi zYZ5&G4n&xxJmLY3ucuN;le5*iSe7s zV95XCK}8VxH&kR*RLLY(&MpU_%B(hElj;D6y*F1EaUT(h2Mkw0MG?s`3QW{&=jk7jbbF45mR9vH_kTJ@Y_KC*0HHhlm5u@2H0Yp z@41}byOZkgNgH#cx18R-thsdj9Z0&u70P4A**Njt@aF1$q^Y&{0>M2*Xn}JRcte^j z!+@?=A$%Yrk!64(##FPHZaI0Q|cGH0QmZiLV0&;6g`tn zMJNR3i0Qebv?16dTH)Fn`S6i>COh69(5L)mjxeBIdiekBP2-n?9+j zOI)!7Zo=g@ti6~L@byC)n6QS>*#~)HCtcv0E;X->10q_97cM5K|9sejvz@MofeY@a zQ1x`KqzuXpYE3Rk(`kI7k&y!4X4f>*tg}Gq*@1~>EiZKkQXY^hO(vY8KvYr{ z)H>-h?k!plJh~h@U^0VxW(V~w{x{XXpgAVE!2&VQR9)@WNmF~~MfzDqC8rZiKKF_m z-?c@mYZW?R;H1uY&?c`+<&pO?ad1>OfG5bt>?ZFaa@d%NnWOH#3>$#BoTJe089tpA zQA$%=UvUgz=@+FyX_I53#6S=+j`0k0+ZA8du^=zdVnrQ8HGaERXIru>RR^U_z|&2K zy*}VJMB{(y@x#+jBppHa6rG*uR|@2;*c>kfWoum?nW{(!?U9uUdmMS-B8rnjd(|kI zN!8tWQ`$*H#&L;()1}}<`$sT(E)g}5qi15tz^io^Y%hUwD3J{+noczU`Eo|CD9S9U zxT0)h|43mHA;`0njPqIf{^|T8SMQH>gK;@Iee!3Uw*FBEpq>n6=~yW6d_`T|>~;4P zUbDj7X8d!RlC`Em>S&D5OsnpR>SAT3@WQ@}QM2C7P*yCb3q>f`vw%tV0Hmi8``-nu z$)xOirZC!qHlHATc78AyWnwC-t)`9Kxqkn}bVL&9Ooa|Ef9H$p9I$ZNRrM2u;;PX0 zF!l5ftsCB$Kxe9|^1GqF4sBos1?T~m&?wBwy*H<7q6^5M2w4S?R(CPeXlQ$gS4ZM)bQiPw z@2xny@(4L6_&dXj{m(fYp^Wp8<=$F)>XrLTp1Spukn=y`9M`sU`aP@3=8b)y{qxe- zSO2x7{aUsB;|k$(ZC88SKW;xLQ#1^bc49J-te#6s%xJ#`2wR2xb<*J?**}Of`}WtF zq#uhE2Z;smoM^|FE5a-uRjV6*3Y$vsyf@bLYD68e;Dq8bpH0 zUm35e4q$?PBbUBHU)H@Qu66kABf9D14Xa)=pVl8~!Q0Ovk6H06rQL<2^@Ts6&~VGF zoF~QSqwI7{VhJG_N$!TXe!!(Epth#L?yjY$bU*$zFlqz%i#Bw;mXZhppJ!!7$8n}Gt>Wv#O*&%`sB_NsgOKG$YRhatOe$jLU zXZhd1-ul+h?3LLjRBCL@fR^6XsWF<yI*D~`|azmenF+9oO_82 zjo%7P#?R{UHmRrg*Y2Kw%AQBRIobPLhqy;K58M`IFT(E8DU(-i$biP^k>1)&@%nv2 z0(#MfwjC!pLolHaK;bwPahoZ*J(r0^J9LIg3ItGh8UWxBVUTZ+uHYGfQQ7&@? z6AuwD3vJG5#Kr$@Vx6zUz}EC|t*!mbAO(?F3fAwpL!h?+x>!fSqFcDV)LvHkOj5+9 zVg=zeIDm?ol+uULDcC5Ss`X^~!2y#Ag*-H8J!`aLX|CPP{Ia7PylTkHG7Jm8Aj8OP zfNH-BCSFm)?aBm`qXXy9GJnc+qGyC2_7msof8i)J&F%02A&>(=H<@O|*AomR94P#^ zIF9+7%Juss@We>DkC~}4z%P#|F;o1E59VEmDbRs7WIh($X@d9GZTzyN3&M~vmdz+M zm-LWcgVZADpmG?5KIrvGb1%4dzSB8|iDu?F$F4ylRE$Iy7_nAYTpa5RyD{D{JG`m* zEc;!x?KUb*g|=!V`r!aPZT2KHdNMH)(fkUF7ht?D|7B(zc5gs_Xcpu5} zhQFODKei`h-D@%;k8Qyo*E%r~)Wet3C|Hv+ka=+f9&_l3heqkWtc*0&LYW@iWORBb z4To00i)`)pSuiy&H;sRa;zH&} z_so2Yv8Gc5H8Ic=mIFoXY|&n5J`fSLVS8YQ3E+1EFJtSijG^>0q^q|A0lLFYE7-D^$hiZ zKtJHbyyr)oAb!Ay*4b3BG&?;qS%ajFgNb)v_)ieENW&1=p?U}tzGv7@cLY?l;qMb^ zx~dht6VUmj2%vc2O3g06P&}`9QgKlUWZP)tu3I?99|PJD&$*>>C&ewV@{TvIQMzXt zoAcd(!X2qt?#>$ym%_(qBybYGUA-h3uE?%wPV{F0bCJj@QlQpH)y;gn9tg?sS2{0T zyI(41MeB(jK>at-umsVow8Y|De4d&Cu?%o^3m;k)86Qj)=3Rm>E4CbO2+A@wR;md= zh82L8&^v1I!qhpbsBMZ>OkA^@yUUk{KO=0fu zJP-PdvUT@9#nuD8T4MEz_9g}nw^6;96)QHI6__Y9ApfzWRbGM~goVXsKw_{=iZvAy zQ?{5D|DRq|d*{{)cp5=hH74T3q}IU3t>u>W#CRCdhba`Zvhx|}ozQSQtR=n|c+7Dt+7?D*n+-Zcz%oi3w;F#oF0`JpGJ+1dD<+978K;*5S& zq%8rGY%1g}7|Y3-khcI|&-ihO`%j4XoY-EX9|d$(N(9{t-;xgf5szN!(daK~D~8}N z$BCmI@fe8zKu@$2!u2H7)xiRz)?+aaeDf_T_8Tn7L~Ij0k}az&^%cW4th9fwg@WJLnkgE}VoF%S>UBY{^by zrwW^_bOIy_d{Lm@oTR|wAd#@G_cGYg+BHX|5fv)9hep{u-w1*pkY-Ew+zH?>R0(K@= zMi|)Z-==e(&gxvi!Unn9=v_n!N1@<_ZWAVoRTG`3w))v2=)@HQlhs`STUDX8;{2fW zjir){;C%sGcsvN{hsI=66 z(OVJh2>RRq>I*RdV=GDK`BLdyz=n(^$Rj@7_{F@n|Lfm~eCN!Am>74@`eN`saWMR= zNTBSGTUC7vd3ZB>!Ta+r4&lv~+-74E&IqE8{=X_@2FVYF-i zkLtK1=vinUd2i8^p=+2Sk1k1UCmjxXw4Ib4y6yU+;*ea@l7c12|Ep_4z2!m|J$>o~EL0dvYH*5~tXU(QK$aBZr`R$K(~qAwPeM9{?fQ@rIx1Yu(*b#;D{@dWMVy05VZTQ zIscMt7{-pc8Fr1o#yKNsU99Q`tCmA?E9w{j&ELXqy|CzeVYsi^^(^V}gwP0Y@%$-L zOz3t#=~$CHiD3^-$qnKBnGhWL?7tC_Ng=y+dx+x~5BFd9NIdmQ%BdAcHab2N|GDBD@CyMveg!5kyKjS%uV*HOGl$gb90mY8BtmeNG5JIo?x&jlDb) zJGEwi7ftydkn04EK>QieO#z|u6@=^@6-R}8o37Q4wOd51CPQ3v&ja2RV8FcuU z;t3?kgbCMeoy4ElS2}@MM1vL6uCwS3%|r?CeE?NC6mmGSbnqx9vV?p@>$%JY>n2oh z2v&xV;T>WnWOFtqk45+}H2l;Cgr0}tmzz@*>%i$kEG6J*-cLlg@ zc>JTxx2Rem(7-t}F~OttH&&^^uR=C8qJm5<{9ID!amyQ@D88<_5>wpgwe`2a_;822 zG4?^vW#D%DIHzZEE-d{+oDSAoXYo|NiG3!S`FULU(-C+Mwf{-@y57b?`vkyL9L6hb zT);a+dqXo$%|&0bp()bY3Jnu|hhxMaKV=E6Q=m^dh&%;!sZFikpunCY6XL*iGlWAM zo8G|`QTDY-vfk>3qg$_$Ww26D+1sDxhGb*m8%x0f2DH8eX9jQ*c|&lx%F|T1=OOVJ zSWtLz;aH~@h$XOLhf)ej+W=z{<=Nt>2#pOv%mF-9-+(^C&xzu!v44dH6G1X-qioWg z0q5K9bNA7vqj|C&`>04e2NQDSeT?H%p`R<@7)}uU(Iyjjb(;wfGZ8NqsIuy{`#_oy z>G@$N%d(*B3$sw|YvW{uaUW0`3Ro^Fbl(?2tEwchYK7i+5DV=D8$E?mktMG6yP+aN zXb*JyMR{h@ktZM}K*~4^&wCHnGNvzLBzF*<^V)ExUtEe%Kw$lkYd+-BynsQ z%>oz4wY5a&4JDS?a5PDSIn|v@t$Id$#P2q;<0F~19-lnncIa2h-yWmIj{3W|r1vPlsl&lH#-`bLuPv)9_9ZB+>cTi0Cq zs$Vj^SqVs;dbCwxD;}!_4xs{Lx}&nW_r$Z%P78CQ5>pfWqCu$9z|V*Wu;x)>R|}j7 z*=l~-(dH28x;Wtil#xtDl&XPro7(weM~+t0#SRA!L0!C$(h@qcV4JoNlojBmb;@{Cz0+beAtj0jY@ zWZV@P5s;=>YEKCSE;*yXVsK=NkK_nZkq0jCNn%8V(;{DvHsOJNH!R#6V0RJdVT1L( z>m(pT#B=56YyV-~8>!nXJ+{2Fi`b>qF9k%A{`)NMSa4?-@CD4XIT*Cwrh8?f?PhaX$;Q3oIQLZ=Qk{)pM zHid?999&yMIq-a4uX>~~nVH}*+^H&toxt@V|HTV+KEpyiT9$y4KSDefKQ+C zAUtnz8N^x#;e7l}ge>yt0;|L|JN&v`!Hy^IqJw=8F3rL2)@)2Y^b{#J-HU7_)mAY`S6lB?p|_&0g(a?g9AS97ne|OV5>>DX=|&vRTi}LZzD3 zUMhGf!UJzdqJ^GO3gf&wvp!f`JfR|cu(W&1e#rr-6+LksC1Z3u!2~42G?T(F1V-e6uY?oPz>w4OX3I>_0`Q5P{$2 z=UhtRLs2y_cHkXDgFt9^#A+(kfz(K`|0eSA?W+&j7ZF|L-v$+o(^pC3HS&Ln%- z2ipL!q8ga|c^43MWRy|)C=jw7=$9jk_98(3G@TkpTV}D>AmX>7OwBsj-FLYm`48(c zinbC?#)tGB_~fb^54OKb%*K`HlX!hm&=# zMv)>jodzG}QC5Ux>?Fbi6X-<0PSF@o9@K3zsb!TWArZZ&?LpSTcl&#jFGDIaV=XdE&s70)^rG)@(J++RZr8GZcTR^2LUm)D+ z#tqmwJBA7CFfW|_ATwJ8FV9Kj?NgXPQJ z07If0BF;J4*^?6Z|6;1oWtG9*L!sG3^TC$mcu94OjM!!C;ATc(GC;hGQU3=13%w-p zNz`2KIu2Edpqu4pywsyS7UXh^+doUB=xR9doi*7M#mtn4(4j2Ly&9)IumO3o)~9vo zD!$7x4Eqbq>OSHQVxP@;OE~caZ76XP z{7|e86Z1s~Kt}OagJfW=o<{vVJ}yeTB^}VZBS@>{#DDp%gi2_Gv#cB-IS_J$j3a5$ zlAsX(l1*0^2mi^l_)igw9$yl)F=Ur-inJ>PS|bSqXPkQ~^jI+G$VSp)-D~h)j|Go^ zW?$U8Xv@-N%b!?S^2ws8M;3Pn$zPib?G4%T$x_mWAj3S2iSsa(#=jN{n&RQLv#*DK zv$B)4wdFGXoNf59Go{rwKW?vl;V6Sg#SifIz z?cXa#5}_q&?Gk20$@WqK$F{|9L6EF^T6(nu{q$J2!*nIa(G>7-2I=IJjMO#ds%(>Ez)n%LwLy4dIn|Y z_sS~!?$8Z)q@Tl3h)OODv|tEm&gbxN+DTi`-se!sd!)mkAwZuoViFPQ@Ed)XT*1{Z z!Y5|M2Fn|oOO};vPIrTc45sHljh3X{jEQ3`(|b7xA?j7w$+u0aL4zr_jTX( zK-5aOYe@fX2eQa~zQ5)9jkl22=fhaTVeEkeICiLswDiJQV;Agkhu3@toya>!QSKo9 zcSNQKMru0Bg(D>mCI#&ABtYl1Ab?ES0H8kJy_Mk#L@-I1{IA2_Y2!(tc<&?56+Kr< zOk*z#_wLLjtO&3VPkq%1A>7Nc+Po4k@MKTC>W2p*$TQZ8J6b`F$4!GnMYUfg-GBGp z8DLUf!((y5S@~u>#GiqNrhe7?qY)u3ScgyF*M8wpg6-s&k*b;r6kRJbUb9dkdz_7O zN)L&8qGz0{Qx z!!9S*%z1(8Emuw?7$u1Es@=f5sr7eu5rz}UngTyi6le>NGWC66V?Ab#sp}WuHwN#h zR{s*!Z9Iue7c_15mmD!X-Xtqq>Yx4mVBc0vO6?P^&!W@&j&>Vj))X;~a{3jjc{ z8O4O^y&bL*4OA^1@%W68eg>X{FQ?4hcWK~q<5#-x)hA3rbQ_3+FIN1Eme;Vj)8CCO z>qcJUUl-f*C zXpUZAZwakdu-7WEUL^<(DTE>h{ZRxs1^98U)go=6eys`Cx%***X!#Fw7Brc8!3$~= zB0VqMUID`!$jQ3z8=#F3s?kKd00v~w>w`J$PQGxRN5%xE`LnI6OIM#8APN6 z%09~1a2A(HJ-+Yk6nu{uZu>=rC9HQX(51j4jw3jzIVI?t0dZB*IM%!`3j8UM&7@*w zfT<)WhE{{WQJk_e+>nWc1+ex6E@k6M1DvOiLlT=98w^|NemKOPO7NKMWy5y`Xzw+1 z@fW}-f;5U~=O2})7X5#zsGu*xncZ#t)JC6xN+*ZL3kZ}2xM~A5wG&Gf69jEm zg%j^+x20-x_Y>;jbw_20n7zxe?TQwTdC(V{0sBwBJ}Ec@uINmd;O#mNT7hD6v@03eRBI>c<#RGtv54mTl^?uQ)vB%9%68@5DKb^W5g<0LNWAdKkHh(ZPvFLB<5I>S-`pfp7mMwI-grf6%a zB!|7=0}V&$g#!=2ax9l1XIcSy70__tlFo&(9QY#3Tx~TBN@`j8@~Q~@rSPcVb3Fg- zt|l4<22ior_TUHRjKgETTJr_XLbtHrEyen!h&WP>werl!xE}V3mS=mgY?LK!^SbbK z^w1PxD$rC+!2y!Yh2?af?s+JFXT$9^%mxMKgeaiOXC4K0Vs!W}e5^{eX;9Gz+CU!T zg3`$PL@G`WmQvvcO5-z3WrUssWiVDqlM<(ejD}SxyqEGGabDuIZ|$o{HNVhZ=7-`s%hpSRKzo2r!%i=i8{mYijse1# zDZLN+7i#=kZ4oARr!@q5l{G#-odPiA7PXA-Z|S%PcjSf~ck@rPY^0U#$J(nR4RDgh zFy6haZIeF|M`B#$2P#iYH*^lDKujuasm6v^h0Aa=X8;w^4T3fMfs=kTpw%*;F%o64 zmil*e(ruTzMtW-U9R$&OfpsZY3t&2-7!}X)0C|w(uYa3XX53h!t#uYs6vc{S5Jl~o zmFIaw*OxlR2SnZSlY{EXesAYFTnIumcTRDhZm>=Cvt4bN_%rsQaH?*ze{^Pp?V=44 z+e>;F3z)d*3xq6cJfwrat5JD|YL`n@vuJ;sWp&s{)lMq(aXWCa5oTalp>LUMwM+|r zl{&ap60Ie9>=*|eCuw=CL+bPcrb0kQ+Z^1Rb1qOD6(H!qPFj)!+-9#3h#J%`M4a)^ z7VZ0*t+`^u)HCkW_rESaKtW!F8O0{otjsmt?^)l_Wh`GFr_*j(kQJAWHtMEe6gm}%Iyn#abj6#J?UPhk}+B&qKz z-?pgfr_=Ff-iW$Ai&!fAdwJfI@FXCVX1uwulej*?@|;Ap?7}W&RQtpEwBd`fg)ek~ z$X1vSOK}sG@qtv)!@v}!>P{2!Wd-#?uKZlv5~kMgg0?dCn6=t(7R({A?_5Zo=^opX zoCV{m6ck8PV5s*%V=0Ic4Mw5~IIoVE3jDbRBPN0luqJwH2RIL)pe7UV>zaG;^B_c; z!2#c_tl1Y8hnd-gZmY~KA}&`ry_}H3;|LNQCfmf%vNX{9WSUFXp~4jkLcX;qiql+B z2yU#nW8?xeb%b-!B&-jzMGhi7bu(&mkAUB1p&pw>UOGOhJF1fN2I5X)!QcR^DVT&J zn-SyHc_vY4a@*tl6#F0MAaW}iEbdNwXdSiZ2RZ(Zerk1?V$z*N?2h2BJO&#%Lk|t~ z9|1%TQi&BN5M1eg{tF!t-4$vr#4l8RkSKiB?=rIDyXL2AJid#RnZ>+Xrm4uK73T6#d-axT@In8{h1O5BMD0`-67jLI0k!6F=yOSE>F>UR?yL;sT6) zipARrRT2J2Xo}CnuY5~riXbNjeYzwlc**jRNAHC`d5W|x6jXxUh`zi?F?(XbJ;b%hdC$)7%X zd|=0t5NKUYUwY>tp}GZaxd42b&DSIB8@P+M99p*g0i+|NA|Bb$vAO-;{Q6+hrvE6D zYx)xxUA-FeLFoFK6Cq6K8lhYb`6y)L-%~=WH~t^W-aM-5JOBPiHf=@i;!?z`DaG_>iJhpU=8ISer}gJ?}S=3!{eSAIHAS`y9+|kX5Sj=%C~TX z4T8OYg?n|hYSvrlL7f^HV5;}Dh^MB0({HM5#$=}(YPM^>CGz8qfxi*?u_N#=YrZ;5 zQNc6bRj)H1T+zZVrYnRaXuJOD@33z9lW*~i&(1dz>Zo8q0m!&7RwKef8D%Cjaj9;o#@*w@{L%+eerg$5z(M zET?DB>}~#{f%34XwMi$IU!%o_p0^s3qgnAr3JPR(M&l`Tdz8QdaCz6&#ykeV z-b#O^@V=m;#6>u*L%$NwTY3V|1>7iG5!?k`7#!zP--FqGP3NlCaN#Sj?wnb3jzIU2 z5*waQPLD$c=3Q8gUmaz;|B>!El4)8cCQE8E?G<@BdVv#l_FZ24i25W&&bV!aTaBsz zspNi4OxZ%{36z(;L4R>3iIF_=qq*_-ou-~2r|OqAox1-~^dOGJ)G`&F@rbH!EPA9y zg<7e1n4W6B!boJ(-aG5)YcAj7vM}!-l35{HNMYdA&0iLiw=$8lBou8#Rh@q4`jZBo zVXteWfw)pk?{r2IV?It{??Zc-4XwXT+eCGJh%l^olx6@gwtnaR`CA zL;`#H`N;Yu!Dps%_=MU*8g$_g#-5!7GcXOQb34%sV%Lvx@I=yV!&h|_NDT<|11;^> zTeB~tkLC-5HZZMs#caGONe=Y6`6Btj=E=^`{v`YhU z7EUBZ5LBIj1&tfL_+ta~_vuutWsHq4LRr@C*_X70eE>g<9xlL?`733l3yLU)q%IX! z>rJ<+d!-vw2{Fng5w@P!h9##*8{sxPjY2_JqqDm8{8YU&^-K(Up|E$*yhKU+97EO) zj35?%Q$upNF05al8)#MKgm&l{%8ix|SeUAMcBtzC^17`&W~lkXglrXGZPV(JC?M@I zsEY&78#I@tO3a#iwmeQjL<~e+h%Yb_u3tmhcBQMLkGD$IUa@q&ae!kuaGcA*C8p@w z<28U!;;@qQyZ&cwbeC-!@lp5#;fDDf2}jRxa$VV#TYiE>Ybf2*a}eKi4waJpKCNWuB<6vEAO!ug!HvFN6kXPMQhVl#8fM87;++ju;4mt*uz(_D3dFx{T<)p4n%TO%vg%NzSy)6IR zc6e;E>er+j?ak-9VD)K;+Ue}?H+9`UV}uPj^L8~RNLw_X8CLSV2B@3}2fw^LNj^yDt)~X);4R|_81K2 z%(H`IE&Y=wS}G=6Y0{skKt_?22HYENJwIf4SUc2op48Fw^4AoOX9TF;#GGOtPYItp zV5Q0xE+-kvH0+15|v=zk2cn%q1kYJl1;vd zdOHKP`E)jKj=^ZF&ArQk)1ag4g+4)lf#nPr8r=!H9EAWSrEAnScsp_AB{4`H5sNZf za;k_WK$f9eyhGm0TN~_hR?GJBS91ZSDo@OE4Ma@^QLt7^Ov=l8AVIZyj9#!-%X?eS z2c*nga*m_={KR8xHD)G3*~?rp(^i>|v3Y{2+8rIAFVku4XXh4nuT*1&AC;8= z(Bv$PnxmO?WwKyL09XF1KoG(i&SEMF+=S;dQ{>$h3;Dw;3{-&eWu+9c^L$eXxfBJb zQNz9uRgA1pgi@Up6iD=;L8_0zaJq&&o-qwoI;Q13Y^Tyg&@k&8T=!1S$w*88r5njO zSmV|)r#XK5>E__w0d?uxXbA(`i!h`gi2e>v0?p@}n4bqUjC8ClHY_LGT3q zY!Dc6NL1kvAA#mKC$UgxvmZTf=qwj*>{F~K_H<}Bwi(}pxQ^})!RMz1 z2a+kN!6j6-o{xie?lfEBu)llB*4^KOsywtrAWb1H!8#5Of8Jb`y)L0Qt4A0jYow}d zX;M?`9X|U5e-!1n9rxg-m5d2Pis-{bGL3Q)q-WA@5GQawuFE@AMWg9N2PvB1icRcK z9r%6s0kf`doSd$n$ekNDihYY}s)DVGf0#_r1?cJuE3{;#S)0xc>i_{(4*fL!n5X-3 zC1PGApM0l@g7Cl}@^1$da6sX~X$W$uWphwlDG>Gsdjj8vVoDqXzm48<|SU)h>8wa6&4W#*lxuFJ!fsvjXqRVyTH{skdwU${>ked>fyGW#NsY*phY{{_{ z@TTeVxNJO~F@=m`Vs&ArT6vvhVS&l@kQO*ZiLB?l{`#cMY8kHIk)(5pc*B`9gy+=2 zR16IC2||Ri1z5eBP&#Bctx}_+JvgYSJx0`|bsE^JyRjJI>mJ-s=9HAi$Bhr5$Fp>N zX}3m?-2^w5EnjEQH`3ho+~yU0`7R}l8r+m@VW5ooc1%+Vu?wVHG8jrv$+NOs&Zm>! z&E|aJ`u^42?%0xdjS*Y!fiw-HG}b}zq(1Hn#ow@W0-FFAt1|mP{Pc#Z`xA1sA#pC* zoZ9wHTNNr)Rl>e%D)T$$jJp5EphGP@R$@MzHoPv8kv>{ks*2VNd$QB|bJECy_Bi_t z!WIpCnwm^?YqEp-<%B(f6`lZh;b=!|{x;RZx9bNg9vYR;$Gh0#e7_tp6w0j!(3?yw z(%Ys-K+?h2`>^DIe>Uk?M?0u+8e?-%EJi#+RsvV0iU#-NagtHe#0bsC10a%Kw;e5d{GP(mzk;|WsZ!vf6NUW!SJK(Bm9!C zX4(GNZz04kL(2_^Hnik*mQ{Lofvb(-BCODs(jSxiEyKIUj+&y9r@Jg>^dP#dBBskc zsc>O;0xP~Jc=KYZuQD*pXV=hWIh}JUCZp(PM`>0ZYR3|)kA*YU``T-KC5AGUtbxO# z*idS!3?&HF#LCbT`l|%u9Yuz+`K+2%hz=}(m0sO(iJc(05bCQ=q9cT3&O;e3x_c1- z8$X6yR2JMblhksqY8M)r<3L@{>zQ%A^z$@|=}WehWQ1h8^PReq)?d}z*rp$?w7Yy> zo7^u$rQg;j?fIwb9}}!R!bCk27|e)Mv;vh%|JnA7U8|JH$NgEi(lXGqXLk~1k#w-A z=($*8rbQ8Tv>aDJ8KxvgA@#a|)^1S}tuREunO`TbnPlVXMl)WLqg}gfPVfgT2S5{oIY<3E7`x_J z7$rKTv7B)#&0egq$2dm7Rk)GFydhILM|EkjyycdlN!A^nszgcdTZe@ZJ5M$qv1op5 zXr#-#aGC*n5@9phP2RjP3-x`>d|!_BQplZ_CA}F6bp`iFce*68Qw&<-R65grPQGCy!D#8C2d)1GtY1#A$e@^x5 zC9}GfMGvM;%+iVEvc>!$9K7O-Ci#qT@jkPa_R`?uC2C}lF*h_5GPvw`bIu_B1>VLL zry8($M>G{)Dnsdx=#lx$dbN^6gAZODB=ScSPX!8ED3X^$2Uef!cGW4mW@b5Z50UDw z@3hA_p?E~Yr+45)dN*{&(Yv9}6s0Q=mN%D`fP81p`8F-xl$XKjcegLCzk_pMN;aEU z1E>e}MhQbzO$ZooaiCTud5?0}uw~o1_jD^I13mAYV}0+Wr+LwB)RTQfMB7aGICYBw;Mu1F^G99>a_{jVPV3@+btJOJxhF&A$W zz>LksN)@CH;y)B4#D@P6$AvKg`(ngDGm%K%y9l+U=*Xlv3D5{ungU^N=7d6Yt$U=v zmKcC@GZ^98n?-GA(}TMWH>s#79IfJU;ENx+qte`@s!VCwb-A}-eGL}~j^cyS(nImG z)%P%=43=(Q6TqYznRh=3LMDk->flXWCi3x}vD4+8nFUMj+FCQwy5U<^5d1r+7sRRD zz(1niut4L=r%{qyk;s1jr^@aGu2$kytlsN|vQMYeUYGk#gw7U+%T?(*SEmpDAYyI9 z8=)La);ja%=l|$3@Y!;Ktxy)$9Ru1$ z_X@LCc$A}o=(Rf<6^H2xR(ALc`dShzkEa7v$3pFM9ov9)N>{b^(abp}uMaail5r=cC(3dCtQ^Pn)O z3YIKG*-D+BJ`t#M6cwz^LLQM3?IQynpxFAR-2H@631i(|WQ;WfO+2RRwMO`irFa5P z8Fg>jvXpot>ay!1`w)uU4f9yHDd(gp3e7*nS?of4U}lzPaBb%W%|`~;1yM47*?x{g zUBUEmtuQJEDN5s0usW0BO@qgwL^dJydmyhKoM4`fODG=09^o=E7ULQgkq}~e2VUVb zIC@9O^0Q6Mlv#o@V$yf+`!HKSnzu?X+gU9TyghJw%|`=$l>9{h9n9t7rSP*NT%$b{=(X&g_o;SClNPR?P(*#bM1R-k>^_n{LuoFu;ro5!D z`L}H;u$vNNS90k;%%sn==t#=w-Niew2ay`XTzwwpIu}d2IbS#Kg3fk*Cw2{>a1c1U zNckUH0r&0m>Ndk6t3^H(2~N9?y1bzNK4qAZt1aDX6WssU z(p$DY;9%DZ>%N6NdlGge+nmkk?bf_|`k0ePzHK{4%TiNCKlm@cfcC>yy zi0!eR*k*TA(u7u?z}1q7UZuw^i7h-v&*>8#Pp{sHEAW5|GS_a!h#}dapIQ2%Xw!54-E=pX-#q4&8l#SXig!OE+)DPvbZAwKAg1^c3 zUkud-M)m+l0EbL)w5J=goPy;~?r~5k|OWdCJUa=oPtg816sPK5h^N%8r z_dWmks`v7U|BzxfgFs58KlOhH0$=){-~JN_eA2@cGTz*2j}<$CBc1=mtl4+npY~kt zH#uvO+lo&;5DJ_(d+lBCU00?&H@l_1J;!sWSIClI--OdtNnf{KUlW?xjNwY`(FBc+Ob7>v$kJz z35oAS{pvmE<@C#(`onh)sVd@H4xdDRkCTnrBr&o_=DG9e9Iy;!(LJ@EKOFceY{AlL zNz&q4AKwFmu?x3EDvv*VXxYKhtivd`i|jOPG4C#Z$?shBRu6s@2g0p!*vK=3$Gj6A z_U@dxeON?Cp0Pj6+3C!}Lqsc_HGUBEjOfV2zZBXU#52y+w!bf1 z^H2Jr4;cy9boQ*jO8Jbm>)*6}!OSrhwAKFZT0V8dSNJmHlTsb9pl?W)BEMgF>R0zl z=0?9QXEk+w(pKEkEVloz!2WEE_s(SIMvvzOHGBOX?;niLm0{SWH#A-7nZK;TxstH9 zM;*^jJs(%cyRpv?0Qb6rHdLK8Fp8d?CxMF_&oDRV*6*~8r(*B{StBt#@Ahb+O~RdI zZ&c?y!Hu@1IqRq=Hqh112K6m?OY>LX5q^KBVDR(KX2?{Y=D=`fPV~(DAv5hg#n*o% z>1eCG16@$6qRMYgcE7_BqDD8IuGp+C*iHF2(3M;03WfL1G^Ua`;+cw4?|eN~$p;YR z=$Lasd)?c`^Z%}Y@cv}|lDE%txo^0@(879lQjw`#Vw{H@BFiC2WKf#_EEH8JVbbt_YJyFKZ%U{>9E z@B3%}@<4qLl#8Oq7Hbf|CjBaif_|*%#`hon1fl78SI=^Da^+eBZ82aCC68|@7_xUe zlbQzNuc&Xe@UmIr6>hzB$R+&a!5jw(?qu% z>z}vOTJ-3Hta;*?ym|wn4U$bC|1a$5^5H%J42Bo)fa@Lw0nD}GsowatK-yE(&+I;!JKgqmoX18mf^21!mo(c&WX5E-9>`>A&!7G$lPSZ&T^^ zXmUwZcsO@$>o+5!2LA#jq460NfJE_~N3GEx>61_r@in>SULim{k0(x|0}t zvnh!@l7v6&CL?NlW6A2DDFt@w2XL0Jwo%lP(xXG; zb)SWN(e;9WT-Ujwb~(=9hah)oC+LmQ_n2phA60>CK`oxlM*l($RUSnuyGFZW8tIRm z8d#C-J;K1p)t*uVsrG~_xQ*kFwJ-8o#MGOC3a^x;bbQIBhTVkYn~eputgGoJ-(91{ zIR#A{&T%cwi|QhU5`6-h34?9Qo0NQix^REhI_m@HpNqyu8*6h-DH&xU0TNdF9yA(j z4NgF-8{@gm#uECl0D-u>cp%7VF1))^%Ow4*nK;rVjPwAJkX#Pwg`oqbAsK=-=SI#D zv#ZXGKhx@2$i*>YY?xC~ja`jF3KSuFkA0F)+%K3>;Vm^kMUe%Z?^f#Spig z;GCk7|L9ay(Fy|rpb%Avly)ZZj|ieyXX?n$b-Uo(Z04nC4)8j)5FrV4BCcPb_hDj+ zBNZlMrOSny;4m2*OSfhw@V}F(KHG7Tkvi7}FjaPK=@xEaYn#G1C-g)@TM7;1X}1Gi zovPBK5g0K`7^Z?b$etK_ow?qpO{p3Xgh;ZonR3Xku&FUpmq3!|J^cYeVFR{EzIZV$ zyebzq6ibh|O^y8s-$GAn;n{`}KQIYHHVXE7$t74560>}q99dSthole>ZFT`Zzn-q@ zoiENtLDSEjt{f-nd9pBb$373x!SX%-Iv%*vfBu z8UFw?d42@Z71~y#)72vh)QgKI4I6&kvcuC>N;2F9*Ca4ERzRgrkGysZ!R$m8!3k?i z-i;E+Zb>9M9lp;J7%QS(Pk1Zuq|VwOVT|cUohP(6MRbu@TwEO`*?@h*L9k9i1&uNZ zw~7ZIv;}knER+_=wf~Ye)TWp$4atUUdZdH5EFjK;r&wlgc>?{ZFu9|UXVRt&n`=`K zr6UPLeg$Rqt|Y z&8pM@(Q)oLPW(=L&zML3fjVxtqzgdQOO^GQPG&R{?X!uuBFJH?5{RTKi2h&WFX;c@ z;0Jg0xrl?pkOX6?U)oU5K1U7vMO;s5ygV2yWPNF@C}IiE?m%MA1+8)1FPmV3Pgw+k z@i>+AHJ{`s8!K-2;FV}4x$@PmnZc|CB|G&HvBL;++E5x2j`eZ%8HxPV$q_#;`fNj@ z6i9X?OYBXJ$Fa+yB;i6rPLK`V>_l*;9!B+lLwh0e#WaqWXt%aikNFM#^QX4RS9=Bq ze_Bh{A~8iRmSf?%z0nVKd)6x|O%WiQDsGnjT^EOW)iPnwcl4?64cl3N3?LO%HMHD3 zJewbl>sG@&dlQHnQa!9vC2u2{Qk61|fptVXb8EyIT|-p_SFOI-fb?Oou6pmB>bj!I z3^l@>$DFJzQw&wKw<*^whA^Mez{>0raE5zxPBwJc7djn{V9{MgXK{o6jfiAQraBSF zR-0p#c`B`$`T7Br<8e;^MJ`e`>zvvoH1#fO(7w)rXL=Au3B3@X$Sg}l9hXc*Ow;f_ ztHKuUfNx2rLOCZFoh#*v2#m^BByc5I6Psg-Csi)w6AhYY88P0d z8JRgL-%&qPSGuFu;W!V)(|algbO3y-bypj28ylOKFp&vZ@k(>wIysOEN5mu@gMTYU zV<3m+@YJ=+0`j{Y9gKHfA%k<; z15mw3^{jaRNN#6uS5qJdyG+FiFoWh8a^Q8xP$ycB@~^7UfNMGMfh zDQ|bl$OScVWWy?>XA6 zyH`Iz%b)xYTBa5<;u!7>&6l$_U_$kFpXG`anzj?H3@WaFeZ(oXUh|XZ zEgLTfHb(U9uS=*n!jkdyIVoBcY$e-}2;(wuv45s^=Z??a-t4V#hP>?g)I0WFZ+frU z<=B}R=#9q1w?3J@U+XD6@d;5H_soA|bk2jlODEj_USaGn?HZ~V`5g>Zz zPZBS@AN$uRkF3Lovi^8EGww_x_i%02A3aw^WgR}_y;GF=N6!#t_F?axu}O;|M`rMk zubk&Q62*BY_3TKr)^T9Nd$s2YIqyf)Cxz(R+Q~0cT z^y$N87$n7OuHLhB&ECs;n>&9SfAB@u)tTuf=TvRtE58#F@9DMi?%mvofsfI3yS9%f zLCx9`R>G@I?8}i@c;!T|%f$xytkUa}W%_@tYBu|(z-keTAoLAcF$3KH#YF3 z0uuI!9-IMH0tNM`JhW&mgLwzrg_4_Pm5PG?*W-q=Qw~RK_bi#Qa#-asf1v_B#7)Ef zPiuSG+Qy{A_l5GR!jvw5)FU zc-Nk8W1I55<#Jly*RwA*t&WvX(3NWT%A>}=f)&3Zj;=&_k!EV^nm&s4;ty0-%st?< zQp;2gP0d@HM5$sI22W?ORfiUB9!QqG2&&d}OjpagtVO|ELLBL2YO6QhLax#zUUN?2 z%3NSt&C0h#(qp;nl;^)?Z9mrKJF6QAz^2GuqbO|`QKMg)?Q+|fy$8#fpvn6mFSoE=do#*@8a4|*nM|T@NThuK-dQg;>&;-d z7EP+^pIw$X!lOlEEv3lYL8xHS_*mK<8Ip)56rNGlMB=$d6DW+faXWG*7cucwQrq8x0O- zg<9&*YUIA;zpfH^TyC57s{ZFvuL=6=F=70M&c;7p?TQErKnbsaonv{W?S{U~Z-SN` z7v6(nVztL3mN!Xs9XY?962%TO3KR2(3F7UQ9Z#m^#?A%4m?~WuZRUC5p#_K$h}5KW zvX7BBO!*#+!EjExm@>+CCT4|xfJlaRU~E<=F$I~0+oNX?3{y4dMnNevo?v0o!tP-J zhssDRB~S09{O-}S8}yXoP&`xaFxW=z0@lkI4CO=%BU)YVTkRa8y=C&GF{ObWElq4Z zEi#(rjY=>^GU&f4Ti;Xzshy0!=|Xw1aeW|5RD@-xTjh57HFOu;0XkyDN*3?ykwCR+vU_umqj7VJEfBs+B9tAo#Ryes7wD;oz{dIq5l1RrOOIS@)0wl$0ghhXo|Mz4`op6aB=xfTr`Dqm0}>`!h#N z)rs{}3K3ypmLDRDfQ&b9VrIz>8C#C^=jLNT2@S8|s!GrA!yn&}0!^iXsqEQhOYXW& z?0YF`h$MzxvILK0g6OSucT;5e_GWVkWWv29Yk_M$CxCGe2Sya?f0pYBH8 z3oz4)q&+L_ebf&_^-@;Wd9NvFT@XU7^lCv(*^U}bw$@CSTbi%LNEBgb)xTE#>& z(b$Bq3ui>rF_%xajux&z=H(^Hd)-z{oeN5||1zCawnJ$Hj<=!zq7x3j=z|ZG3K=6m zte3vFEryZAFjowE00Ry(A{S=?c}1h4g?B%SzS|JJI5;JvGz6f9Ow`|<6CK!e3s=A7 z78DAsE6z*0-m=+~z*B{hN*A30%vg7i#SW2Clt#>ZSDW@29K+_4&q7jAz+GEpPzEU; z-hl>HHC^3dTpfIHsDH2qjQ`}aI@!o!w(=fTUUf#rEYqgwW}rBM@gdr;e#OypE0EAx zAN-_MvE{6*AX2bBafm=CpO4d#1f@Oq$-5?=-#Yk^4omj9LxvM>FUrS8R5j+&BdR?& z0*eNEiSp(eFvXbp?UF?eL#>=4R+11Pcr%+l`GGZexjnw6@CIW*lDBUIK)0!syH~N&Xf)F{?{2edj7*-_;Zj82h|-GSL?Bi z;!$pTo0PVF6=(XS=&wH&l$4O)5;ndPr#`=2n`!;WPb|ol+Ooe3M^uIKV~EWP0-OfD zn*JE!>Jx$5vOp&+odJ<#@dHQ?ZZrvD$r9xT;Y3{(o-HG5yq|Q=-HEXkC7P|pe_yc_L2;%An4RV9BT>JgIq_Mtiq`M zR2{c?N3@~)lsADda98r(-&|FS4P~rcF$=eH)YOq2d}Hou`+!i6F>l$th2y_Ui7YmL47N z5QNA}nF_^>{o|M~azZ)1=ids@=Y)Qs&GX@FlepP^>i7&Mez1?NIKeO7(M|T)eXOXL zIU8ui?d?Kru;tEer*h=ge(4TV?VB)J%7hu5*R)C(T&C+gT|6yU2E*jq?+O7B_<%|O zMY;m!1=Nahkl*G`pn(K6Z9f^N=fN7;uFx1A;n27E1VSj-US&P%f>LWPz!^A78!gH^q z1Y^}UatG7pN-mazN>cHPy(U>(mF&j19K*6^py%b}lqe!CVi_G<^sccp;o-j^gko%7 zV|O{tkEy%4C)Wvzyv7xFVF)8Dnlo-B) z`kt@|4zOa1mu3D|cDs?lRJjl-!^p+Qe>cO4at%yuv5SMF4O)rvSYqRG!Qw6CBkoRM zN7XLY8YLahbM+i1Z{Q0Q0X^K78_`b)dohn*<$OdQP!B_#O-hPD$*h+x?{S($$nq zhyv5QEE%wK^d|!moo^u&BpskoqY6XSeHNGD_zA2g)Sri>eb{P`Oc!oXYG{52YP1s% z<*)47wK)wa4wZ%(a(4;jeOGuSCE9Spmu-1yu>C}Ry=&^wh+!w3# zp(xM|Vrnl}MG^>Vb=4#@+ABmsGDK~~w9M}#JpM4_@AcA*s&hUx*C(BWc|>|Bx}FaT zujb;3D&;xJp#n=5=Rcxk4MfQq%zRqVU|#VcF+w6le)Df3@@*HI)NSEqkGURmJXh`W z3|Qk9BJ%#@Z2a)F=S$Ce2K+xE(hox99{kJS{HSA1w}sUA27H!v2zHA1)3Z`S@4AoUmo_ z{bIj!#wyQ+z58*e{>k8%KD^hf{@Im@Zl4}Wcm8FS=Toa43+>Cj{cNJ;E4|l6c!uw? z`<{eqNzAM-y!03UKVN(DXV1l$G1r}Zae=q&-)`3Vf0X!424wkc*=1Q+;QxP;BYk!( zx%Uw?%ed-_xxbCj^L<&N$SXft#;^0d5ScH+SQeFkb<}6s8FlFZTuQ?6O}Aq|d-d*@ z7SIC%v*M@MaJ$zj=W+bf!#!e+qFpx4A^TJ4sIN{AbWd45eaVt_dTjaKx2{~hXa}rMurpOjuT|qNU`F0~C zJ{0QIq2wwSC6+GJ6^9iQmlHAL?{bdAUrr(n)x+KPR9)sT1g#pivoTA#Y1u&4al62|+m;`VBB&{$SghB7gONaJnGTHLf z>_pjRBEKq9J1sK*wS?TQ(4eMmi`j~7KiX%Kq#H$He(C*2{t-ju>dbDJ`6$$4&uHO6 zmWWiNJS63kWx#;kXk?GYb$CZEFpaBcf*9&gCIRhceW^{{L%s3S1DZqA)i;Ijs*or- zjp6`28yNSJHpR~?neUSlzgA(|kd^7C@IGrejOIgNFA)$0hfKUBr+E;kTQmJtBhpvl zRJDa+(-8re&0i+RywXKnxyemD_2S_AI{wPEo}MkS#7#UHubSxkM)uk*IfoICuFkY3 zjOHb-&-i7%zJ<4cuU9nuYNDxqbL@zKJ4riM6<`2opa8*-W^KP6pTMrEDSH-G_R@H` zv@C3uL}+Hb6f)iur*yHU3mr`N+o$T78;xBrOsf|1F;fuPSlHlN<2xqpj zGTPR_JP!Iyo>7Dvvi^-~c3a6K4_ z=b0VJ`?fbD@7sd|b5bnrPuyU{{RU@x0Ot8r<+ev3%{s~+v-UT(q@_~V9cO}UBRztn z!!}0#Ue^m%nwPrY>4#Ntc(UQH*~3NdUAM#$sIT(;cMc)Xp~a0iHwR9=%hj{Q8= zAv`~RJNrX$T=k;2JLsCU>Lt75{Bm&A7V*Pyf-o}jq3C_?kw&V5AM3|`EEI*aUyDy5 zR@juAS&wyK6yf3{2YDg_5Id{rnxY3R5aI2NN4E3(`7K8j?NHI;1+$b+19jb$(x;3S z$%IEcGmv&{7+;D>P$p=nDwrX9yRlIaIL4G4)#J7v49eVe~lz=uqPFf?t_<230$-Ho0O zb!@R0s2a}d5yQ~klgSU;w8_FYG7)SG5++QiQy;R7*IlPve-TVz=}>N{K#NmthmaBR zA?7DKVI4lRo44#SuF|RVTcStdV4J#^;~no5%q=Yt_unkt+MdB{o+y4L zSu65b4|}IZd;G3~uBW z%%-86;gVfWx!KhqV{>R#;~uHiI?E{jg~!#|$xLhlwYK4=bLgZIlvtoK-=m~LOh}z} zQ>j}=UR=F_7iUjxS|)ftbf$aBr%*N*fezT0T5^k?xr5h4U-O{p)#=ydp za`{x1F$jL+NHya+mF!*4oy5?S5EO zvYA%Pq#^@$3S1DlK4+G&`X{^RHD zF2iYM`H6%9jw+!0fUiPls}EF=Zc&`iJDMKcgk|aGgSOu2SU>@os)4Me`~4@JtaREW zI69c@%zZ|O2c616+({x>yEor?mnOqtutZTY)q8q^{I4z|IK*CT}WgL_JXFn0b8A$=X4U|+R z^zm{;@#$FmR?4-6tNpkHNh zzw?b_?$5?C4}7$eW6`>O>e@q`M~ruuT3{dJOw)n!2yB*l` zbPi-RdnsSjzk)_ibzPYky1MG4zq$oq% z$-WjLhUg^3a^eAEU2? zb>FK?9UPCNX(7^)3|yrb`nJr)8l2g=iGkpwh+EmWEel4p7osD ztG_(qx%2HQ&)3{m_<4Cg>9Jqw`Js0h0+Mbw@E7#|f8YoA+mF11qdfV`XAjSg^y-%Eig#O)q2jiEZUO2Zq#$fJBvT^n6qS`t_lOTaMtS3LmO@^`IYbY zso%Mg>H~Y`h8p+GRe)!o{Pd0ixAVVue(<5h^Qm<+$K#fJ|Bqw%w87i&Z9DfFfLCoY zZk!kGO_a+Eo*T}-_rU9ok0vhqy*SS!%u|+DYn}hcd3(oo^GAYz<1Z}8Fr4-Y)y*tC z_xpPun^vqUHvK3rZ&-e9dUc9o<8$LF^MW^AXTEg#U(1U9lrJh1-l-MTh*hVK)h?5H zu2pKLyu-q3Ydk{|>s~~%Q@w>)M{8dM+(e;TcG~lj0$1~N)4ONpFHeXGzXb)Fa(<2g543*q zmA(D5zPP_N=oIa)Zc|+OotzR!_(~o!m2n%NOOq_(v8yiwAq75ZRW6=;2h_0xaiYGl?T<{&xYmqXN z17XCd{Ty(CR4KC(SX1w_ z^UQs^vnQ!1xUk0GDY$j8j2;HT2R!UPfxR?dDST5|Ly45s^9FV8(}Q(OjVI~KjJnyt zVqJ()I673b{a+S`AvGh=CKoghIwAQM6)jlAI;A2bNj)g>kusO-h3|l_kHyS+sgviWr;*RZce|qJ+ zZb#m7e(~;y9ljX(NldPu}zJTj9U#%d^b>A6FGGFCAT&SBR}s*s|6%*#^(WpYUgWLU3Ez z5m|?e`L~MN{4Up(G>eJ?$lj?Ld#8e{iJm)U#m%@PMVGF6{(!wx^@62B!?H7Bi#J}b zdhpEeOGjfDhDIv$iw`Y(cQh-G8096(WwkF|BhvaAk4*!j{#y$_AgbDwaiQ-Fl+WnYs~^I3-m5nb7kfFFcCV|9R6=PqxnvXNH}9cGAu%}g ztR6i~%}z+Edmr_&as~ zM-Fpab=z_wEml#Yp+Zwji(#rmm@|ZaQ{wdM=3D z=n*1WaWJ;gU*eeImv2S+iT8!1`qv9>^~6Y$z;Tv z>woIhiYh5@M~&{U@0C7lWN42FOX;n90##o$D#^zLJH)=Cz50*iEVOvtdVYHxf$ zRMR2>Xn)CRO-HP4(wo%l&pb#}f3BgWoYu=4{K64);d8yTmo7!F|8ye?OhAo6Ops4i zj~<6@W=ObQG$!BB2=Iv=RExUi%89b=HAnz3Q*=kqR7EB<*K{EE%D%4N!p^bx@m^@94xMx%sOwlMwv$y3GjAs=AP4B? z^KnVdH9^5e4VIR&j0{GSM3);#pEwvz#B%9@-3mw8_Fl|H8DyA1oKBPR4pz;D-Yz&{0?^R$k%A)!tpjQ}Ba z{aym0T@Ddj8>6T0+e8BqDd$kIOZbg~(D~ z({_)LQj@OlqL2%7_U1jw6_H-npeCNZz5oy}wtBL<$EE?8)UIlmzIqBZFHozFt}I<7 zla?;*(#29$<5I#g!gbr&wc@l~pJP-Dwntf7@-q;+mi=jnZ1sejCrAxE0NFXe9LG1V zbHUQ&qDLZ^OIfOnS@1ZNz(0?}5l1YM4C17#5zZ3DbShQoN7xoj$vbbz(YyK1gI?GDWMZ27XxpTuuLz82$ ztR#RaY~rJpM7BmYdjg@M@gd}lRm}HICnnnbD^dok!{=+Gi{`-1j&P!`$lb0|1##9* z0>zFHWv_5YPz-x&O*B;<7h4*y2@GJRNu{KpH81q!AT5*a&)l1>IlIxA=lwu)VJNAk zhtzed^Wud(IT~m1dF=ol5;|#|>7-BnaOZ ztyA!pybE>Hh+RZ4@JB`kf}K%iITaIhKonqbqL-QLWi z0)sFxz|dkWiH2Jp+pWiL`X-*Z$3HWY3}_k9*8d$NpB@D=2mes75Y3n{gk!1FbiQOG zD9YXVg<92OuCu3wc~ zV(NM!Rfjl;L{Cei?$M%#F-Fd*8~e=0Y{$nD0nK2<{_<4QdDlW6TKX$sJiO=c{73xbO_g2u*D3Katr@f^P$P9|p@X2%NFH z_Eye@;55ztGFoJ{4>eqdGwMtPD@K7!T=lwu&$f@f(CAk5{mQMFXb&{>7XnWxjUCb) z3A#cbVP5Fg%Ql6lH@`nD6TE1~mQqGb&FKIubkARjRvzV{g~8bDm486Q3KR{w%=Wx> zYODgVRU&5lTm8wrQ~dU>rvlB@2mIsqxtqJOI}MC1aB>Vq?`p&A&H>zj&g*_wY&EZv zbeZCmmmC&(UH(UiZB`nC6c1WYqaa6ooDy?N23yT!SBG9u-){#hO!E6soWzIo${otV zhMQ-Pf8NrGtZz^aft|3#OH*=;#A(1bcLl);YWVwoaSdR*jpmYQYstIX_U4aIMRnzG z>)zA|vwQyuQq_t$kSs2U<5m0A1U(El1SKS$(&Jr(X0aq*ejD5 zG3Q=>UP;|qpKbcG5D|y1vYYZ{|Cg^f4{Peq-@g$8*oxX|UB-@EQM9hibkPbbN?ls4 zI3oh12>iyD+JZ={0)s5E%AyrfN4kW^k$fFRE{3Jv5l-&% z72EIAA8mD`;K8MNCXt1Ys)G#{E{y58**>-`KGYNM-Ae{kIK!lrGLICWUT~EmEkSf5Po4ow3e)z5gLM#oar2ew>AGY^zmMThK@64E4J1 zru4zfOwlyKnxxWC;eO)WsBc9wt=Gfg%!!6wp7e1u1*e_jClfIr6@}vlD8P;1=2ng2 z%at^N-vF$xU~uTx8u31V>&4$T2y+j>)ZB}KK<(#KxN-JB6R>oQ>=FX}x53wp1Aess zBFNEmuuve?n4u&h`=_13i_nf4>KBfAAUSY*?N7lM7*|#W1t_+KY86Jg`s|*R(Y>&*vojz!`=;-^F25BSkSNSmo>N1etC}MX6t9~ z_{XDv*DeZNO5D!BJU{!1y{`IHVaUOv)sv!U_?+(Y_HK9c+~U~hvqS&&Y=Caj>(o{C5&i5dy2bZa8~;$L zo6T67p#KA^H^lmDj5L{nhtE3Ss=L-0GV5QG+CP5I_&i>9N-%qUs`2K#Aqy0$Q}g#& z5_Q*6^J2+X9~Z1VpDKHvHz!J%NX&tyjfeIHj$7TK*(;b#;)>z=mrTaqc^_3Tsh%Z} zb?v_vR?%Ok7$?VjY@EQ`mtFSAH@gHHso(|5zp%8kdF2HcmIy$luDtMINb_)mZaqg6 zER<*lcPS0KZufPHzIm3d;jdb9Esm~>`em#%Mlx`5D;W*gDVglVJa+1!_|;!HDU`Mj zW23z00~z!%qw37*Al<&|pZNH|RnweV3kIuy8nevX)O+ch#Hl%(kEd4JN%Q5Px)6cL zwmwn#3E}QZ@28Knevubj-c=Nv%WdTatsr?xV2QH`220ycOu6!or)s4rt)7~CLA#Qx zx-dkwA2FaS^6x7iYyNH?Z91kEwrFd;>$JgKZ4kdGN&kEweZX?mq4lTS+uqc_FTB(# zStKmbit^EA-#zs01DfBZu} z52t)JeFK!33^^X5n=B62kx|RssEj0yq&}>`Y0}?Kw1nklS6~bowz$h!V8k_?)txd^{5l znbl`-lWXO?I$wB6!q(gf!xoGZ)QepzV&%uvh=^wjZba=&eils&h|YtOEzW$6&##7p zz+0_OIq8}aLcp7PSzTnW4DA=}3sgD`svKeFSt-Pm1Gp}ga?;LC%4haKO+mshKdJ01 z>m_f?W{tRy;#(#(=kMY9+NG&49MashDaUHkOmgT{8j%Uhv8mp&_lok$X7CZ_1GD%mL6-*q~qHz!_$8P$Nv?YPjFD|30d^F($FMohqW$ z9|(6%PWj&PSe_@NyR>Z>de8BEfZnI@8NF{ox9P2$Qf}3Bf%Fl5!d0Y6nC$Bmez8n@ z>(G%`p87%4$a$m@C)hOEoX8gK&1_~0`|aCfouVTcCQLQ*SSI{!!*D{6^{Gm>3Molp zlKA)_fX4OklT*ALpP}t7<~XDSADjoaaMEX%I1D~L*>2#lZ|~LJJtSSpbb<@zc0>G% zK-U(+2EAxT_gQ>><`g6#nPk@i1BG#QuG)=HW@_^m>L%$86gOiQkb%e9)`xDnJa#0C zMkvA*ky8@mRPbf+Np%MGmy;*y&+@2moxo9NIo{=vK~!D3MnCg6#E}GKi7S}$$dNzlCSvQE-&*}cOo#lEv5H`z|_Q$`oB&R9DMZ)78?0%8&P<9_@p0_+Id^R&4++O^cGg)0Vqvna z@`s!AQGoTH7ixw)r+Q=Af0Xc^Hq&QkIIn3|Qk0(_7WOQr<`oWAC!TUY=saOBTb4bl zTiSn+J;!+(K|+siY1_&Ei-{1)3Z!rKxtP#;N z@OMUNN;r65TQ>sz`A~IJnY+y~^i!|9MH?Ux;ge>3Zl#^OeSe$b8Z&$S@6+_dx3LgU zt64OF4anAknhLGEgLN-UD>UCiB~Uf7#!`QX>qB!Y5yUcUwr8(*Qa5z?lG@~ya5M>) zXqJ0n%~8kj0Rn_7o8gGrCG-_%+G?+l@lk_agey%BQPu-F)zVt~Q{iaodl{>OX5~^H z6PK=ui|p*}iFwq`7EiK%D2FnymEtif7&`X1_N5>-1i$lV@g25x#( z+h$VCS^(0G80#T%+^kR|!5nxsqHMBOhXW#>EW%)O67O<3fls~h*jm&i0KX@3c$cfK z7k(TZa@&-6&?qXrBSHv?g;}+__@?$ZUHEm%MvJF+bKntZi?r5xj84wxAzUSfzS5mM z%UW7w?kKj6bwqY&Q8y<#SgFd!94`tqQwd5M%MSF^RA&)v7H9iFB>H|H^H!EP2cg2_ z(o>prs#w`EFxlPmoJ8M>Lsi_vLrE$OWAMGvqI?2GBuyZ0^O{jxebmSrZ$r)zI#8U^ zP4jcu%gt1!syjQc@k52Yu{1^xk8U+NY2%Zi+zNB?1MRb$q^w)}lj!sIUYh*?7kPNg zK%aQ_f{c@%fE0vB6GNWInkc%+t9sU01o=4@@}f<9y`BW~Fy!%$CvUhdQ=c(|cAsj) z!%Bj3Dt$`v`@`ea{sRgP8aR!E{xFDprTH*ZACESF(oA{m+nTEGG@Tn$5 z(5*4nu;0%1;NUidfJAeDe}!qXc&B)RmfnM%T_MS&c=&_r)mHcKTGd~2Qf8yH>_%$F zSh2)Ie}Y0(>;llo+(1duMU^+z*ZArlh>2c7%1g=t>8?pU;6c?0awldmXp}3!Q};XJ;fOT=wBoT1-i#a zc6yL@s%$66@`#-QHmA)svS4DIAiQr?HLj7DhUlA=Iel5U47$qeEi5H-02>qN=QlE} zR8wpDG*05ICHVMnDnbN_%*4EiY(N8-7fj)*yUuMp&NXaQ0+LmLj^J|!?01k@r^N== zapb~%A3BsYq~a@e*U*AQop&79X^XPq`|q<7Os@biOo63yC%t1{9HGu z{JP0{ixNG+?P&x)uT=bIlv{WJoI{~U&|}^yk9aIPgT0xmIki;1pO(e zg5GF!Nfp8SA_f>fHc}X)<99Gp2HqRJ?k`yMeBjh|N8+5gpjJ-E!L~RCd$%(s**foi z9mCF_R~3;Ra{s{8oRXiiKALD`q)qr6f_AJiRt+%9g%3;z(2=gIIux?d zar!~Od)_9cdu9wAqY>)E?v;7Q2+xxPFSWLmk`$$T{Ir`fuw$~vzj8CE0iOH_>(0sr zhEIl84&S?Ed^Zu!OZNyZC1rJv_69VWBPem?h0ey!D?j?rAAO+Q@|CwAv_8|P2|8sO z(LAP%JKVNT(Ob`QH8(4luywCl-_QiH!e?7Hn4c2QDO->Bk*3-m1KQ8pYIjx_p5w(2 zt*wnkEEE8rw%WJXadUmT2etyr8fB3h< zPra`45eX%HV)M-GJ+H0{I}4=rx|6=X9(74;W`U(BjuzIa?#5TR3hnLZA`34~CTD7e zR`QyDRN<0Y86CVReR31U_iWY#fDkt})%x9cb)DvC`2Zu)!RFP8+Th$K<4K(G^_))8 zRnT;WnSF-W67z66DXGhBz0lX8%PYRs%X#XG_685TXY8fd0XPpAcx3VWs*s35Xq#~`7Ny)&oiux!a?YVilx;Qe9QnzeHs}=-4YG=`YeWA4uCCv5yW6w0FvN%TiGU5Q9`m$1iPv8_YLmSX+&M z1KJWHR|4AovQ@G{LGctXmM%_`Y|A5CbA<$>Ah-!A9;EO!M{O~uO-@9We_$C$tpO07Cn)wpwt?`+k(^0H`&c|*Bpz?7#E z=QBM&=HmPzeB`ybl$^KaDA?iW;1pfDGs|Sd#NT?H?kd>PbdxsIg4cs~XVpCbO|pDY zaX#I7xtF9@64mDfGne#1>6n@=C92;b`y3|H?0k(NVtnpqS$l~hX)W8=E(l8CfF+FS zJ6b1ol<-fHu}Lf8Ki=t0_Kp4S;u8j|yTF6*cpTeDYj@>fRv%}Tnd#)tmC;ft|8>d6 zDk?BPaj>GAY#R-)7brkC-J`wnP4)Zb0nALTBrKoiu}b@uaQ)}E-)wP~R04fZ(7^Wp!-T!;ua&Y5rgR}^-ht}I*!U*n zvV@ldJWJWzuZ0$W;E0)5>_9)K&?Q-`pq~k|cO)jmbw+^29XsWe`kYA!CL>fg>zt^T zy*j0E@!<@NY~*-d6vg=fo?`(DgWE8o#IJQw_bq7FsZR@NQoW+IJz4C^)^?7y86AQ zOKwB)E^8lZUnlA7eCVlHsOt(!{l%dy?R6+Q7mE5%ufnlbTbu168Wi^H=}K)!!SLKo zYphhQ4#<%r@;tW8i9%_8o?B$8Kd?XL+^L_3o~Te2JDLwHzofz3o*IjtR#Xs|b2`){Pa; zr^pUBvZL6y)5UUEyc3J%48vWL!anWgY>RKka%Tb-^>{%mH??&>22q@xZC7d;ds_DOEui4IhqDwXkiAr15A?^msY}fvZY{q;oK#CfdILw=e`G^nEbUjUb$1 zl5Os{7U@0?Dl>9mcOd=&>niIbeNu@;z; zy5tdYldf|uV-Gu0ATSu46*WiQS={}(f>F+@$kY_>7Hc>ACCT3#OU1pkcui*M6LQZN z(^iS6tv1_!&s9D`YtMSsnU>a?h0_erUl`r(_vakW_DCVCF|KdIEY$~Jc(j!B#t)p= zapVQ$7kdYHFbh5)lQ^Rn)0Qk9w_>C*%|r?E#k=uaNO7z3rEOyhf?6UUb3paT2Z$c7 zezTcXdb059dsky;v@v~i!QNTIl*;KK*4YDurv?KPefHQ&|Lkm}Jd||h1T%NADaB54 zqo*q6C-sxzsMXZ@1R%qnLKZYroZVd$6z{mbVx}C;j&1Sm`#!q4C^oGzS5Ex&391qS zfzu_3*T*hSO?J{&DR|AP2;xraHr|eUMR>+fAP}tfi^KVdoTxkgZwFTJoIQTOV=P@0 zG~@Mv@PMt1ReR7dxF|hv$Lj%0g980ZzX*tf>VkI=_+Rw%WBe2E(D}b@SZ{^>zc#EV z5DFxAPPjRr9-sCE&gTdN&YGCE=t{t}MSe?z{Gav@f4qwEhoBd>1pRsX1;$JM?~es1 z>jHOt6db&faiRI0pM!?7123b0e#@+dQ#XK@(al`&ZQ!g#?C>v-pl*Kgy1CJUi;R%} z6N3%n+@Dwr7r)#pxahyGH07T@#e(&X#HKkoH+Fm=<`|J#C;c+$P^ z`#t4`g??9OcvoKdcdsAmKJ5&jnUx=vkkW_It0n(j zQMq3q=%{oB3WDkdwT$y2yDNTq*pd?1BcAmWvoK_5{rpSm`k7{!I^obd8?!_^_kJj; zqF+|p9JV~$Ft@mH#?5D^`F{+%mSoKXzgcHR<$^7uSKk-M#7g5Bi(14>_lnm~p?Y5Q z)^W*NH)98SW$+=Ch{8|J43$Zj?U}tmDGJX|p1IK0xXrhu7O#n2bTIK#p8x*2QK>$R zR;fq~m;Y^>`pnhW27h53SXpB9`95f2CA!~Q9HmM&R@GA*=$pRU;TPHphv>gh>L>N> z4cFvi5#x8sRD!Zo@+XCU-We73fHhas_}=sSRc6hT&07-((0LIwmD4F=FX#T}ytwSU zzQ=Kk*q`=4Af&yH$=ZXh{r|Cc8)Dpx|FPIeNhvMA^>mZ+16q+bv0lBK_*Bby{gf1} zog`%%cqzHqI|La?>q}ExTF-2LR_pP6{mg}#>hoQy4Y%fQp6XQ`%M~m-oJ7Lx zOihx69|}8*2q83I0<_G`gWo|?x!ct&B|axvffXXV7gi}zqS57$p|qYEb@qies@{{V zal?@Fa3zIodhk7&Hh`a=+rRy&$}1Sec)#TO35Yj+#@7TnbRBk0`T5mrs#L5dwS%MK z>;mienmCxlRnNC8TL4PieYeY?Hw#V0KlsDIIl?g{k}5X!#Z({dS|p{gBn z)l)5Fm3PO?8b872PC>#aQKFk0zcAl9|5q(anQF6@RKQS{aquGN<84usi+Ec81^@(w zYoBI@bAK6L%jm+dTl@JCN4dE5gjB(L_(1#lDk3Nd?{}O7f$=h|SNqB74X=2!7vL3P z1HnadkB8x7LVfXMa~M+qX^FMvjW$Tz?l#FP1J0J2Fc}=$IcXY4qtiXS)>`y;=!3;C z-d7jL)>j9%wPn&mkV>Z79G2-vR0Fqfsow0zoiuYzC3W!Q_*<3Id(~8MOXSs#zo2-M z#2!)*dvMT>F&1|l&Z44;B=)9nsK3x=SdwgDs!RocYU3o^fJ5y8_Jtd{WcP==1VbI5(*T#ZB_Pekg0cStHdsf(AMgxm1Aqy%313%e6d*RDJi-SIxx5;{) z0ffZ-&3k`h6!TW*IWJ?c5VNvC|JcqbL!Q9-;j|s^r(Ar0s?>1fQP8ET*Z%Rwbj!%R zdmVls_59oW%^?zD-cgAN^CYWkd+=W)?z#6p9dw4z;056Rv@DEuK|KbENcmxTBS!aAIAyi%E&Wm$2BzN* zQp+mye0;MBKtj`F|C(UIK7Zum$aP!#br0IaKBd2eMqx^9zjJ}&KORxLR|Dv{@>*9g zZpC-uH^0sNr)Ea7ZpBf})QE-(NWIp-g;IG7Rvzi)-pC3J>@|Lpy`b~U1*qeZ@Rr;R zNw6R8znBagMT02(k!a;NqHxCxg%`BQ)kbYlm+*r2I)G3QzEadJUU53exKFwc<6$m+ zgV+IS={j^(%S9_12@8xo{6*^`+)EBVRF{m;i}sQ=JyN#vsQUnaPC7`i7UVA(g0+Qs z_+*uR{jM9QZG>Y}KrlB#6>RR(K417y>mPX+KCrJpdb3mbrumL~Ov{H8!~p4 z8q8y05ba!a9n;i+E;hHW|B|&3)4V9GLG<}r?!|q@w@?*(jJ_@oig8eU0*^z~2BJ4y z=frzQU9U&IY@vov00JC#8{>rg3_fq~bKzX3~8f2Z77G7v3jiteT3K#SI_6 zWK4;U_wB1`LEO|Efl?pRQiZy5gUgL?Djy0`VOn$hF;zdO=4rVAx@2UtDN9_F!ueY- z`!Dn&M|l0^qwJ$3+Z-@{ZSc~_T8jVka`vS$8C$0COlbM>^K8YYa=F#b*n)K5RWva9EV)xA_ZdA^YIKj+2{And)#3Q0U=1_t5^r6lM%`4%f z4_GQKOki)WjHGTRa&)1rngC-cOU#b`yH=dhXZTd9-=nbX?f*wI5z$gRN?Q~s9#L0L zrND~Xt@RPFwQo5NB0&zgNj`jCHcjmTQp6c<`>uY)dY?A}A1ktdMYG^!HtI~#$upb{ zb(6f|uXUFtTgNW}`~z9RfPIs!S`}eI;y_BDND40TROwhq-naviIltB0~MGgrPa?>($`-Pylf(ZVaMfBN%>dt zzZn`UpF?pO@QZ{W?x}nZL?V|dt7*qj0$Rz5t`>tK$356ld^)+pH2`&7oJfGCkZ(o+ z2DRZ!Vus_ZrD}ymv?gEx$@Mn3R>UzZt!6rXMF4!>F=?ocO0p+w>vAw80$*%HJe4@q zGOBn)az-Dx35 zYCE*v{eb6WHC3{DE(1}VQZ^&cSnhT7@otE7*rD+pwzch$^^G7e$SKC;f(jaAI3;kk*dlAYBfqZ&!i&|~kqtJ>x4?aYa`+_8z0AoN`s zJQ~^7sI~fFY!*V5dX&)crVKX{Wd1R08YOjfov>CR5<;5KLJq}f83L!%|3u!5D zm)C>q8e>^I-R|*|EE(y`fR5?w@Osnj+yQu~4B<&ep+PCoKA{ILTYLbi{5H?yL`uj zW7;}5JyBmAnP!MZ$?9ZRGR!HF!jWeS6i8mKN>Y~q@CnpS?FlE0$%wR|@=16M#$-r# z3RrBC$~7tTqY~}L7r`eGcd5ULU9 zZffpT7qVuHic&Rvc)h*8`%Urt@|2A)!zjkuML)28nnXr<0Z%}ev%BR z&h53@w~lJAn{R=sK(y?}D=F5Q{61O;Bl@OvV2KKxKqsGCdDes&CSddZP7VL~0H8@l zhC9dIE1ACQ1W&AHc-Gv;J2~is_4xd3cEIKbE<+=LU%IWI`fM&ItWa1vL)l#%BC%0| zDF^%2w_!SJV6~4HRvF&#Dm1?g$bbPm-BP+!rvR!J$BcaR{I*gbovMOD$&E}h(=sJC z2#3hMCQDVEJT*JaR{lD^(CBo;p$q!7i)^Pb+2$ZJ?_LgCKQYTA4w|C5Aw(a%LvH|@ z={VA}FdIuia2Qbhk09`2T@-Q2Yfg;c@n71M<5fRb37=?%GZ(3w>Or*!^byll6jey(}|aG zs(?k_+B!MPIWxobpU@hE7yLFj%ZGA3lnIF>BJ@!6r|BuX0U5r?t>z7RWVMei}G$vsC>v=?rdUDWb6^_5X|2{o;RI@Eyf3$K`96xiRoI20s z`xbfB4RezrQbye`99aiTJr17(_=S38S61n_7+@!8iCG1z8X{ zS=0UI2TgBetOyFf612i^Ya=5jV18xLj-X|=K}Q%%|K?kfk!W)u-eT4=yoct0ugKm@ z|LXrAmxW35BW&9kPx`H2?)NAEMTq(a?XE{#>yCi;r!$h817qeeuJ|I&J3`UzI_&2R zzEB?+WXcZIVepI+Fs{U5nPQp0=^gv5gZyWhvqHm9`!me$MekVVyaw&ytoy)EI5V$c zUSzCIi+TBuo)oBYZ97~kvHr$y0~l7}$`ZkTPy>{gU4r^)v1Y?=uXoW1XXk<@X7jv; zcl3qsJM0J0ghdLpL-2_|vKB4+0~9 zMK{m%8)keF8?x8*m#M?S{E(l*v*%snEndegoKrw3&8L?hE4zFCsqZe9@)uo?4~a>& ztecxXbF=Nd?Tjx0-TlW#M&Jvtu~`QzbN+PYC{=x~j91H8v6r>rGO`ibFR=e6Pp5giEvZloXr~_DK=kUZ(>Ui z3--pX=Yc&^;!G|TSzl7O=-==+N!`yR9%qh&I0E)St~bK-6sl3Yz6U7HS6p(9crwfG ziVa>%cNgonwtgg;_Oo|Ne_8ptLsQ>zbQ?S;CcQYTNqz&nMU6X4x1B3M-qIHqI7jioZW9a%16Smnh!7rM*tF2vUVv zbq*}v@g(^hgivX(J5MS|Lga^(07{enCZQku|OC+$I32qcbjBF(|t34)vW8&hj>G|wuOt&?DOgzq0IIp(K+Hk>v zaE|{xvlRdE*IetUpty4np(p*V+v`F7-_={Zu3yBVCBmcR=+s=`cVla!RQ4sy>lDBUNC`8q@PDsRr_5l8E>#Q&DE zwx~}hk~<{!e1$u+d;)jb(j@Yo5t|D(**(a?VD6k~dkA*?1q7sf5r%ADNZZ)#d% znD=RU7xnI7lJ@4RaJ7@At(QBrMZj%_tN#TzPlpz zVKG};xi!3@P2UQnFhmV7JPk+&aTH&dz40Wlj!ZnhfMStOI=^WFfI1JQ6 zkK1F>q7ir~ZOF;$K`vnR(mj2&)Z!@F)$6U&Hgsv;tZHxMU|3Ggq#B@b zUr5o`q3JY$IPxJ?*8wy@W=pM29dUKXtNL!Yk8A?Fo2oFJ4~XVAwd; zj5O7Rwjq8Bz1V%F%9GF!oeoZP63m8eZ7%l9a;nzpsItW9RQ+;%4Gmgmr8+~_Mb(+Ge>sQ{uBsH26IHoo zR%oyEc&fR0W;OXbo9OEk?OLCZn5*TJ=ZfyD#9>Iv#CqG;_TIZVjb*Az#e0x^a2U9+ zVw7g;op3NabnTpJsFH5G9a$U)1eQs1XlR zf~&@5vMELBt{kpLD%&cRy_eacs?KOpYjq%tcgc=!t!aEj7Uo6rt9yv4RVpL(1xbo= z@jlS@voun1Ojur`OkJY)=yALEXrvY}#+i0)EjJ_kSb>tftNyaflti4kO0MA2WHT74 z`9{HIm(o#uB8kWHAGt++PUopEjI`2;xn@et9i;f_Xf{5YJXMZJZ5Y>v19eT4vaN~x z>b`^R6*ilecLFCAo`agR58+kTKHPQlwVn2EN*F^L4BYhfM*7Tv&)Y`{S$oKNQFU%o zH+Ls)ydbEe(LqSo;p}ty3UtPvCL~#$w7hQY$E8gLEx29)nC8AZL`E#fye?6=`;4RL zL8r9~A;f(8WSEz`^^~%y%pn;>*GqQM$O2({Dt^i4`wCn8^Jo=L98u7pHCUfdg#iSzgNLJX(IaXlRdo4&LG*R`u<4*P#*%yD; z6QFcX@e}q)p_Z>VC2%9Y;dUnhKE@qv`XWOQ(~4|VUEE4dtCpL8h%KU)M_6mNK~Sxp z+N@c`L-UlF-jp-+;0J`!qLIl&1J(R{#QvA7trIL~nm~`OpBvRig1=eq71hMQHNieR zJihmec=x0&Qyi6?*BB$U(UKFH`tXSk-M#zlH&!}J=H@Q8 znWU901v29R z#7MM8slQza@_{Gas=Hg*e0kFDE(-xA)@5IV89eL3JsCDOBZuvf=SM3l*LZW-Tg~=g zCOZ07N!eQ`yeUnkPDg3Tn)~|ah6igM7JW5;y*fq^+3PEYp1UEX(Srg{S0#7nh*)iW(2-8|?W9}8*Ql&@HSVrry#TXu= zURlp{(r;N^Wr0^=Qtf6Z$Fx<|PEaz(V96>Ub3s(y=T;s1Z*eP(&#TGiC#NFaj+%pU zq9kP_-k-@is{lpI_ohUjfDzbhIna7tscLObMVW^qi5<<&=mE}AR%MpI*zQffkL|7C zk>=(ga|rHx2iE+?&@d}<$AdNUW<(+P4Pi#@qR&JqHMMS>xXy=jYa)zfq1=Z(%mjVo z9_el+z7SxmMzVcd3ehB>*d{w-3Gv6UIz#tf97!0n&pIaIz<2v#4{lmWcx_5k$%!@> zetK;PY@6~2Utvj+J~?2h*~%|M1_&-Mk#eF&K9SY`w|EGW!UVJ*RhzU6Pk4$0ziHpm z4XcBcn5ejmO(R)E=U>@$tUGjfL?FS3gMNOzw+ec*HP~Jd%xfPinDo*P_dStdV;Zi`A?u? zC0_{g_uCs7G|v#2zzhDE3w?5j-#~D1-Bi#8I4MGROixG2>+tLaYYzQ9Z}U@S2N-ky zj7XOCre)6ajmt}xeWY7{(e$nV+#~##C`sHKGni3!#Y;CKw@021*x^csdFR`a!s|$P zC~r)wI}FjzWcnUttcy>*;w_zmlfqLuFKBs%yE^hz5OGsH?_!;I2k-Nh!&diw`_t0z zS;GrQFJ66KKiluX6npbj@a^%C1P+5e@{Y5vU?61k%MQ+X6K7h_qW#@s^UZ~~F88fAvw53q6aIAlFjXI2o6JKoEbmRETW|4x$Jnu< zZazUs)&H)R@$KH)`F!LNduo5z8?sRJQtO;ef^>!ZnT3Zph&Q5>cq%_meX)0WUa3?D z)R#Tm^Gx#&mmn7j!-`33%k4*{uBuB*^?VAa_%?$ph zzxDSFebcD<_XeFGN=YXp+kUD0<3_`nqeZt{c(Rj!0<<*Aj03D+AXCKJ;v)Z<=ME zRrNHVu{5plj3Q`LpX@uhBT}18pK2bQ3c7HO<~|N8Z4$tWX3&t@EQi za5GvLA1-Xo;w(PWjH}M!RF}pq&&!pI)|vrf)5qC7PxgIPLaJ1e=@FjV=RXdRm(o=F zRn8O|6y#bVd5s}tWkHknFT%o3(XkcZ@Qcn|`^7w(2JY3Tnj2KZkALqt)TBy1MjI!$ zXl^D~Q-PBv2$ze#q_u)J!|Rr#lb(OBNz%Or{PYW=HIwDvZJ>8H6*q3sN&t^bA+5F& zqW@q`rwA(7NMNeE?0azCFrJ>p2N>NlYYA$>1`x^QKVJOMz*-iIeY_M~iB!+b`W-@3 zg@252`Pr!?A3^ugw3Op{kbnqZ^IPMdEkbm{IB&BzU-S8) zB@YR)9YhmvesL)O@$!;XCq&D{%|E)y@Y2Nl+%dIdQtI=RqRwKl{oD!PGgu>}F=z>J zUihjJlr^V|2$h8_i>p&Ksd@S019g%b&kA2yL_-ITCv*z`zMPH*CRhQ(c>lmf6LFfi zWza3!1#XcVr||B!Qz=J%hw29j(iVX<+P}p;13fzN=_pJ*G5Z?^LE0?%)`oLQ=djWd}`iieFDXcAiM9u z%I8|LH{90e7*sggFpXqQFXwEJ1t>OYS9=IJe5;6G@&~?&r*p)Ol$)1Uf*9f2$PAz#@C|>nH3?5{S4*_{3 zNz6V3E6T57LxjVuyS;K+TKR^*WyI%uAQgv?jgv?5#TG^}|!H#-g{4OKm>~EM;%&F48TDi2URUk30zxwe`L=G~HiwsD}6TEvjiOL7vr3 zNd`&bs&*!(3m!4ijPvRbpmY~?OG&e(N@Ck(M=;4^98x(jH=Cv7?%?LI0)bmqo+t-- zK}ed6GhyP#+C3So0b#xq%8@%ql8#L?&f+eMvw^#gLL;Oyt3|^enCujlJO^A%*LRn< zR)VWf4A5>({k?1u+?&bgVJonry3qTysNPk&Gbfwqn#xN7m5Qrj2ovKzQ9TCI5DIOF zuzim+0~79ZM2qD0*kkD~>0K|l3f>V={baIl{ zd&oR43#i_|jy*tz9mQkEN$gsr;*N9iz92RwqB$6ICBTEM;mXdBFvt7-;bah>xGBR4}IR$v;;|`FKNS5PpCp;Fuq%6WY ztskFED}pC`WCe)2bE6OO3-3tbdQQzG9A>2M;#+7wgxGjfO3Byj6UC1>SH5|9kLu^6 zT7FB{N92Ry~*}6)wGL!ZSf>J}*4V zx?>;vczdLQ67$g|yU&;=E9DAa=oGew8lKDi?9cjEG#P7Zh2a_Ov;op~+Dg4OQA2$` z#%kV|Qgw^hGuSjiXAV1%BR(n(%^*%~NVw#QMq4Y=ow9TL2gW|jKb=GW(9)#V^vT>R z^Wzog-AOKW{r(MVBmUaS?AXA>e5#h0^wtqr&B>V*Es)3`q)Xe+C8Iu2@T<~HqX^qD znMe+&dJofQcX(9UiG`Us-Rn|gT(0h_|IVV%!q?wS!!?kd!<(?%w`c_{?j}Vmb)&W8 z{lX&bL7mhw8{)K*%8p`A3{c@o9px$L;dv{Xf+nHmU8ywe|8!hZx?sJK8DoXC%h1QseD3&}eR*Qh1hbwYDHxXw z!Z}e+n`C{mU`2sN>{7=eck9GfDo2dPFnHHgJxOnPf%PuLiKVTVcRd`gT8U5$Q~|mF zD;wmIhtgCZwv06;?}IVl+pK6Ri(}EP1N<&il<-uMtaNgXvnCoP5Z;l!10VVTe=WMt zaibU-dH;yb`wN?|-*v4iwA(;q05XpfIH>6&vugpLrwk2#e z7;)RQDh#_un^#;E!tr3j_s`drnq-y!Q+9_vAQ5y1D~!G2CP}$h7}>4rPpO)4%7;4h z$BPhuo}h1@BJnIQqERP`kP1G%j}{!HYwJx^^dPaK(Q_XUmVXp8Ya!aJI_gI?g4S6J zn=zJ~>d6`lTn1K^(by6nmY1BQDjD97AsF0=Lt9PBRuVMV-A$E|0tk7o2iD{%rCW6_ zxBik>ARIuSShc^m?~>;+%7d7$rZo=xPzN`i2__QKv>?`}4rHn3r+UztHfdZcG>!Z| zD)Au3Y+O?&IVCa{3AMF7LrNEq)K%vUIlHOvd((8Q0JIAqcS4CF`cRfeB*r8#o;1`b z9$)f~aK%~4Uwv@~*#6%rz>|1~ncw3r{`J3g&T~KhNOVpg$oa_t2C&XE9;1wP zn!g|3`${n6&8PgIeTlJR{KB+9_`UqZv}JRG7ybM?V@E(tz+TL>e+`V;!?^qx^vgB} z?uNg1v)?0}WXESMj2jJX7ck0i1O)D{orRpP^X5+s`ap=ReopjAec-GU*>g8@!wN<| zWh@lM%-!txi=Cn2zpV7?F&p*xFO*v28xjvJaT6C${m8mE;<5?`>Je*o4o|y;Q-UjX zpJn~N%#sI^r2grJ)o1l@`d$6^E8eP3k`6G12Cb~{g?g7CnCj1(3XacaTqoeBFot%= z7au(c{O`5}Twcg#`;U{4+}R<~M!{UBzpt0p zTlKGq@0MNDE#g`hu6#VFj1xY0WPD~+*mus3FQx~4`Q$5-wabKmdiLm$jM2EHyy0p2 zlP{JCGZ@RRioXT|wefWxWBWkUKFo?ewKGu0o7=b#pxWkS`Rk;s_JDzO)p9)Z=0;0M zZ4ErP%tSR(2zkeQO25SM&Z||Q$0plJ07nQ)Fh^_y>kH1kG_}{?gGc*ssb1{b+wX*G z>-t+%?&g`mJW^%BQRR(K>l8jfL2X^-lIz+VlO|IM z&R}(2t>4MDoW9oHiFWZeMdOLK)-gDUtJ)gt9(jVRoKFVUw5?Nmc_C}2wkRFLGymDA zJU&5O>8)=Yr{-=dN@_h`kBWs%I4S2w*@?-?E?Va+1W=#LC*4TClRv@Q9Lpm^tzd_D z#CPzAiQ%-~l|#(8@j7pAn(EMAuH`pq>n6Fsl>Q)-56qD1LaiE|4LDT^WKMhie~z`DLME~S6JpSI$Thb> z4;&A?Y}3@m5E+KL0pN%U2>|S&+*NP`&fN^-+Ra!Z$*9hXjbRwl(S!sasAIFW{R5j- zb?YB*9Z{V-n0eNe#f|12fR$rlqWG(=h3*0+H=5=1K<8W;)HHT;RBxKMLhT%^)HbN+ z9&OFCY@~vWS={<{ecA)w!;aF!&YHLQxDA-QWV$8SQOVJQFOqUuLKUOFIhh-D{kFNhgwJ3uY zrL|w0lvk_cWcoc~nhrWC>$DZovhv3P`P@j|S@`9T}i%v})L+jkHTt;&oI*(u!)DK>2Bs~aI(nnK`_uKnVM`;;} zleB1p+Srp+DZM>rZd6p|yNM9%wtBj?g4Du%-G?AvN;4_(@Ns+Dwg<>BH;C4xwH>xM zs4psl{ybRG(}OBAu{J_qgmw1pP^Ff~C6Y)I-H?J78NBk941kB#vjo&jUVYEXyh1RW zG65AbX6Z* zPu4K6jR^y=M;ym!mqlB=B>UZk= zjb)RVO=AqYWo@_E|1_EsG?FQiy2LQlmi}%&^_5-wSs%SUKXpC*nU~&v*c!5c5Cm!I$rKria&r3{if~ateg7vc=UF(pH;&|Kn)dxGWW? zKw%EHEu=1#($=o=8mg8y(JPXaT5%IIdWZuc0M!-Q-jefiRBeR(D-0OXXMj zPj!8={ZlBttyE$zCNk3meKMw~ac#@3hu_2+`?Ph=2%&9Nqszh?)reC#+{;XX99GzY z1YAMk9p_lu#WolF`7}ysm$z5d2Z$7UyR2y+Ru?Ps}U>=(JxeNPs%#$P+&JMg`IyKQiGI<0Fk7_9nnII zS&BQ8`L;QixNi-SGo-<(;g~6P_g_nPiY+dXn9E$IUNwKcDPh7fq0HH*)TD75XkIrl zy2^_~GO`dKCH!%x`FlG7)1Y~ccbb4{N<+qBZkbHPSxdY%d6!E@U_)pw8-S2J>`?r% zdgKu|7UK~~llzRgRF{+vJXDAuai45!Q4W0)JznAjmbQ}Vk!unRrj(i{s;TVwz(Ih3 z4NFI*b3Rnw(!B=fw|KaV6S3M^8p4{;Hg(DAPrB)}q#-3!kxH&!&1cA#=A+$UQ+l`h zOcM4$5#$D$Bijz{OjNdyDTQ1!kEFebScxm7t;JUN8A5kcD8LXO{cN^H0Ox<}s~!-%;(&p_YQ)ErYew z;gyRv-eMO|v6GVRb85gOivx^bqR z79&|~rOsFT!Y0K>k&mR?A3*x8f2+0 z^n;&H>sg&bEj!wxU~kH9xmB{$l#B&NgZ2YNDZHx@TJNL2G~=*MtTXiWxcltw=+XOs zD0}m$ChxrcUmyXksC`<+amKAK*ow+b7ac%Fsg{ZhPeI@j1ZGCjRzzl0V2~w_vSX@wBUT7{582x*nAc;~)b%%UL4Oe<0dkSSXlvVE^RcE0C1zw`V3@%y8lgY`HV z?&b4-U)S}zmS7=LH#uM2*pi;`D`P5%dO^j1Yz<3Zyj4|kq~DAazsb^=qf6YPe)gaV z(%z*{B=-H(tvbM``T$~gf?3_#tH=qJ=^bO4R!Ajbci*GkxV&hcm=xzcA_aoh#)c11 znOs#n?$e#M{l)fkkZ}uO?6psmqKTwZ<~oEHJ&%{Xik~G&^YC_Bp!SBGNExci;*S;` z?92W0S2xz5J+Vgrp=>Z}h!VZ7!F zl)w)QK;hy8U3ZvP&S7Gw_u-lvl8#0xa_lO)T9>LBK8vzKb}OB#@53{EDqNo=-f&8{ z%ho??xT^?D2b!|X)@(MliR@F;{omWrU6ih>V9v~p6NeSG_fnfEN}QNW3Yuh+$LZZj z&ku~yjX%h#yrPfULxiP{6-tfuc*q%M29epsm z)$9$kptwKhevTG&!L_x{K#q9W>0!)dkb+H_}k<+!3`J`us(i}0rX{(qx)G3L=z z9*y1wS~tRbF%4$|dUy4d*J=-XHwfrm3uEVXpGaiNx4(_jS7vObAG`2{2|xC%E(V)X z?R(wz^7y=$v;0@!w|olj>9wB&BI5m*<}@$^{uvO0+SjEIqi{xf$A|Ixo|j)izxA>) z)zZGb`)Q-}e(#Md!JQSMnaOE=Fq-zT4vu7=*QV8pW$psj73h@JyqDaMm^6&{aR6Yv zn;iTAuJ3wAbm#%6U^`lT9)0D#a#hv)%RYYemJHye9I8Jo=hpKrPz2$gHl*2;JTlwS4Gc{>bH|jDQPU%;>q|+x3 zm6nok!kpzc1K2-#1?3LIgt_!?#l?FX{?7aaG^A9N;sqE!5H>-0L;K0@mQSlpBiti$ zqE=!)O#r|{imt4_A<3wJoTTwH#|AH8y}IV#1M9Pp3+)~)KEhd*n*?hj8L_Fd=qEfM zrhLm>+)!F^rIzyRiJNlI(hAju5T|I?dq9L#!j0f)?`IUC$Q%?AEuePT9iPKNK$oJs zm$KWIJcU0y3gex+R4s_ZGE#vZaJm7il)Uv|2&LgWTRZ=h-&I#gY(XomxE+tZCaPv; zBgr&@g)=eRJB1@gVb<$So@%)NtfB$%nr=-; z+Y_xI+q7`2drUAAm|%vrHu@Zm9NvtD-jo;3cDGJYKKb#4Xnhh~>_YMDbV5BzQ!PSn41+glqrG&YCTxVBoh19uvBDLHaP)oF zrwKtBWL zh>$jt!m|Ty_31Fb4Dhze3R#4}B&Yt)Ybo755zo2P@^QowLN;1J3c=)Y0e~eLAO#`e zokeA%=&m|=|z3eS`RJ@xX9!5wC^u#G!W7W8Wi3qWRl&PnY!22 z5FA=jMvy1j?KYpt#2-CV?r`_j-aIGJ*~}gdQl@i@ddnUC$&cW+bXw4SAMg0> znsn-qh5uZ4LkfEhb#{dX4>^68=)leO)ba5KzccB zNB4}Qg3PKVe459(3lp3;g7;Ei=qy#o6vHR6$07JUs=dA!{SP99ZXvhu2T<2&afG>58&sHm-)~^93ApHiw0uRTarh7d1@-!o7JaBz~LmznGJ;ZRHOs# zWylwm+p^@OB&&7*HJ4L`dtNo>)1r05e6_K@<9WNaYLOntcnJZ(1V~1%Fl9q&R-Q&2 zVlH{BpYB0xp+2+PRY!o3HRa()?&&eAq$-B7$zMS?kqk8CsAvmy3LLAnu2bx<3(E@F ziW4>)O&=^BP(g`w&97d2Pnd-an+LxOFqkf-FMK?ck>7@0>*g-`U`uUDUGFd!OE(LCx(|Kg=dWKt6-;uI@%4V zF&8JlQ&Uol?I6NfgUUO)#oV_0Y6_FMqWskixKmQd$wEtek(16Xcr@`}gEJ|bnxWX& z)c}A=>#)a*!+DaOp1d219HusijR^p=@g7vNW!G%dF-&^dsLv4f7M)hc&9J?t#)nzmNc$%<#AJFrrwO>~0_QO*BP63>bWf==RLY6cd% z*@J}!1vOMx^uU7R+W39maVC!?h_@3^SCJ*T>^&z2`k8Y|LZTvSpp5yP#XZM`J)TNF z&dsT8ta>dRGRK{&lps~fE*4k@2n0(4`!Ew5jA(QT)2IiDMir%Y#?p5PS(C@A!od-K zbr)4L&5g@wzmT$RkBP>xi8vAcLi9jcZyce}2ye&r9RqoBSgGo~I4LcD zBpWUE-wOm(Yb%nwN$Avs0JnEQff`FyL-BDkezaA>Ys|7KO4RFRAh4mjuNm>j_!Q~t zQ?lZ@M?2V(iNi$9xDl6 zkV?0ZleOt_DER3sKCRxHyxSx@$!awX{;-~2d|8}r7tYoh98$Qr=p2HqtoZ?1s}h1L zo1I&sxle1b`l@5Yjm1m5-6>DH><-Rr2UtnecLH?rR_a#p+|JTn6STm(-A4X7WGN-88(lmPYM~p#Hj%-FDq$rU^gJ{^lI4nG0-G*?{6nm&v3*Klf*T zE9?IG=V7-jI8NM37|VO0SG9|6ZFS4hT@bG6kXIg-!ROV-4P(@*x|r|csy#%5Pt9gKMkmb58XN@1hh!riG` z?>q^M(ly(u5wDG6USjT@6F+PJbdJWI*+)BzCYxbed$dw}S-ySBU^8@_-}l|>r!DF% zu_Wv-{Wa`ZUPBvIjP1gZ zId`_#i!aOy@cyrV?_v1Q3iS1U-#2)U*PFY%w@)$l@AhNtU&Z|INydUK=C1dd*C+hm z(K0W8=!@2uvYA)LnFsslE!oYSx1`R$j`y=~Lj2+o=l3A?z-A&CVqZ0n;~H4HjXif>aJ4CW)Zq7YxWAzg zmzQk%KH1&o`aQ4Y;TM)U$`h~DcSeL*9}R(bb+8Avp7eOU~_*iUwC zkak|AiXoYt|0LQ*Y+5^TCD}isav^K%%||}J!-(TMo;Ele0thhUhC<0$dz96d}$optY6!8pNl}d?v&50HJ+K=4>S4CUW*bLyJ!6o z^6QJts4J+~-8E~cpC1(1YfxZA7?-mEV>2_uPUKdzK*ql+02wa9lg@A2a^3 z2j>6VJsj{s)bfq)eKYc4(Zc+~|HkCLdhMB2zY&40EMImrpr|?eemQS zNB3>2?w!$vqEFf`$r*2GWP5$%qbIj`_cC^pGKueJNQ0RfmyLvsJ^y7RRR^$y5}`+P z!0!DGZ=>MIrO}9n0x#@uzXVssST8ARc*|{Uztn<#x@ZgXNLL*O*F3!JWNH}CaYqjh zqdv33>#Q}cyYet493y@5?$PC$A3g&gN!RIvMhM~9K57n2sr$Jb<2kqM_J;r}i{4qm z8Po6O{gUxBIk4riML#yYpSqn(NH(_>j}l+z9?=jQQ4b?3khn>Mz$5~ixWl}RQ+Wkd zyS9LNO#}f0#~@|<#h3-FK#Je$X%eJOH|-Z%*5nI4fI}L<9$l(NxKRkCQQQn*Wweu3 zsI>_TfYis)VP;$-h|BmXroOwosWbV0m$)$$Lbfv_>n913xup)x6Ul2(~Z zcS%P2o*z2EZ0#kaQ9QQyj0+XKIn8>vtdWqMfwgVSHFDz<$3U0x&ugeH?Oo#E{t(P= z#v3;%n)shi>($HhigvCmo19nBrw#a-jB_UGqngn&__RMCBS;I9e8UR;o{1{zOC&uW zG-+te7mB=%INMpoXU99LPUk{!4bwbV>*cbD_L1YHIkm%}b7W!rd=84L z0+YwE_2N%g1}CYauTv~{Pv>{6S2>*AurThT)E2z-4;r}vGbY|K(%ne-1&5ObS%+W` z0$SrS+>7e&mg65mZn%%qL^{ih;Avpz1(omjw8I$U$TWE~)Kob_GlA)OSoO2Q1Y?z8 z@m7(7hR>|IU{MgV$IV;rqD&R59Q|D-Ho&wgQ>1;%0a#5@h^pfh;I*D@tR$=`mwcrUWzzjC<53u%2FfG&t_)5N0xK{70zxWFYA)G3|Z>>eZMn39#LksK$5 zb%nZ(44jQ$Es`Fp*iOq-he&>tlYCzcHHWH68YluMnO1YCnse#I3fcS>Xn+lI0_EE* zE}oauym&W0Y?jldcyl|e>P-yv!vS5KP*-WDdeoyXJ83jL8CSPZ^@&8Y%<#qT7fTJr&K zp;Oo1g0?|3{#b(meLmB=dnOY0B6w;`r-}SV^VRs9_aSJ&8l3r7L%sck6bb>}s>JoP-aPp2q{1*}o+hk0 zS+uqe_H^?@40QQtQ8v0CsDBUrE zU!S3$Q+=F>fq1xCRh`A`sGLtcFHyvh4hqrL?uo@07Ja?mS`svaG$$7q7iG4}6`8WC#T->@R_itb%~G%cP%lnp z%dg*;o`^b5q$%jMULH{`Ij0`@fwL|j=l7P0g9D8@Y%I14V7O3&bL%$Ie1vFk>$5Bu zpdSS|)mjv|ls&=6AG>dnHOLZ+dg9C9&lHta=cIPXuNEB)PWPMZZYY_`NrC6qS+eVa zHH8*k6??-l7$Y+uXw5(?D!l4+W;p@9cSf;q)PLtP}?3-k{B zgp82jz}bj@p#T2~|MJ#qaZij{>6a5-dc}!YUof1J{|td$`ZrZP_L>;I)ahAZh#l|z zM`2!t*4}jaIcuY!2G?a94#qtqK5OS~Z=O;B61P3xhm)F#8l41m zi6~EDYlnk^`i>?zHkUj5UWjFdPyE~RqZ!_9Ggr#8$JD?HxN>b-yn z%t*=w#-T;wb~-|y*IqObv!>W-eEMVh)VfQ+czau*@k|L%vP`^)6x`0udCH>G(?ex8 z(FqirfZ^1fXXoG4N^8P5<$W3v?{?oI-h5!w#4900Ck;GpRkN(xcewbRTSM_Zj@C{) z$4ua5rxA(c(vqCq!G?7z-^dOvpT@d#-{ke;r9{U?$m%S5Lhjfds-w>UFkw4|3qyc; zw`a|)7{RydOu^1*at$c>4?gc~RN9XgN1G08dn7ONPgd&IUVtd&umF2@#+;EW#N2Wp z7I&!#PT?Mr>gK7bh6_$%g?ICOuh&GXYl`w!rVu!t;G$7vf;CsbYw5Fw*vm7s$HXJ` z8gciaW)>Y^O!RES$3e5P(hi`L`nOYQ9^4(On&RHj(qQ_e4uKM~bIfrniAPWM<|p6j z7QxI6b3nqv-QajFRgN28OQ-|*Wuo#g={$PMzbZHKg$ z)1SAM656ZQD8K`bJWl&Kx95?f+p8*igK*ffw2ly>DFmbV-BtM+#BD<%LPSYbZ&luJ zxpf_p9yHIYwZ{eixtZ+u8nt1_d0X?I)bpnn>*zXP=)%C(v#@Zij$zXiDBYe!gS8dh zi>G9p)l#~;2jn1GH2S}>-;uL)`FHWXTE-yV_sSi)&8BOPWUI0@=1hmv`RL>D`T@bG zAMa#Mz+;>x)$cv=qxK-@=-|wFS6vfTE&e#Q3Xe83^<+cAU`4IGrD*q=O4^ryzoR6V zx;~(gpYG{nt41Z%XA^kP*+w)q(=u$NBt@rNI<#{7+?CW;vOa71p^WwySa5KgB=oVS z#@8SU%ujdMKGx3!vRrt(;?u|G9*J*7PC^t=1qM7t==AE0cG#8OOEt8VxrJlLe;q8D zdvSBqmQ~&V%V6ot^jTTsyUcGk-zS*yD&KblBZ}?2lM#QzXD)NY-T!M@rN>IN%6RMl z_h|X~l3D+sqvag07gu@DpY&R`-*2TKBjANuq26yy4S6kK?!sua(f8dCXEP!hyVlDY zm%VSl?e@Cjt=u)s=dQz#bDha!Eb&`^)5T!vm}@GWz9WA7La*Bwgv{Q`wj68&s?g(yz=31 zZ#@i(S;6lK%u+9We=PdltZ@+fFbqn=0^0Em(5%-%A4_7(iT|KMTg@_Ynz=UvVf$%h+v9Tsi_2 zN3>;4?%E^mx?m2?5#;$S-3E;)Cu?$KgEA(&^AyXl+k4qtg8fWo=oETjqQ|nnLQ$*q z3M{oGU;mTi!Z6$}1$ac(QEhJRlCE2C1%7|@mlw+hdfp{O|9V;3w~yxJ7@}UKeBBSM zQ|mv`?P<>Ls(W>rh!DNH6pqrVfyY-`F)F30-+gr?$}+OKcXZ9b$f90${*#de3{)ed ziAMEHbxh1)qKdYX^kn!0Thcw09OrWeoUO++;f{#8IGGgtOicfPJ6X!WXF~6Tb+LvY^NyU$+dd_L)7=7 z_ceZoM#BP9yXT$~Ec4(uvp zX$IKDsqg&Te?IuIV>iJnz8(iVgj6u<|6i4{%K+tZ{p7uEbP^^?prPXC!#(1<6h_)-G6ww{Q;kGjBLxyAJoi~ z?p<_4PRuc%0^fIS%gT_iYX!ueWuI)uBKM4~C|t_Wuv)Sqi*~aX-_1=vnX961zmiP5V@mHOmOJ8DO6m?h1)6?0z9zb3+|t(A zG(j@s02N+wx9wLK^p8ymZNKzszHnNI*|z-}pE#wqiEMK}W|NY211osst)Ww?hCQfZ z-9Q{w65OqXB)H%_UcwM@P{^bNP>FHb(tbV)HeO^6;cOhGYWAQ~krL-ZAj#0SAV*}% z0LDNYu=S1Mq>kb~_Rmh98f!0^I=r`k_++ttqlT9Jn}@e` zlnUN*TRf!|a8I4fDtG9TO7Fc_aMhhUrU|OAY=1C7_z0JR{Pfv3g`WpwI6pt35uT)K z?ZNjo%!K7XJOY3ig+|W+#4675EcxSd+kHTRH?>{9FFt=K+Evsb=SHv{+^u59E)yxG z$CkFsa>lj7gqCA7e%I;L9&amq(!UN&fLuBkg{S=F^2Kxo9c^n}{uikZcA(EjnK5s) z#Pz|i78jU5MN{bTbaB^Gx3J9C)sNKH^rYPSX~X$%gc-#r`tj{UeNEB3<5cA?+t~EY zlp`JeHY*h$gJ14zHs$j6zZb;WYHA`G!U>Hs$3hBZoAzoWkE3jr8}UBp8+B;G(#}Eh zmx1-+yzsQP^1&^d1sLu~%{PZQ14i**(n#@pz|`Zwt@ry3la3%3t$Fbjzh?n{Dw4r? zoFy6we*B`4)ILHQstVkOA=j$A$6gdZlSnh_p_QD&$v6|@#yVD(C+t}Ogl|UfFh+JR zwk9+2G|wu95KH5I?%V`Xx9k^g#+~J}N$v+x20g~1dPz`CW+q~+Y@qhH z!pYiNm>1ny1lB%gvkKqq9!g%RUvR&FT~d+%C`}|ptS@HK)>NfN9J?solT_5S7n?Wm zQt0aSlG}-ES%B@sz36Pes2eV5N)O{9T<1N8qqGCB?tj=07e7i(f?EiidTUO6MVC<{ zNHlG3yBAKjSqPC}ylTjqrf^(S?Xnso&t_7$y4x>i5Y?j!_R||36)KVheEUVT z2w%&@fs3XJkzWjo$-sOH-ZnH(8+l8WT&aiM-W7 zBZXW)m98?jZr)^UFAAMXJ|N#WA4_!@t23^O5-a9{dIW5APq{5e#f#v<;swC$b&G?$ ztw%xJ5=>CHk&;SNHvIhw@kbd+WNpe3Th%X}8J_Pu;J>(Pk-}WhIijd~JIm$drDYw$ z5W3m;K|iEP2{q}AP9bA9shnPedStccx9gObDsTTtET!jWVY1)`>|C~wV;ms@B zi;^Up)uP6#H}%4`#ddmH#kD$=RoJAXw+2q^UHjTDY|n!26@ObfD+h8Eh7_)G@?qcb z4Q6-{sK>-PF6kV~On8J(tdC%wKJFS8h&E7GV2ymnB$VXAbk$c+u-YS?EcMw2kGtxjPTeWU_R$VZF1MpK#S->c2skN&d=_H?lRxLGD7HrG*g3kCObl zLK!KD@&=h7-z`3_4Z@!BKr(kNIcWP4GMUiJ6fxVzhOLzBRx{3i@ z!qehQ^NGt`HkqpU^np?1kCh4NmST5{07LE{1?+PJRfl*R97)$9*82{dc)O)(oTCA9Y;mB{!M+ihVP~$lyw>Q7Q3uoFP+%p47dF*H`wn ze1WWxZd)Zpy!Em0`2V_n#3)vW*x-G+XPHBYqj^QA3v35ZUU5opFzq4Kk-nD=J0rC$?`rb zFx08n?6hqlYX>#o$;OG+y!_2)~_o5nz#3wJAuCI5Tdc>WH*H6S{hSMqumYO+FA;k-cqg%*HUUy)qXY0 z+)$ds!t<-U_2_{?&E=3VVBlLb1S(Cn7P&?EK~r-z)lJ&@OXR#AZX;FA($QBNhDWg9 z`)A<((b3PLQMx*gCMR?j^(i9VV|lc})nn>6+`A9IAbtJqn4;bN!4x6Pc0EN;hj_1z ztgS1|ye^woPh_}TCKSArhC+42X?=f6%@2Zf=$)sHwSyLuc8@gyw^=um1g4bQCym%= zs)^r8TBw@16tod}HuU)3P=RaL93D)fb?xH0s#t)7Jgsp62`Nc&yk|7LDBByqDp_=R zEAC;uZ%XH~fhRS25-|XHPl_Od_EAtC+%v7?pYHez^^tXWf~w2ltg((co_3u!=}VSb zMvIs4O_G-k2qoaP*h_W^++spHnd`tB?suSqyE{@^jC)7qO|Vp z&&k`IUqa37*)u0Z@JboRD!tXcWtXKbd(tP?{02{X?+}qizLH6nTJK|d3nS4iTIPNvFKjH&|~jrk`qyw zWZa~-i+K@IhZL9i+hv0O2ge&q6*H!P{xJ3nQuyo7K#+mc$zER-c1qFmb}Fcg)d!`h zDpH9CG^GMM_NK2mVsnty_i-5FCCq;rb-EFS!LoXs8{ASFxLu`fLy$HHWxP3wTbdFq z+Pi{8+NO@Hn;%5m)UgXi{@sz2N!8^h8yv{Rh|I_SBg1kKj@4r%d^pSNRcNqKU{|6M zYr<#N94}9U?Q=dac`u~J>lfyDE%BZ|wTG_CVl4Z}&uf`a=q~To5Uito1ATWz&t~je z<-PM~hJT#zwVU4IF2=sgvwXVTzV~(^o67iG4AaG2Ct~bd?eN>DV?+=6advoi3!ko} zW#zf8!a&C4D>kNJ&wR^sSqo-y{Q%=lY4+cr!sNVRm&}jw->R&gS;*>{AKrfn+VahZ ztIz+mc1>m?YBkrr+BOtuXUx_v{AkYa|LNsjv(hJIhwuJ1FZ6_l?%Dd*wk_d%5*f?3 zX4XIb%6Pua7`k8g#IBDJIXlaIeV=e~NZ$H??>hsl z(mRZO1Z2_StCo|aDO>eflRk_jJd=c1#&+3KzUB*y9#nfXQ*aAe-QSOv+v>_3pLzNI zxwp(Q<;-Z+<4!s_*d;Dg>AqyGeiC<}leqJ;E|5(;XP!CSzgkMRhPRVs6uIKso7x#M$ zVHvGB0ROeF3^?VIyMwgP?io76ln`+D>r^DY8;OI{lvuOwXZk+6zq`7l$Cr zo2XkAphJnC4wtH53A$P}^Vl27FY#ovyV#wA;f{F(M!ObXR@VS25f!(TCs$i!-B%TZ6`{!$vHa3u|9F72STjRa5<{+7Ms! z>WN@9Z`XuD7cB$bKZ>^7v=yII&Cgh{sxX+dLlzJ&nx<+RP~}U@=;-3!sJ(~N^Kc=I zx#rDHLfU;DzY2R#^s4}XU+zdYAz!jR&R|PWQnjA*@^tqbBUC{g%2q#FUizUdpYkin zrP_yDIBADjAO@Co-!_D*8;M&JIWGO%LdYm=QpB?o)dS=wgX7fAkMJBxg$=xXBYvFG z0-$t=>K>2zQ$$JdeQj=M(W`u*MGn%Xv5Jn1AW95_57ZAI`D~_j~>k=s9bj=rcN6yUJ+`?M3`{YPxk$CMT5t500vi(fUIhOXGD&qoZ_)s-= zJ6g?PGxNZFP~(Mh+N`rFjiqgdY;9Y-&h0+lEp6fL_=x*TGCcXUIn#u6eF7m!Z6%qm z*Nh){G}-(5Jq5YwIRK2Wppd&IBp9aesvYh`e4d)kyk6dpV7h#at_`IjvAiAQbS{8q zsxFKeh9lTew~i&Hr)zzlJOL$S82OUunXbKfG;S>mk;EB$257U$O`a1KosJXkXSyIF zxScNU4)gvpSE~C4A$B$Ps zlMv}%n_nZ*)I{0d%KXaXw*g8N6Abelip5RU&O#*3_t2cr9d-QjhN+UTay>+WZ7M z7LdBzW&o(SNJ-bu(fVL4N`S1{#dl9UDA_A_WY5sL^sl69u{EV=jtxfOF#P?j>qSL# z9%R|%B{CUC-}vLe?opb$ghLvB(@9O~aSUOhO39x`R2}L}xZ&7TO~0@Jg=*9@rNYbPt(Zjf>^qWgS&(F ze#7%W5c_NOsteEkTOnKolE$E@Ysw~RnW)v0C(;MXD*75E|$B5e2 zdgR~H+xG~%Aay38w`BP_maXc~5(OtM+nGxW?`T)Vq`KrKN|fmW=(cRIum9U{J6URz zI6gb3piDgQ zHT)c7N3VixR2*PlW>-u)5f5n?VzQI`fwAr&!t9h(=UM1bwRDUI&|?G5r6_1C;n55t z`2P=k@YN%X2e|d6uvAf%;Ccxq?Zxq!Po#OC^df9JY9~dvB>h$i{cUQR6CoR?giaJ2 zJ8gNmdPnv9l<96#@)(z9-9{2uYvbqyBFHvP&7hTcz5)#)bpMLRJaC7S(J&go?(Pc~ zzC8wUJ5{j!UF=hf!Jy&uW9i^D?HvF>JyYL4px+IHKX)f6;rC;}sF-RrM>fxe>jVH+}70cb2q6ef2jk~4#%Hn_=k+W?vm6HK#-`Rj7({!nS zP+ERe+rHGoO3hJ#+EiqY70r+~aYu0rA%o3kt-(kgUZ2i+la9-PybZWEViFq3;X03c zS(8sA$DAl)#=Zmafs09Ux+TV`$c`BP(HLX6FDm z0zUS%piFK+hMD^8a8i+?yLLI}B7uTu6XAgY7ur<}0oE<0V`uawi;Y7O&EbNK# z;kEhcWcRQNF!0{}nS%%@!-}FIhYamTyqi`o)ANh(wA_aE#61p_HOn7}1>iEGo*zBQs8y zjYp>8EjP-tM1lwK*I2X3nt>?2Ek_QyIjPKn&U(&HwE{12_7hXqPz!ITg1Y9uuTm9M z29ydbMrxjRlEHa37+XWD#SuW zlPJW4>0g~v?m)+=XV+CwkX!Z-ZUHIC0#QM7M{z0IU07BzKtA3))@0Z}rl?9Y!s(rL z4m^>5C@otx3p2^NT%ZVR5>!N85U}Hx&RguTbUZf}h9ihpJykVzQ!KrfMPHMa^4c6B zwhsRronEy=5(f$!devuQUhlHPz0}%!t=7&){y;cA;?u)dTxd?w!U2qRJNBEC&iY>k zKyQCcd!ORcd-VTv+MDgQ3`+7O!{2xHknhetevF;WT{ZvqTi|#7RFp6Cg8zzwcgE2@ zIq$^e0`UXpe9o}{(kqZ@_48L$!cDSw)%G>c#b0?ZST$?)QFmY}P2gSlPY`&mYt}{u zZVL4c$zr4k86w&uyY3&aM9>pq(6M*dtUHvoz*oo+EM{D=OE%^DM>g;FU*+)Mni@Ov zdgG9PxHN8YemRCg-ld0cjs-4EJsY?k@z1Nag+)>OIl?u^Q|Cn_c>i&X)*|=3qmSR} zGyD3hFUEX#@bQ{BO@c|nSaz_xV*eXZAmzK>k2mXmugR1D6~F7&(EO!|32n4FOLg!E zJRagQyy(5{3C3<_*4o^u$+2DG*LOtxJrd6PPkrKNVTrFZOb&CbC1b{ldrIZypb_MEUAF>PYrOA$XZewMZh*M+)yswry+qyP zCh|GiW^I@B@r!2&-vUdQtZVI3?mzE^5O?IuIQ~(subIl-N}O{Y^G4lE4->Udoy%c_ zlscY!m3+y}yx!;hqy_xi0%n=g^;|4g2V;&EQ}gOZ-OnWkgd|{leE_T^+V!B)+mJTC zd;=*|X>b}x_MmLtxAkou87A_3RD8&U+n|ykGQ5VvfHyED>(W+yqK#^u(C+a7<56bv zgb?Q)X|F~)%g}c5oNrV#>8V-Pd~WvDZp6w0^9xn;(Yz(Yjg**{D!4Acc`u{Y6h0H2 zM`)VrjVWdiT0xU&Zu~BXVXXZ;fQl^4mEBXTW6s6@++Svkt14CNp`k!T5#mm8gI{Zw zZqcmawf||Lkk=)?et%K=#~*GT=oa44)M)?`q)=#@$1NQtW{utNs#~}0CS0*qgcU4a z`B^qq8&uhLv6dshGCcONz*)`ktAE|;mP+^?R?L<<(0Aa%TxOfVa+v$^Qm}-6^IH2UZL8RO5;L4 zqeMBSAEHP`jX?NRg6-zv3F25*BgsILO4LV$47HGgNoyhJXedyLz^k_Y_)GgJ2})5x zE|z!`72^gYDcLlTZ|Klkux6IfX3eEBh1}&Q+y0PAacIY8E0$|GJ@)T_#&1#Vvf$|tCYzGH2RZ`}5*+*-?;Fk6^!S)H90gern z>jHI%WDIq8iGLtAZ!CPG5rO~9*3|MursCaUx<`ad+$BC_SOuVAs;#Qgyd4tV099?7 zDEGNep5l_4qp6w2?Ofz-Y5UX!`2`2-%|=XxsFq7lUL1m5xcq+G1=wHnXZl)C_FBp! z)KLH*B&Wb39k+(XvZI_&`=7O|v*@(GZ;actwfz>~oo_etBD$4$ILaJQVo0W({@;T) zkkYae%w(SNgCVSEQMyst(H_EBn*%6{(cBJB7ESPrfbG z*#5@P&hL7V*c}pU1XkyvqTh9L&SY{MicKf>l6CR=6)qJwtp^wgF^#4$txzj+a=L&;Xu$@()ys>HU&DRM5?>$aAuVLO%bnko6??k z$<-|%?>z3fxz6&_bAS7RE5J)!*8B9^pwtZFh1NJ`bYIaQMro>!6F3k-eaTzhxH43e^eJM!HLMx;}d19r>T zux1L?lM4?TJIj>-nipi&_zcm8F?!Ss?vHd>3)?R?oxSR2$q^Pya^&kwPs?00Q0A#V zl4~&yG;BiZPdqdY;uH+d{lh^l;FQOf$n9_ylU$A9xV!V0WIHc37_3~2=2B%iZEo)@ z5-6zKswc!3UPT34{U z^o1PIM?%nR=#&rsnAJ`4QwBAXjp1anfT(e`q^Bp^gIUHMxU zPqKax)eBBkKk_k;ow5pd*_3^F`a6w^oFItwS@IEY)g~M+J)z^4J2}V6TC-_T$$}5L zkF!R3wvfAR)Lzd!8Z$`NA;xXu7Vpk+nTq|TaYpiT9!^pP*zDUSnwnUeeno!|cJ!>P zsZNKUT6&6B9*CQgQOZ1lPhQj$ur)5J{UUfJZrad1{C|NZC4V#G?We|7oQnEX;j@j% zV0{+KeegTQmFZcu6Bhy9i6`GvT=$T!*?E5MXC%zkd34REqQpIRrb}kjy@Wp@QO-T) zbdj)v3~G`r`&Er8&=~HN_@1K|bXf4vQA8ClR8Om|q{yRxsXH#7O#(LhEZyKjz9=I} zQMYUz9$zJ=hqGpmr|I_1M#=C_Q)qwYCF^hnQ*F}U3r=`uXclL~&}Xn&i@b8l039T*P99_qjXmagD`%Cv^#9Q_bLbyOv9AR#9~D z1Cn)n3B)>gtE#iCB_Leds}`|zh2kK1zw^Ndu8dg=@dlR%HVX+Efb@pZj3#=K5LIqV zHrZ>=@uCb4ovx6EAXRv$rrv<0N!GZH6}>pUC)x(PpsFfv^yE5!8rr0$#FKcmiNfuI z$FVmgs}(n7=@w$CngtJO|0jfqmOu)rYTGnm;sC>=?X^0dt$J_ZY(O|vLNKc<{*t1O ze6P^_^v8yE#bbF>2t_1m?}_x+j({)YjG`fRh|!pP%4|~xB#W`@$jU)jR&J8N-f!y` z6QsBxo2u^wX}A3SDG2F#S;7U8-NavcFeXdG!+<}A=1W!`JK;Po&#|s|R|QYUJIZmA zNr8_%K`JkXN-949`v>0}6+$aAsiP`ZIPV{I*tv>1p$6KTTsDivr5zO=7fnNJSwdVM zF|yqb%eip=7cv@L&eAibC)Rl zr6((c)DM&H{`lmjVEQ|AIdEweBo*x#wKkL_n(+4G*Ox5YlrV_1R6X0CVr!p=7T0PH zDV}QGRyPsJ}+*~@5g^iSC0LrzY?6{rU}i>Gmon7^^zZiSyMEh4p5bUamNY$*P2ir z6pui!4qZ}!6x-sU5VW3*Yt~}6)RWbi)pB)o*=GD3O{sJYCN9#(vdFVj*H0BRzA>aO zI(<6nC-owC$3<1eY+)-5zz;<1xw7O+ZeJ=4)rR6Z;GeAiN`v5jRgl<$N z9+M}~FspWtn<9hLG=B<0tccxFJnEp9-p`5Wg$b;U{o)06CNjx1in5fHMIi-<$)b96 zs}od`*mb$da0^QkUvHYS*FNS#B91dotM9=tw_&5R1Y?&o*idp{CUF-Vg#wUNwuOY8hs@Wz=5J@b|( z3m1h{|9k%50Hh5E)2MqPg%36y2B2Jfon@)??ArXw&^O+8y{`23-!<#a1@5<=yUBRo zv9=nOn!}9fmz~?2<;)xgCp6K%X$OP%EF-#e@L5LGdnRz#4izJq@zGg^P~abFxi#~~ zCEWsU-GcDKs#2#H!l2J1)f$U)3!W?I-FAhBm(RU|zWV zgV+B+N;8W7`rZ*rTCymtQf~5%1Y+SR@uaMKxFqd37Uac;5zV-4FA_)1Ut%e(PXBdF zPKZ?tLt6VB&rJ;ng!dLp&ddbnyEc~HV{dM1-b_kCyR@XbUKAW%ti$#hJ`H$=r;_tZ z@4_gW?0$;%AbqOq7HP2Q<`N7EMv2$fHT??*&;2krZ~dkA#Z2AC^t~k0`I+$p5jymG z*qww-u!4_uS{#-ZCyC$n4L%vicTs%r51QWq1hto_Mr!c>SV%9UOv9y5O-Uo?-}7H$ zZ9gwuunKI5>EVUpg{f$NUU9e(r&F3qozs3E{1N2Qg=a*sH`x!3sFdWz-yiw9I5zN7 zf`kSVCV|nuk2&TMNg7MOesB%hB8}+TDfw7S2ko5vgZ1i{qwKqbupq{N8s2hV{v~po zIC@MIx6US4!S}S!SZ+Gdg`QT-cFEeG3cj~(_)QWgO@!wOThOQO*8JroP8fGX#j-F; z6D{7YB*m&)_hT4SM#VvF*=l(TfZC8!pTz?%u2(Hz9I&;yQ4*9x?*!3A!b9)&7JTH9 z0Hy35nI(&~%_LKGLy-=1)o|(8lKhfyW(cmXAt0|a;NN^Pa)m&!4kj$7{Y2An=fI(@5I zew4@zEZNyc?7j$=X9y~@dfw=~K>8ixQ3pqIF zaL;A!cI)iz=TlBW4!~&!&N7EC50#&^yBxp2DZbp1pep)W)mxO4B89wBL;@ES-4|OKsk>ZE=!Idt7B3*sPMZdmnZDgqfI{O3sz4u}^KJA5ivxUsY?W73?o-`k+`5JF1*3uRZ` zQW~^l?W^d{RdcpI9_)0|E;cqdCfT(`<6|8ptfF9p>1rM&eot9->@W|n%R5BP1mdtD z`lgPOVi@Bt*PBb%j2k~VQcr$sMbk^n{7nXi0(eNGgYA&3>6YCJBRDKU! z=u*gwXGGie>0#I>(#v9%MiMt%@}Re~D2Wey$S^jNleJghO%E!)H+s$Cni;9+K3O$u z)I@sc!)yAAMNz6fPSl#ug=uaT43@^Q9h`F5$<-4SUu!AZ&22r2fC2HsoyNbNK@XZm z)zPdf@psbwXCT5`IXhuyS=!rqfA4_mWaTO782IHuypzDaIXU3z+r;79N>*EGt;JH} z-?060vmQlR5QdW1hdoxPPqLa#rT$_3Xr?Q33NeYywhQ)2xFl~-VvkDg>K1Px1*j#g zy-$j_SJJ#CQ_=2BD@iH;v%@C8D@?$V#5|#?ISEV8X@ZMTrX3g+zTb6|EGRykyiB*y z0Ot&|GM-TuAoHAs$X(F48U{5!n0YiHejpKT4|J3RuAbm2;p;8vGITm!?HBDmAXmVG z2bhgJ4nK68nm1TeZC4-F$^>G2hn97#ZG4q!8 zXWyX0oD1r*Ny!QNlLe|KVNrN0-rY*PCgbur7x_35r}njG*s|!aOV3C~InMgs?p8DY zFS2IJ9WJB3Ei_?xB&2W(t2F$$n{dQ*=E87rSZY$_&$=D* zu#WJ2U5SLm35?E=`)#JqqP(1$NJlfkO!QSL6VikaeeoW0W zm%)C>0i>`gB%i|ec5(6uN69VGl-AEQ5XXTGysLyw< zVyzpxw%PjrZ_MC($jn)<+neLY#8Vm6G3l6(d;sciSiO7g1Y?;pmc`y?>sCPA zGM~KB5AYOYwW7i1yl^02k#C=>4K*U|M^MTgPf~p4m;y)wARhQQ{ylH?vqEe6TdLF$ zSX8s_BzLo-9kGbbDZ=U=JmWlrbz~=0YpEHbY=nn`N$Ct2K~z)4r-ND5bNG@r#9I=X zoYJI$SP+6Fx0){)+||Spfv|Sb>8g^btkJjhzkx$rWEc5cdCsPPfk zO6~UKBYsy@?G3X2n(2Hb^5S)&H7KOb-ku|Y(=@8l0J)$U`fZ_|3Jkzh`PyuYh~aM# z?fw`jO`%|>7#;JZHA_w>_8Wja3#9QGaKTL?2PhrR*0~1P?~VaXNY{9@J$A~0xWjCl7cOH)XdCpBJI1>caZZZIExLuB0m zcTu>Y85a?rBqLpYUL$|2B{hu@iZ-c|k;4wONBLREfWY&-XP2AqF&WD^HcG zNFlF_PJ!enl1994UsZE~>x6`m>fskGQ`j{rVCf8?F;wAoRB`Omk5p@N$5I{4Z3jJ? zErf8jf|G^Q1F-rYDUL<5fuxc$;}F%~$l^P5XQr6j!k($NgfQqh;Vq%L;Xk?Xpx|N?`LUt;&jpcz!rG_AHXlKYx4uv9cGD$&goqG7~k?iUq zsf@UR45`iP7f)qWZ=@U4=XKEkH!3Rf&&*l0-5Qt+fs&g+iJMwL$hGEM;eHP7z?M+z z(QuR*cZf(K)zjQkblP-uR0|$TQb?wk&d5~&%q0_u*p>mfaC03vS2f*_wW{EDEfkp_ z``ph{_{ZD5&) zHr0SgzNd#66SP9zl@&e=a?oY=b5ISF$U->;PZ#(FVbGsq&R#23eTK)31~wIHuP+3_w{qL7g1I;SiQHsdWGt9c-rskyMBIGDOGh7egfZ%A<@3`R#QqV$9-O0uw?A!?0HM- z7DWZbeEP)qRZT!tY))WN&>sN_(A^yi#hhyn1l<5+_x`bf1Ar8lCmopEgx2j_*qX|D>%T}bzgiW~q66|7m4L7xsN36s9={eksa&M-guQknhI+`!7k zWe-nOOnEQkRIVyxPkL`lD`SYtZ}?tJ<5Zk0MF}ZuYUybO^LJ?0%sBG6R#^MfyBG5F zPH_WbMgixYrxHE=!Yh!Ol#>95q*0yKfx52Pu+f7+L!vu$>Z!im4lX`Pk~1^Wb=E9R zA%2Eiq}6tnsBbO9?sV}!4s?es{XOH?^!3G96D;-C^ke>q5<7aQ4O^XpMRZvD|GEFa zhW7sh!M}Bh_1Vm)QP}tIEn~L!j)m#;<*3KwWa(aW#i5TUd&RlMtG~g;u;gnld(&1P ziN-9l{Eh6=tw@`Okl^d34{4Oz_*H3& zw^}eb976ulv?D08JYm5rYd;SSvcQkcn#iCP0q3zB{uRzaJ)qM|>|@jGFb}8H7{(fq6^x z5MG2jk_z-e0oMJjn&z~MZh zhh^0O6sZ8EMek}+5{>FF2frbBk!j5BM3)fT6zzN{&9Q?q48C{hl=f*2IY>}yx*ERM zth~kWcRgKKa!B%){wq4VdpDLXAE1Hr@+6+00(&r7`EmlVC}88ALdTf>YK8<lBd*?UgVo(0h_)K=VrV`FDI_Ef_f@cuvqsy9u}K4K zAup07IQkjQ3aAsO(X=Z@fB|yQ1=mY=QC%aEuChr#V=>oBg+!);5Gb1Qo0*8{Lh>u& z{E($SFPg{8paFsxoo9%f+L7glZOpAP+_l*b16sX6u`>qNT;OH2qFVInjBGP5hy^ol z>j2|(nnH6zsi~yEfkwbKzyjEsQQ4iYBlv)J>4bN*74w@JAkQQnXQr@6Z(Z5?NVB3H z=QlFmw1oNVgs8Ckx4DH|55MN6Pf|stkz(T75w4ruYj>nJ86M~nyZBaM~ z`yWRb;_wmZ16IOkGoF~l%eFick zV`P0|xznlufN@L}g<8}7#-=kwJsTd>k5<+hq_;@F$rRj|-(P!{robp88-OyAjlmnQ zea8y!a76^oX+JDFNDwCt4)*4I&>J>|HAN))mY&iC!K=ptcW@-s34rg`OhOO{e78X0 zhQ4gj#*?V>HFUw=Atx`qFW-a-HSqqo_7{B~EQzx7Q{fk=fD3Nz?>rwO0+)L#Y?uHl zDX@SopPFoTvxjVC{R4B;hbrRA)^ADny{DhP{F_SslINfkuZyX|J|+pD19a#of+jQJ zSp-oD705Cx+e`D5F5(6gUs_|p+YjdBkwF9aFp|)}_#NI@!`MXX_}d$rq8j}-fumU* zZ(7h9A8-i9Jx-ct>E|BLD5O{udOL!UbX{NEWZgU8mBc(hf|P667;+EhH77gN#SyWk z_B`0|W#?(^D$dRLR856D`S7>C@cxG=Fo~TYd|}LmKv-?4`%!OP7`mmwawoa}@sd>f zFhE96NeH3dUgEnx7>*!Vvy8+gr)S!^sS@@!oULr+kyW& zn1H_|fTF{F+=Y=!&*?~dxhDS27CkJlOwcW_gRxT|0d!sqyvbo8DaOkzUMkoiqc&0V zKTpw-)b;T0gKyg1p7|&p-2KL;l2b;|GHND@qX>En$r~31R&tKZx@*>p51lFx zhx=2e_?=U5gzwFUnbDJ|6(-9yFe4g(Ed}2oY%OYn5e*Bgqw>*=ols6Wkq3rbPxurR zPZZ6Jq~^A%%IyG?DmtB}Dn6Z^sBvqMr7f<~N0%4Z{rTrO*F8|FwnN%aRvT!vgHMC1 zdf1gXgA|P?tBP&;1HG@dq-8LVY2Yq5(kRPFo+6kVYOtZ&ZS;QP>onUGr0gI^bO5=* z!OnjMnJr!T&C?<J| z`5`Tt5B|$$INXAF*)&Ezs^2i&;gUl)R!jzXIN4J*dA8TG)W>u)%=d;YZC#@GUJdxt z&fdnd)i(E_%9v0SH2P%Y#U`LODL^Ld#(zHmOX-MKXS;RU?@FxyO6X#!@)W*;gW?&g z9I}47dP~y|0pczvjQyMz*&Ku5EH*VGcNBV+n=9%gInZe034rIf7p4O66B#R7Jh^GZ z^gttf+bKIU96YNGQ!Ly<1a0gsy%uS)RNl?rCXiy+1tG1BsKE>namQh)la2EUERYOXC7SYy+sm^V*R&dpKZJ6=8z2NL@WV3fbI=AH~DEAXOR^d=3s& zt{l?tpQD2vwkje2ZlVKDIFmI#)C?#Hah2MUsmWxma8fO@%liHbr)H@10)47BU>waV zP`r_)Yc(2htN~g-ymR4M*~bhV(|@Cl zR-M#s`ld4(avIzoz;JDpG^>MyYHGLX@Aod>p9kh}PNWJGo=uTvs9fS-JhGXM6dG%Q zt{q=-IuqyfX?-W75Dvc}^NIeE{$X=8zn#M_g;qQ=s-_ z__Q zJ*sg~sRaUBybNGJGl&R1R^e3j-#R>Vxb`142DSfSHsNcUU~eO5Y6C?wl7Ch;)McFM zhMU&l;a*6H7Dt8YY4~@*Voq_!wewP2@hm;WwjEoz*Ek^XD)7$C!=!`;NQiWTBS9)E z0EM|RtDzzfda+I+!vEw`_bF<`AnaWnsm6|Cd-Dz&6*hd*EWO}=5dR1c4ad9u{rGnh zupcQOW1^GQQ*3$&tXc9w3utD4+9M@o`fSITPa=3%YDOV25piK{$TcqP$U)K__prOR-?Y;gF8p_;gHC2P0d5>VifKdQGskYL4}P5HZg|_vR!FCxib%*uwJh zKOLO<{|hwo`%BEM#j^qq_^yBkPOrcDzUlW`wb$RgcGdyaD2?OwH=n%k{sT0kP+(p? z1b?mJe*=wv(*FMrPCu_XkUsQ+{NdNL0w7d4>u+B@??w3wWz9R!&0a&9UuQ+@&UfZ` zzd;EJgpi?cJk=)}aIae^1(SZ4E>HL!@+l2<`J?(x!|1#v>%4tJ_5QbD+nFCU=KYZO z5^G_U{8OL#A6Q@chznJd^|P0Kd-?AX8yco>{^4!zSySld?x&3YZh8HxHA6j!QxQ8_f$?(H{{kI@W~T+-*}~u;tiJ7rLgwg34o-* zHM##5_~gFp^qY=-QSQU7@xI_69UATMVS2M&CjaoTBewbB<%4qncR~^aihz;3;%_k1 zUgfS{{LA#(e_5AyL&c{2(CT!-{K!iUYZ@-T<0ULz{=vKaV&SDrqVtj}?`2w!Ia+kp zd#6fxwnywU?Y&de^gIOSW1CjW=Pf-VdwyiW5*>5UG;e9DBHbGyKic8v&9x+n92_tp z)O&sMLCt9zG_%m)EQQijBb1#^j_634-7Oz^oZ`zo-~7jlNextjJaZ1`4Jl&@tbVYi zO_9dM!oAr8T@8O#kUPGcc$oKlF$Vk3)vryo-Cg!n@u&%N@{;|dj3bLLcMonoJMAA% z=*u-PcL`1hvM=85X8wF%vW#>kk8o{RYGNQ^t_eSgz7ltuPDWbV;4rsY94YCU1JRA9aO6?giSe*%w5HNiq72LhH8VYm3<%-S^ zp3=~8fO!h2(0a;O6t85i26Q_n%o6N|g+Y;7d8 zFvFx1^?B)+UdA8xm`VO?Vd5sR*54P892pk>l9H@GKP2@Ljf)*U-(U>~P<^k&8RS|Z ze1tV1tGsMSP10gag*FU$Ju4s_8u0=T^fgzv9$H&uZ2>3X8|^N{1(ZFeSVuGH0@#c4 zqMUqB#*7m+eWZz=r$SL_Fi(#2HE;kbx)ayRjT|H8ZES)o1%>?*wVLFKDv3|9R1TfS z44?yThG#Td8;kf0f`@7U@kqK zb8Q$L#d!#u0-QSco1+=^*UXcTGZJfj^yZSeicOWX^Nh5b3Q*5uo#|bIk5^Sm)(3ZO zdi-1E_n*Kq{xRdFcuUb7RX^dMTjRQ26LLoVscHF-o5(pfqDxZrJaWbuYMYPH`??-J zfpWir_M~oU=#dnF&u7*zq;)V%hkULc#*+-~WdwJ@I%D~98nTORse3^JlVxkgmr~RR z1_nV6l)Ln*4}$QZ1aV*j%zn1sA9_5#;t+^O?sn#rfX*qkk4?i-;WTupL32tLuaYtr zdiAcNc1tpx34ClYlko-#u4-mP3Z=2!xU4uP-6X8tc3HDrF(zU}X{n${g)>C7$}9Jp z3ynjtpOZBko4{5Qq9_4dqX;k^S4nnTv!o5iUm5rup|}&yR4g=K!r5X3PE1oB=d++= z9Nu4{emW4^O<@&f>4Z|$Q@ODY@Y_!Aqa;H?0T_!dlvw#pW-46vsIWjOth|?w{Z-P) z3K(#KakQJb>2%bn9R}^YHWfC-wmfD;WvQC#b&p{iRUDN#1|GsnVzvrud}=Bu^g$U! zou$10ICv-!LLPawVe_E+G1w#YV|?Tn^v4CYRW;$)(oS;*0?&85Q{m}A}4hU7mZ zOuVq#S51}7O&Zzhp7Au@Bdmzdotc+`>|}1hFyB2za~84)pBi~C$%7RHI7^q^*G;iM zyw6w~VLU7=mZ*e;@KW!J8jF+U=2-^64Be0OhgcC?+@%6=+U1S9I(CANH@PTpOalj&)ioR1>Zo9O(}G%q$`uCS|J@HoaOp5ndz#le&L@u^+DCz_7BDwu zZe4sr{q@aMt&`X({*ZDn1{dvSv2Z10FNzbLXt#3 z)zQ=e4@lZH3g!MjTi_mD^_T?k zP3*8n?c3zr)akso3=7FUkwF}lEZ!^tO#869hzo3bjS+U%M-ajvdVTm1^>L*S|H?jq zwu+GXk;e%?a><)>1#kjJfnBIXfg0?HyZ@a*`X8FZc&Q)?@Pb zm)>z;5vj~^Chn*8?2umiaX1^zfIa18&otWbsaqp5;N-FY<}b)MtED;rf{DKT8x zR?Znrd;$q`T5U6=x@09R*}q)fL@ANLdKK*2#}8HGNj7}B4s~MIhIRMhpV|>m26bKM z9tF=OKfP&t74aPl@HQGFAT&l?B!I|)Y2ONvu+!NUT-E_FIk;%OKbRXyu~Ahz!*h3I zM}fl3G8c8qz{2ZnV1Jhkv?=Y6 z%d$=<;Wsygks^*?1?pi1ANDP!X+l{d{7*e+Bz{B1+97SktOE+oME66LhoFQmrWu$e&vcGUs?faoExGls%u8%j{p zT08y#n~F`2%1~gN32uNgq^;!&M7q1VGq|Wl_m(QwBLG4#sswI-Ac%=wBm4g>bDZh@ z!E^w{#t9J`be&Z8w?2u3fbLF`<+nqXaE;n4(GfN?)%mEq_A4@Zh8duQjQA-#fVskg zp_%u1-E+WO5+7lUR`#j-B6U;4KgU4wo)pQDg&TmwNed(@$?GbF-$`%BWI4%D^g>wK zI&kuNJEKK%5WH4b2Kmp`X8Txs&sobf8Whn}YgV53(N*iv< zazhvII&Jzdzb+5M-ufOY;*Nn_+ctbpmz>0UPteaLOo>C^Wxg4#SvdjSG9j^sq9{9( zbXkgzEehhQ&0OqDMJ2>4{31O9y_Twv(*Pb4H&|m(-Wqu36}Sn^Js z2WC>yfa$H;;{%)Qj=}_4=Zo>duKX@lHDyd7(yGsZBLnKANMRPFRphNA&W-r1lCk^1 zt7|1hqiuLSQYgC(Z_iMx7L`ofn3q2y?^$md_k_^VBZny78L0v3MbK9Dx5uhk(lkrO zwHn29c}h^jJ)Uj^W6~_z9NETaSInj+Eeek}lcTcK{g4=k+v5~qn6i+hu^to3plr?J zsx35%;P?aGgYHCb8C02pjrtI$%xx0Do>fsgGh#A6!R@A%4%O<_-}8mcLdO3k*$eN^QTng8n$(|D z=dTk5Y#)nndO-k`XI9=}0G%XBUxJxZ&|iE@3Y?Q9*F0o|q>WLEZXGJO$L}$hLmP&3 zRDzM;Uo9TsXH@`j?~!V2mfB@p(#6SH(YyJ*A2vX)HKF7Vq(5}Fk$~BOEj#+;B$y4(Z7Jxmcs}@{@@ZbRUaxGSuiwQGCTVDr@ z0?F#}-JJl-97GwZ1JmzCSRp{66V3Wu^t|O%DA@%2a$dS~Z5j-^>E?5rVO=0AS|x){ zHjgw zW+lQS6_%nG{`hG~{s2I#LjPHSpU`Ar^>Bl>ad86ko*DuM&iIvWYnI&r)eIgVUdt)w5UEY9}L&J;4~7qHWwW7=N-_6>jG#c@U*EO=gjcqk~_g+nw2b#lMg9U zK6qA?l2WiN*Rv&$Sua^(WLIEspKT`VQITizFqSp|3p!msE_k#O;|3=X^~t;d2C{-w zy}HRLw6v0}HbQuG17j$dC;{YGjmJQ4!Kal^w4gaDTh4IxGUwof= zY=EB7B|J*k8Q0n@GZxhMnOyBiP_`~}OO8N$Q! z0kES&rdd!uz@m^J##jYy9P(7iWx=Y>lxj44fgMdSg$rZlWp43Q=lOV^lAUI4g5(L< zJ&mkwp}^H`5l@xe(TSnkWWt%>*pz5fPB@1T9>21!6W>$;-~AMSq3 z=U{RiBg$c5Z_P<5zPUM=y}cO=Y-VjtA<{*S1n;D3l9lgh%B&<`szLVe1dQiN#Xtxq z=9T2c1n|%TYAv1yIi%i*K56aNydwyzf|8ijD7%Kn-ufD9r=4P)HYpyxe{@>(Q4EyS z!|&>eUJ{N72TKFiU04{#dTYPN5F65GeF?~Tc-$9+{U!th{#nZgxpA^2!PI#JsM zv_eccy$54AvwoOhQ66DU!)E+uBl%4hI6u!ffvHq^$bX$m7I}}mU_57$7j;ooy7LDK zlF&2NW&GYXg;LEORK6FV04ZtBrWT9l?yyHehZBVO5aWRgY>b{H3LKwI5Q(x5Fm4Mb zjTCB%vyc;_!)F}_jBg-$^Krw5&Yyq#HK%Reqd$67SiNy9M8J6QtN|xR6j!z$)1Q90 zmNKiJaay+OiARMMYL4F?6!Pct_6oI*(uXU($QPzI$px`9XAVJF+&A)pDz$$tg zLuv1Y+-fr^@Z6TOD?4dvGX?IXM;(H3oVOV&{fIXewV%k`qLP=7%rHNaZ^$U?Pbi5J zjkES7gZgr05mR@Z5VFG@MZUMl19@UpDgif`ajesJSUMPna$E|&sIzcVuC)skMRSv( z16G^^5ff{lG}L^i?O0YE;XQV;OJE>Ae*&Ia>ANzCuxL(9tfRR|B!|qy8SU6#?Lh}g zjuKX8I6uw~iA4}eF+mO8LLQk^mWCgI#?qP2t{?$(tB19sE@qhHvmVdVaXjZbLJ$jgF;hhFG^-hsmydBi6XSO> zS2@l$WAzr{!_F!F6gz&cq+A%M%dd?@pz6|Zvjh{m-Er(d2d3L0!@1CJbK?N`8CxGS zDW4F68e@CG__SYD9=U`wZ>3!1{s#hSbzU4t{o1_>>B7xmFG83_#5xx@ zx-c>gdE$~V-e_!KuB!UO#C>ZE0a6VXK^Mle@^-rOJ>mi5Gig#KB{t8&+zP48N*^2K zLM^Xjby)^rhEL&qvK*7FP1T}D1DvGVIY4fliY?HBz^Au|U zDMvvcUC3x`W)ONi-mn=2D0i)H=a{Qx&cS0iThe(Do+#HW1Es6-IcPhsVw^dye&C)t zHd@+k>@GPR5%bqMiwr=o+?VfY{}S3kzJ%m7d-HgoZn-%gC?@d8#m|S(HLti6I)kbtLX2%Rm4d0?~CBp#aI`NLe0$>{|I#oyD=Pz zmXvWAUogNb$OrQ+3~d-+TgCXy&Fe*;!Ay{lX$MPZj4G~FXEzVEA5?S++VxR_lec5P z$M|s__k{Y_-0znxl>{GbFCAzqGLJRm{QbjCB9=wS*m=MPy;$RlRHKZ&S>IpG6Czxq z=){1kLeyQm`4kd`MGzjIV!U=wT{rp|Z?BRLaT8M0b0}p)sdXC57 zO$at<;+norGvQywd#+5z^WwA9YqLef^(;`Vm9n<9Ow&&LOn+zL5y@`1!$9!egCp>4 zPc;!Y4nQm1SZV^!x1i#_Tdbv1eXN&;!qRZTaaoacG@ZC<%^vQkN!i#6< z8(TaiaKc2|UMPCZ`4Mow*G*f*a^TC$ltozs3N4o)ucvtw;HId~9`gj4M2kl>f z{ojkyq1f-1d2L5K%;pV4oH*Zyq3J&`ccc6fU_FxS5N{ga<5l(wEV zd+fgVM!f6FpT?%`o3}Uq-GJBTkGlDbzBsZVJnzu-Cu97j-7oifU0lk3zzSU26GUCa zVE?#w=Py*Rp?|$AX#MBgmwwHDSTvMbH+;c+nKgZO^iZt#f2khc=`q}ey{L3Z4YZD` zUbgp7`J7?({3T-R!@+6)rJCMz$al(WKL~y3Rj%2<>QI5(%@ml+9qIU{Iwr=;hk4=N zp1JZdE~R-wS2>5O!Nx@I0UOg421^uiCB+hahNw7dD}Q_y_i>;jenm|QcI)GHnswdS zjQ$()xv`CdyT!d@Z(%-qI7O{nCEd3Ct3Q6ec^?3;Z)r!}llG?H#Dia&U~_0^KIv!M zA920XF5?2TgGl0DOfcg66Etdb;U~ze^M`f6tm79$4=Y?{+H1R zln<*Gnu$wi_-R+WVNx9meV|V6=xV@(|6FV5&BgT1*pGTB9#B^MFU7pIsHE>d3hE(*norn$C ztN|7wQS$13s4$!XLGCnEbgGQz*%Kg>16?Bad&ZDe~x-xqj8dL;9`tSM%|41xO&4zsu%GxHO@v`et}6*h!F zg|%hQ*1-#^adzavDOnFZ@|NT?H;*^>PdV^w2I)XF$M+(^jEwG+^$3u7+|)1Iuv>S}O0Yg0(xy^d12I3CGK)E*^`6+VE=} zA^u^k7GA~jjvSNE#Q~!BOcKtEpw3@nR_6&|xzLL)(U=N_0}#Py*JfL_rCUePd6`D= z?3!J67d~3Dyg#9amu}rN2OtB2P(3VHEwBNAbG;4brdE)XT`V|n%b4N))+u)z0AG0` z?~ZmqGc~JI$4Q>fP}{^e*7@u^&f3cEZaX$aTrrOqdG}`$ z*A2CiVTgl-Uo)nV4Pc*HV#coxZL0h|gSk|pfxtLAFm)94r(?X4vx~P|5x7UBRh>0p z{8Mlar8_$5yk20tXRsoHI^@RdGElZh)4 z6&O#N)w_(G%znW3O5IT)WJy^)z6_y?)Gz)P-2;e@nStuuJ!_;zVbLp2*{D3xov^tKRu6M z3M3dVJ5AKtl8inzFnG6Sg1a0@?R>NIQJ-y?9R~fta6xw7>f*hR@hn-`>_m3&>ra?} z8PC#Q*&@^~OTl=GAV<*-r;OxD3H;hPT!}&ZMcWU!KFMX+HxZBrM-f=p#* zMzj%suz{5wn*i5=vFN!}P{7?bxB}RLMbr5YiW{caT%bdv1oXod22zot!vmbxMpe=en18#z!Ku~?U?bg*t z*b_lWJT}WZh4FY3+MS1L@CIm3U^kOB$zla@Z3;pM(9yC)MPAF}o5=z{*W`-()rCpGN1E{z8N(+!`V?%d)#q5#o&_O!la@G>DFw>eef=+Vqr95p zXzX5ag}CWps_bB@|JSRGTU9cGb4F*!EE6TZ#=hFf)hg!E9((Z)3kZRHD;)QML~;|+ zTy=h>lA`EHCyg(uLcj;8RGb)RIx8Z^bJN|ojS?9hu z;vEAVH$8(}6Sd#8Fx+7-@lF77AwPt*mAe{R8!A7Hk*v-I;5C0h5^3~Mh2ti#+s}f_ zIRQy_QSQWN-4aF0UO9-79(O!I*$9$HuVVhg&)hQ6#OTf%B6&5< zwVxP(cFhekb$;QD!*6VG<2=0se*-|Lyz%4sjiGpy$0m3@L=Z@TwxBowg3J(0OmM$R zgrhxcA3#k8Dwhw%?Y1XcI?q+@-%E)Fhc&~3B=<2e&miPc#i z54C3jz6{{ze5<30F)Wc4Kc8xEU%+x**>bpd8Q^c57?9KFiAV%COH&w|FFnu_6)hlj zCfSJ^iwn}32xUO>GLd4G*j~U}6rSdAGN^Dyp`mfn(0zKrN{n832kY^d69Z3-Aw}P>Q7V>le{uWUrb%TFOS#PX3k*v=qmSnq|PoBxdi-RPrZI$g-siExoU=QD# z4}9yt#6RO(H?Tc?YuQQakyj2UI*5iA6w#iUyzc1z6LXeE@KoS*7MPuPP-K{IBO4x! zL^UZyCJ@haYAD)tm6`Mg(@Kh z4vWcl@>-2W#Evwe*UzOcNY0~G+F1M6T*#6VH>wEz`a=cpFV=9l@ipH_x;`T$@bnqvb#6QWXAG=}Fi%$DnvQ?qb>tJz zcBG5fdCGn(|H#mc?zX#|*%1jmI5N6A3r=_b$^LmBhehUknEif(dd6pA&VrZMK^q5} z@(TRW@aIL@NeP(nTkwVNYtPMIvXk<*b@r++e3#AfhCSxtN$;JbK9KM9%l58#Z`@}g z>@p9)2fEUCp6~O@PoK;W(JxrK+k5_VF)u{E_;1gw_lN&K^@}5sDTeK}bXPPSYxi6H z-;}JOE29x{VRCl(5AxiW(9p4YVfy_P>zCczoT{odv+gHca-aLPI|Y2PGmHuEmxBEQ zdt4QN^X zX1RZ4T4F%%JAGbDnX3xw)(Agdv-{v;hU=^?OFX*aMb7K`dG7>Y{OrZ2*SwrJ180Qo zDeq3Joj;TUOD$*5aj9-;1J2N1Suz$bzLgmrK5RXiQqLR41@L zMb~j?`$vh(k4oSNO}1L${y&ygED-@2I|2Qep;H#HZbwpBRgHI-!hEaq++JHoh!-~pt zNw5D69i{7LA8aWlq43nzEMC451f&H^r`JnXhypf`*{aOU<%c1nKFf+s8i@o?5M`Hq zYp&lXT3gw!b4u)zyGsy2mE# zLndH(M0lCUYqKXZU<5D@UeMUDM1K9s4ZJ>NKXHTJV!UvayxFG=9%)l-{6raU&LnQ4 z@3CoXyt#BYw|D+Zsp_xNZfG4xw`kP|T6Bw1NTWR%7u5$-2;%~O1mtot44x}#2_1!o zj*O)JKFJ`&HS_?=&YGkykWcE|R0~<}S?#2B`~9Os=*}d)l(4=zjBOf0K&TYW+#!Q* zr{*}pUrX051L1ME-3E3cOYg~%J*2pgxZVPKa$t`;lj8vnj8noJF<3z&*j8)V9WCL&vuhA~!9HOj>z#Eb*r9W0hLj&UEQpGJo zooSlCe1N#tj}n~BhzX?elh=+wmAzy=&f_jSEO)gRX!vUjO(KHlLRqNh$`2C2FCaI- zUyL@YsRL>{D&0#nmMCE8Q9^BO7RfEd?_TckK~^S zW(R=X&Tkr)!x|PLSRbZ+B|e+|iD*MSDV(ab4HR)scB~zSZ(ta^{;uz~4A_IX@M|ux z%PVSj97J1dm#2eA9lF0-&F0q*1$m{T6CrpLmWhkNkNwqzQ{YoWsVi>AHZ zqFMdy5hG(o9)T-Y(BFA3L9&-($+4o8A>LpDNjljL8;mKuE~}=At`BuziFluQvk`Ad z!LBLK5VPYmWP56e-kk@a^qWT%?}*5P!+&sk-nL2URQsuZS@CnA=&n34;#=FVzF6fS zJSyuge=rjMCCr8+jzI ziFzMD5Eh3e35?7z8sv3n3+@|A&K@Ut>$&~(<<-s2jQbjcHen`RGZe4HdEFfsjjfE> z*Wu0f-6q5S@*oq?#4f*zWB7A0wzH5Sn7oE^y%Lh=qUpZ^tXQ}PUL(OiBv7{(sB~|& z#KP<+&_xbHX3mkSVq{q5`+OkF$v?}fp#ZCNY!*uka3_pslp1_yOsHtJ&$-tmB*ZN2 zVBSn~7w?r-t>$SM2HCc*S$7RX7t@54p)W2|TtENq{%O2;S&n;*_zN6$`EdixG6(Un zgGSxl)e_SCY646Uz}K0qAw@L=>+kdw{Gw=l@dCsnb5g+>1_w7u%*TvdY)yT12GaG>Bs}`R>XO^W?jo7LbQq z;2+rk{{sJ_{FX?5H3{37pgi9bh(2~KC=H`su* zh_J=sn^O0a5|_k z_k@N?PPqbeb@`p=b7CPH1Hav}ugro zx1%`9q6DVP_^`Uzq##<_>R%jyNfACMLLPvy;?Rrlc=&JR+m0dY!Dr{zhEy7h9V&fRXXt7LJ9m%AP=|@*AaIAMpON6HEP}*=1g>d{1Xz}&6k0g?5mdX} zZ8Ba?vrmI48mfbcpt8S2se2?z;=>{~@3{0dggb@7jSod9pi}WX7w6xk0&8Ho z3D&U;4XumcrVBiYiKIapEE$MDLdajsm9fBH0*&p0)lj9#c9X(Gp!|k`?3GRkMN{PU zCd7}y1UO*caeX=ZU$OHK&1 zH!41n8Sx9Sr7eh%RBNR~x@}Cv-VUk3Iygu#F|)Vo4V{d9BSIRtV$05=D7snkMebf=cteKbF9ux*9_xMkkvp* z2JvEwI5Y*HaM`$eQ83+J%85ju}O85v$s?-eQ=te@E z&{@MVHvKDT2%D9~zh5_CYAgM!pX>?paJA3i4f)uM+%b>M0-7I0>hr)AF1@9_+rvX> zcN**~AyW_NML;k@ss$}pjbV4o0j!-o17K}tpnMQ~+7?G+Q?Wq?tyANLbHC8OB7+7a zAX{I{F#FAA>;xtcChi$#_$bXx=gs3Ge5R1YLhECp2Ck#iD*fDEBtQj0dgVt|2K>7-{DAFzBnCSkrYgFX z=|l#ryJ{R?dSC>&dA9cR_W>j`lMl2#)*c_e$`hbf7gp_m)@UKJZz`R!Cih)(HkMw` zXGxQ&cKF<+>GPYwYHS(m%v52oi$YAz#d`QKKyBJXBTN6q2;D@82JNinivDtGuo*Fe zSlbBT5?XF4`Ua3R2(@UL5Yv*5X+ZM;Z6rTKUB+5Io{xyf<_S$Ojd&4_55Rd3m95Pc z8=5bVGGWtl3cT7-awJr=qV7B?MoR@~Svk$t~L?D450P^Mhu|VJGQ^r^`dMjf8(FL;u-E z{!V#)wl^hk){0q+d0sv~D>%NZ{N}9oI_Q z?@i=W&DLdJv;LC}o%M`N3*kpJqWaB#>C&u4vzAZ-fH}0lcMULyLMd+ob7-e0w;2~W z?(Oe&i0ZQ&a+{&v74mn`Ua}X2r@77-MHbp*`?rPOjLcjj?qZ#jgzh+#R^&9S<{SlyvTqAyGeAo7R?|IMZb9>rv zKh=-vaomouJ++jS(fFP<-i5xoKRK`g-n^Bu3wBp|Pxl7=*T(!h$&yqyXS(7TTGP z&&KfUw2#%oE)G-i<9AO!e%Lh9d`+zcGW zWj7vrjST8981K#bf#6Anmn2&xk=3G8o1~XVe0S!F8i&M+!@fH$;-<}jaHth35MoBj z^+JdlVK5C4Gm#_GnR$bE2AaRBUVbW{6#ac~7AN1-3mlib3t(FYbV46gVzFfH^>GsWFD1rR zP2j${Z0+o!qx!G2nh9~`a<=we3rc6&v2UBpR>&~XaG7Xp`wB}qRtFU}>w#BMv12_r zh2g`CbnS$W;(ha08*53CZqfGG*4_^7!p-o9xDQ}SK+~v!ph<;0-Vg{)v90X|@vG^2 z4{Hs@Gf%<2ma!_1x8Fw&jU6r<1ln>Ql+Nf>wc+e(SGB3KtG@Buh~IAC`E5uZ-G~cM zV!q;_NOmDm-z3v40M#~tDflW@PcWPNjo;fkzHVa6SOoY$6`%Gw52PzNqFYLl?y$1C zPX(PuxH!hQlm&K4v@KA5A_J8})pk;)gZkP8S(JTp`C%Y_hUwuL8rKxXw?=9Q#KA{) zLbETp_f0(|58$*CU24}`NmKc+P3P~t8KytPsz|fv0glttP<&T^tTvJpdcUI)UYV<_ z!22m7m?=gx!N1qLe1GRt+>b^2jvNYkQ8qZ|iRPWt7F@&&$Lo}8c#Z2Wv;MAVg9pO~ zk_Ajx6Y()|B(I^!YqV228aj3SRRx=nyWrkpu&07vNrl= zn`dtTjl5}z>A4y}h)+-MrGWAcAwky|h3U!^10VL!_7PQtE6%A>PIU3VdsY2W)gS#I z|Jwd(AO2lq$;;Gn#+>9lJ7ejP_!i>wg$Ovah^i8v1fZG;}mq3 zh^hHFryFM`4Z(p)Ml2@)Re+15Qxt-18ZJhSl{Av$VbTy{4fLiX$K?z(8EBRs&45el zz{2gxg1}shtoMgQ{BW&NdC~|3f4M|2x2w%Sa?hUal>uP z8fz-si>*D*S||ms#x@!8w#q=-4^%OiE`wZ8Y^&jGIlPq8+GB}h_t{&M;kup@20rX~ zG&BwXlI;IS)ti7dd8gg~%4(-*XDVtJtyWPhsLXWH3JT)5w5T|hZ4`kSEp;jazoNh( zgtW@i1*Bt%*qV^35MuVKgp?)3(Et{nWvV2pEXmZ0lqIAELJHab=ZT&7egD@r?RDw2 z7Q(Z9@B5tdIp<3lH#q{CFg7PZ1;InXMJ;5i`0!&)@zh%dYZ4Z18MsJDW0)q$_V;m3 zBSa}xqQH;^Ira~U38g_j2j;OL4+{=ve3**Uox7-|z7|#6&5Hjz%CBpGY$WSvOoVSY zkg2khgvg)-@;VgUPzIWAIYled)M+8^j;lRbqr)t2=@1U)5(>usN7tr$g3s7#p_dea z6B}q{Meg?UWE2K5P!e0dws`d5(o|>4#TVa=-|4WTvu#*SHBXA)J_Jj8I=eZOjo2IN zH5gV=<)zb1<5ey#g^^*l&DQms2c+1Q*V+oIynEvT`l@rB@M&|<#?Od3_A~C9?StH} z0cK5$*OV-E1IH_kM-tMA^cyI(Ev>CN58J*QR5_ozjw3w^OnE>t9z?M=+@hJxHWwZS zL{*r$CGZLZFior2y7gBSL1&pWL4j(Qd)$ToiBqy&SP1~l$Vb_W#HqVIfgORxA|rs* zsBj=cQ=DzBua6Q>Tr_nZde~qNZ{aN}M8QidB}+WSj})02O4)9J7fV*2|C(dI^qapE zGC}V^MIloSLv<9Na&->a2O9fRG&!R{xKhXI+IK;zfYV#(p*~j~L$Bfv1HP}8p1@t$ zJTs8e+W3)8t-T%P=^jiZTYC=JkLD2>kXXZ+z!rC$>sCvTj9@eFge6Scl;g@z#Mj!E zK6>G&KX(pHxPGJu;1Ujw)o3)zQo~3Du9=>uff9H&#Bm!n$qI5V%ia;y+rf#j6~PGY z#xYnB5Hic^ELWiV4{NXKB;8i3^*^+5X&Q60qt|R}5t6yQ#gWbbMKJ1KZF0Kww=?6{ zJhoI|w{cKZ*l35_Ic{W++wDQpAlMG8@_?l*d!V=af{w9PxTHih_|108@nhsb%KJ}V zqhv7!grIq2IxmfNoRpedx%r8y2_?HEy|~po&JDJ5G|_|dSA~-o%dgX`S+lV8qK8PC zr2~Y7sP~w@iCU#NZs{QaxYYMN_Ib3H2$md}-KOuJww;jenNbu-K0WlqryCOEe7y>I zOO!D&fytL?)xoOYVYSkuRYd3HrTrx;21hLF@SUoX8E7at- z1E~4js;Qc&W`5%MmqZ?5itJSWz?wpM5#=K~&^|03#pcrxT){Dtg*ea8u(OJ@4Z&EN zFr7K0@XlIU`42g=&?M4d{Z(F$gBL`N{UFW%jKKsa8)8_lhngm*;9j&WV5_B7S!UBs zU)nL*v+^@qHqceN`;61V-zgiT>-6YX7ub0F_TDEii`LWi85ppFyUFoU1cIrg?)#Kn zVtq=%EHM?@;wc6QU(l9Wy?6(H?$|LD2fKrFkPg3i%`_8_FCKwEfqs`eM~RY?;kZn; z4yI&xk-P2+%R|(`prI+x6qza!j6Foi^Cge&I{RuCDKK)f2gZpn!`)vz_k#+a$%fdh zB-e;y779^fb%i4S-E{un)U=!rkXN5IpHzs}x4v^o{+lK#CnyOMF^XtE0syNeLlq-f zuu^VT%aW&QdCKC*p$iMm1yKc&UfS2d}#F$8HleahFe{l zDDxPVOR{5=9=&760yFRa7drrhJjDYizKgqBe7Xqzltx0>os+?RM+N0nHfUHOKyvlp zN7C>#r+JHL7yU&GM$Xhj;Et=RM>$kz4g>p6QhzAyVzM^y1aF)2W(uj1{YYe>Pv-XG zX~7zH3m%tjs~Fy7EJjSqOwg(e2nox>$12Vag2cJ+5ID~ywRtu$dCWFPKd0BnSEnHL)VLva+W=?9OETYbIsBD)x zoQ+Cv-a3_y7ZuLw;3%oa+|VStPL-9#jbiNHvQA<45CIy~G+j5{r2EFvF@BnP%!lxH zz|;z=Uh}V51NRDoO4|58 z1wM%0bW11P<+h)=$0f!WUMHe~VG_>UVFgDqRzlBU}9OcX{VTyNTC(#J3eYHlFnSzyDhM@8|C!eF{>BS1M|xC5dbP8@-5vbLJ6BgZP5D=!dFgxiPo0GFCBr(f%l~vHmJE2! zcFKLR-t@w~fFF6p6Qh}X;ExUHSp1c*khs2#zo{qIB-#)Z?3^EoMCJ7cb_0FwXXSa0 z^7L9rpsL-1fsPBazLnF;aH+yf$noEj2vcuWjJbPYzK%A@B689X?4*(QxGpAfH08oR1O|)@yLnss| zXtT7JMlX(ZdzMLrB71amldUZ1-t5{EKv9@hX}RPyjBv{OS{0-kr?ruk{jSJ+luhU8fz3!bPB)iE~Ov$85=U@J2iF1Cwi6 z%#O@ONX6fcmaWP!_~jXK(1$KCC1IdBjJ72=QEU7^X5AefOsD~Buzh~1Ov$^ut$AQ^Nr^vCZ^1CRd;bfmgA+K z6}sa+Z?r%&*p8E=0>|>$L+!#Yx%!5Dn_9)mp?*zj9+Dr(S6n>C6kFy3Z!FI?vjC)8 zvO{hx2?!rDyu#FL4P;dv<3+E{PsBTI&}RC+0UYY0ma1dWlh|jhNTU|;qXef<=I8Yl zpAt??waa3B#~-(K(2_x>0Ws^mOFpupOA1HrM9m^NB zmdEFF-x+dANl9D^gq?13dA|0deJdq1^TPRRYIQ3{AU9Z{ic8kJzLhFw%SZ-ZJmI>m@4cdo4f|eoj_1C~*%Akbi@f*B%Vh>%~0TpN>m#g={Y}IJDN84s< zmTcp7YRx^2Y15s?XpQ##I(H}0&+M2@XvWbN3sA+lRmn>5h>6bqEOV0X}c5Y2-%Z2ho;0B8TIjZ~rv8tmp^s{%&L$@0Tr8M7YQ1{+aS^ z>oR^i1tum8pu36^aZ(UYa#rolZ{LX(&6gHR)JWw`B2^%By>`k)NvrnkGlRABjFE~DObqxDfqNiAZ0jufV z;}Sf22k@S7QC*6o$|anL%B~LLPoq-aqG>ZNyHCA-*fMlSDNYFdG-tYOt!&cu;{=CU z(U!>@aSPn87B#u+J8kB7U) z=#Mz*3gNpK=nDfyI9cY1k!U09!mP|LE_v}5W&62Q22L3_jKUBGh*qGUl+kEg_Q==> z9y8z^7eLEsH`(K06q;TQW^V;5Yx}*ea*=T z1H`PE8}vsp%!4MJtkabECvHh^mGEhanSqwT=O5-=vbC`_-2=L+IXeI=c3}Y`19%J$ zWE5vBjqT@xO-3}u=2UqZH2kv=WSa5UoH>Ea-@JwrMN?=e=0)*5Z+NuaXBog@qop)( z#2Fl|d32jT+Fd}{n$p>jh?Ly)N*}s01EC`YM6L6KRi>m>`~S!Qo?kZ??teE(T*%8$bu{RFrw|ApD@;H$+IW( z6?pI_ig&x)Jeco_O$vA&)MVFCETb>|bmZ!Y45dv7Y&4fgU(Lt^%d+{z~Awo}M^q}slGApO> zmc~=9tiRnW!bFRCGGYK@PFpDRCl=M+9t^j?|C>uRKj5p$2Q5k#Dn;C4hBq?Z|7Vgj z6{gqn(xA2W{7HTyUno4Oh;tBFQv)?v6=Sot*=;2u4=PRbJ7SZWDQXoELoKZg#s zpAlTgO~mTcIAtk;kpp1ZsuPwTaT?n)HaeFdWemwl+7iKf?qkOmUJdCv&^fA(u_KaK zpVcu35a!8Rjjvdaww^=@O2Lf4cgdWEZO8}@uoJq3Hy(Wl)>%q&+YMS+Fi6PwnX!0c za^K-8DDb}f9{#M-Od8%u9HOMv;Q&G(Nhl2vsEPNnU-&l$-Gfjf25F{Q2NRmJ?8&~- zr#yTJJ6v&b*ct%C6obvM=MCOj9KwI~7G%(h7!B}F<7U0KUtHLVt?OPvRtYxNZg@Ok z3V94~!-BPqtaKUFWg4Mc_ex3*F16z=4+r9htRLjyCnZbBpzC2yF73@TUy54f#(mdV z7H^M8rA0IJ(rS}@RbDRjy77E{%Srl0Ls5E%P*u#l>sQ+yMO2b3WK^Nsj-NB)oe`P+cl zPnjU*)Z@wYztx;C&iTK1p>=at_$_;yA=R#!5cx#;?OEyXA2#e2?O(oj(!X5bcf;>n zp`Wj|$ESSPPxGH0p1(Z&u&-ZNz~lJk;hz_8T}1Pi9vTt)EcH3KXsi1zOHlsshKC6# zLB%CyANE@6FL?dq)n{Fd${9Jz+c1@Wc545;#CzUL7mn`twMQe!yLqnio%yIAQ~Wr- z!FJmGMs>gM?8ZGGf9u-kQ~L3Db3U0|<(|8cM4e~F!#cmOnu8$HhB9i{MCd*NmNaq~EJ> z>4vCJDQZp*Pyo-Y?wP;*>WYWQbx#xWuV2!w$)<(Y%fu6!P1=}8J#Sh9?nqwb?EC2% zNjcH5>`JfkfwKjn&6jCO8Yx)cdeYK%EWDam$kcZV-fk`JYxKS0S8h}vV?vv(){_Fi z^39GX_zPAyH#Qt-U7+;%!!>Ch5IZS~3EXNjH|!Ka^3XBz99A`ev<&ND3^&uF=#%*- zbJ?wT>4y1qBn77}wD-(OS~9uzoA19POkgzRBsUU1Bm0dX z1NR5xF6v4pq3m)?e(8p9edgu&FA-R(N6Rf+Q+m^ko;D3!a~|@phx1x?6S;TrGZX+}yGOfQ>9Lx?!BF<4?Wpd=<9IOr zi=>OBG?^AV>&bvoHSemDh!?c|{g=U&R8L^Wr9bXpCTSz3+o-DpLw6_pAL>v=+S*wh zZ>&&WCk0qUX;f|ZZ=i57$^RqJNkT$2Y5WDtn>P_x6{s7vbr$O-i?^hwBUyv5S=F#J z)Xi)mTvi+uXVm|7qcevuI0^DDKPm~W#*%_i?YU&J-Z4VbS1*^l+7yMiT8ao( z+bddkDiAr-ygxoL2a}!UIeJ>y%(vAatez&k9&q_H&VwKDvp-@?t#t<5nPc66ml?35|?aown0=5jQq8?voJo3_kjTE zK4)%g#)xM%UjNVV3 zRJNr3(+kUguoOS@5U}Cal4X+`VxG{(KU+;47T~CN)xjhE?5*zy92+Qb&{8#gJ+n9m zb2`p*pw}d%sNV=4r>Srz`+sMF% zh*|*y8_K{jFmX+x0d0*ZSbN+9mudN33DiaQ&!E6 zG@L7#>6*M^>!X*gV|eXq@!)mXe;NNdbtQ!rF9Os_)p;&K1VKzqNc+WW&W`Aq zKqm%;gp=@7gF)Ma284{xbF#z=RF~_a;ad$3HiR&M_M*DRJLa2e0t-+DAnj6>ZA|Xt zrc4rb$p$$w2M$f+p+<$dO~&8OA=wHkRjZbLWtgBAc4rVv`RoGv3cu%KG~lR7iW4G7 zd(T}N@@Sy5SeZ#0%mL90htiEB!q|1Aw~K%IuFyeb<8=E8jObF?{(15CsBe-m z^>spyulNLh9;e~-kQXQ@X*{6N;$Fd(P-VTmAo;wTjo{Hnv|c6~`B8uZrX$;(I&vnf z=V>EXCC8?}+OR@1D=XcV%e3lFP+!<`2j95g`6$#-<7s9QJb|`UHy|?Ib`Jq~QA(43}_Yo3sMeHWt*-Kr zaJsAU0!7*BUS41%+RR}wrlhGwx~+N(Ra>1ou!fD(7fNkti2;7NBD?CYM@&JBTcS|H2=r%$$E_Dp+arL-^-DUIcI#U`;TY^(5Db$2fXYm?3 z&sDZ_7~tW=CfOP_y)id~u2r81*C;*f@ebhx_Ui?>VX``kg_G)&JncCD0OQ!h6?_^k zn0+0^u$C7xILpUH=AGwovOQU-A!WjY$Z6*#8Ey~8z_@`=V3@I(r$R>AKnZjD2tm38 z9z9iAGTt>M=cxpxeVVVlmj+82`+gvwmI`g>|Fuzv7fV-r@g&H$!37;vJha|7R!hS8 z%9uC(F7V2)L_@3T)07Qi6f$}AI=YtSIgInRGp7+7QG3{vIbq4y%eLO1#fSqD{+w)Y zR(=i`>*|Ae;VPLYzD;}fp2D3AW4|;)0eM~_{bGAQe;a3Gfoq5{L3+^MQhu0CzgJnZ zEPmA3SdwM3P?EI;V0#xB_O#gu$-SY5Opc@D4@Ytz-bf#)TU}S8%#8W|qseL*Pjt1Z&OBCQDyI&hp6u);mp{^h!KIV6( zK0-cvkF&sO&As@sCC>OHi}5}7R{7@Gj^nu{<-Vf7&t0e1sNa8b`Ks!QMW0q}tN%~= z3u;1kFoP&=xiQ$)%j)CC3PuO$r@>843>&St-AhfO6=5x$1`eqJe&wUPeUyB#Pkn77 zrM(hE*vdxgYAn9k6l(bi5JHQ}{1nXaSVYM&Bphngeu!3Q;0)0C-VR$x7sUZoDsM}4UdT0a79De zK{p=BEjLJkt$>tSjO3jpvm#G1Mu$$@Ijz-unG7%1u`)Vp(oO|>{7pC&gpHyK!?V`y zEXB|H?1^+xZ-Yvh@UGitr|O3EAaxf&%<=4XJB!)D3>6u(5R<55gKla%Q{Z|kt3GO$ zCS{R)WeU5+#NRQ(c{Jg6A#QDCA18d}H%NJ2?)h8hHYXlsLsX!yd7^z+G_P8%6A{$& zdiwQYl)N2K@xzmc;{%pIrp(JC6J0nO-CevZUJ}H%Fs26&4oXeb9fTBb$9Nsa_RLb+ z(z}ZDjm%V=(oKA7sX$FNW@l+GR8P>W*{S!-0;*LV#rK#s zBA%Eqa_8IC7bkmVYYQbd>4tu$j9G9ZlAmM|3B2N z_%(R>G}D~9!0MUmF8KMp>J3lpOK8T-@!jvi>#z<^`2_ez?eX`oTczIQ?_7SEZ zwkPcKpVb8Hkyi&k=ffT6Ua_7t$NKt?2E@qY7k~2F{iEK`%fGv^;Kwy<{(d0z`DDqm zdxt}RyX7mg^Q&LHug$*n!pO5PufFd7q19LEC>Ru!dM3zr<;ky1KYTVNVxT*Q)h8bPV*R$C_Gdk>eQVOV z-2$5&beoQWg0H(ezw4G?U3P`+-_Gm*bSC`bOxwE;=6rwR2qg_C>rL82N$n9`?RzeO zThqR6Iumb13_%^|@CM|7Tchect86`45YKRHwC{JR-^&%lsxmZoaVVWOoUf;@#Rq-J zRxn2ORPFl~`m1$0I8U0jA247-D2?*`9N6kJif;~1c3t{2C2nqPKUPI6vcf<9v61+0 z1JT2S@yN2C^tA$LE$XHu7ngsY4S?Mv$}c|jDaKoAd}-=Ts1J@)@Pv0m6}iqjM@o3) zKa)TKq$KGut+dg#Q-0+bAeaZ#H0Q6;3#3_{<@rn{W!29dNWEA_hB3b?eUX0;;!*}7 zZPM*_IL(~Dn6Zk=!^t%mm9y*{!(}%)rSB}`U&`jp8=nPC)(Xy%f;~xYIK*4r)O$zr z-G%NOH*fzuc;$m3Qg)vZot6{78Q;|YmYMJyH{DTuvrIrr0Sgh%#&7WH<43LgtXM*L zt4*Z*?T()Z8!0I>+S3bDQND{1=CevrHjP!+D9M85>5MQ6jAl_Eildgifrk_dztNC; zgi?645$(CdN(QZ%fm|yL3|Y)<)_i_O)60)y3ZQ5EN^Vhu(@&W3s9;xjNvt&AFTLig zM+voMKh1}V3qP#DuQHM8H|Qw2_tfevdfAX3&S1Oa_PaQAgs~FXtqu)SapAn3;;Fbm zwS{=wgCsc7v#hliE7Et^m`bL0JKVWttAMR^vj8|a<2>)yRKkHVT{5pbKEau}@sm3u zVw38-hb0o45h6I+matgL549o66SQGhJg^hGm0ir((NlddzjT*SoZsw2Gg9wrJ6SZamTmK#inZqoXUp!XY3XTjF1UfJviCm!jbVpw zMRJ+<3f+px7G9K@ygJNwuK&#;oQzB03R30XDEB^4Q1b0W+v$6s<3&oNU(~;R1Hzz; znnx#1n;SMN8KZTd_MGrcfh|AbnY{U7$!|H}H6&CB+USVvJY>#`kfU&PvWMPBeif|n4RS?9nuCd_WI3m%x z6}n1C@^Lx{k-Pq>Lu9;Y8c^6@jz_v@|MuPB9f9+v>kkh|s8BLtuOT)r&G9phqQ^WH zbON*!UY+7Jd^a$T_|WN#Wc_v7_Qd;Cow=V{?t*s}dd~!^s%&m;Dl=?^;`?D(E$GZ+ zSS=Zx@ga3XbrwU*D4MF9iF>tG(^>A8TNzrhL#s|HY-Czd%zG@p z)$BwV265#Yf+q;lVE2{o8g!X?Q3+T@58BA2y!+I# zUTSr^4JkuAmPz-R+Az$45_r-P=5sL4DijI`k->fhTY;JPpRXHtsST??jAxcF39COz zmFz4u|L|M%&(|jp(&zZClr$Hu zDk$?TMLxYID(C3R@_(_rdRBBN+lzm%7E58$I8I;bMyrIT=9bXh!8v82CBn(}b0$r| zOE3^1F37YJGVPf#{o@jggZtZVChMv;Uh(*VErgUgLl0O4XA)D^u;bOtgWt*9o;Z9y zOLsCK58|`2umY<*V96o=Tv#d@q0 zTTWwttH=x;^9GBdd74JE7s{T)nIAAhroF^RuqsPMM>IE``f}Nj=Ge4b9lf#|7GB9D zPc>~rL%a-I&b&kFwUm|vN?h8z7G?67x|WlbN-NNmD%gvm9gYxBN#!&tDDv##WW&tj z(5U`o(7NGi8AB3+l8a;r$Ss}4aU&^_hfm_%MM_hHb1G+Y(88ohJnx9Npx_2R14DJg zJI-lRIKk5{agHKyz#)Xy@@{3RBAJ7#oyp4X(D=_E`b}4S9RVjjyA3l$L7IGJcsNy; zrM6EX{K?bgu$Z526~ShUiWCjGK=d@5h=mHB3frUDz#TRwAYmhtf^&{PdZ6}n6c%Um z@UdkY+8+H&cl@ZwtAncAgyzf=iKhyA%v-ZDXZi?pEG&xT?_eJDimkVb;mB!Wt|Mr< z7K-~$Z=UPnozY;6GR0sn*{-5{_84@zr2!i(+!Vw8(p>`PpFLoh(Op*C&?{5TbKj?= zrmUX432I|@Qzr|1P@6|6-FzZW-aZ~#mvi?PYePZl{*hy#?>mhO%ZHKZ`PsgbeX$_;Ntj1H_z z%+T+fG0}q$xoMj5#t((T5K#r)`ZrTi4f;#-m?|%NhJ4%7r~G6>-@%^&1|9a5RB;?O zUDWS}#vVSH6eA5rTuH&>avZnTVLpQ*PH#WQG<}-R3uhZPw~rWhyIIPI4e`UelZALW zNM{bhIj!!w&58h}DH+d8B^x|yc2;S&I}D5ygkuMS-RkkX_y%&C_Y+U#q;VGs+oY

    4&Ge$cy^>Eu!&eglFEG7%}%3Jc{2hT_FTaN?^RVVGim^+)Q?mzO-R~ z+EWzJk=g>$1fCf4*azc5gn(O9Cq=Kcc8h!1+_YofIj2b2(k{W$48y2>5{p&7^;kP> zAnd_NS6{_=@&lia-4TtKzK*E7$;P~n@EEumKAjS<=#RVRAam&`Nn9xX%s-K%O5GxZ zsd$1w`|0}d2N*}W?Ke*89=kp{@*y+Uit8?hiA6Zz1WN^vH+~^(@7Z$v!V`R8bTnl+ z0!Qp9XRcs$?pZ&36dS{z*_2s+!g#Bj@Z1im2RE##Bg|l&CznSxB?)`wnrdS{BTXk3 zW05a*`P7wbw}b}+!#5P|1pkt-?kqOb5whbw9UW3|yGQCxhVW~*Qy9H*B(D>FlAyfE zEhqaA9zlzL@_FzZVs*z*d@9@1e&6;8H{uCAiIy0!sPcY8j4Uish;9%;y99mwaCgt) z_+{MTK7MxI`bUh6^5OX!*?$&9Zi(Jo`4r$Hgf_(72{<#ecRZj{!T6xS@-GREq$aY| zmmo&WfRkONpQJ|C&RdwHS0s`tDM?blPwoj?44af4O;<5TYfH+GKJawa&N-7B`>u*2 z8PF(J1yKm{QY^+3&oq95#95*36PPx^k~zOb!X36Rj}X)L7k6WVmpPaaiUK={iQmQ)pZLp7}*f(+5oSx_^K1n zbcXe-lj=-T76|Aw=xtVrOvKYN2fW^kf<@hYXSOv#zfAbJd>e+VTK=@aw%IVDqBkLj z*<9LIT*M9>DvxW$xapPE&nD9Ot3d+FI=sFmcRkdSyKWE;CJP+=&SR1Ai&B^)c`Xwu z7>~Dhef_S~nZF?+OZ85s{B_m)0~zDuN&^Q)h@LyF!&ELTeSe>MBkmCW`^#w-J3yWP z;@W5T4?u6cyllU?A!Zu8L=w0~(Z@BH=-!hR!infy15<{N)oH45Khsa;o+c6iFFqnbUV zPZ(e=&0bf1kosbG=Fd4(JN|=bQ^(~UrC>H^&(+c7@H8TBgy?2I=DfwEL+mRkD)*I0`@m;Buiw4oFX@QcVIJ-SU zXv=GaOJ^)^B6mo}?06gE$dDyp6&K{UNhVfBda z3(@A`&m^vlg`?V${i*A6MHu~mG|%=b-l4JOMO)84XUJpaN53I+3q_Q5{1m6FLN(5d zzP}{3G;;P5-8mEez$>6o_+Mk!-}PM<~Zf?(OwS{&e?DT1jjw&u( z$ji*sNnq=T-kW6Ye!oZlf}(>tf!ZfB_qq+Q$3Cul{8_Z$b_C1D>{btH4LHMZPr&Ij zF^7`NpAJgMNscI|C)|Hnyv@S9@XBQ*FC~c@cJ0H^fYjEE*nZAR({<)}f zM1ZR~iCM0N$&|S+Ipnt2rr>L^ROeb+wo(+&V`&6?hcW%@JJIj@gKIz1@)dIH8+6Jk zLHi{GFsr%Qla!GS>zvG@Fbw~z#K_W^ag6M(v9=pira0s%QH2j5zjyqhbpTtaj$lFI zxhquXxSg=2L4MMctQ~~xE7E78zKzH+?=NAt_7bJLrj#Y!Gg;k5Tz4hCv7)P5-RfK? zkUY}V$Z5|XQlXMNOSG(m>;IAyrTnBwU7L5wa+AO0x%aNVWHAMAGaF-lwnD3+O7aX} z2uAK3QVc7!Tk((t_1>K4A*nZx<3+jwQo59dRgoJ>gFYp)vuQ@ZkUPZd92Y%5c^em; z*(1o8X@b*Hl{BlOuX^kzpeXuFPpMP-??y4|H^rk0I^^xgv6942&k>dV3Hu)IDSRg< zx^iI~FG4dh>-t%LbtBC~$8dsb>Z~&{ZzJ^9^yc4^6E09Bjm@89(0U|gS4ji$*Fg@- z>z*re(q>NrCG&G7jrDu{4#zZU-W20%l3L9?u!!G3Ldl;#fX#WGri4be-x1?Uu4J4X z{_GYziwpRw6nsI>rdPkZ(BQTh(yc1Zqe)S9x<#FDWegV}koCRvkr+yW9+sIRlk~?! z(|~X!{@X$No~>ehD*w{vb1C+A4j7wI4HoXmM;ld*FNDc4UKPRMivll7zSj&0+eylZ z%Uj6Y6+0mq$vWlO!I%HhZoA-*2LV>yeAJ6#TP-tR$A_oq-BCnhwkaMuiqr3t5Qx?b1C`D>z@ z8`_&799)dr5{FlAl({Qa?lyXnfJR-sY_FSB=_<0t6V*YunJ$1vgj|$!9#N`Lt*Vlw zS80^K5L5W@M_II}f8f(Xx^Je0L8c(qUt44Fa4tcV%G-k%G*SF6{hOd{a&`{^{ZnzS z5ZQIi52Gevwl=hPLh0`|P zxmRK3C3v&D>#! z|2I%8szIen^9#ncRC61}s8ot-T1C*Da2xoJw0IPbu@=uReZSj?M-u930DZ)pO2Sc%MVF8(;Y^)@pjY3gh$p;}m$Ab3h-JM(XTfnygekYwvvv)4r`?JD8v z@=Wd;ejdFWmUMN|79r7ybZJBTHmuJ(N&TnDGOG-!?kcM@3$_@fh4$qJG={N!g;Z%F zcF4zD!|r*EzpnNn$Hy{BqzYlN!^cz~DSRXBx_jukQ5I%f#zF~hS4k|d8;0nT+mEJ*7OJ0rnPzwB*1 z`&dy!jh6Doj;;iPp1+`)hvkok3y~}9X-K)uLParGrAMc*`_&PqI4@(A?RLpdo3baJd!*s(yW-D~iZwPT3H_Hkp2?-I9QPOOOAA!pmv_xA z`nIi zS&WKbU@=bh)Z1$92RrsQdp|5v{UGue_MsxL1}B&w>VrnZ0(x_&DghB9_l^vrS3STZ1X_?QPr!u zt#Ekp@9?Y&4-Nc!d`8X${s0=I)8C>jppLMwKmCJ@eG+Yh6}sQ~>Ai#*mv5W%c=R|$ zXyjBiK2_$-Uve(h#k<<{${t@lH_{c1tA7Ry>BEowaOwYMqP0bbFbBCT1h6@A*a6(? zeGRI_wikX?7wbJ5wy9&#I}tND8KWD+7o%eDZXErgIz)fYC+4q`pO3t^6e8+|#o#mhJ9dWsnMZoO)Jfw>=&_O$L%UMbcosGp-bVv*lL+(lBV}kaJ3#sO1 zNvNLkS2?#U_YIp>CI86ky^dYrop(;EcYa6VFZ9zb(y(>@gS*b#^kn0T^KXxIx|j#) zI4f3U9(jNG$6xEpGT#O+h^`$nY%;2x+n!#A*`cWUQZ?bp<+Fb)8wP&*$)c#Lnc=pb z*x7hxiV*TaM$QzA7a9&+^ykSub6!Fm6S#ID{Ql!RlW&5FBr!m{}DgzVEZBX>4$_~f?dY$J~>^? z-q9@uomUIUm)$g z$zt%FE+b&J=6RA#8iHJin3oK?7_x<|(t+Of?vLtr;m2FQ#uEz-j|+`_3VEW;YWtS` z{)3GKS9tq41|@8X`X%@^hE#l|~gg*>pozGjOp2}8V#^wKuL7x%*bf1~bHJXloE_D3X<<*M~=Bn80 zzmA9EL^LlHqdu3@&2NicZPxz1kbjyq^*Ga5X{60P{Kdh`nw^X*7GmKk+7wNFN##Gs z6jK7LjR=B^!cKmdn7`HI-Ue@vxEY_Teg7Udyv6c)cA5R4f}8-?Te;M0y9h@VDzD_< zXJM|GA-ewN4Y_vg^}rTY^aET(tU<7hi;n3OS2dF>52&k1Tnmn0<;;tn}MLPIuS;HcryC z6EM+2_>jgNuphqRyZ`L|gedymF?0eO-u>RhHL!|&fN+w3uN*U>JuhNcmwJxizlCRP z9B&Z*exzxKry%c~P0<*^K_0l`1YUZFD8s^Y7r`^IOhRe+DRCD7@Lb!!7Gb z;9;@Ud!pZ^^d}`_F~aC8+q5ZsJY`Q2B@bPKgRv@AT&BbLQWM^%l4fbLRvVdTR31Fl zO1Ydze~T5|F06pl8$5_Xj9wO)OVxjr{+&VeuUnlP=*9oj;b|4i84P<)bfPcV~A z9l6!QlZLX@GRriM3s#`mx9QO&wJaYU3jeg-VFxkeKov$*SOOEtuXL^^jgV7(OYASR z;qC7qSSdB4sPtlCZpp0AIU)Rm!ek0g*a_peL;o921N9_!*VFJ9*D%~GK_-;k+x{`4 zvGqwea(8KA3>Yrwa2m%HKJ)k!c9-F1Wnv!W{&R8cSTI2)`m9CHw`erVm?~+vS264h zK_aMLCTRIX{$KH>eL|v%zQdhHJ|a~YjH^yOJu`e@Jz-yZW{kuJMuJlM`F{LB*2$_w zu>#Fu(~fNGz@OffuS zdb;*yPTw2sG^H@4sN#==n$Gn|`yaQlk$F#zTS?pGl(A|{flgT7w;6fwn~dV`+<$vG zTS?aCEz*t56~Cyx>&O*6ouEe5U+1+*y6ceOiuw2DadDgxV~e@b@$=*_Me^gjUL=%a z1(gJY->YfVQRxwXMZ4gQUX>pds3Yv55>$*xdy)wm@(ax`GIifrq|Ri9zV6@j=!yOmu59XU+O9$ z`Y10i%Z*1oU&zS{X5Xu@N*+=)z7?n~^{3LPZGhKj^mT9g+(XZu2JM*0?9%#MJUPcJ z2R=5(bKt+><+_apw29cAyF!3sEwAOw%mh^N_dMvO=q~?J>$q zI|3~v(yEZpvFw`E{FvfZteSP{AsKD6+;>--Utk}JRWnw1WvZnK$EBZrgc)X^7$a!X zRSbEB@iHN=GuQMzVs$(D4_P@9u4E!QRO8bRAv#z(gOS)s zn*ht&j2m6`YE6&AX@rHv^Od7v+S)={VoSQO3dIjM@AGd6`L(v6N=h}+^hC8ei{f4X zeoCt`o32kWe{TGXeXNOK{G`@BBiQ2aTXKIo_&?MI1q|p0puY$qO<zd38L9J!){0&2lm)T{sSK!v!AEmpGsSs(`&xgmeNS`yN`I1{X2a! zoN(Wj75uwXug-> zkq;U02zw#Mo3r@mLVt!I zcou3{CClWhL>E_swo;#+cx&~Uwg*d~q3Rn_f?;CTUy%mgg)4m^_=;vjx^B0S$S2!vGm<{P$PFz;S(x?Kwp)b7pP4&v@p*mkt~;vb%2u_=#=7OUd> z=e?NZlJKEBG5#QcVu5{QiD_0-AJ0o|q!g_+XUtB0ugqTnzS_15eRAkEu%E`SM0i2M*(5B?v+A!-?S1vv5H>AV^ z7i1$=7i8~{Yp`-bbM;nC90zhF`Y@|W_#rL*VQ#J zAVC?8r~Syca2jL%RW+Pz7&udFDUK$AGh=ri*$v&w`N(p>Gozs$Y#P9i!^2j@lGo_x2l|M zHhlkcOS4GVH_Gw7v4C@n7|-IFY^RsEM=^6ohMBxQz_Q5?abi7R>~EH?qRr-6*v97j zsJirwaj0Yv{6=zKqQ|ZL$Q2A{GYT%mwY(sMUY=2KomP@l)Fmui#Tch{KtfaZrk1PV zI?T*!hg*|Y=HM_i!mV_j%DJWJq&^56T@R&Yon{lQHdcZeX|mh=Z``3*KJzQWCw+-PbMY@zl)f_uHLp{GrK(u47Ap7i6&= zV(M70_R!@$K*GMU$|{O(BR6xeuRKAhZCQ3M7NTURP4&kTnkYrxh z$E@g_07pEaxNWmSAfslIL0CnmN{id%fXBZrSr~f71Uzc_0yScYa|>1c z_{;Kf%j>ww;R8MXOmc1qtCYRQu1+kCt7DS=dz6Y|;p%yVg0qN~@te5-VYR;WIJeBE zKuu;j0HSiQ8_}@2pf#f=YIp*d45ZyN%vM^|8rp!(D6DbY3^FGZI{`!%oV#kPn-7uk zwZrwnju1Xpe!&Q@oPoWw>}k)l284_9<*UKBTmcib5zA^x90x+}5Fp09LzC9aCSNhh zSKL|<bv3PmzZE~8K4cDkH1PhaN2N^qNx;1*D}?}$%e+@H85^~ z)n(#DZ*%g}F|B7bo7FO6eZGZf35QqK5Pz9j(X~<5*pP2AOit&Wp2=w^%}2-7P`|hN z#tr3I6wT(F`YiTCj9b}{1R+n5n3ES1tR2I8fpe5=DyT_VUgmcht zCagjaMm9CH1Jv(8wgd-%=Vqu+j)hMNHj>!aiU(Bphq9xbU_^R(jjIjBbg`R9++u2c zIiz=pXd7PnFB&=`?B)Lm)p=sUh77uLo<*=B^8iZg|Jac69Od{Nf=dd+wT(;68SKZP z7zbN2mo9;iTMnVgr>r!~C)16zE#yb)ppx>rc`p(W87N*!zP#V#eA;}Yb9~uEVYPr? zD5@(e^(bnq=CY8iE!sMc!i%<+G-!PGQ}S0Q=w^>1F}KEn?pN@`G| zD=xd%HOIWWNc6_eqPx`RfiVs^{GnUUiRPX0dy#UsF&_Bvaim30tcOOhgg1&n zw0e9D1mtl?I)zD9(I+@gNMh1GSG2*rVGOJ=Bb}y$B~;anUD4JJ9KY6egzP}6=RP1$ z{xxH@>SMFyF2$4U&xW5bKc8CP3Rr-(-2c&EH`Ly{(h3~Kwo;Vp5(KfPDAJ2DixU0x zg04^|VwyQ*I9J@Xv7XabyyICCy{PZz?l*1lt8+qDxx?`*KA&ZanZ(@oCruxI#Y6A% zeTbgxA5S!DKw03QmY5`v$q=kj*{x15)$|sYjY@pV;kQi#J3?5NF|mP4Pf4>zJGxKd zUyL8a-e1ff+2{l_YBuvF+jO$Zo_{%(L;D4Y*M8Q^BJ0)ip#<8M+SH|5@Z0nd*TSc% ziEpZanBMrRHE%VKj-Z*el|?HzpdugOkAJQg>|?yBYm()YJvjClB!9@Rk2=@=R{;z$k>`=LfdJqms1eJxl)cD z=a|~epJ{AkP86(V+^#=O$wTSvZ%?&v$1Xyb;V!M@q5)#Z@9%Jn(Z~aIXm!RGIUEUu%Pf%Shy`5_1MDx zW1=Ur1nm$AWM?ew5b_Hf=R`_OaH}2B(ROvx{Dl>eQ#pT zE90{6UyVnR*OPZV?43%(1%u3#a!Mx>B0mb=K^=3SGuFq-Awl2pjD9o3=8DefuKMiE z_vBGjT)={cfnDf(&OU~DN9Pf9$N>-QhyzmRM5v$?GSiZw9>azGiRZy@bS&T4P3VcC z%%`hV^9^H7oH*Nm%L*9mshZ`InP%PTpa168VJB7E>69Z&Cx{%!7;u~zp=`)`yaQ^v z$AclI3?|I_(QL3&8#C@Y-RxyecyJRDWKEBwAvLCU}qf)Cgzw9tgdJDGy4r9R2jP zsa=Zcy`Y(fnhAkYcW)e07%o6h3d8^79(oF+PXVErJ_yA`1ye;=0?7Hb-f3!H<4>-> zcfIW}4rV|Zu+`B~V}MwLU%u=(ef2366U8tL7X>l!)tI2HV;;>pg>B=W!+2Cyj75p6 zj%Q=LV&V{isX0bE65JpI7mTB)P65Vx(u&{8;BPW}=#Fk(LE{@RN6*LUXB&K~mj?wp-%s70&>@`0 zN_79bb`pPsFrPap_Ks>^_ZduZU-yDjR+V_db05NO=5Y~T$ckZm)NfJpttbns#~uEr zf-CQw1(TUePt*c;>>q_(WCqlVWs6*X2ORuRc?gcvs!d=@b*kWBC$k%zVhE$Ai3oEl zkvRvm*sQ?fuCV1iguYYtM@!#z|0_D~*Z18&GM~8&USz%n<<1*3>3`v*t{*X7-QNpU zu*%!G>=(CN^kY)(*G&~0|e$7-6#!SxJR4g%9gvayZ1X8e0MVd6bpdoKr^ z2oNV);xP1ld_mq{mj$~aR6zB7%*I9ODrif{@)cL9bLBFMvQFkIhTuu^?m-De*B;NQ zyOyQ0Ai@!gZ;GR~)QN`l_i;g}d{&S_}aAD(u znn*Ux?5Pw$KB^HaFc!vS3Ha&e1T|D(*knBw>?pqxwI+-M*?UFmst;Z@J*0-`QWD(J{d8Y&GQC#=KJ|6*`_{#Y4n%w~9a2Zf3)%d!j^oT_*5Y*6Ng+x73kf z+|)bK76X(JsZjyjfVB)|KwJY6js`KrCms3=OZJ2vyJ5EDf)Q}t%@)M=(!rrEhIBc>IpV2Miy%}O8c(pQXMIo`o*o&EaVe~c z`1iLr$3g%#2dh31r?plsr9?uYg0%OReio{FPl6|gXbLC7001O`G(HH`gat}qM_cC1 z8y|ta|JW0AROK>4B0k z_F9o=W&9)9O*2HF$uxJ&zUk{4$mEOuHU#7+<9f0Te%pPkO>gO}owKhQ*t zOToJe)nfX##-Q0;c{}AAL{_=k$?rn=u%+(OsP5|ilgQJ?)6GRq1|&qwCSsH!PT4^$ zFL>jY$P!e9UAd!HAgvQb%qf|>Vy&^4-VNz^B1_t;MDle_uN{A2luo4FM}*N@UU-Ge zyU5S3PE@f)+gdRn!k^- zR^5l*XF)fKZwye+O@5CTB4wsn^VRsDH#H6`{&joes|UerMR0aPj`B@$tc$sK0vnJ3 zNajg{BBSlZvr!jRG>HD6Y!lXMAp*lJXd4x>Q_@U0m++lgnQxjrc>h`Mn%!1K<9rH~ z4nsV@@G;TdYwxMH@n7vS!YI7(n!23G5uokqArZbqiuaO~0=DMM-+wC_gQ@jQ#ML_ZbjG(Lu`2vntu~K;v zus88we{-H%8e~gawz0_7r;(f>a`;isB<0G?*h8n<(l>OjP*Grp78gCdxvexJ8m$-; znbTiYIQ&gZ{qzFHNx0T$;9A3J5u26hOE*J8TK#VURI3DFQTD;+_bXvdCSu2&|J#}z zxbpI%<%^aCt<(i=xg5A7WK-ah#*pQK@f|_$gl@YM_)$nk-+#xBC4n>fRrn(o{--nf zMEpO9*spv!)i^3Hkn|kl?RL!L@&d)Z@!bPlp7DHYbO@Xd4L*>E|!op0YC0G4jju zGFa3vE#9#B#HvKfp`XJ(fz}eS2A1K}C;R2>Pu5ohA@SVzDfpH1-h0a%UKRA*7rqy+ z1w8{WIsbe}%M0;t{tX-FYbJKl_R8@}=C(|BN(6o;?6*WZ%BHY!M^q*6ic3 zqw8I)Gbt-~G_Lt0#F5&dr}S6G%1hKN&AL~b!VNoPKQ ztB_v%nR(f|T+l)O766m~boTm_>E^Nw&8h@F@lqs77Xyy_W#Fkruw2?!&9KVaU8^-Y z&+|Y?VLKAw7zq#u3JNR4MDd~L{A`YxU*~k4!+8<{;iBYT^2V`A0yw?qsNb$zzU3OiTX-1>AX^7`r=DjcH*aose{p^_o!o{CcGUJ+ zYS9vM!z-#f{2bcTTx;A#f0$8E2g`C{U2i7DHNhd~30r%?6I8T(v!wx{LWI!DILP5u z!!ps`Nr!-zm3s<~)qM-eYW!W8Km>4=&KtyoqKPs5Ce&o|)sxdT>X+E}s{dW8F$Ta2 zB%DI&|DjxOT5OJ@s7Reykf3ZpSh}@;+n$Fk4TPBN?f>UB$eG@Yg^;74?;FxGeX9qA zU*I>t!TE_td@4I?>hwgN7(%b_!&2?wyo;^g{RO%q?P=vAn2WLt^DTC+v8SJNZdR*|R2 z+7}M_N?{+JgE8Q$C;QeFRt-*~qbZ=8g@K+9sq=p>y)b31# z(~PKh_4a3rua1hO*#vrt3)8*gEiq8fmJf{{L@p1YrMeAk1RFB)4kJQ{vV*XgE$8^Z z*?(d40Z^hy+FMZq)^{!Z;Yo>Z0I~ZkFOWJ*iQpiJjOIf66gj zUW&A4H6elA!t29K!$tETv|>1!b-d{L@ft7;bA)&cX>j6>>U{R(qkw#1D`b$DMnwcU zc#9}~`8xfq;ynWVb({Mu89z3@7OPv^wEiDn*h?tg+b#-}cx&18>%F5Gf22D(Sg^N; zu5|3U!$do>6TAJLV>q9C{z^bP^n*;Lz&WS%7r%ocyxu4GqwUjLpLhPt{gb{)?mn&TN2p5gTb~I)ZK}M>@(2S#M<4 z@8Wu}B7YO)qf@6LhbwjYR(+ISiTBr;mwlbNG6CX`zJf4S0&bT8+@3{PmWdV(m@cQa z2i;P}-v{DtMv^g#oA z(PS!gyHEj+KMpT7k8p~6BgNkF#hCErXgS?DE5q9rjRD}dM<_~cZ4*u1`P%XO?1Y?X z>^33ift48pIVXo#j1G^x(`_#`4rszpuqUschQu5dalb6Y7z3clpbL}!)_ZclSj zK`HcANFqrMZXX14aEI%iSuos)Afbs&_BKAakA3CI#L}!7tVP;voNF%cwAZ}U&R#`k zV?2h+!rZF|RDE)$I?+M8f(zTxEQU|GCbs{DNmh(nA97$!_rqx*)x6Mcxf5o}pwca{tG00PN|VAWXCwo)7XDwhU|24Vh^1Gz%75ZzQ+i4gR{PS{Q=ARZ(q zET5Gp)G&R+%`H;GUZ864*k-W0U6a@(J5qZE=fPc~yFWR=g{p?eE06kV)1$BxIQ5$Z z#z#M2NG~B+Ai|hzw=c^xW1lM-@2DM^y10Zs)h;{C2)UXKl^fS__Tv?6GjXAu1Rcd_ zi+-AT$aI9Eeq9FfGd(U*Zvx*|s z!1@AULKax7<#9uO|0!XcmONFc7korzU|TI<7-MhZEvNVGYR5Ah(9t(g@=}*0LKM^tz7d5-K)N^p|+tJ?Xqv zdn8a0QOsWz=ST6X&r&dYq*(NtLe+^0amgX~0yP=}uo9gMkeacNb;vz!RrXcdxjl+m z|NAbDoj{%NRQMj%d3~desDX;!^xmlzLT*k%RC^F9TlS^E*qjqx1{X;1 z%0!KCl2{tXdaJVg@5=3-9`aQ1<+1+SbjUe1qBn38`b+F~VJ;g#RYu^ya*a~AYPSQj z@+1&;?kVrDbn3QMWWnyFk@HC$MSvDXx3 zmg=QherG+>qUS$J))j8g$}iEDLmbbEqXBxY|J=ug(FAX$+BJ9+x?s&S-0zbidP3BQ zL7|*9#{BTnLhX&0K*A6pG!Pz62lL|*Vz&Wj{Bd~h$VmV}z>P_s?v#Ep+(<1tEjMbS< zab9rMispQ<8Zfg3P}IbkVeTtE-BFW8L_S56A(>#bT4KSaP33C!XU9vR$d5q3Ab&}T ztL$S)E04fuVbYG0;14|h%qOH3dXY;ZNj@HzgwuQwwBqp;SDm%!3o1x12*^)PUgEP& zHwLJU^lwMG-co^`D1C!G^jKHNUPaHdWm5{}EKY)W1`9L%7>TG3fQeiU|A7DhU+`Z6 z3$c(K2VE{AS!Z>k(N@BWR}ZSs(9}f5YI8ztzN(nz$LiGORvF4UOCYuCzS^{WdSsLR z%D-vQm`DVhooF(37_(O=_~1bY!cv&$@$Xy&h*wymf}pFksd}gD0wgPt7W8fii4e|- z#sj?NskPp$97GJ4+jTzM^OI^G&QO56zJRJzBv`{R)bWg{P{M)~ZxuY$`)FQBUoS{S zZmN2R=FlQ2gq13%XJ9nShl;pdXp2}_(Js=hfSBjF@^dKsNJxZ?!5JE4By*ZAbFA?r`l&(~;B3xb`s66~j}b4{3ZaMM;SBbk zopOAehYwLHvQ)VO`%Y`XT4z1}QZa=mo547xOxZxz0}dsCvOSFpe*fVE?jlMiGl8+s zRtsGblTt=n2hAqa0INV`PZP+5cMl?>HcdqX#G}pr_k&(Y=_52ov|easV=x)dP;`sXCh^ z()$GMwU=_O_P>#5?d*gxcw3na=*{h9rK8Sg79uxOvLR~wPF14ONJaUPkj_(7qRNH- zAF3l8l2D-s2miL>#wrej1t8@wRr`{_6beiMXZdA zS8gIwt(N;!t!#@oEm|KQ67*tVRAT71x!?^`p-0~WGBUK_`^P~IM?*vZc`5YHeCVH! zhkTM4ylm~;OAfDi^ZQ>yUYK67F&PGmV?nFe2v+XlS%bolue&AK@J`+Y_A_$<6>Tq0EnO7EuXk0?>View6@{9xBxx{ncFOtc zY*AQ(zIzpIBf&^=e%Nwt9sBXNLP^xKKJC_15w|>_f1MiGl_uXA9uoX~ue4*C;!Jq= zhv3yzQiIkfDtAAAb>;Bag%G5y+AUS?B!ZNCQj_`*LBX)OGbnPSjrq*5T7@6Ua zc3!~Te?n^(6Y3wiT+2t{moZr6AWr40*+ z+A<(`o&yPXqjcss-|2X<>4uA*^S|b}Hhgw%1nX#SyxrN@r9fJS7;VL?8F!UI@eJna z!&kWGkV60n%kh7mX`1B#SqZS0q_!MdXA~+fn_=z;^y%`kjw9vk4lJ5hAzXBRY3SCuKVE-F6Wxe4VaQX*)1jaSayCN>4PQ*RV`9$6 z8)q<{R}+&-!!$$j;0c5_v0Ylh2^u3 z#;Gd`H<4Od-kDH9hW9Q}HdELc&q9PIKjKY)L77zWX9=XHLOzg?Q|}YFMEw=V;ncCh zi$w>`DJm6$Mo#u-cfpW$A_IBD>}J2GJ&kgC?>X8|N%rcF(n^@C3l$~)P&7} z(#g90^xgNpl@=q~X16qTZiQtr6F%z>EYw%R7|R>Ng3GRHj@s6v42&N^1Au;Z{8Ot! z|CR=3#swj3wWW^6zQd3|KoljJ$m=>-rVayq5?Tv$W)X2(j*lGk6R1MPa`3>zSy>k^ zg9f5PnCAV{PCs3y+odM<$Ls?>c)wJsvT0o~^+@+=0SLELt75YN63s`17RXG7e^38_ z`?4gv2@A8H=^3Xy!gx5c<

    X$s_xo`-cSA3#pyxT4SKWagMvo&jM7^uEx;q7*M) z|Mb0Ptq6!KBMs{Gw#TXRtSHT70eZC=eGORF;Y=)(=zd896(Kx=R^$eEc*RDm%Ei^K z-UCz3Zjo(c22_cf9&ao0L4N2l7<{CdKuJF6);gMJ7DH8;Pl^i|$$&mPvU@9Yp(2C~ zba1wp=Cd}q`4Z*jpMUUVbW!lui7UOcGr(0q)s#0jwZ~@zV1E>OpzktNO~WTiaiTYP zB4r1~ir$!PS1SkMPvH=ZIdTIC;D2WskgxW^ONe0nOQvczLnwdh)T+M{jYiyvdY3^x zzb^|AYk3j0f{*EXx|IEuc0WD}>j$T>#AWEGXL3NN1$8=3BW%p(B9O^YYwqSbfJ8Mx z(r6jR)m~G4+j)yp4+F+1qZf1B*B$omLY7c0SK~MO&_@|?iXeQLf3l_xHA@RjtQVUc zeFpnB)+^DfB35T{S!Z($J_TLS0E7G4z@Ew!cH{d+*??dYRY?}yJa2(_A~gSy#+Vh{ z;n#M^i0Da4XR#ClrA9UP4olNvD~J4KVqlZOW%Z}6SLT&XK^5T>b`?4iv%IC!1~1Pl z8x($xwYtvW4`3>~_QLp3)2_a`)A;w?NT124X~sgPUp8EVkyglsX>zoP*d({B*)b1c z70R5u~E2Ec8A7=)WIC z&TOuKUiM9D!-L@G?1(5ys!!z&BBgPsHL@fbQXbj@k z3=p?=44t`#Zg697D}O83Rw)X9FV`lb!>YpABU?*gC##U?356M?ASOC}&?QgL1vp%r zhKpl7H4mm6+|V0RVFyJS%#pv1=ARDcHne03=1rF{CE7;AAfnKOaOL6>d(BD4%f>vl zj>V+`JJ6v!Vp1ts@vxBp(|HEna2n@;K8vQmVo};cJ}F0q&O0avX#K}0R`ApS;sPb6 zBwDqx))*Plgh^285v5|sm3Jbc7Z$Xp!U=vvsaXWMtvMOfY0#3WhGI_M@k2iS6Afv9 zr4ssT`T3}TcmpiFtT4OWmF68#hj$<2#Hug0{n#9@9cAu4>`z~FL9%^u+U#1_1vtq} z5M(>g__Yb@LIsSP%`v8W1;tBxw0zn~exhxhf9!=#~?bbtf0#t~|#fGU)LZ zJ1DgHov093LN76Uy6GLqOTH`bq)ctC=cgT60#`yHI?f2DC<&-T+u$@(F`?+h_>zgj zro{`u5ee;7=3XbH0p~I>ks~Uay%+SX!Lvh#&!DAT*!07e=CAJ~juEZBPQZb3uM{?{ zeG4;q>%;yKrSZyYT`_jMByZx@SpNzOl(-*0O(+Wq$_DP)Oqk>drQtqkGqN)Gt1;~7 zTnXHrC+uGCzWbnn1Z3loTSApsMid8~!2ZTl%bWgc)wr-$xUdd~Q^5d|M4ig65Y2ku*wFxZzT@5qi$D@m5|M z-~>8c|vp}vzQQ1%ZE(jW#i^Prm|ZLXkoX#vkFLnJA zUmPP;L)oDNcsD^>+E#t{L8qjXz5f{RfZL@+T8^bpLBS-I%8rwO?$%NDZHjBcX7va5 z!|G_A8(j4WX?0<>bb&h)7u<)xd*YhzsCtHV@~l;N$T`>>D3Br`HoDUsA6LT6mSV<> zTC225CZ7R)0|OeLm7V`|7thSLt8NsRFk}4U>#5Mo>2N`#WHA7}XEOh)JhoiYL?kWt ze#9V#KH-jG9^|vy2!N=!BKy@YfQkj&?0w@|gz)3bpXeVH#R#g;a*x2w1^sMU+@_`0 zSx?(n%<(1NM)cN*z*{ePoe@My*SRYf+N+ktk+QGG|H2B-1!*h9@gplpnI@N7?vYB0 z0WK;ke|G>f{b}7NCmKC2D2W(i#Zs-kPLYGL<8TS*5^u)cn+8y<@>nQ?D0gtE9y75G zj1!<6A7jUg2&~XxdN8TLRoR~A9y-*!0|p`ViAit|z%oBM0@cPAcmkt6&@WhM>aayk z)cdq>H#yS*HcU4(Y7EtZa`^6Yr5Ai~LuKOpZlh@+*9T4IY68i~qM{Ul> zLiBPf;4DPBV0K3#SBXjOowzs!$N^pNP!!O&58ur`ppiLT2+lRF8R z*?dGS2k=$vYI>&$3YYXmE@)D607+Tz?xy|sa>)SBlgMRu|4vK(PJVj+H~AR?ol8ps z*DP8IolEflLtYL!0BmUB8?7P1L=O{GCEfa+{0s<%g_Q<>ruTo6pZV&4|F2l)B}6PU z9n`feVSD|einsT!Zv>y68vgPFoSR>!22#SFf5s~IzbIe+j-W;H9)G7 zBT6SmX4mZ$?BJw90eNar*zu{T8?nR)!B2EY$1L87d9o8lun@AXM*^}>CeUC8@<#pP z%iu*VA9&wCQ}J7p^E0iUXlF=7YS58GuGbHmLsQa&*+jeSw#9}67ay7e9$lOZxUuNP z;Dtztc@_op%aT@{4NGFRhqm521f@Sgx5*1($;qpsIB)r^ZRMxy7Z_*$x~g;^(HV66 zhd;FruM>oS%vwbkCw-m%B*r;{d3dlw6ZW>oIc&JBw`l(ZG0xf>C(vSuan=zMKS};- zeGo&^lasQ1vpz|GEli^B$;pO0R?zVrY1M9ROxekz4H+Wo>XcP+Bms!jDAhs`z>AB# z&n;}Lu)-8=!~?2?Ea2a|-?50&K=KY zjT^{qx;J0O1t#XjyfNly*HQLP7VR|a%U_sZDHN^WHJ9s%T;$pwb7+I3$v-i z1ru8@`lgQrSY@0*MVSKL-{Qsw-$M7!zDjPQPxap!!+Ph0UR9$?)B2!Akc^=>&o$wr z5kC&xRE~t*vOoN^SBr}C592>|Vj;ex=u<^&H3LJM^DI2L%P_#d7mh35{RUZww7>Z2 zNE7y}B@)*i@&NVA6m97tS@O{vg}u7rkOQvX)_Z3NBNRxKcHt&kmXFSvHjEK+90Eu0__ zBVxKpbbIjWn!Bm1T^}9mqw|j~HESD#Vek-5k{C-o#GH{A$GvVsTDl<5s{xu@h!7-Iw!TO67|KxoiW;VITCoH zKm?Pzu(hNd4tvqoQo(3%l_JsU6~Ms8$cGC1q3Um;BuP!pLdLppLtv z_zvSr3Stojd=yD39;(0I(-oVCrlp(ohq}iNpRzzfbq?C~GBNJ#u1O4Zb%SV&Bis5^ zX%EBFyRRJ!*OxT!zu!}3p9;PT1VUrj)@cXck}|cT-NB5v^H(N-gXyqj#YTclE9|Yc z0HUSV+>@k4l#ng;i#Q}`?9|+4=h|LVOAk6>BZfT#iiV(SQ4f1PUj^bwV$4%gK$ zVefvuE0?A?V_SfCy{zpk3RJJ>!U#(YbXKAR!mM)=a@QGsJ_<43;6FMjzk}%CyPEJCO|#`bF5YfieW?lR z(=pb87!&#-cdn=AxEGaN@PuCOtoqr3isz?RY?L*}juCKs943~o*;6iO$4ZGt8Af~5 zq*!UOK{GqElIxd7&)( z7mowT>_!g9YvG#VJ|P&kADslt_e(+bj}EU**iefj*7W+ zNGps~l1!L9#7S!ChAAil>PkQ?_Gpfy8yJ5*vC=Ei^=C~m-#y+o*u6R~ml&KNXIn1o zsn$s%!0&Lt=kdS{J`5UEecDWLH&E3S877+GLm!sD>WJG@TU8vedY$UIFU+E^Bj^i*WB-ZTlZ&5kJKr^DE3Dz?o8Vy@I;ESeh4 zj^Qm|n}_3~Oe}uVgRUF_dzHXG^TXju95P0r*-Z*HDVnGo(pMGT#-2K=4Q@?Ir?%HY zD57CqumQQE8ttXW`MTTS3n7%oh}c93&B?HrT7_8p2xEZX4}M7o(kh=vxO2u5X$|KB z?dpE7e#;!O8c$qwEd< z8YgtPumC=$gUV@l zal`;8BU~{hnSkUNP7l`cX3BkZ2I^+w(uw!3v3|9+18H^e^&q$O_ol?L<8m}TvW4RZ zd=!~KYn~k+XNBUW7_IxFQ82zlJv6x6>6;Qo%WJ|q>$t{wM0AX$`-^jkV_6udGIMx* z=m2ywvssvCqk+{?qvhL$AyF);B&*wjh_cglTEQ5$9<$QdC`)@coo-&_Gq7V$6!&Xm zJfRH)l-OI529%)#G0#mcZ%+x^?0M3g+6|@AQ(&AWjiId;f?1;?B1u8k>k27hcv1G# z^@IZ61GO&;!JXOUu28CGkjr+<)%~wg-T!ialltM0tp_7u zS36EcxK1k+uAO5rk7UgQbAKAtCjvOzqgm?w^m2jcGbMUSNi?Qbhmdz~N5yPH)Q#T& zhDv#2&F=KVo(fp0C0Ssf^8&=3avu{pNkEzA_f%L@3Ah7TPCyOQ9n>nd06rbm4T)GZ z&~fbNY?BY$Qs{Cg4dr6&neIn5>&WY`W#fmqWY2Go(fhj5NU2$c4rF&RcFsm^(p-J zC0rr}nx@Q{c~?G;@ypWKyL&NVWE1RQUVLUrEUS4xxm~l7a#~vBQQ^?@$nLEyjK;of z5+2y_#}P}FEidDPpfy^lt1=O{POQF3;DqT`CngH7+>QO zUKwIO9Hz{=*{>waJ_@t_!VHhP{jjPbiV(qKH{-Gxns8a1B5;#79JCb5TvydW(7=g| zydkvJTIvMR&b(5rRP8EK?ZvtI>isO}S;!;`IEnSO7!I>`)AhL16X8XQhvS3h)rov< zI1N-!&q**$L{@castIeyPcWDp6BLHHYqYKRPO)P*C#NmQO}i-c7*@SaeFkpR7%;_>ngA7yl(S+}GT}oS zSh3w%UR(-juPBXpe0%8fYdg#Z(*GOy2l#*De*(PG z96#XEL7~Z^)J1FWt19q>)AW8gxGF5qj|cZx9pfbW%o)G&sEh5emIlIa_AOfc3fMZK zT=paTlP;owr61V>!Rxtb`CI6hS}%h%aDf!Sb1w(sp`5lY$$sx&Bh|mpsB@BMN<|{)MDjYmVZw=7M%WR_w1s3ufFAJ zS`xJS3*YA(L6Yn3d5RMh8yfzin?ZttV16hwo>)9Tf4KQ(wdwNA=DDFizc{ob(%HO7 z8f*v)jG0*e7w!tnKU{5ZPOSLZys|Dfabx6y@FUKOIP)K1-Msn3+kd*g?#8+T)=Ij! z{@0%1?A|5aQK7;Ac7!>ZN~DagM{02t>qwgkn? zxL-VMONU0^1@1ULI3tMUBgu~wKU3@;jgd_*XtO3f@PR`Vy%>2gA{N^Jle#^~7#gd5tU+%d& zFWHH&E87oQ0!h z)oxE`PgVBHIGY^k(}+@XK6FVQ4=+(;;`c$gsP)!t9vy#pC(*~}gPIo(A~|)e`W_F; zn8(0m;otGQ`<;Cg7v>Qj3xuD$20FkB_eR8b@>o_D8~;jRROWry)HT*9H`&8r24q#Tb#iF-z3)NdWp|wWOGs@+; zg#K;}ip5sNm06UhEI?g@MO6$Xsbv<`DG|^TBtjZSI3QxY&lDYUlK~5hv?cnae(h?1 zrQR&1@zXSf|3N4L9UGba2#q`kFnj&+JBpEaX?U zdS3q)#e(w&h1+sew8=TVB|W20ql4}uY?rBX=Cd>?K`p~u^0E6CLKO&zc{=Rj;Ky+h zaT~p9&|y@hq=&PU4n(M5y*n*yPSEosE}H$#f0`cdiBg3P8s=Vtb9MMB59f=r`F94-lpt@K&!D{YjGEP3VQ{vC&odL}d7806*UN^wA}1ysVt$dh3R_gOnM*Hc(yfkrBPh zt9R!&n$3@a=xy%%I295u3K4-E!1Rgf18%EB`lbWy%F}yMJ|hw2I3TYZHZuZzg|IO` ziM60z`E`=H*Ba|U7lF(37i8CS593e?OumB%_psi?%2D&@uEsyTnX20i_$f70bG58% z{L|T!xG)1-MJBGkwveo2{!7W&!}cr7F{SxbZT9=VCp=y0fG{0UlFiVe!53evs3|sCt7sX zw@r+IZvM<*?XT=*(T9PQ^mcso3+wr0FCvUQjB`EZ(rTVd0fbbiL@ORd1!>McvI)lo zx^nbJuCX^KqY?eC{~!BUeN`4kU!@}Sdbu`is};T|za+3l3ZripOv3(}Rzgub40kAK zyyY-LdhEKp1%6R5SY?aOl&JeF$*LmAV(D7BiO<#{bG2_tFM6Y*=^Tkn+wIQL#_RzD4_GWfMwx4$N z^it)^ml*xYyf*i2doZ=z-N!bq((09NSPY)OlB(wgjM0N z-nl<(y!9g@PO>9H-s}3Kw)@rVS!&Cq@v>=vqJjo68e|3Vj8%!T6e?ucxa$Jbirmy}!A{8s zn8(OXbenS}5GMposEY~_Ds%9(bQ4Z$ORcY4JwM32{_uMTzrFriE(vZs^Xo{PvE<=^ z$Tw4WE!ihGehyn6d8|6ov;g*UQWWy9JnUkV=?85m{xR(B%PqA$**=n9d4!BcF0%c_b`<~DVAT|-2ftb zOjt%>Qd-vJ_m0^NJ8PA4o>>>;tfNbF*#i>L!`Wpu$z?ib&P?+vy?%C_Ql>jZb6$<_ z*KWQ9li{i|z1> zr117x7_A7DeBCFaskvx+J|7f{%S4UcGv3)nCg* z;h(CEu#qT_F5&Y%~3veYRBzQuuSD z2rD2ITMJUb#|9VH1%@pW(1W7PlY1uoi#N204Nt*mxA#>Jv5y9eVMm0}2EZl9z(C=% zfRjShXs1l}1URNU!Nduqy$kDIvruXy9w)!58x=|iMVl<(HJw8m>=}I*Bv6~}uyDh+ zYr|eF?7PW|8|(j+xUw|(_~`dV-p6ZpGeClq9JbLmrtMAIxyncgLGy*%WjmMz#Cljw zh#!=!{b{`O*UD*NVJTBDoB$Gh!iDlKq|y(o>1RD=t*w>JV>@XUK>~`r z@YcbE8~ZS1U$))%5ei=u7__odY&%10+32fB zZ}fjmyoJ4L&jRRXFK~ghCvHtww}P<06Dl`g z+K<5t8l+);73aDSxvg+84>RhWvl4raTGy=y2oxaPOyhtR=AJTgw%TW+po>Tu1Hy(a z5W4W)MXKude{fMbC|8tT#iN14>GJUdv}2mLD3CQJpdA!%Jx> zSZ51a)#q$8Nb8_&`eZWr>6SZS08%EOsrN}6mo8WxGeLHqVaWoVen4O}26?ypa3Sdt z8XO7W)cG;D(FD2&br!^#*qk2bd*>z~3gCAa3}X=glBVvfVvqHGHUa>G+<|XM1-+Ps zp)~llLQT$jh)uFE_Y7y_-*?%yujl(NQew*1>;^?PXJq3eE2~oU7|JsVCAz2ag>&XZ z??Nm?HV1rWh5yZZV!(R-vS<-u#w2vKONiwU{zGt|ufb0*{-4}uAb2yALY4$A=LYQ{ zg}i(@@MvJpBJ%m5f)hb2gOdLCdl~KGAXqPt!fy`vpRDJ{`<4)_=Wlyv5YU}V=lqV( zkbp&NE?_vP{lc_10`l@bWRzsvi=eiBPL>F8VlxATf9G!Kx@Xg_%kxhQ8v-c05eP9Ye=WYZH@?KmPk`%`S7AIXHspAiKm8S4{7p$*2mJ))pbjC6q?x^MVy z*;?`Ibb(~WIg5DrD zP+s3Q&6>Ue7Sh&r4iB|)R@Yz?|Io~89%4wKCuczX5Y|!Q)$obW&y5>Nyr>nqS*vPd z|DCPJgeu|5PT?tKZ{>EQDDA%JpQS>IRrrrN@rNBD2MnS@ps>ryk{wea-|v)k5`el< zvctT5U72u;2p}(F8NIL}gXMi1M6l|7VU%oJMK+-nf7Dw+I#c4whddbo__dui-{|Vy zS`Vmmvo&u01dN|5W1sz;xX%MYLTy`-u}1^^zdu9`w^4ybt!c;FNNOa+IfPvP6(Ol! z8NWmWO6YG}v^{hG)wmWBU)Ox0d&>e-Jud@k8Esg19ls$u$}nFDNjj#ix`y2ZWvsn! zQz!ag%#crFz}RphxcYb-4Y~@>^(~z*jt7Z#Qd#k!5 zN@&#j08x0U9IS~WW^%&x6Oc&=`ge*9BowI-wfJOx0!k5NDh0=C?#k=ks(q&ih5hB< z_5J;v4CkNLMO@27G|`=qwQv*-A!RaGy0D45laP<__Qo5|=0T?e;XIa2;zO`(Ir}Ox z&oO98#}Svq=q3jQCVA*IXcTnBG1{46S*8Cfa6D zcVr+(P7vl`;r1b{Z7R4S6Rs&x#1K?>oWEi-8Eo%`toTW=(>dT0@^rhpwD9GeIOufv zvlY+NI&H=5_$2?!w(6U%;OwhUZoXTAC0>cH)qz(Fh0HmSZ- zop_j}!`n(RVTNv#%Yob+XSY7BEYrN54_1BrvFBK`DDn;~_(zx~l#HG2;GZYMXT-mX zIEIU+LiAc-F>23(kl9GwwK7}FoBkHgy|-(&|GnXC*i<*Pve-EjaMbD(~-@y^f| zG9eSdL9JFK6Lv}POzI_Eb`7>jk_1#-~0&B_VOQ*H-Jh_^VY26;l7`avG1BT z=Fw?+p-auolyPbE*GjSQYQhhi%|no#bDw#^giU;QUIr|p5Ie1`3z`^IhLdw30n}H$ zR2cjT2qte_L&Pa7)_S~+@0gX$Hx(-B6oL0 z=>>J;JEeZ|swRxgEA8U{)>VHtk2bR#_*E4w1cOgPKr6yN?Ko>w-C-_D0-0UYpsXgU zD6@H$g^%c7y4L5D_}5XfgKuQ!#6j?(BNBq4z#U}hxk4=}?q$nmXiG7Ccak6nY3%Xh zQS3jstFM+b+S^?K6Riq9d-i1Gj2XZkPd@zvS<>rw3lWJW?MQ&$pLcT5nF%)1lvcA`9PM2SEKvgalu z-c8;dFFok)R*R696d3-&$HPmXu6KGLLG7>-`zYu754Aq`_&ZOBs)iNwf$gak{J&GDBtFR)^1DAzVQvx5!B)x&I?&jEV` zr3Bdu5XnBmz{!V8e4c=l(UyI-G{>(cF6oCQh?%E_C^|sGPHeQQJCYfZ6A=}TW^K># zq4x{n28a4&2l#GedZ1lEn%J~&;*i^s)>oB$z~|8WjY5cUNUC?vQsq6vq;7EM-0}Jb zOT2DLj2aU@ex&HNx^XUrWS}oGjSYx?)NVcv&+l=nHpz?Of@7zvT1s*(XH-R^S1^G~ zRaSyyt&n2ipfAmKsv1g&;Se&b9g!rFhAcS3j%vzzF zh_3-fpr7rBfX2ou<22li4v=S$YK$?Cg$DPm0Tu4Ki9`3crx0;K?JzDJfgngu ztfWDvo75!D!qJ?AW>M9u&q~MemPrf|_!$Gd6`QR3IyxHbXCXIBvR3brs`*A$8QhGp zjdUU{**1SZQuR_YEc6Qo828I~pb4UY{XRK(nrf@{8LcycoWpJimVriMf= zC&aS6kPL~l+Hyp&@Zb7oEBylpZz6yXAy}BSaY?u96+BYiah&AD1_TW_=euuw%OBi9 zCBQ-RJ+iYWJXf$K;$lOiE%Ryu3Yum$dXuHT)A|%;3=ZlUR%}`}S{x~Fjs?tCmJPl0 zu+hoD3lFy=bk9E~6wkOY&ku~49V?~lPEJGcCxy4QRN_Hep0so~#}7%ima6PE;Ufm- zXZcxMt01bzXNB(Uv~vBut`S(GvLNMmA0c`kW5-+I_;D~~F|u5UCwQ4y!+-I zX2JyqJH^OvXW*%s80-p$&$W=sB@!V#H>(Dm1B8A9w3X%H+i&XAb3B;zY$0e+zz$uE zt^}wKz?^ktK7l5}b{s=UoiU+0s%T}L+HVzTdMAbVs-j#1>8Ivx6=J_`ysuK72?4oO z5X>h7zU(OOr(f_RC`39|8A$Qvb#9-C`2y%!$uV+iHU%DHA1E%k*~UrMUVWr|c8i+| z`>4A)XTD6wj(^FAw@h@^@3Do}j;X4NtlzXr!b1#eG33@}1G1gpw2yKDKBJYjzbO@z zYp%XZsY@Gvkw_Uh@!CL{$S*qRp=-PIEBMNqrRfWhBI#&+$2j?jXDX5s;jT_!xfv6Z zGV7m;)#jW8+)1SpR(-4OuJfsD2cgT`Wh4Z>oc zdF_w1QKzvlCc4`PMDHTIwx&H~B`Uz7E`2mG;kqz6n8DnumUq^Fm#h3}sqsHAFl8de zz-JEtie8vUye6!xOJIX;UASyjyC>$>ge ze~q4&oFkn8%ytYI=qEuAEgUFHhk|qgn+)-Wh2Y-S9&-BfWyNfe$56&}_a(L#y}LH@fY1a8by93SRNPc{wrYz1%IuGYJ8*^>OQ~f5`%*kOO; z9peDE38mal#bvK z5BOLvS(eA@HojAKK495X3)?PE-~4%9xn@`I`XEL)ZCi;d=7M$4uSMj9L$FRt4mqvS zF|$>3MclYUi<<*QuxY3hhsPJW{%@muA|Pn(Hs9j4M}wa621Uk%gbxEz8G7WHE16>s zX8+~^{m);fK_bdRSW^AMNk>wcrEF^TrD)E^V{85&SMLJW^nJhm zD@R*Vx7EYkuv&{!#lzOMS^-67fbIHu*;188drv^F8D5@N`y z0wEPhQY)vz_wbu0qyiyZTci*}T8<4lJ@+SWyPp5E>smM6Y=L|apZEQ~@7J9_KQ^0r zk@x;YP!=Hv-3)>^Hc;Z+SZH4UL7VSef5f!j<^ACgRl^o;-|3n$rfTMjeOHZD#2xcl zlfboZs~U6qM5a|+RopQIKohF0V&^X|E?cXv`pLt5say0$0*D`_l4zW!70zG8ZOorb zEt8xI;yjYn_^w~z_>z8%z0tbgE%zMvH08IkuAjrIC6P!lyLx#Bc7d`-dM5a@@wYt_ z;+YMUQ+|Z?n``>HbRA!R(7c)0V1?fSpHrGI>t?=RU^rZmYPd z`NbOL%T{dpUZZ(2Ek{U5vN@{1o|0dL`R{T@qbgdBxqeQ#u$`cRq+1MPygOQy5nO_W zmTt+wn@v)0E+}d0Dt_rNDMx$_9!O2KSF9<%OcSk#?OQ`jhrv{t8KE|t``G%~Jl6Z! zo*P?&*zYcVKK&iqJZM=H^FWlXi#Gj!?$S%CRD*5)y#9}njQ?QFW4@$mX(Q_x35nhM z!<0%o*p zo}~aSk6sl%<4GZct}=XSAySAl_!D~yz^;dGk6Y0l+w`!!qw3>f+HtQDu*nG{DmRKT$@w;)zk+u*M}AC9f$xG^E5$)bjzvf2*hY?g2MAJl6B5IB`a>`COjbZ^T8;$i6RZMT z$H_WGa>*gj;|TUDu+PrLm!6$>b>Woj?OAKLli=A>iZ{pOh!FDc;<*-VZR@)0M&x8ZC#PE_teeMe5gew)D#;_f}1i)5~a_x8K9AF+%m z$px97M4Ywv=JDk=R==O20if}rVAV%&EslFg?dbTPz9fLNZn9(zgZ%Yl8mYtlGcS2W zi%%0&kxB zlLgT@F5z8rieQb{>u+H3PF^jCfjY_4-QKJZ&Y3A>B!yTHk~dB4KARp335cROGw~%C zgmc1k!}t4t7&~6&m1ImTUz`(Hd0tiFmS~0n$hT9!CQ0jyB>U3Hh_VcLGg?}Re^|fP zHCMhb#*_$xk*ZW&ze_u({DR+}S;N*whFBaOiW%R_mTn#LpTODF@edddUil&Vhnb{C{+T)n%0xM`ket|{0rR~2ucz1D1Hh~NtMo{^ndmaa49N0^~6g^uy zXoA+Df=i>I;3Orv&xqnwym%%2rAHGPk;Lv)l^sp~JbMkun(1Zxm2FL|B(6oBtYWUu zZtIK;VLm|E)6hb)a{gCTj)mmb;F}O}8RY$xU8gQEAXbDbK@6MX}4?ek9%vQ~$XS*CD8US}5R?%C{ zY=)9yA-KD$5gVH`Kg&C1>ypLzEIM2JcZ>8v&xu%^m?V~o)rL4I74t7s!WKHJN%rt^ z#b)b~j#3mc7AP=$4^-LrVtxC0=1IB zBwV0<@T&3{Ou|qAw0oTA^B+x+Q0P3Q4oY@GR^gs-QQg0{Qn4zntVjCO(|GnLelY&8 ze^PvH3xQuW?=?-dk9?gCBXQkns-fVd;I+|Vvgm}|;exZ&R8zM|HuvDW-eGp5Sw+hy zb7*UCL4hHf9w%)UGVB{HNtHS4aMM)5bVR)7IDi8qfEXk{R z*=u~Fg;n&Ar5AbVK#5gnY{zv_<5)Qt3XRc(eTHI^_M0URuU2*0lP6T%39cKTeVh?% z;DNIJ>2o8rOxDN?uaUp1h6`;ly)57n#^?pr038|18K&#Dktvsv@y{uk3=U!Ka7l(@y0EJ>k?WO!5T$H{xC>`2 zlwz>z+f!%xt1N|Xd=z%U8xoecJuR_(%~+wLx%~85{8%X&KC1F`_(dEi*}Bnt{nY?b z;m7rEG{0sGM@&A_@4q!9l6ywT^@PvqhF#9J4+=X<=QCHnq#p;N^9Um`A3Pto4=c5f zQuR6GLED^*mfAhW%#IRctL_r*iaR9Jbh))#SOJy`fw)sMi{tlQ*6X`gcPs0mo zMXGa9TOT1mh0{wMj_0?4CpO06npzT!>6BwKd^!39Y^ZGOa!l^q4VC|ZyVE}Z3zv%E;{%zfUA!P~oZH6y2-cr8y7Lk|1*5kX`& z{2Qw=Rw-2!q6V_%5fjD5+$^(_6OZSSwlP00wS1i@^ME_a0!Zz=uF^55 zDpOl7_S=%kADBS2pnxQUnUSVYx4lO!aQC?eFz(e|tOpUBIjIq(L+C8>-!ZVQST37ALJzVi6QqctP z1D5pLqc}BzS>P}ia&%v+VX@G7QVyMO^TB|?+J5v~K!{7DofF8hK{grJS(FLtba1!0 zE#-RG5AV*#4MjDfy)y|{oXv~^Q?Ep zR|_xxCuaFLSvV%+Fzok#FC44df49ba?>a0Q7kc@5t;2E?gCU7o{)Rw;JTc3ecNcD4 zum%;vS3JwdX`drw3pV*3MDJFXf8>W#{+IptUGn#DtMWgH6Xu~Gm#kjAA=LlMDPOe1q&0>qERiN*I9N{w(8t%Yu<}o(Ea`eSHag zBbIc7>+!Lm-)GCVh=Mrd>Bod#^ZO)6$|Tt7&&N9-hdrb19EQjS@SYw#tnM8!`~L8< z?<4yEr!0X76`1S|nG{oBz_3SpBtHg=zyAEejk~M+Zpb(4)@4yXGg@Qlt+-X3f&)D_ zej~U<)o&OYYTIEfPqM0$uLAyrj+wJ@FYNW4do|a)fSF8F5FxhtW z=lWe%;53ln_BStkW={38dI_4Gfd`InKZEcAAmqFB!9{9ilnj=^q(Ny&nsdi3EpVsK z12%Y3B}db9jDuZ8(eS$#5bwrZ*?o7uxqpMDIF|j`=hh{+d-EW}%jtM`;yhEIP|fD@ zdc^8`PrUH$_wUp29wf)Gz1{51V|=1E=F#lWqjSlKnA*dWO8RnM;_RHIE-b-w?9 z)I*iFu$G@K7n+Qlb@lAc3i>q=0(R4Nid5+|Fg)t=Nk)bQGteuo^bd($$1E-vp@`7_*DM=m0ii2kWbu%>V!y%&l_T82l|6`ZVz$1(oj>DuX z!IR^%+=*Qo+9B{rZT;|Or*Wu_6{Y3zPhki1Ao!D$H1E$QM5eS&yjKkzJXw#f<(=T^ zLhEPUwe5m_XZ?fcLbvmreBHPRL-euxoqrMU7T!MF@ume2sOHWv?O{v|z`K*Dh~|to z8eI&m#F7bI9sn?f&b24O5kQq&Tx!d{Q50|xip{Oq1GWMyiZ~+rkJRW+aAQc&2Y%PP zmu-3@RP|h^&St=3G1uUEC0(zfHNP$ZnEc_@J%8(I_<5xFt0r2~NY$&|SWF*FAFdpS z{qn*D<8x~J3jM9ox}l->1%kcWy9I|Zt8B}YKgS271_NX4MzuZDucDd~6VV@;hg|2f zz*#t`pk)%nAt@TuZ{i+$@-&|oTQ6cqL8SEp6mx9I(a0D{Gsz9O#>>|acNUvuNiQX# zgus(Si&o8r^2H$v0$F}|UvIZ6RQ|((KeT3LW?t60jss;1)mG&}l|Ysv%b_laiD)$xjBQvK|mPlryuHuo4 z8=$o!?`a}Si%Og89Ax*{hRbd(-i{Xt1Ou{ru$C7t7(dbXpbO_nvmXEB0BM$mf*|_WB0jdEDycSm@mns z7Yzeofk#Dwl8L>^$O-(0X%-wgXR~ipmGAf@^@^H$#ymuQo;}RlozurQ_A_C*NvtEb zOP2sEH(13onWb!hh8xG)%{3+0HQlPdPAjLTHcEer><79819Tu5hF!&G;+NMtRdGbf zrmx#^hQT3I3yrnF(p@6E3tJVo#RQm~jpCCUplIWiaE9Nlv}FS_l$2Xg=^zaTf^=NF zdi-4ZM*1_iyxVEPprI;vHcawXOlH^8!U1Z55!d_-Lkx-VZ79yu*OKY&A{e(%C0ED8 zxSg&k8v%MHkxx8e2#Lqz4k6SNOpsbkk}qM^t_;asepnlXR8j~l6u`DU*I6;3-k3je z4jDgv15n!Nw;K?U<~L49al-s1n|pZlUt#H&UE{g%7At3i_y(}S1z7MvhN+9473`a2 zWi8YTS_Vao+UBwu7bITs!}Sl_j=FT~CI)o-Vn_IUwY{42eV=MixAVQS6WcTQ;NakN zSuCC^9;tvSTW=CC-H>9fc|*NCN;B9|paio4Pk~H=J~2C=E{j4pe83Pgw zMjoM^7Ax+;Au%;3x}0=>_SfBC`f|o&mby<=Epj^xOBag_LyNN8Q13?;9(>~PtNtn` zm655C*3_prm#YZg^A;;^?-@mLhW4C(?QLtxew7*OPi9M3DMkR3in}Fgm{nq7B-OIr zrTs(@W2D=a%XcBYS$aaeGCTzv$kW6!OHPJaJm8Uc+`=5AOxnoFR+1bGY@zwYc8txk z=%8ES(Gk*1Z(ELDavQR6Le8x6;*9dP@l}jC*5c?DS_Dxl_Cj2S_727gnZV7c%0rV` zxzJLpI?csLc!(2uzq8dcSQap@#GYz^zVWKVSLLLd^ZQ{)uWoQ>&iiv?aZ$o>M=U_3 zv499Nk`=j-UU|hk&n8vVxe`! z<>EIWl{Va`>Sy_m2dYr$L?cw4xm9{K;I*>UBR-2p1z9yF-NCp7l&rupt*)$OK!kS(1k5c#ExrSwdChG47qAGgCq*dW2rI!}f z6|Ejo371qZ_~-=dd}!l4*~*u_(CR|Tr^m48&CRR-OmrEhbhk3=?x*F$^Ymp`62HSB z+@4N*CxHUm#1G1A`tIQZ3|IvX35Guv3{!W0;w5JzGY@PgD>|y>C%bK6(WWHz&$#kb zjG|g;%2V)PjO$ll+iWlQGi33iHWm0@A=Xcm{PU9#c4n3-JWk-M$ykp^8PoR42*6Qt z$PcgvY&N46!etyx$_m2bG?!%Dlbna)gOyGRONQowB2P*!%P@q-a(xppVe&cpnnk8h zs}{mQA)D%FR=b=n&&Q2`kTcm*KIpDy(uR4j#T!Og?>#Bl0Iw`JLuQV{3&1i*=t5w) zm{}#+Q<6x^oCsMwS!Z8DOZTO?mEi`6kA<0dl;Wt;3TFqh1lq)nMcGPTR6-hwJ&;~k z56v52adait!w4g6)?e~i+F~cNG4Vi1%@9r2|B9m+_A+DW%NukR+2%<>?6!0}1a`c8HD#=NJ%T5XTXgyKM1@N0_B#nFuO7wE8=q*)hooql7$GXTxvI*$kK6 z1}>&ShWd3cpY>5kVE;Npbci&AZZzkg-_mgUJMASWtzl4dj$%ozWat&MglAHH>c`bcUX(!n9wG~27~BuTqb*8Fe5@%4n$Q*g)4o6>s&s;K2NOh51QvTzu@<~XrEZV|Fv@;cr32}dcA%Twv>}z z-B%xf=2PlV4&_*@e?#1|Bh7YAnU7%pZa{eU^v@l4qCd_J*q<$1SyV`-%p2eQ_S2(* z*MioImcM7!ZCRn+{>9zEUhc=gcnb?lZ!f{`syF{l88g`Y!3&M0qpEym7M!li*uLf( zp--f#CDzg$bIR|sLEaoo+0N(<`Ekn5_cz6E%u$yq zQxZ1h>n~agVh`N8wBPMEf%ZC$4rO&&=je1LR^99k&v3K4cT+Z1cUIFrZ=|OQ@iq9S z{QO&o$|zwFee7%MPcxmfm;T($_>JvJSn&}vbdvJAsO*t^_!~JTzA#XFZq@8P*xL!J zqGP$ebMg6(C(c$%Rk|w*_JM!eqXLiZN1;^6*uo&k;VR<5I_dIKQu2959-uP z0sv{xxI4Dy!62|-!8sFoCQ2dOe0CN-s`(2wT_*~s;aiL(u|N5?e(-2J`$p7N z=efQWngV3rF)=%+4LusDLju&R2ywx5c53g1=I*%`x%L~;yy8fv~cDh~j+Qs3n zZ%%%N>>svyjZB$&OB#qBD~m|wXc%}5-Q zyo}%Hm^1Wum8BUG@2;g~aNy1vwmdAqJz{FLT#WHGd5VR%!*o&M8Z(<1QBrJuryC6h z$FgX(>oj}39;1A_{#QzB4lWK*6d@Q~|+r5d0h!Sj1hv2wp;2M{PhMeYH3xp;(#P%SmAFg1Gv$o;xd;}F_FZH7 zjDON6^kWTK`U!4-sX}Eqs2jz}Hcz+SgzTaVUpiFgtBI~9XBma%S;}&$y1>by6ultFW9P2NCQi9UGKD*$r zvL;?~ztQ&bUe@qbd?Jp#{U6VgW4mGwY!SdZQc%dF$prNNcv3pwmrtrqXsNY{5O`oD z=iq1#3MgQ@dOO~r#4wykSm>ZQTw18=KOldkeHZtMI4zQF7-kQ+6&%kQv-C?*R{8xn zA~$0rWQv0hFkHKQz?db70%R$%kgk^(DwJgfI4*%f+=8>ZM9|w^dM-i3h*1}J)J~T& zR2MtSMhc+FXN>t@j)SO>$R_8wOExt#(=~?!6aw;4px}+{E>#v9;lG@1Pe~&$!1$63 zS={NDVrAz+jv_mtYA@Hh6fFIT2VlX173448{#I}9>?^JPuKWbJf*zHc3^%`apE;51 zwzHB=gvK&8SO1rLuI3LBCH&!VHkMdI7z|@oFj@7I;`-V8?`GN5!`kn&jpWza#4}0( z-QH2sP*6!B4{g4Ovvp6FMSMT@zkL?=4n7$+NZA?dqA zn)axz|IWy%euDgE8^HX-&+h8po9@v#0oYL%82`KhZ_cE`V@~GvLO!>45_b>yaS*g(~)UGm{d z&9Q1qK}HAI+1`fQ9g>Nb^@%jCvjdq!5qj9>jQtY}h{YNu*fLQb_EbiCtot9^(<}BQ zOhS#}Qz`tgKFU|sBU@ws{@any*R4JA9d#BcYy{6povYS8mYLot-<_m8lnrtN$rCY| zWJB8LG=$=cQ>eJ===-vAaX3?V7PkwMmaDPYfJQ?$kc~v|f(fLBmBkBSM;IWvO6;vn zWCgiXD?y(jNBeN0DDFHC=g=asIh|7puN9n203aC)Sj&li{04C4S`4d4KcVWa?XDlr z<2#w8Uby=Smn-VE|tSN)V2-xZn@rgbWou9fSF9ih9}o)3)Ts>7(7EiKS?6{(r7$~0$djF;6? zJvHpPo#9qCj=`Iv>Mkbj5CHGsr1T(8BO8#aSCaOi z6s&HsC398OOuu$2H@j5a8qCR!?>CQ+4U?9tYE zxmst@_*CIG+lPx5+dpCI?NYYvtS4dVKdI&y3R-x}4aNtb$v&w=({PR@gKJF~40_*Frbjzs5 zVa>#wCQs?DGVHvS-chN_)$g+zEuBRwFqEYQFjLDpZP3;pln+9<_3&lwP4zl(0C&s6 zLg)^B8OmX%epsKXyFJ!UtQzZ5Pkf`y4z@m+nZc+aYao^Kqx2J2r{;q>OKoV)l=hQ8 z09dV_*3XWTUae%iwq;OoYGrtwg&yZ~posjZFE!H>wqqod9zU@1qjCNGc{p1EU=>Gu z&Eu$qdjvP|Ax9SQPZG6f`+brZsmf;R^f~m7-+HZUYstPM*z4}2>W4XJxmvojO3{4% zeNIzzMMQy3S!NxqjoOw|o2VQft~`znlCZI}>|wXACdIBwAL%qIanEO;5Kwg@OQ&@z z6%AyBm%%P~j?J~7zdN=v3M`iVxp?*oVPDnFwjpE>tWeIfL}W(Auol~T(EaGm*IisQ zY`T)71=ZjFAMi2Rn$|O7j+qzzGaiA1$RgXC%dD+UtTcwWGg{{W+aYyBaA1O8Y?wGr z)sy!y!s2@5hT56#i?tQB0msaE);uz3>1W2U@lvzb^XG$d6uX%QB+Mmv;Q~ z$36*4Gf^S(oOgtQCviwyXSm;~8;#$XldY_NWd_`=nL!+O(uk=nzVj`Z(LKKoA-^6h zc~fQposAeZ%!wwiq&az|``i>8oagvqB&-cV==IFIf@YrAt&^by31i&# z6g*q3vJ*A;)+B*q-fHSBRwQ_^h>UE|s&y!n2%A+?=be~}lRbj!uz;Ip66>znFQO&M zBA!JiH3~rAc1!m+dP-*JfKeKUJs%*0AKfz^hi0n?tCUN~O*;^r6AE zO}l7~&%!@=lVId7{OYm)cUyUM!6M&Phy6DCZ#nF@$M4u5(T92RluxqXkze`!#wYC9 ze*>2>*i|mX-{A58dslfQ^sWCN64t}sd1?XJJPTHlPLt)HP7?$o7yL5lv4tDGmT&c0 z=ezGHiZT7y1x;bX{0owjeq#R+--_A^^k6Qy;^%eI|I>zm4FVsZjzi08#+H2{np{$$ zTe6nBV!!M4pO(Irxg-!Yo;G}^6E}Vg;^@|#T;Z+WvL`sdbz81AP@TE^zK{S3L%lLz z$V|^kxM*4P<a&O7bS~a?FX;=JmJ0-|C~>d zL#RIQxA~Y)%t5EN9krQn*t;HE;&TfVWyZ$an{K>SGV;~$(VF?qjc0tFcYS31fJ2(= zHtKj>z>mPfMn$iz6s`U9^pjf^%QC`hgOz2w?A{{FP<^7{mzcI zub+MPaL4Y|9S6>S71sS?MdxmxH9IX;u~ zOJqwg*S+xglARK|{i0;WamA62_swhGJeMtdc6>#6N?d0J5SNu+mm0sdOld*il=Mo)C)R`A^c}yplIoSP8_Rj z>6qTZHV^o$=`OAAbd6Ndtk1z=>DgCt$*TSK(PDBO@4h6P_N%Dx;E=Y-?n{=LrBd=d zi0>>V3Uz#YnrL~1)g$zEmgHpaxP{DQ+ssCelQ>QU56i!l)xGn(!ghH9t>DJ^x{x@7 zv8U{|@(*Q5d4Of}0aJB-^Q`3EgZ4egrF^dds!|%6- z_sH^}>>5mc>-liHDUWiF9}ZT1GE_OgoBe!4!I8nbf5g{Fzor{A5RQyjmwm!W$XXT_ z8~U$ezjvpo&#Ta-iQFT!yeCFzl#uEI*MfQCdZ~Tk`&{9 zn=`ND?LDz+IWzU_4c%p%@p<$1^4sZe-T6aVbhgBS7&#VERlf6@$*a51@FRqI=`|f{ zc#XS)Z;kU3*%Jan*B3eX*rui28~y-7>h|Qd*95PRnM$=+31u2(Z#JNwMoWkuSfl9R`0_?y-l9WArq!!etr_}LUo5+)bw!# z#xtRl73ta8?jK`p)g5+~;bonL@}B6U6$7^@(R{|x`$;*h3<=5WyV!S<+A}|;fBTU6 zD6_wIZwr0B-S=J1k@l3Fj$-i%K#@;ijk!DmkK${hxRtvMc-N8bfStW&J5G8Y8Oa-& z&)w2?6f3vIZY-K1oE()PA`Y3B!bggGktpf1!-?R$*fS0F7d*}>>uP-6sb!j7v6Z$23(98(Y8Fm&;>+I@>2>Y|4YgTwzVnsXCwpYBAod065Bq-n zjtZ^D8U0yW>K>$S09;u6+EIIt^r3D&VFf;{RZZ6jqPUaHmHw0TJ8*V+N;Bsw15Sy3 z-<|9zjwLM0 zI;2QLLk8SBB9Le>zr$jh!SC%T?rXT@HV?DXJ{i|bo;!8Ph3`|EXK&f-jBnW?8b-`- zguP+gZdR5%)NqOIfV(+fSw6~vGQp)1H=volh99cL4CP_d(D9RY`c-R;XDfK>7Cdw? z2ei(17vGgE-*3lsez9R=(Ku{j7m0L@MTiPbb-5{B#j5=_xrBg`AYA7v40mGxYyHPt zGB*~ez7yE08f(v)jlSd1Z{T~#w3=K$oPt82YUJ;k(o#2!n(f;K@7O32V7}4;&l?ym z>dAEcCJucSw^q#{Bkdyu9ayq+s5OU{Zb-0q_et=UHo($1kFypk5q6;{Auxe-ZEpw1 zVj~umW?E{LAS-4@1j~HTW*){D#DS^A1oylXh$%%H0+zt>X{^p~P2Vv>+Z^jX9gm@M zaKJKc!Za&`Rfp-hjK&{>?4M0~`!dJ9@p zISp8&aFpd=a!)w3k|0vIov3hUb7mO{L#<-?s)RWC!;d3h!#vVUwQn=sr$nRLsnY$? z{F2{gPJO&rpnr-lqohYuOV>CxKq@DWs?X#}n5+5m)OE?U|CM;S#K=Ornkk50Ce>06 zqfX|lsfOj@Cib&?D85d?*j$4G5j;a@JOv_*#6i}+$e?DZnBohYvC?QWq`l+W??hKG za+~t%u33(md=rTDK3L_I$r*i57?DIvmNZVL zyG;4;r3NPsS|{kY&-KyL`_dh@cJxNoQgtni_QHMXOSXJA(6dlsI8&pjJ0bRLLX`5v zj^QTL2PSzM2-)k~6UbGG^W0D?kVH;F(P3c{l|(9saS?7#NX^Hi6HTcl8Rxi`a7~g9 zyB`hq;KZMOAKbFt%#F-JN|=I$XcZ;0hOzV_<@Y}(wQkea?!GYEBW|Zhzb##?Z)s7O zj3=Qd(REYs1ln+@PnxOkc>g#o6YS2U2?r%X+Jt~y?&h%^LTZ~ccOFBUTT2=-?{3UV z04qimi#KZ3D|2IU&vz!7fx8?47O&xDuOu=>4eIWQ_7s4wjwc+}z>b2EYXhc-&6_jM zwsv&a3nsp=7Z@6w3!)(@A?e%vw`2^Pq3-60AzR6!8f{ZO6P9FQ8ouTw$}hTjEt)35 zYkeH@v~yH=*I+>G>nLhwWkxwIYjm-%!tfC|Jeoq3PbA%~CgqhpLdl-R#p9u5cNJx! zx#OIqBp^Fpzj_qS9dr6Z;b)}h4QdPb&_Rb@-!H-wtkO}CUK$Xa8++Lu2j+lwC;K;T z#m7mu!M7G$|0dL^)nB@Annso-p2V#)KxGzY_w)8xv{S0`UI8Abp?S4mI7e`R-`+;m z#U_H{+CqOliLX!6==zKylU5!hSMrmLz4Vudl38I2nf(~K`tT}1=1S(a8G}pbuqAJU zQV0xrrvTK7IW^lDVy^A$zi<3PqQec3M`q@pnM)X`1(6A9PInO$MeAJYS0~H{wv z@kG5!0Uy}43iO{s_Q7F(18$9l=RueDMIy4`I zA-a0fBufmJc-p5Kse3|)Tr!$71?+>Z!;q{8sd z&|X97f~c8Z)jKH(cD7wPu5Nz)Feje6p?1mM3V1-tENZ5`w}3!H*{+h-1WRWnH?&Ov zf;FWbHOW)iRAgDxh$$IwB@fFTzYd9j?E_q@Q=}IKwCxF*uJgD#n4@`Qr;sMun|Q@( z2@U()ZSfv$jKODGdfSvd`+_BQ$Sa$VKy9JbHKwyNG9amL#BeiX*$HIpuSxmd-VZDuycO{8jF$;@_@N+c*e$!bRjXg;A#2qLw{M7nN_G+O0R zGKr_DQu&c8Zkxa`jwd@rVHpu{+?^$Gwccv5lm?sqIIvz*aypLre_*yGU8c83{)Y~=bhUMfI8>Kv@9IAtk^%uc%H zf~De$s*&25riYmpO+D9}S(U!fa~VpteT?v&kthyMJSaUZqUMi61^;QNB-_YKwz;@? z;dK!#Ml&kB$J=mtcJe8SKs9?ZG|482JWIw-&|ppQabU$8nLSYUd#f{V_H9+@e+NMd zd&G}12rdSC$J+y8UFRlVRjdaK`4!Y9t6Lh*f{ z*A?HMd85&ixZJAuWz}E=i}FD|%a5=>@tTBNWnZzz+IE!sn1h*PH#`1>%g$ z)*nU zN;=QZR4t87Wp5@{{jwlwb??p4pDX8%se1(a1#JO6s^G=9k30y#=-0eKpzZdbE}UWm zobsW4n`2kzTY40)f{-Jx7^v)&vPPRyXSsD9r67iF%Zzsejs-;VxBFzUUnEmE2kClf z8+jj5Li*+(eIk)hA7m5;3?Dn#YdP%ahn4{_(^=GruJz^N4d)PJce8@3(Q%OK!`K zLa86o1iB`B6gPuvUViZT^q;@0db5viRCRqgwwqpTx9X ztP-S~Cc2pq*RZufcL#2R6exeDL7qF8>_GC^rbEb$&DEBFHS1U>z2vY<$jl8^8uncY zoWZO6e&R<=X=^O}sgGZTqWf;}*Q%SmS6irG@)`J+va4xdc?)w~pGtR|%ivu72pmMhmXK{`=fJ=Cs3xKN<_m_nOZe z4$R~*4ZwnDjF#@_yC-ScahH6{aH${O;nG|A6z61ZluRbyqZaHEz-K)uh(e#sYE@^^ zCg-xXiK?MA0;8a=Yx~!pv{GX8G%6%qBY#Z{%6BU%i8>c1UsGQ7E0*bfjwDaIk+^%M zW>3WKDnYi%bK_sEv=@ocUuN^~acFp0ySAbB+m$&Hd9G^dUhP)m; zuZhlLJ#78jS*Yh@>3FXacpgfzVsy4Sg9`yi$dz+kyd8Ej^$^eFw_++lH>^^7X0|*g zB)!U#oyz_^nvNAhD)kAU8Gg^Bmp`k1#olGiBgbg!icQMM2m{XEgxwK2K(%RKoyog= ze*}tyzK}JG;hZ##VSZEdi>jXAq|p=5A8+qSKO~d9?qczDoD_*N4ZMED(`)urqboV% z;M8Ny2!K7t`J^N)H~-D4cQ8_{?R@R+%!%V#YJsE>JwWv6ZZM>fEOnt8^psMtx2BP~ zp7p8lm{fD|Vj3eR1c;pPzm<`#9D6-UW05YMA{%!FMzv&InWV72BtxHT?hiQ)l8!L; zWnW;fin1F>Y2`l0_{6O4&MC4L(VA3Q+i`8SwyA~JP7ID*7-fnU=RE+`#wv);K>+ya zF0*si@o|QskoT%Q!dx5qs&1XEsrI!~?vs$SFHnDnKk8OE`&kYS^pOATr9ZTk2kc2! z7pl{bbrz9%y?fstXy@UD_}Y|s_^Y5A3*p`dH!{0*1E+xuTPoluBo^b9sa8x%_>H^V zN=(JFl8i)QrQ2$?P||yF1Bk7rnx8gnD{|+?Vtnq#zZI}z_NnjJZVLG()bZ`eIy@ed z;Ny!%f9YQwXlYM^5kS#Ii)OQj>lUTC%NJMCug(yc#VGb8aGf5yPpc(cIa3mN*CGTxn)xqD1fa^Yfs@r9;}10m+2#csB_TFrYRVa#KUrbIa@ zl!7Zb7TYlC=z4{>-V|zXF3&gi!=Y_2+i$F4WSJ90-_o@Bw@@upw$9hXII@ETg};e( z!giNp=eZ$$?sI`*nNmT*c{m=!HeZ-TDMTy6HM2}zKdFnHEm<6r;RK)v&M zfQAbTS~M-)rFU_<8*g)#E{;zx9h@JyjQW;5{%UIzB`!GoeUGvirk&a-B>=NCBaClb zD9WR2K8{*pTk{Dm9elWY8)vj+KYyIK3rFR_yUexw5Xt|oTbns!uNzWLY76_)S)qc= zorNWnIMc5so_?7iY!DTK^LSp1Rzzq#<I;QcPa`VvSD1*ChARV7JlQm<0Z)GgVW>uus&HsWG>+l!v#d?r&;W`DR1jAD)H*RH=fdmp{yvTSp5pr>*r_^zg@<-U?W|KCeC}z9(}E z7qilM$-a#PSc)c@i$Bz-stiaXbsinRGKMIatJu&fh!|b>OhS%&=~{BZc9n!0XJsL| z32RQ{ePKb9MnY=yM@gGA_w?pP#+gOCu9|kzGfV^ar6T)ydc4`v1wi$H5 zPgosQJ?$lr88uBccTSM0v$1?pjMJqoLsOO@GTN?NE8f1HmmEjX12OD!7yUX z#&pzPrvDe~Q@S{eTj>C=x4Y<=*kE(x%!uMuOd@XHUJGxx()v*NKY#A7=c+sE85jSE z4WIxnZ%=0HHv34=3?C35u;m5{@&u8>Et=P056ILsQFUp0YkPtLCm~}xRhK!=&=S_e zv8Jwb5|V49gz)lZTG4Dt5cMfQcY2W4&gz*h54-*+v$pn#g?-{49+obmgYKT{@F{lsIiFQVc zde`j) z2d~B)DiQvw3Z1D9?PYIfH(Y{5hi7soTnqDdr|7f()_%9E{ri6yYUl+LQryXr8>07E zdE3qiUK17CJ6C0Jzzc>{nOI}291DedlYiebJSwY=I^X$WtOsB{T`<$z!8OOiB%P;6 zBE9OBnwaHL#`Lbr(MJA@F*bUyIKJJa-bUL?Ple{`GMj%t*%|K0=oO0frYwkOUgzVx!F!YU#;yK~7yN%RpGdzKs{E4u_LVGr z_CJKw6)4|W@+tlnOFc`#O@RxLVEjef%>`HjE_{Xzfxmrb9a=enQ1v^CNzm_!=iGil zPO(prf3V)?))Jx?EA+*T>SlXVA_IbUwUlgMW1ya%{=wqf;BIZZ6Z>S zt1fs_k0Fmzk9REqui8TW|9QyIceihCY(u~^f=S=;yUXVPRflnXs$TA%sP7o~LuaEe2F0$8@7mv){N2cV z?tsa@ZOMeHyDv=LMCNrXAziR4Fn;A?Uj6V#*hY11 zP<=JZK3jOf_yLTpH^V7WDy7IlTQvRoXa)T>^`+%V$+(75^!x3L?<_k6^CQ(5lCWg0 zg{{Yq6WRwJzjMpO$LFZJW)J^cb`Re|+EnK|ME^a)+?4FMO(eRW5T)m|4%3RG;#5wW zkvRR!Z_8rcSq8gj|L2|=(Hv!}9uDwpI%SeYWn_{N5A>L^2ATH?0k0((SWs# zzic}iU9~+o{u*?-x3gz=*EgT~{pOjFPyK7@Kc{3a+c&oT*TaaqV6+mQr-Z3&c{p8{ zPX7gkknysEy|q!pr0o(3Mzmd0vZ^J4<2WVomJwg1OtNBE+To5e1>VXnw4A>oe}a>w zyW=^mAy|m-0#obL+>z@ey2QKU)5wv$>;>xu;AoZZ0!v4keOm8GunM9O5Wx|=b;He0 zlQEt;A;Fm1!oHw9Pl-)G&2c-jt65Wv;Pso!?aY`#$$@{~--+dQZSgLpbm$!Q+T^RWhTr z$jSm**gAe<=P|M0!apv6t~12sS+{{D-Mtn%L&JBKStILYSM* z$_A^LKC0lJwz@-U4L2N0xDT`BA6PGB?G>d&QJixiycK@RzME{D>tjU69(^VMMl2*E~jQLbYFevJe|95on8OpNdfj z7oncUF&AH&VaYrQ=pH38-$U|RS*}s&F9GC%ku$}JS*MNky4E}h)6(9m26(HwpO(Fq zd-8*-zy3?{cFjYa?rJyHa5%gb9E5bo*x^f=XWv@9GhO%8&2Iqm=`TBA=D^MD=}hnZ zDh`z%11;GRX5Y($vU9UpyvRdK0!`c4dI|5(QrM@BcmXa6{B*p2ySk;NuJ#wh7XzMC zFOSCA=u&U8{&~f8(EIxPlu&YUM~}XqX^uUz2%{UCA<nHRt~t~ z&4Pasp2J%+j;tg*5g{+RXSfuCgVe?TTeP<%pH&PpyT)Wx)rGUnW3FNTib1!_@k1-A z;TvvI+}aaE%SNQZUo%;z!eshy!bY~f+3(P>#!htjboooFk^udo zWtf5LM~JMzi{cva&Ij0n=*OSZl6z}UD@X|+iIb56Py`Xp=q~kW?+BV~OE}FPPP8QOP|z?pPlUe_N8lH2FW(ZM+9IqOF4>=M z!0Jvh)m_rez7SU!p?+Fjxg?XE)SI(2K?F0!L+}7u7f%X_jH$aPBcyvql0mdy6;l_@ zM}x`$Bk4tKUxU@^fG8>akvo-OoGNXGID2Q27&jCGtCCSdQ8Df6m0DH5OLmP~(^$4) zmLD`ae5tf`I+^lr?JHf=n#sl4aNNOHI>5$K_oAO6m40VeSbLU$2^2atnE+Z!1(VG6 zKF@6~h#YLwsb`t#4DqU66H-cY5un4dyTLgHVl$lS}acyfJyi_<08dk7l>l3X+&u5^RdBO0oCRJ?aJ>ZU63R6##0ZQ%ul zWV-;ZY@2**9UW zM3kE^fem1N!Z9y z>TZk3&Igk4NZg)p$<$XEq5DcrI** z5932e+s+|w=ZdIPgG^nH8&5+unNXSQd-&3=qI;+^Bsi#+sNPgwR84#kM{n}%e!Aa2 zagwm4_kkttQKt-dG&8u{t%bO4W4XvCW-%hCood>R`}_D{Jp+nS=daT(RIIK4$zW|+ z;GC_GmJH|c{xrgr?k}7hVH-9jsn6uVtUpPgZfC9n*SupBvBl=qMhf)@Y)%9-V?&KEFERpIY`<6It2fR*^lH@& zdCkR}UgGbpT3C>jql!@UF(NClPg1Nw&yh(G>Ebf&X#jjpjZh-c_Lu%my@zb@)Yq@VoX1A3Qu9IbmLKjnp%m+@Y>VX_VbEEgcnU;pP7ebc*@iY z1S9zVvqECig>IF_y<{72Rh7IMFVs2gDPfe%fM(XV2)rNo)Wx_7sVOQ+1<4~<%iBGh z-QQe3W>&vbe-($?DEcw3S&V!Tl|Pu9MeLRzkend{l$8>ngNERjJW++MV6Qp7|C zMS2vdXh}AaLGi;nI<|9D9ErIi@tQ;y++D>rdBy|oIFHq+5>T?bYq5Kr&8SM$p>Bkg zT)?4VJmSCWIR-w>eHhV}J&O5ZDtl`oX~;m*Z|OSJSS(oltH%~T;k9(~j|(4LfJobW zej6A01n%?NG~;*h;Iz-?_xxV>^I2Kv{a2rZ?@jstuF9_oxDG7f;(h+H|0n-<88#b=bhzW(j4x+R4T0VktZ{Pu`_g$ev+rQ_v~PuM-;KMttIzGLE?5$H&-b}Mjji0J5&swzTleQPYfh%0 z^V$8Ug5VtI@rj_Eqc2|C$bp&ECm*t|`MPzVBkq80g%|%w7N$P)bxWn~qj%hRyJ@a3 zjLyNW?To!eRU|MCZl=T8BmbX`AIjGM^W~94);rYAXWEQkP(H3f)1J(F-HWZfp87S{ zDySQQyqAY=jZ!`n$G88AKg#{%^71*XbSO*GZ`r@xdsw%Pu8*?_bNwQlCeqly_dRs0 zeJjQj9(lauI*VJEuHAvr4#tQq_v5lP57M*gdct8l_fYtHRHmJ_R!|U^+M>dg zwSqvcTC0d@RbVhctP;=`q+`f8skizSA{5X zVQVO3WEu~6dIAmP4{1Nu-A4STra^1e*L3FFC6Oy@bcU;T4ldq|9H*dnix8RxiU}A! zf_)HY9S3<~aX>|ez6;z6)!@I|g4`i2^B|m(EZJ_+**xHshcrcSuu+vmCRa6Rdh7^) z1j3{QXjc)`bo?Qdp9B%|l8+vhD_@DA#pM3akMA8?ks_^LHfxci_pO}j=Ot7-{^~Ge z2~e|JzQu*YOUHiuS^8rxDjn>;YZdF#!)_xvSTNUf#Bj^4y}9Ra>pQ-A^b(t~2S=KZ z>2jMGr&3dt>ICmjycyU4r*c@YLJmX|`;ElI7!PB=ksyJPLv{j2VZZN$aZtN^X?pM` zs}qrCE!k%zb8kvgLOxWXZQF~N>`nzOYByN;9Qjo>?IFf>k zLFVR+D*2s$7SsH89ty)gq`4V{AVQ3p>0#}J^bcE&j7bC_YQD`?1YW}>QlILBVUlZWUY#{t?>Oyr!lZ zyzK29FYIOEWQ@zC1sj`iZqlhT8no86Sl?Me>4Ivgi=%KZD|pp-0l4m=rB0|9G%u^N zRviZ5>mDD21PbPK!W}E#EYYlgmIZ6?EE{0w77B)N$$_zb-gaLZ0d$oK9T@3z%NNj} z`P**vj-Xtxt@=R;246m%W@oK6=voFYnV778B8A2Z&=vEEhP%0|yaO-< zHbk7n-cZyIY&S9+&YW&Uto9AqO3%julR|va!rYwRxP{z8U=y@U+Q~@2BEG(BWjcUG~QhrSfd*Y!fr)K+160N{RiOg6G#nF_xUbMWb$M zyOzw5nN^@vy^vbBBZ|;Y(z>{lFw(b49ql%VXau$Fm}X%pAWi8IL+4Y=YCxdW{RQiQ zPv>`q6}O=PCRFI|0<$udG zOa%`CH(|=sQT<~FQmn8Dk${v8L?}3j3&DiSQ#HGP1*!x1^9ch;iW9gP2XS^jN_N=9 z?`gre1^MnWH!Lw=B%G$8DpS_N5Iel|CFEiCV`^f~Dr7$dO6TC3b=4_obtyEeB zAOOPYG5T|D0UYOzQGJ{lq@LOGx=}gF+SSb56C=PcNXu4adZwkc3=Ob0i>KAAlCn#r zvU!{UFo3uy-o1d|pjH5tOP;Uc^?IAnZVPa$ZhE!iNEotY?KGm~{w zqF#Ie0$9Yn_>dex6I`{}&3iKd&Ix*1aiV-ZyrXuM-9gM%K$w)xuJHrv=cnO7^$<__ zMuH&YK20hA&Rppddpe{`&s&fm20L$S9qutvU$1cfx|5PPaMk=Ge2-`>71CCWQk@8Q zB&`mq!ca~Oi085+bLwP-cuvyHd^Mr4>vvv9rKum{w`J)1KFIyfj#gDpP7~;ye(aBM zsFP#?gf|0tKtzYWyV9Hpfx{)GVG=@{2(~Ikj^_1NGE%Pq4PCY!>Y&KHvxR2bIr~;n z0;EAhg)*T(QY=t=OJ)E@k}Hpr_94)$>-LT{Bf>a2B#d~d657g^Dp5AiMGRVS4$oM& z?=*CC#9 z9f1L&k1FrJk`Ep?oB7;1N(S7XTNJZy^#==-5}Es~mtjjI$xfE{dv1xUG{nVx)SD@Gp`!C`OFt)jS+Uj3 zsI)%j+VNy1jiU?PJB(dB43#h|s=xtL!ZdI&l9wTB6JVIaxy9jcA&;sbh1pa~0 zc|vS+8VCl=zPT#F|InQ+$~2?G+88&)fRoifu(s+w`2@y;Sn!KYm*+1D_gwbcs!Bxw znu)G0pO-dP;<5E;`xp%g+5BZ!aalq8Bi$NN$;5Thc?Br1qbHDtA_^vQy3zVH=$$d+ z;g)oIfA~@LuLwAGdx7Y&-9n!!$Xc{sMbOW|k($C^yc=Nt#VXPK;&AKwLZGBrc{+ea zn;$nT01{oGkQNm1Kz?fj`8L3U!6nYUXHC~QjIhWE#qjm(S=aN6w*%5CGmHDG|RmwU$!8m@Ve1+Wm8tP}w|L(;uLQ27k6?K&Dd#D3TFTuB#i9+GqPV@z+ zVD&kAn3^Rn2-RoREsb(7lECA3S_2joyq;}ch7+qk2qumYvgDSpB+27TVtgd=EC_NW z#;m31Q!c{NFd}%p-bxR| zI~bssfEvlEV8#v*gmw=s1I<_?_pAyzD`my%!!7di3uc7FZI{=bz#-|#xZNp}=$Uxq zEB&IiPPCFmp1^M9A`NzaqPm6`ysE^BQ-M1nl7q|l-qQ_=kHf{+nM9#l$(dq8h$qaT zV={>#4_n#%IAZoNq<)Yf&D=ekeg$mQ0#`g2xO#3`(!T*T@MpW=2h9I>!B74#gv|+qVd78l%NPH*qYw}O=l^mP zu7(@MHC=nh+!| zc&|0-_r;~J9PH5qnC8v6JM=pMDG7Rx!RsN@-Y8~-+NBLv~B`wDfdKM_ToANf259ACr;#*?0O0`ZW2KP;Qp=U_h=Q?T1Qpe-BDu48fZ ztR#?*rgG{|$8hPs{6FUIf{Po9!YlWlK-d|CIFp0jw*qOqvn*k+3p&-TUE!G(U}7lm zI;Cl$W(okjWyJ3Ts$f>xbSw53{P;#33#7t^9d-cYTZdU6Ip7zPEcI%BlP2yw3wJOn zLoY0 zwSwe`M!-YDp+ZtH5$~rQV&ChBF$Evs48U~&H%m`x23t-Qq`~|IVl~BCfKS)dm8&3D z%?k)cat;7W6_p;rK9QB-<z*>*~4 zcIB_g$FY;OBN=6ledVY-BHS472^Aa4SZ z04jv#ITZX}Zs`KLT`9E@=@Ht2^=Pl;EF9(;7*{fzvL$G^JeV!SMKct0E!aEi704~G z=UMw5PO=AlL=U`P+g?4UTee*TBMd=4WatsYJ4(-GmaM=IPCsH?vNj9~0~ay7v*Y3< z{+Y`jaP&c9Hqm}3{G9Rv7V$pQvRWb?J3A{1vOH?%3~N`v7I`bu(vnG(C_3eI`3qYQ zKkgNQ2U7?{Ux@4cyajf~s9Uyw%GhLTw7fD2t z&3YnzV}cPWT{Q-VNKrBYset-nfa@EGiXyGVK-AlHrFe{x{t~(Fm5w$gD8i!^pu(Df zy5pRvI$+8p#H#ZjqZ~>VEbF4Yu!#zqF0W4WCJrD+yZO@mRpFXY3J`pwm~uB+{f-Fu zlM&3~zsm6AxD-6nE>w|RM;mK`Y$adV26QK&{x@I(oERJf2sIf7$Hd7WzGREJt5iK3 zGXk2v86TS`W71Djt~KI9D$^$cZn!%P#oq*R9z6$Z;ndk2+V{1R$#$4S!A0U>%!~uX zM0FBt9LdYltRKR-81b6un80~n$evalO9YIl33kR7xX(O7$Vwp|UMo8(3za%$Fu#OY zAP~7-)TAPU$jl9eQUHkb=>l_n0dEtG*bzQlEIa$|3_ll&QB(l!CkKJJG035$m&j3oQOS#HGZ!;CgY43V^fj@5oBp_e7+JrO5Fj;(MG)8@x;H(m!l!yhA3fZ&-< zOjy*N%+v0ZANvMU5D+y+E>z0ZKO_|Uf)Su4)K=xPnA!EIpa8UNJ=rJ0gi|y4sb3(v z@uRhzPOnW?j%6DdOIn*jhYFTOp%k)8P8Snde2`p~V@xd%B+ASqw<}*!z?|qr&9S`r z;PqCD>Wn!Xv~?CxWeI0V^;LkQTrSFk+3ZveJbaB_qF#r9M64eq7~g2cLJe%B7-PgjYEWS36s>X5RcR zCI4q>_K9{xlyY15SH7!y_9wT~`d{I$((6F;u{MiE~~EVXy=qi z#^VFh2`MTC7hV4kfChz0;QoQ2YYBlt8RMjiSDpm?S+r;mXdF)k1Wu#`KOrpl>Ax*J z1R~BP5@~7-x;MljF=;B~^Rehb%HKmj_Qr1Wd+zF-J&#;5lQpE}L1Ceuz2`-wXfP_e z_umZM$R!=T?p)ZQB_%HM%`D$l{d;D4ZDZhU9*NHl-fwA~T|D`85mT@zrX*!q`RNr0 zHOq<;9Gg!?gsvdwmF%B#K8;&-Z^Jon+tx)HOUjG=J}DvUx&c4RQc}Q;Fz>~^MSra( z2T_l-kTw)YSLeM++Mik!3*$afNfN0-S{w_|iU?ak>LRbh_5Mdwr0+!eC;j$&$@sIO$w3(*ll4A3r5k{*g z&c(7)!E|}ObP@EY5dm=?E^s~1TDE)KP7^XWP^V63SNy=<)-ihs;2Ceb#BX-dEG{Es z*0j$t3p!K*Z1!SX`S;R8ezQsqh&^Ckr|95LSM*i(^c;n-9v)e?9{Hb~;^6%!vA~=p z)L$c0f5P9P-IwRUc&`n87X9noVePlA2HF%<0Ld6y)Z#a@0bxM#L|{VEJHt>o!n-^; z=?!#zvu6kX4SP}e?4c^v6w!MI{vNZ(?E*h)>Zj z>c7DaX}t1>CH-YeUWG&1R_t@i!72MY-K-A!Ow}GONW>@p(krM~CqMN~*0En&KADCV zY~2xwy}Gl2n#H_6KEph5&W`m8^?!ToyI0}Nm&NR#Le+-~Mt<+=aWG{t>JkH#MbMB8 zQT6rHqIrpj(RzbuGhmai86|7ehecZ)1ad@tfAkC--Ir~jh3$Y2Wxr9p*$6qW0B!#4 zA;2b_J24SxeJ3c|Q3!PqW*BRx5Oq<%yAE$otX`N|0NL}7Bc(svDXhH|9c&u(K2{7F zZ8TDlw01aSrQ}!Rkz~LT#MlpX|C*uR;uPWHmb!206V2%TlvKu!Cgo6p1Let-zf9XO zF%iT_KTaPAyy28Y#4TKvIgC!Wo&h~E5od_9T|UGQBIXh=yJ;gte)1MXB7d`^&8K z_X&F3@RWEzD~R4yaCDd+o7~>+ixDdi22Twfr1Nh@KJi?hA+wf9PlLYBiM%y2iM(Gl z`g6jQ(i=@d^JVnzL@5>|FtU~!(xwpqeoUNIq6;0j*L^gc0%OKmA<8jh;?dWHCkHXH z)7?eu2%?uH;42);8z9yVwb&O&06ZIdn`c3~O9uVr|AuF~J5|2)3D^a)UT?KRc5yr* z-SzD&d%e$OL4>GJ?ckHc5itzbyi>T09CNa>ynP1oOUb_`3SCH5zf_< zW#vpUq>tJ(p)id~m1%Bzp=&t}6B)n&Gz>eHXH3J2V^kuG0vszLmYV3=hl_Yn2}y{& zNKf^&;OU}JBFoFIJX65?PZR+ZCNb*Ug7q|s2ot;7)?elO1kn(3seyBajkP7bxq2yTmVKba+Cp=Wga6YA zvly71LTmleunc)g5fy`w+@RtKKY(-X6j`}x3Y<0r6o%Z1>E0axZa;7(me{hezZ*n1 zZ*?v32^LFCg(^+~JGST)qQS?492=4HuME#?5Qp8IInR zs-M2#6#Q$`mHF;Td0DEWswI1DGv=3PGSJ#)db#fdQ)wG=$5>dpSK^cEneabK+~5>7 zD;g=^_uxED>AcK>jSCupS==*JZ#p4Qq_FRDwOU6C3}`GykSS74w|2UZV4KLySJK2y zwBWFAq>-_1JQ-A{T!Bk|BvA!746v;Q=@~Nd=E`M& zQacT(V^%rXQEBo-qYW!t*o3&4*oS$m9DL)>@*RhSI&qtrY zw@8h(9c{@(#fWfVdK>L5I6E)r@!odL z8p@x~0Ti!u!U1Dc`S6|HA2Kc*OHyj)87Tm)83Lw{ezfVF_XrX`D$DfpZ5Z!F-MTza zE)wWIETySzSh7}clYeQkyG(XzU99Fu^-8S3)>0->xe=aq5H8tJcf~*|cCVeV?*>*F zxT(tHSd6LZ(djH-f%_*+R6$8yxXPE55rObhQY&NQmc^v`)Y=`VvK3vI3p^0vQy^#T z@=hcXcW0{Rfin@9yn0X+8Lr=lI=ju;+`WL@V=I@X8JLUNr=1TLAH&(}V{XQH7;DL7 zjBhD_Sv9^BO-UJM9iJexdU?8)Oi}Y!D>p@{S6T~8_n4<#teEQVYbtyB9cd3Ivm{E< zq(Hyaz*fpDwuyfmCD^hEhmzC%4@<|(8ST7G9nTEHzmsQ9eVvl1a6XTumz}e=a-u760YPw=wGl7}bBm-=)DrJVlNGH2Gc^ zD8@gFEFRiY3x z@mvti<|22^_=ij?fpF<6ekF>a#;q&#*qE0hEC`?3miMMg1L{G zBwE+=?McQ7Zre1<&pwGbr-Zb<1MqkXA_dZjM3165EC;=3=@!?Ly^r6|&w{_0Xux+~ zhQ1XM;<)2txax@+=Sx8kT_{EF=_UwKZ${aCa98nda3#kn7E@E2;0%cIS+Q{dZZG2fok} zw2btp8vnQaFO|;S`(H!iQK&ILfWKtv|DGZK%x@%Sh&IAa_?-W0pDl6D!W&OP@ESa4 z@t43PeZg-vj0>0gt!=Q*4GvtrHz;<76m~0UZ&1+kWYYezplchag07vKQ$h0E7uXRH zxJeLL7Y};Cr0D?f=L-h|7lb4(TAtnVWa;vywtI7zeE8KLK3%+zA077Fx~rM%E*B@0 z79ZiiuA2STa?mdi8n0g@&i5XU+MBp!&asFF&k-0gLS%(|Earv4jcU@t_gp)(W`h#m z2xJUih2i3<^*`l=Hf{`jQa`)be}KeS1z)LNL=N81PYMFtWc(gNC_83*J&;|pWZ{9f zF9-cY8n3iguWDSEH}!P!mj&aS7m#+bq3&Gg@3)i_IPcxso7D}~o8PUycBA!1br-#v z-a9~AonBcPxK~osD2gA))=WO3$(I=ic0Ikkc*5#{j(TYU2f3C$|HHj zN7|O{hUkGJcbLetGg|AfIMTG&G48qGA+_ywO>z9#5?EjI(k_^D_n!OxuXpCOK_fID z=Sf^eZ8-%{$(gVQWdSm$thaH)kAr{tY0q_pw@I@?fOK>i|MC0O;db3BOf!Cg8#IP> zyWOVV`gJQ=FW>w+gf%i8RiM9GEXM0MSEiqkBotLpKoika`5GWaL03&2WFG zC(pLU2M}i>Hr-FDf`W?GLYq2kReM2Z(P*gfT8mHI`l1BBm{xan zjlFJvc>N0*!ja&*eGjD=cjLysTXmLdJQ8?xovR);U~A=LF7Uq)&aOFo@nD`Z#ogrDPwwdR2k$+#?g%6KX6RcO_nR!9ancjc++f7HUy8U zy|WW=z>~_Vwr9h^sJmC782B5`Z(?nR;q0iTgK;d+Sk}v4$5L){EN7s+={gofNBXC4 z4fYN*ddD{F-e%(LSF&4vb_%ca4t4zx;d?|Me2uYzK&-^>0Q12j`qVNbs<6*4Ty7Jt zP8(aassJv@(j8toey3&G_L3Yi4E?*|2h9IJfS>%AzET^KJq|*5`*8W19EvQn_Kk=* zcy?5E(el){y>96vuSjgN{BmDDVE>p^7yU$Gkf|$@WkL`!1JTx^#N0fOWxdQr#)NWf{0PXIn z@tvI?4P(iz_|09nV5OJSf0!fES6CFX z`3jM7ON96}W?eKh7rV!W5JI@^iK9#D+IUk%0?WGK+g$MVrvz_REqf zFSE*}VclRZ$?+;u1~LA%H8_dchmcb2hPuNOBF6Cx(zydKROxd0TweWeO zV;I{VT_OyC_n^-CkvRR}*Gl4Zs(&U6*> zUvGsQJX-6A;erksEoC-s(cV$Uho&i6FaH0V_a`Ydkjj*jPLtc22I=AMO<%U&%%V{dLD9An%bcI)lDwV$VpL>b4s}9c5A= z{Ar7Luf>M&Q{nqpAa~P5!J)Io2+wDbw!fGmSaIYm+5FIlT2X(O!r#IG)j_eU?70Ha z*n=bb5~#x9VK=a1UeN$2*V1{34M<7O~2nGk&r5@gFnu)jt=Q8ONB=72pkwc6~+S-y)Xl7Z=XbdIDzv- zGmw-w8+3!1$iN|)$fYEscbUS6oxby3$)Cr2{X#q zevQ}9x?8SH8w-1RM_0S{v+Vy^aS}>5MO_Q%mU-UDcJyv>A-Z$ST=_io?VB6`a89#f zZHCTE;9=+(%~-HXSArddF8&VCZtr9h(r-~xHm2QRAlfaW>Z5&J$f7iKe;%nK7(t5C zPY9kb9|&CZGgKvkPy~;{Cl(#u&uh$Q#hCL!X-OSM-XBGg`hxt?t}#*Ft{cqI;?`~2 z{h5Ub!i#`HAz4wrrx;K>!VU!T&k=pOcMxq1i$Y(hWxi^0312H#ia*Uq?_|J@54waL zjV}R!H{_G6^<1)xLOz^TbY9br5xPL^u9g=)l0!v!aw04-s{1qfM@OEu2T?B9#{}y6 zO!VLOpqv?CA_!b*X zx+=VF#wu5FN=9Ez7jg&6?72<=`DWV~q44-0JCIh=a>X%WmR*QTRfmlCGZtlJ(e^m| z7%`HkgE-$TH))I|%Bm7C-sCnQcZYhu$l}86V~g(3Kt5|Hz%^Z$!in)5B2a`us+iS7 z|DX`2NiayW*tBm93-$x^rTeo;ZjlW1AABuLs>dzz(Qo40O9uf7EI22Ewx6fNb?K>z z7HH>p+>H69E;McWhrg8wH#O&dUKg4@Mzp836#|p0SymcTi}LM}lCnM4T2@TK#F35< zY+dZpnC#9=pcU%Fo2c#*TL^*86MqA2>X+k1u<^L@J5rx%kv|L_YO%ZJ%Pa!2lm^5+ zON~Td0!=DS2JI8ofq1_HDPh*F@@l|&eV3VV-2uPBXJ%A@S=WK^2!62J$?2C~grY51 zMx(0^YF{R^5}?idxHC!P0m~AmziO?OUMS!Rdp@6`RMyGebvtlM&8x6qmBt7xdnhpS0;cePfdl6f|0f-$9Mv|m(6YxY|6DPvIM)M8DvDi zLctm(po-s#)o~XIjo3>GB4A@F&^tC_7$wIV3&0Rjq8;!2O!Sw3rsX4dO<9ZI(@WNU zp)_KAOmb)*ACiT?R}{QdqJP_=f$fzD4^5%CzNaS;ie%_INB`Gl$^Z9kbJ_oo%kt@| zxz7cyzClbfm-}si+2-DNo&;@~6L%}<&^*%dWKtY2plVZKz|j=a4ZxfJIgl`)2^NHK zrvuuak}CF-0mELo2m8)_;a&biC^$7KCkEWB)TE`K2W|8eEw3R(1+BX`yKc=u zP>Lk*-oin@($yP3+x3&5-=&W?2HN9X>ye;_%+m|@M>>y>N&~h8--^@+kB$ZJh`Q)_ zonsCDnZ1mv`a6`KtlN{-t61yEk;TD#xtoK<>h&l2!7)qU`F-w}grb!KGTa(Tm+&7g z>~YD^I{ui@`ie*;x+Ws678X1Wj7^sGPjfBHvf!Pr7pxaaP3cm!j9rbQRP{ncKuMJ4F4D=bdw6Zz(E4Ifltlc{%ib{Pe(9$tJqTyhm0v$X{Ka89&85ek zUM09RSKeFq^6ij&Gmuqmq)o(a`hbJ6bLr1V*b5S>V>0M(yoRtG)rYf4vAGyK-%XMR z+*WR>KEe*(GV6Qz#u0wI?!Vo`uEyi1~ zT!%M+M#fKLGF)u~!M>?aQuOQmI_>AscPi@ny-R#vse2pV&Hcg7FWyAiH4S+MNPQv7 zj}MHsxI%NXF`xNeY;GzPm{}P*kbQ=udqdwrV(kkn>pwb)c} zRZgOJke!7Y=)FPFZ@fw2n&c9xKg>aH$Y|qossA*TmvW3nsNU?1n3>rnJ7n_MheY== zN*q?Zv9RO-oEJA1IU$7##63!9ZYPzsA9~(N@Eu)ufNM{AK%{dx4RGo<6RwQ&56B#B zqa5|iSHN-4hE>e~xop&O2AP{;He7|FK;y&5>bR&3^}b>U$_cAQn97BUe)JDRWbwBU z#Zy&+vQB5?97smohBFQ;qhN{zFe45mIBuWnDo$CjUheb0G$w!|&pU*%61YST6T;EY z?sZ5GKQ4c-Z)8<}$y9%G{9+fU)(N*I8 zwZj!>a@a(1doPX+gqJN~#9YK9UV+mI6oV2Nd&8?fNScIeC(zDrYt8MXv1GAwh0i`w+AEX;V69iLRL-dP+iFMrp0KXlbD@sL8@`SJRkx+7wDY*K*(68rH9=DfszWB; zun{_txj27R#(pnrY}t-+Gr~`XRor4P4;^URrUGrKz}g0}hc;SA$9{*{07WDV&V+*8 zG6fhKl`8oEk4#(#8DZ%sIf~iz6^6?BWD7W6yE!_CLOa@Aw|(f};fMx| zf7+{f;__iMI1+g)Plz^9+n*sx04-qY{w!|-G;omPr3Lwb3b>f*qU$aJjMn+* ziKc*x*;nu7%VBzzJ%}|n;h)6IuzHZH`yEjjO2-JtPlk4tLk>5h6pqAA_}vUCR;~a= zA4Y8Qeds-d3GLrb5vCA468Kkapal@bEqx~qt^??%X`s)!D><;CFfA8pY$v+6PVIwM zP3k7;k=<>+p^*%21a2{roM)ay@7hF=Mb{4PbDhAI57+$DIIxF!`w))2?gf=f2yJ!b z-YABXiWN9tADN)uZ6&4*_PXZ8ye!tvzUrf7mg1;hsqZdJRb7~nKaGtW#P6Epp~vV% z8Ve`v=`D-5!=}rOKB-m#z$ymXDe%?8QwzFWKA=DJdM#_`=i}V6ls;E#4EM|zvlM+z zK(-m@8LH<#Ewh6OuY@Eq!UvOZ3wQS z?I{MSxFC*IF_$`x-OPtskgaZO_AuIP9%gNia>z&e(2lc4qLad;rXL0i^`cO))xe;- z^rtw`@JFUB-l4J4$UdcS4I<2Vy(7gZ9Tat60ud;LX(Rj#M0Q_0bmlYI6<&b*2nWp8NC^tKYv7(tqsM*fYeiR|Q3b*A zr-?Zj{lfx*b(Mu8JJfz*<7H!p_Aguv*iX-P^!jkUk7$bvwPS>uxRX{4d%6;~t9G@o z8xTc%P+Q??1@5kB2Er60qfqW3nA1B-=V8V{G)RdXD%Ic%kU|i|zLZ)b_ZH;NJs(rW zZf0bki8kMgRc{m&rS>?&VHXF-xipF@KPUlLpiBjMf?!q!^)*#$-sA2=--Ho5kpd5< zKTx#s-LgIU*|b%8Z#w`@FK~;Yk7{@JTp7)%avAj$pjE>Vq}VZy^kzh$ua894auc z=v)WkNRNQOXBI5!_R>!ifSLeq3?Z?Cdc{*Bv_39#+*Rm=2jU30Q>Q#5_>wf>m2+%SX``^{HA~M& zo7iGDVcG%gm9kjTXaRoTLm*Ci|B{*RYn}>ugl>xPNJEr@O6AI)y#VIvVa8=?93h;- z1P-vz=`zrImM_HxuwRM@eU-!A{GZw8EX+2a!d&B}XB<=?aEI_q!VmxD|Cw<93e;7S zU&EZ$0e`BQ6X74d&VQbN^t=8MfvKd`Ii$r*(&oVZANc=gxEXK@ip<^c=l}G-hnu#r ztN&la&EJUOCdqFpNNiv9_gmq&Ip|tYsNZYWxvy0Rt_}JtC~E&2xcBcc^CZQFCC-3F z@>+v`&~j2!N+5mzbO2>6I5Zkashow&^ID!Pq%V${st*X{Spft2bUXy-ufKkqL{i3W z{Gyn3XkJ_W+^uh=CM-1Ww}faUXRbTdkkPRI^QjlTuY`a8`<%aj#rJI9;j>XzyS5w+ z42vfvtZL9dF-xqz?YA zc1fl9M#z~}?+>ipq6*%@-vjzuM#E2QudMpIarWRp)Jsd#{J#60P}j!!VT;ypztOh< zS>E~hMvs%Ux&~d}^*hWs<9hxYxL<lHFK{OjAR?d7H+X9yzMl%(0zit}gn z>iBjfXf|=dLaS z*!J^bJZlK&q^dCgQRP8HWo8Y=ySWDU56_EOMAmN*DR0yLQ1N}?}Z1+F%pV(|{;u)0mU@^G82<5V8R(w-Ghct1S; z{(F~N5;j~-flX^vdniD!>bgQn0he_RDvYE16?kQ3-#x5!c#ZJdQ0djXGs?eDzwS+; zcW*HZt0#&^Q9oyJuV8&u=h?>QH@Y7wphS|@P6H>ElUa)gn1--Gjj31iZ79Ywf3xW) zR4ikBl&Y6$-Wi877$Ejc59nPSQHS(XV5*!Vu!GV=OdP+bCEA6uL-7!} zGG*_)QarwN_Yi#zHnxH4w72X@1S~`jRe47BHuR#iA;%dm^~DSjG@mVWo}4U?WKUvC z*oOkdkWA@?d~9J>jh|6f=^1OcJ?Quyi=1(*JI8$I_ z9>)LzK8)k-{eZb-99IYqL6Dv>e2}$0PTOrUFdv*xt!{7Xwu8ao9uNAPP$Be{uZc&+1yn%K0(}$yYtOBW!(VRxD$wszdp1%_veK*jm~NM<2mr4WHrQo6 z7K){sxI;u*V>40yxEJcy$# zov-jAVekegfrPQzSw18TKwCj^n|ZseMGFfs2yf*jd1s3V%0hC5D_fs6>EEWl?vUaw zg;v;IO?|KTuohFS;On$8_k76MN{@`HJE^B&f#N>>RSgjZGQ`?>8|iwWc=cb}K|-oaT{{wYffsRp^|{fR^62KQ8zOM=z> zi=3B=#Fj{yi`CAXyM9^cy@!4)iJAP_-9UUmVfo5Lmirbx$5}Uzp`LPL(lTncxmVb% z4kZkpO}aHnD&|M-DbV3oE6=jz%+-+Lo)7r5VWh=)Qt+ITa>^P6Z}%6F3`p={apiCz_jiC;+f?l8L7<6OvE* zs}i8UrkTPe8qejF_DZjF>n{%|$ORU^L-t0h2~0uL%tXu!AR~=b%^B<09ZX{(Csq_7 z{`yp$nE&^{519X7f}i~V1Wv9f-AU+nxDa+x9eS-9ZHOn>B$V)O8UTXf>xe|K! zWtI-oe&KWFDGyb6(h9PGtcks3x8VM~Y4Gnzkw9L%+l{m^PIy7t2#JrZi|i6--A8#u z4`l+s=1R4GLQz3xA%u;NxqaA`kXaGllXm#g&n9BT29Y7Y=C>))8ox9q({~;OE0XGU zRhw~YC`+(`28AqG$K8&aq{|dNU1bqa^Hgcr?8C6?&fKHspx=M#@315a65o!dWY95k) zRU*lR7>P55MhhUB;M-2oXuC=sX>jA(;olOcRD!vy%GE}0_k7Dl81|_S@2>^qZwgj~ zBikGxvz4)yXx#t@6@*!6^Ab<=HVQSNXKV{Z7Miv6k>-fT`QWdEfD(!oz#;X(v z!Ib&vAJnOiiqZtV2S`?v^72F`q%6*!1+GmJd~{W$U4NAdLLH(us9Tpw@iKQYJF8iE z-L8mSuqs5s^3Drh57pdTE;ur?2GC4g6Gz*W{i7e)Z3?WmCql}Z~5DgExAf7xdF3I^_zMxDq7B^@y^22)FLl~ z_y$aHoG`!E$Y%XhCo2Sl_{#}g=tO$&m58f(FHT{A7i&&I1D3j^<|%TZx$Izbmr#l)wH z$fXV}@ra?j z^lV1=^@$+5y{j=5Om}gMLRHKFO)H_g2WdOc#)!-Y(_w8JVd^trLT*3vat=^oygsyO z^?l=6cZ^osQ|d@Q=vM&CEq4GkZn`Xsf0#z?(!)9|fs-vnE1^P1$K#$f1xWJwTQI)H z!um+01pE{e@@JI>s9(_x_N3@oaz1`!q8M9Fpzyot{pPOKs>lcs77!lhk^ND=E^|GV zMM#jqj|oOL75FtDvfu0w*f zKG*fW_7%?IN{JPs(yLvtlh2Q|J6udKI6k3HoK^1jE4S1T7d2`)TcJnA6A8S9zat_p z9x2w0A&~LRxX!>KcDtuKi{nlfxF^Xykr?hgKtpXa!0VXB-;p4LVg~BKX>H6sKSOSE z?T*Q<%={te=Rc3e8*#XrX+Y~yL#!!LpEV`zk^Ep<^A(M6q(y%@>W=<3kTG^VAHjU= zy9wT6B;7pI9FAZG$+eMD_~ixpx=}N$*rt6{V9AeS{$WKg^Pwfr!JEFb2zplyF*Qq? zKS}`Gd~8y4K6xBI%-Ig^qp>{>4^}#N0VcEB0j*ZoN6Q8f*Zj}4YQ$t2jHmFg=lQI- zXmOl3|0lof&wO2uOTH&~*q}hatMgVp;r~3$va9`GfLV4EG0VpIFtN`pSnyLg^HSiS zec{Z2aj}0nY8ij?$o~?_)IT2(@ZIS0UoV+D@vp#_Mt`<*$r@r)z~XM%!eu+L$}4a` zvMxI_w~iAT?*H@;3|?B$Z0jM$L#Q&Evq%kyBWNxIm`dT%b<)!0Ap*5+ns z(G~yT_yz0hJOy6`hFpW_Qs;jna9^z}KzP@m|6_Wybt{=JKWN{&u63#ZcB6m8Do1EQ zgXV8;{~PIxL*s&8ZwTZ^4y|~PTGAgGKDUhHURH34BshQnO<%|AtD|9ADa*L_b^rJi zoWs~+KK$slhy0N*9-!L!g|#t(TZX@XZEW6y`v(pG98LtH=Rx0VBj5S&pv-p^{%eQ( zjDdS`vQ5xmKjD8vG}0GvDGREN{K2p(C^%jJ{!gS3Q|B8ef{*arKSN)oF9TCwDpPDV zx__1&!m-(jz}4VaF>t6R0F;|-OxHfgw~m!$=pFTw)sH2?O*?doj!9tW3wmV#47)je z^8FhAaMLJ#^H0yUe@oL+0sDkAywCDW@v;KYXQhNbD+}h+=6^>2aHiAwQ2*bYxMlC9 zu{V2b9^Pfj#QY1U7Qxs_QGh6L2h4$kq5u-;l+pDk>jN5=J~dZZ7nD^O0EwQ!>#rXo zc;e1?%+g!Rms=atO{G#oJ23#q!A_KYaj-=_(Z^BQ@!Yt(KcG+n6DkU*iVNzwgN^v$ zAszun%-l17WCO--u~ItJ^F~egMc|Sbn8@JMqJR5vr@b^!q4R6)WvGt^N@m>ubQs?r z+iHlhrRi=;_!YNR=_77#`Y`Mj>cAZ(={2u1ja0z9Ss7*NFvR%!B|8dQ(78aC3p1Ss zwKTOqqyXlzitWA9ji*8H^O#GY85HC3YthL-?kAs;b@*+d zpTGahZ?5$5^fjHryU9iT&!+YbeU#hI%BQ6*3ie{B!jRmL4ncH@SkZ(6pG=EhIiska zA>@+-9b7BjgyVS}4wfRSnQqROU5lSG9^RP6e=9|Rczyf| zm`&>*Z*}YBabc=d4$1=Y&=^4NC5Csk^;J>e*LYwj5Lb28bnN(&DJ03{OIFLsQzdzl z8xs~`t(qJ?yAtLN!kX+pA7@l$or()N&q2UKYY}~F9>wH8%)Tf4?>(yJreDthh;c zmDj1)_JX-T$%qp%ql4Dj%UyJeAjkn@EC5z7dF9pwtM+?J>HdO`yhrUwS*XI!Jv}(v zSrTarsd6Vz4IbV9^C3&TzO&JAvJzM7&4Z|vR#qKP*cM?PmZND6nXYHFsYXGFMDK+%zBjdY_Y$}4;e`zPuv{n`P6Agxei)=?1d-^z;;L_zVj zPS9C}wG7q5{AD^`zVJ1iYw@C!{THxuN0*Apj}m{Pw_)MSUp-Nj-@HGrZN+Zf6gci# z>P&=t?_2RzYg3BfJ}Iy1HnW#!ptxu+$MD!qjeO_Ui!-U9nWFv`u%XYxtI z*M0fxmmDjG;mj@x_B615_{*?-Wp70zD-SMi5LclMDF5Y+lt*@Pt6+{*l_1C)P|(6 z^NKER!WaqNV_I<#*FbegG5Wc^Hqa$wDqY^JF0L}75I7+yAj3vDixWYbRZavnReGKB z)4fuUyLf66;ikbV`>2$b9hT7x7alt1^7&9cso-!gg@X!v@WSeQ9U^! z{e6Lv{ZSX!iH1?Jfg0)>5{et_DjD|~=OvLtXmFhEDmmre+#26eN!Z7^;G&o`Y8@4a z+^&qnvCik297SH7zI_m`jjpN02Yql{)WxOIZ8NNt>|$HxZvNIYgFOcDqAGHOX@_6I?m5Q)JVZD>c=Y1UNOtm--9Vs zbYu;GTf+qZ)froVb7=zrmC}SO=JzyqTGBW2$hk4PWwNGh!hWZAM?@y`p&%cXjq!5< zgCUQ|xm}y5Vdlo5efXBiVof=kFhV|84V`14e9JHktlA?g%~f z{ll*gSUj|SqW0|>D}OoFF6fa1%UC3z`c;xZxI-|l$(&8Dm>-cLn&s6-*%^k4v}knS z;ntJF>)Pz1(}BcnEhh*_ZY9tb@Ts0%4> z1BnCa+;$Ku#*Z~#@@nk_3{~alj1u;y*KSK{wU;ftGuiyt8U`)QXq`};I>d^#{I>*h z26f*GR1RowT{1>w8*$|&az#Zbj@`}PHcuWzX$q!en;0U}vMqf6c8bGAwcQ2ZpP zL@%X?R~0(=^qqWxCXZb@!&wSX_k8nL<(>N=xrZmT#3K$o{Bt*%pf;XB#F#LQUxt zg>obqR8q!wyV}hl<|r^jr;m@^2hdnEuI?x{$}3z}D}_spm}N9Ay%NWRe~M=Kui6Ft zS1k@TJRL`buSzV8e~y<>!7~`?&9yBbWDN?9SU{B&V)`4OVUJZ;EHYq?cP`FTvch-U zZftNdY85vyDTBy==w-Dt{{$FiOOdv#SbwCe+-VC=B@ZrNXP@D=NWbYzpveU1h}`Dm ztDr5Euzcpu?r|jy8g7roqAe6{8`9yUMwmux-gBSmt9@2c?i#ngs7V;n)wNr25Xfhw z)Qj3q+hB9)h3Txc&1j7-!1foB2q%_@i$ z_-J5~;TasKdGH7;yUJlN+&QJxU1L#kI%e;>ct(s<5O~3dd^0v9F{6WfN~!Lq-3!^M^Ppk$2vF0TdSY2s+!AsEy<{oQ2~hS(dIaC4WU0WWokVu z9|pD{ouu&5p-RNn_Ri92ma`G=3+cEXAp!ExR(ElS#*(Q5&4XmmYm=+B0Qu zF3mtWq5WJ|tuk%|x92Q*2v6`EwThO;r?CokQ3fqdrH>_yF|>4>oLH*aYj>39xPEpl z%Z<+(X|&A3$NuOBKJ+xw>?1uezT43@(7}yNk{sJvWWz9)%t~V}xsryQ6Mmxy5w?ck zisTkj9G`6O>~?2QvXV3j`j2m@;Am4w`_N#wgl9B3vSAGn`I?Jm9Zzg@ zR&e8o-&vH4q1poF_u2%IQR#O5AAnlpIHSj##E#*f$tmjc&WE_?wH+J!00;rusH^0O zqy0bt;!Rg~I+SEr0pjyH2@r7t=E>oL<^$I1+wNp2l7nS|3ZNJ4`JV0SSSacF`Y*x> z*#|FRJ66PQPiJxaqXT}~R#w(<=+t?G^rwyHyeVunU6>JrAA8B~-_^o>6A0PP4nuY$E zasE4Cmc0xwE%KUy?`Bs`2e<;uucFtnZei@wV9lSu5A=$bNU^PHK((V`<<{tb@q+x8 zMazKjD8%>~lDDp4u3;)Dpl0F1u=AR58>-j(9(#3Ge87+6zT|Sh+k3^!h%U^#bKkS? z7a_f^{!i{kUh}}_$iQ{k{`=0_4{*~1O0)fwe-$X{_w#>d-Pc_hH+N0@-4(7|GJlmz z6c@ELFeR`-vf$A*XZ$w?e_>6~R?W4!H<#ZHf*B8G{^CJdaI^n2CI9tO$*)iN@3>X+3xpm^e=YTY zH(%je5O~SV74wz^Pvw;TZFGrvh%1KM=M>NFPxfpj5?Mb-d#wI^^WTa;n(z0IcA%!R!T*rsv2L-SYBu&U@gM8_+Jbw;VaLB0 zT~7Pc@U%FXmOhtYc>ZJh@wUWOex&`~(j)5uD@guTu#km5WWLzAnUxHS1|z(}# zktq6BBN_z0{0bu>3#z(+aCM+b8bRrotX9a@S|2TWszn-+up}7G!nZS}P2@ zLaobM*mR5Gwdpvl7~!M)MtTAM6u<$kj{`4q3Y`aPzjEGJF)t3r^J!!2&;{#@?DbUoYKD+Kqx*DZQoT1$ zQ_|5?yS*jw-P&>Q4lvhKu8e$rj1@c7$IZ+K1MRv$ zNoBvy)-Y0>vEae`&|UQmzCL)euEmNFN2q#cBOL8>Ura|1#)8YV7PmyEAxSEtfFBYjJPQ`xIOI>TkA#lcGK;Mk{gMvdBG@q&YIRrv!*HMqAN-Tr0jN4O{yOfsZqzI1l zohL4>?HpDEAp76Y=kcxdGWD)ovt`*lMM{F~j&R#4@1QWPUD+cW(XS1SU}eLlyOo|M zR%SX<;oqR5esvfuVzW-3B1e&F$@sLVyIg`=93RA`yF3NfdJ*W}26I=%2KTxGT$_@! zE22T=g{O#-y64svfbdz+w(h(Y27C*e3mW>$9X1mlhUo@GjV4)Y5@b|+ZgS0En!XIX zM6Vk~7i0>+Ns@_{GCN}4*6=9idO|9Ffx>`jnl0VkzLA5amgLNeZ)7a11E6x5u)Cm3)3a7*sUOnGk~S{eDaR|c8X%JV=5m%;quv;0nf}GtMs`6~L@{jwS z40Vdvav@IBH1a*;9#oW3;TLqra?&=8g!Z}s7QK!k*jU{y^E6PY(xdro)0-v!I5iyElHqs)1)#ei+ggZDf zmHz`_M3A3d?lNhG+d&*bOe;(I3*pJ$8y?Sh4y+(H^3&mwIHkK-k!*4#WC?aCeff@5T9T!$ylk&Q zK5zN~j}@>BoZ%U;Dc9~y5Z`*(6B}2=N=K8-7Y*=5P2)R`i=SQHqRmd$au+4^vRL}} z;BV~z|Av3efBG6HmwX=hI~aJ<2Xv2OhnY-KAEX<60bANTxN$zeXYG!$W*?swi&97r z!$%JU*h%qu48hhXPRt)a-B~WS?sV#1Adx^>BJVG+SF+mc{q8K%=KcJTsi8dnt7`2G z`ZU#*AKS(^H~}-k!{3UXmXW6u_A|kElhxVI*%4E6GW%wvoZa9iUzqKh8Lu4Tm7xlf z-cU^+0K5~9T7a{u=@{|?(x?VC?s3HB*PiF~?Qs<k1DdL3Wv-}aZe|Uj4o$7 z{8n)0GCiSS&UUfrtwsk-_++-cN^NLWh)b5Nn^qqMMfC&syp)y#O!{*_y7 zspVfvRDzvn;G1alS$%kKDA4uW-W*aghC3d7NN_fq%Yx)p5N53{byv*@g?b>E$nHS` z8!t*89qEoh=j`bmI>oGOacAkMp|(Pr;-vBaOu=v>>np+?j}r}Lx8vQ7*4l_O{eoS* z0p>;@(Ty>PLI-t{6=|(4ut^g<2op&@dF2c*DJ;#ol57H#v9^4a(-y*MpJXVboGeE< z#@k9z(u>+z>U0fWLkZQ8A3F|wF-Oi&1rj6ZP?+$%MattNW+r`O5{fe9D(<5FX|&j? zo#|c~X>g!G^_KCq0(DsstUFgC$f9TjPNt#o+OVbuaMZ*EZW zkw)L9_sV8K+s#s)nsPl9PNxBlhAh85x1J4*v#tzEE)79CN`M>`D z28wH?DdBze<4KA9ojTW8F9(b7PMR8XC6?}ykGWZ@&!Jm^cW-~Hx-`P8!#=$w%ZgAU z?(t3^(oIC!mWuHil91QWQ@&FInKi#8NN`K{b$<3ZG2}4Uj$&k204tGQ_@8Ogj*a7T zeC}5R2J44`@BhGHxuo1<;rw||hRj>x_w$23B2NhEsN8t>(POI?><9_)Uon5L%|8Z1 z-%I|NqESY9$zQ*FIzT-d6nu%mc>FJsIMa!#C8p6O{|E}bfAN0}iI>GXPkbM? zHan!G5Gl!D%`Mw{&ENk`6!LoaT6a~+0o*+|-#-nC2WR&LqF5E)qV&fC|JSf@AqNdv zksrMGo%iNn2{v||?^b@;OlmIIc$(W*1%|jp3d@26DS`Y|{R?4d{r>HT^DmG>kUlhA z);l$2h6RnGLB*n_CxiBm=>z!sxz3LC0A2R7$gve=DU0t>D+{zg#}Fv|rs3j})qMT3 z4PXDDdO7OCuZDtq70cdh3%>B+u~)Eqe0MIbbg20LgYbm+y!@(wim3iejuZZC(|AjG zNK5VttNIxhV3l7V@!xf&>?+DGGt0k@33|#TaX;~Bv2?P^n!IAGeX{&>Af+u2OC)E* z@+{}vPZ&ZA-1Vgr*egv3CQI0~JKV#&y`(MUE{M$rI4uuVIEEmn_?|_>ba75sk{#uL zPltUVy?x_f!yn3y)7O8Af=d(a&$(jyCDZBmS=TH3I<{Xi?epNawMxmSn}Tod(|4ju zdCbhps(^;6sCh~{*icA)+fUt#K@w4>9h8OU5J_69^_c|Zp^C*~O=k0cvWB0n3$)Fx z8l9!q^VbzjcwUcuhH30rOV`hus+oYcTl)8;t9q^L87WGiqc1$!V*K(Yb46hmhKz}dxIcD0 zW2UcG>m-vHGG@yhII$>DWvQ!pz8YqMfO4FGhvVQ#gGvV(+AY(!5icEVC4I}c+MR~a ze;vTto-uKS*OQ)6f7KUx&Jb?TD*pooH(E;4l;)4Bsz=%J z{3kVMdg`T5H@{HT{FU&?x6Qx#O4p>UP3D<}D+X;&wlOkRACvM_kIWp}eARV%;Wuc9 zTKy5AL)vSO7k+Kpyew-(pTo*i)fp$tr%`71e1y7(r#Y^jQMdGsFDSQDCsgVdwU0A? zl_CO`#_i*bXCfVdovs#6WBt0kgh6yy7(zH%n#>Ud{^BeM51ccc%*%p+I{BsfV`iP1mKUU{;0d{Li;3i!SxyT25Z=-j;$ti@s)smqlQu6|OF;x@$Lqnhff?B!$pNaW}+arE&4$2TLoOKQZrxFctGd%Zqj zP%MT!sMzQ5NQ-M5^3zUKQJK0%?5pP~F7I3&&B!w8v2mYUn4aIx$-2A)c+-2fsYFJ2e{&%XZ?jnmoLY zV?xE9^YF_mZS4+a{JMgqEd7`AqY$nQ$tc_2>&k|{UQ-nJx?h}cF?xp;BL%3jsz2<1 zL$Gax6H)bL4Hg?}`jxXX_R}JkneT2#?015ZbgmIihi8@r3p}pcuWj-CHyfL1i7Acc zH$jefbkw1{Rl%%R+Xb(*;GmEX@^|rH?9$5#*8=CSe)cT)5$s z;puY<6ovLJ+nSqi==K#w(sBiXl4}iJiUc4e@LKb>Wn-<|Ra%3~!DdgSWv%gg2LMjD zGZ4479O;^f%Agx9{mg>&@pl#nt0A1R7p zWHn)VfC=)8AB}Bl9q6iv(C&3;rrcfJnFek@#%$`Y7#C-on)_wpn9()hGg`T(tghbO z$Gca0@s9Gp+!1T5uS(e<3m@+53z2H3oEdZ9BzM~iu~JHuav zC#2r^OLPV;w-Ow;guAD}D%_!NlC9? zanUwBy{-CXJAG!~NxCkc_j2o)vUa%%FfFFgNdHxKhyT_o zEkkkAwWYhWm9)HO8;X>Y8Nr4O6n4~Z@7Lsh_L8 zB3C^tleC-aK7nC$VK3XW!8kS$~gJHg`Ogk3?S$WoUp`uU0%?)8DHfpq=tKACWm*n zf_pd7#Z?jW zubdln2IgNBpVUPt37!*Y?R(+^RT0U5Vp0|zgu}q((Miwsm3vHD#n!@YPFgV3&-hP~ z(KwTB7%h@ua2DvKiW&AnqPyDo+8k7|JoTS?c^=7O{J!n(+U-i*g}lN@Q=-Zdvm`ia zP}?Y>>FWe+(q47k$bwKMcpc(qvPdBcSsPZ8unr|4syIgRi}%;S zR*%aRLw?z!gk)@g)Fr1aPF-!q_)x$Y+nf1`r&z&}uz!T%m>QXGDcjHSxIQ1d^YE+i z?9AGowkFe>gW5{U)Y?nS(hGBFkz*EnS7+&(s0ils8oEc|B8%goPzzg^2wW9r=^>O> zTKMN<$#&Nb&8DB398L~HrO5B(q@M$4Y2G;qNm+v8gydZgLfDp$;vVTv?ksk~NLiTe z1q5U$k8Y%A489~|Z+%cjp z<>~73CG5+y&dyr7ovnj2=aRd#uYgFOoek$wJdD(buwjxXgocB9QHMW#QQ2aQNNdKkCwq1lqp(@{(f+>Q|1TsB6#V70Qmc0;bGu2pmj5>7n( z{9&vDumOqo!N(BTSB7xLh(Z%RD&d~aHV?pjlu%0DJNZC-N2BiGW?N_hLXFoF za93=Dz@0LVANw}r?iEtw((E?ivhb?Kj%F4#`nnSFQ60W(0M2jZzAd@RuP`OAx4g5i zukD^gHC5w8L-WZhc0F!2`u@71nkenVo3@G_k9MqWkfBdyN~AL?WQ8yb#(_7 z+LJX*-S1PGPbQ~@TRviT2vT4Zs>p6(kt&5!*!_Pjf*tH`%NIaaD$z~vyyrcc*lr5syr2iFJE#t~+l4JLRPrGbv+K+qJV z>~Dfjd5$@!zpvS6JYJr^NGu z{bO+KJ1`w^`uRXTd_D(IHTl{ixZ;qU4E#&bnkfKZ?0o@CZZ|%CiwyYDUK{BmCLdmnmSD?Xg(|Kzd-Ax_E@6}bM8|G~X(Z{^&A zeb2)O`&YkS60&^v@%h9Cd*9FR-nvrP;efeuG*!+m*<1au*Med^3Cov{FBwT$UX~yZ z+FBIuSXN+L$yrN=(*56jq+87w2jA7ND6_q|J@9fHe?GtcV#R+|jX(8C+j0Kq{L?QA zZx8rCBlzYs!53IgA~zZMdRA?b(f>oW$nQv?$yzlL<6mwMYw$BHermF6A~{HGuP@ie zEne-*N0f*K*!qu7Y<)E}%=+iW?iI1<@tZ5^E0wgp+?lY?kE3-zf!=2?PFE3y=y=5A z3K=hs8b^^r+^Ij4=@GxUN=Qpy4E~s|w|so>JsH_iDlSGi5-v1r26U1)rdVykbk=@ZrTzDOGRf!|DIf_4uL1&kYg#^&RSk(GZTdrEdP=xv)G=A9I~x%?s=1 ztC63QBc?ZcGEe)~7&tyxif`8kh9=bnq(IotcULVm)mJ~}wDev}qklR60uvC2TkWQ{ z2b(@yX<>$s&<#@s^n#&w-Gath)7!)Jd=1M;R)kngSMXpR&xOQ^@Wx& zTXecR9pw=PrbI?I4%ePeL}GR9YF^;iW~@Nqi7f0cmoEuc(jvvmE#RZbjH6ELhXJPUT@=47*1gUKJzADQfN)hwIBd;~S!Dw~jFL=5F;! ze-o-Qm@o5Qd7vI(b(C3{G|gN;tQDqeJ)3$Y`_}42vwwTyq)ryGn!mBO()+>>qF2-S z%7*bIR^Hzgo|njq+I3=8*GuY>Zuvy#vyWPIB6w@8YM1pEBNC9Ny{a4bb4#Y8ns@R3 z-TJ6G*-E^HXyrJUw5|Y>dPdOXLTFb@zs|5KG8H8{`=LShGs)K7FsN)R5b^wMn zmh!t9Jk2!Ew7Jy)Tph|%3$@%e+Ft%E`PPnrjO_h>9FjUnLbL~L?*_Q0hAc>)l1FUH zkx#bI2$#ha&I-5p3wFK-rGK`g<1D_Q`^{Cig)+M-=B(9lDC3O&VH)E%hEUp@#%Cri zg4F0F_>m~~p5VX+Q4oh#fJ&N`$Vdh?oIDhI#tXMJVP_t23Feunv{nC7`krmzXuRH8 zkcaXIv{2fVrO)ziVVZA@zMlfGtKC!bzxf!>3L8&y&{2rP!gpTo|7IJnU7fjp`cl;* z*pX;CY5-Ny86|PPa~@SDf}fVq%3>-XrWnACTUb(-r>-%`3ixa zBc*$+ZBV(-nk)Px^3^Ro@IdTcBW8pNl{4LjWSt+2S9k!kFdAKK$h5b}$M$O_zD#L6NG;CHa2WLr`N_z$dXBp$J9WyzO<*n573 zHS}^oP_G{p@E=Pc=Vs$1bDcNV+gui5A*vsth;wm zM@^b_brChJTu#C&t`4nniP#A^4Y~9XRB2*lu{G;BQ~5Yw2{0eV?{e9c#@mWSd{J(* zV5b;IJvSn24W>$$+fXFf`J>mMnwGwfJrtvI;9P)><8`q{+FUjRuh|GqUlU9|<(9Fm zEcu8aszKUmB!~D@KuY)ubL#dF>n>;`@!(^}%fbfl{A^uE2_mykC9jNy>G~11ncC2> z?t(=5NQ75WWc6(yYImL>A6vREq0zT$(ow?bq-sA!M+t8O@dRh#M3A0T=~5u@BhGel z2q4+BVPkK~6w|OxtBm{s!7)^&??*A7c;>;q{leNE+0*(pXVSQ?;TWTZA6P5!l&fR&1#OV@sYKqc@fNA0tzG(a^!}$X8;d9X5n4ta*cXtUD?wpq(YWC@d;+pbe5QxoC_9XDR$QB&d?>7m zw7wJc7kU$J9vhYAADbLeFl(9$2XEwb8=kQg;aYDg-IGl2Q0UvZrs_0))m4=|qLVZz zk#4Qw87vN>u~!{8h#xJLI_s~9vsY{-W=Ds;+EE0-LpI>*j8v1tj0i@8Hibs(s~vxZ z9O^-5szh+fA)9I`J)I%A=jX}bAoN-F*r6PkBz{PPc0xaX7GFr=6nujR z3q43bLIgDo>nL8YZpEZl!OP$v0ib)+Dpi#gV#DI2pgd^Swy6Kk!1yvuRDa~5DD|V6 zNi?eVtt%+ZGQFhUfbSSJSx(|)m8Ts`A+f31k|6oPO0Z9oO}E=I4Ur%@<%^Yh_}d4W zYmcn>4U~1vMo#x^_jk#8#@{i*_haHb^|O;3V-0-8KknNmP%+eMzSw5R@K+>=%(F5( z3iDae@S1Z^5y_uu8S0L5g=s}}oU{9m6>VB(-(H=)R+udK`!q9ZBmf~LBTb9mVdsN|)R&+_AxjL{asYyus9?GEriZK2u&YfOq?P z!_;QOuNCa%?TojFqS9cBefO?l-|280+ZD;Y5^ zmHL9qo!n$%`G}?^abwG)VfjETl>7F0T*w77m!C7NyJ#ER7hqM2KDDEn)xzI7Wt_Wdsektf&nZ{watnOT@~F*U{@ zkdUYiktf53X~oEXH24V7j~LzYEDTIri|%w3!{S6N!xs5&XsQ|d+v?0bSvrJXWE)`_ z?kvt3ML0Yk1Og@SS03mU;XL&er`1eLGlpbrU6He6<#aY4Lh2S;wSkjnF7vp-9Z04> z*k;jPaOkeK9-BG=-C9ZQNk}XULiNp4R^8PRqOy8~+w+OaiuTjwWxH*IUF8k2VdryE z=xT~IysSkaPnk$yorGyXWY$?lZo@(NM6*n{)sWocew5sFjeY2jlBJDyNH~#_zju6l z`TL345LMM84<;blNuAhfsieGon#vw$_5WQk(y?+#vvH$NI%0gydF~J^tER$G>UOZ7 zS%bGS~FEMBoSBa225;-@CABK8_}E(1g5f2_crT){>|K@0w)gt5Of_6J z$x8ddYQ_$nl!oC%HZuFi!Zxf;QnHu^%4_GRB#wOk^SEzTy9Y)lE&rJtxar<8;B4teA;x$1}Er*%((2~`fs{t!^+8~!VfB*FRE4FB^`iB(U z1;+mC@fUO%FZ3J2E@YqeTeZ3E^@Y}})_n`1`zAH;{N(wOQ#?Pz9#^*hG1-41e&QTF zuYceB{Y!q2|8m|(e>&y5l>0oXr@ZTVl;yweJ=jj|Rc8a&jrs4pJXi8my#Lh&nCt@A zeen067LMiX0^LS`N)QyIIqoc7VO%PZFWovfYERpIIQS*)@)8;GnqCJv=fl;aM`3@F zZ&)tZuOFJ*_=NvArx0$uH{Y)+djCheUtGvE@}yT<nGENWc|C~=5ET-JVTqU>|tck>S6=n2^=&j#%Tc80Mt>^yDH^=HHK-d^;6{P0uf z@@NV3985&@`U5|dSS--eA9Tq<;0*hwkrhBie5i$>QVS4oa&RrZI1Yy zL?4zHcZIng4=CcHNzc!mEx&{F-3B12=rD?5vDO(z)EqyqC!b7!M#^t>q!gwpYPNQ7 zN#x4=SxrJ7OFayw_3g%>W4SG~SbNJ9Yt!fgw)L6c#{+16+Q5Yi8(3p|PQ9g~sMb;b zAot!y5XofWX}Xez+LNkWCw&cf6+z$*GcS4&cMy^dEbkMvpnp8zL!a-wn}b8_Y$FOO zI^W@u#z!DV#H)`Lkw-~U>9hM^Rt;s*@>WCG`8c0Jt3*9ZSmcR1nFvL#`LSghA8eUy z8ZLRq^X;(YY3Ojxu}(LyNA%AS>xJ)cJl1`Gvb;$r&x$~{v8q!bpEd2vP8HM*oAnP1 z(wKnssLMN;p`07Jmvpks!lg08Ll;3?l=IYozS$`@^>_YFBJC8bIxCcBdaTaBF)rZU zybf-70?=N-s4!2Sj_;~OX1<9OK6G>U6NQlKs)TyJb$}ZZBYL4IJFFxD5EdssKj?p( zb7DdEh%6-_!e);FQUO!}vHZ&NBZND%Dm-(I>XI+?Q}xkvGhY^eqr2{4>44Kt&|jEW z?j{m>P#2J^fGUW4-qAs!QcNtqa#<3X%=VM-0Su%kvibpM+)%fK|{IRWe zpM6s&O%V~cKKFFGy2h^!cQUGv>`Gz&!8Y`%NlWdM2ee=TOmm-1Ok);|9$4}(Z!$l1 zj67u0(9Dd+Z|YDrwYEujA)d5c%)1_0pMIsrb4 zLy$_NvY$SZSv4c*HNJF*xG`EvgN{nV3Npz0A&g`7K|bqQi5?xkRd0B=x%YaLd?z4z z*&>xEr){?6i_@buesZ>V!nv;moXKlo4L?yzp#AMVInWgPf6kNJkVe$W90NZVvJbJvh56mqUQ$$fG`ZcGD z0OF9k7oe4dL#TwmZPm>3cbHLJI+c-M_AIsAtC`hNha166Jck*l!Qjx$3UQ1imD9jaD zuyOF;3}S?EMmayU|IuCCuH-LINoYZDB1?TFeAU}#X(>Bpf=;cCbn?#?j#xezo5r^v zuM?$5=-wNtQiX2R`~u@r1BFgiYyw_oDWAB_bkC;YdLl<8h~A^*7MBR4(V^g<06&8B zRhpTagx|KN;HO7OIV7H*yvDPy`vFNLlbn4$00&_VHc?i8-sIM~qk3Qtj5=x*yFgQo zt5!Qn3r>%8B#*-S6x*u$+$tUZsxQU!;9mQNTmZr_r4}%Cg_9#Jc7uniJB#hIpW8Kx zP!$t;)>FEuzoR5Zf!fl9j`GH!H5T@rQ@KSC?)$nn;W*OcR+_5L6lAqm&BT#K+_)g1O80AFuWs{z z6X$e9p-&UTV)b039-pnrnwc0M^0j)dR|K9j?w6zMI2t7*2MbQ)oYa45YuoFvx>?ED zIgScTG)oqactfNi)IenNbIQU^+oLQn5Ds5!M+3^m<0YD+tT1$ zV-=RHwEFzqCT7+yS+T!uJuMfkK81(aMUYDBB9k`tU_&r82OE|>v9?%{nEZP{OC25( z&^da^eNdUR5%gaRiJG3PK4jPzFa)rR z$2r7`eNJfiC)?AS!)qANXgY9`QtNc-D#jEq{L`IUg#9zic5B;)R~F#@IiX)&n8w|$ zXrIMwDO4R7fnAjpMvuj|+;cLYJ34m}YA%<-?#Mghif3J)D|25luF>LPJykwIX`X$2S+4vBRR9>(#Ok7k_e~$#K zm)0!9~w1S+WO8A;3ypyBAU;Yw!R{c=`>~kBvkUm(N8jS6usQVz(BFGShKnSF?orS5g zg_b0eAH(|GD+)SotZQy+iM30Tx3*!qYq6Fr$_@9ad)?<7aIM)Pm%vqpHps8)qIK%=g|Pn@8ACHY`smQd|_V!sz5YSg-IF-_dHb3+IwL z-gVFl#n?10R>NcD6ZaH3>Ra@+197$0W7+HSh7uX^Cy0%@BfbAh^x@#mlE zZZ6#xr@+A!F1EAD`e&x3in7>*L6Qt%gDqBfrDDCtJIQ=vCA}%iQyUZ6r$+-WB?4a1 z-uX_GaHmDGbPd*$TeYfbHNKOS?6$DH^!AnyeN~$nLE~ZSoyvlkrXr?Z@`s2jQ4uNc zD2{8Z@M>_Uj2yy8W6tAN%^XNcF6RypUf#~Z4K8)o0tO~cwZ)-}c2aBSzq$dNT#B*` zeUPmzTO8NhUE$GZL9xBned3e_k^2*JmeS|zR+@EpW3sy2lqsaJsgs+K?j8R2#!ovz zKeA(-!QdJ4*l&pc^vC~^J>zfjlcE3KGydL}Yn-?2sQ;3{MI!%gq4R$m7$u&6Bw(+? z{|)~|EBrSe`cDVQdTbfL$1e{1zgx!Nh~|+kqm6_bvC70Q6N$zpyNJaVmew_XOR!pe zeZh~5#kD^z7E{BJV~q3P3-9!6)8MvF9|{ZzZVbFc4AfQMFMev}()xhF)j-G8fG#Hd z*NQEWrVr2eUvqF?=(3##qWKH0AxDz;(0}j`;fO;DBr&@j%l*_L5C+@EMyEoLo!#X% z_zd2ok7e@pdPm%W@vxNjKb)t$CHDe68-90njrUoq^y<2K*Is3 z9<+1n=n78mPs_wxr&sewLrzxC?GwZ-=VoWU|NT2Ytj_gKhXVaxd@;Q3jV{%nRsWN! z&A=*Ao$|K&1agi__5UE}s5wC)=UAit9~AYfPVkmIHD$zVWl0l2?c*zVdXk!dhbP?- zHgW4Xo+MEqM1iRs^q}o^IdeOUemd&G}cY^_$G1X{<2xQ*PjsdW%>uw9NMJ7(yU z4`#C-OlHKw@(N0TI6a@y{DD&F3zOPN&N_At8n zeTd{=+z`u%UkKHIZaVqHaL|Zh?Bt6g>VByO33 zyOcHJ8kigtAyWtH$|bOv`AX5d&gTHnD1TK)sbNQ$YpUIvpcOo+#%SNA zHhgwq$%81t47Ox$;l2m-6K&g~_-|MC_U|48SSg2rlqIHH?=mx0P<)qq(tt^b_lnNAofy4Dv7T>-Pm0m z?yhv0&__iQr#2GgiSj(O1C|uQIln*NlRoXrFck@Q4G8}n6Ss*zZt8d|kN;MC+XS@B z<=i<-$?kL@T%n650k6W+p{6j!#~DnhfRXi+a3fw`{*FZQa0T*By;NK@qdG;(SO7B; zt$LW{<=qgh%bUu_Nff#CmqpRn?~6<=|X0H{mLO(DiV*iM>{hGZZn< z=n^tBAV`CNG0vOj1+5;lcbBWX^fd{~x29atx58rR#xHEthvu1#+%@4zp(O?k_G5<7 z3#PGsepWm0PcvZl7(MtQb;wmrc?t6vE5E`WH3%8S7PwSyzQj%_X#7y9TX^u zD&AUKZ)a`FF!SjaYQGA7o_EyO5z=aS1nUI*=?St#sJc06TfCa!he;HVc+uG4ZG}Xl zrGR#9#7Kf;lJ8^%kc_Qyx1+IzmG;)0ftsD1b1}D znBlHn5R=Q3)n(~$q7u$XdpFnC81$TleVUal-z_KOX(Koz{GA&Fq37oY7*ULmioxHR zuH5aN8|dN=QdlD32IqF;(;iddD$Fds9i^^K-|Iy}i7bl*JF?G#ZKdEsxv%jXDr~`a z7!{A62i<6Ckn@-ZZM&KOYC4REUH~zp&=f0+@OGEGb5PqbSbwOfn}>o|=~0x(2$Bio zT_>e{O^#JF%3h7F7k9BDtGf(Va$8Z}sArDdN{;QuCqD^|N7< zc-2hsJfN|C17NPwZCYVu^OE2;cq9{k)a$r?RPeF6$!dpL6jp$Cn`;}h8@C!Qnv7n2 zsqJ`gBRP$)`vLYw8O4=eS-#eI`mjz}|>pr-nWd0;qckyz-N6PK(=0+l=2Q8ry$e8FN zZ}W%EtB;M@xr?%3Ekf(@h6F-~Fokmh)_KZEy>#IDn(B$i-f>Q*a&XXbE}ENFS< zB9l+tIloBTk7xcY+w?%VUF#P7F7GqpaxWmN=GwG2@K^3w(88o1sBMYO1)VBZZKFD6^@nmlZ3h(PZ|gb*+YrQl*U(h6V#P1=M-4G zrW&0;@0|8V_7Opdp#Og7Hmw`9olMi~V`ubn#*UREn(4pYDGpD)>8w zPGM;>*;Q=yvCeT;ICv`0l-ynHJY4Qg8Ojmt7$>nI?<}aS6IHUIJo9E{7M^m$$}+*+ zgkU?mjskGDGrtFID@9`0zh*~wkarXhhlE-xf~}yfg4QVtRS*T0 zX=}9tN>P!z;S>Z$5a_fbRRpFgP-KZ!7HwHtCD7J{v_Od26@io`Nm~IaoMnnxDoZl0 zB83oAk&uRL-*aMT-uL^i@47~(IF6==Lk(sD#y9K8sOvD%^Y~F27(#(Jg^9-03O7Sg}3I9 zs#lV%w#UDB!I&4#to)ifg3;Bi!rpG|tNA39wGo6$Ddd0d(SlRq!v=-MM8u+zLokfY z2tP!z`dDUfsXi{CPz7JIQV6A&09C893gJx(3<3boX$ll~bq8&^c>pa)C34?m5Pg(A zMc8A<@OVAggMm@RuA4DF?%yKTyfqoK@`^_NMTCVI$;T>9#i4M6Mw`Zc22B+}lsvu>LOZcvlWB$HEOJiIlndWvN6 zzvtXrKsE-Bjr+$jo(h(C>cXifl<&s8!f5`5Qugnj3qxLE$v?SnGJ|JX+c4v4O^93D zM%4RGx@@-1Wfneb9SYGUFIYjvign)R3~OF}_T7dp_Rl3RHN0Ns#GAF&_1=Hh3|aHw zKE>}Yv+ z!DX!^@@tzb2KSERx6bs9 z|F8_X{nrimH#8&9+2g%F$yCu_L&%D>e%_>!tCntP)gs4TqrD2r7x?IcnawL^Iu7cyf8<0hc2rdhw;p+FDudb-V!d88622)H+B zjC}*=Fm@gT7ypR!U-L`t)iif!2Oq@TBq)h@x(@#gaWZFsGp}#pw(5kcSzX>moN&=6 zXS(-h)4hUW6r7|)SZV*L!9*+r`C6ucA1|jLNbDxvjN0|7|F3RDXd z$?9VoW%=5~fJ;V689yU=m&Q>jrgJh9d*)1(M+2?KTm+kz0r&y>|G(iU*MPo@Y=DDw z37Bs|(#;NrA$t!+mvn z)jM1jGPV89uC93vDo%jIdShmfO z9@KqN>sD_>`{N-!Pgs$0b^zF^GjUNqSdmlcml8dEU>1kN1^84Je2z)5rku`zd|+k2g+A>VsRL{xYMFEpDxm zIl_>QEP^2d1~2eSb5iieT|@;L6w_G!@`-vSE|FcAVjPIBQ{m91xDYz}k*ruI9EPJd z=#H}NjA_^8nX*ytW;kQP`$p7#iIiS5&%{vnSpZ+i29P%o0>Y`|b(~^IV=QMCm`fsy z(wJc=Jn?enuSjFJcq!EMuU{Px%z?3D09!pW(&h>cEW+e1{ER!8kpC7?DI2ZqaHKQQB$Z8qex*!e9MbFXuby`GRJ8Poj06W99O;C-gYg5~YTnO* zt`vU(JQ2jSSI*62FQ*XeYOJwnidbI*KnPS6fMNp0CTE|WYA#>QK7WP^mPLT>22HyF zkg=0kwVwrh<&2(R)8F0q?syg?a%f1iB(&?%s#ZKXPDy%E*}lC?!%WXeeo(qvaC$^> z$N=L9?`dfyrb$9Yfp)xU&WwqMQPu$+x+gTe`zjNEMZiZ{$Ny{|gh!_sWzF)I_+${V zm7XdZhBt)+$~a#S;i+>Y_aKYD9b~9|7EwE6cOsB4!BKRtbPnt=of^jOEwC8U0$xzq zj#JoKFe#1K@03l6ndwIBJSxO6&OG3Y>tuO2#}RkbNbQ8=DXv*afYGmuSenA9D8^Z{ z#~8bR?9&`zgL>C)_#>Bq{}5rvx@|uQhwCE>1ko<$(*^Qg1@se9vbn=azUVs< z`W%4~i5bgpFB24ZVFCrvF!iwKqJb;bIA^cL(!@~XgVpv`5Y7&qxw!y8>F~QhG9NI@ z&VYa)2W5Srbco_v5RuAGRY>4)%gP#yvKZqbas-?LO*SGF9jP!WH1Q>8wK=seRGpQp3Y&8qDEW)osN7!Gd4CPps*J5Eiio1z*R)iXBvQ+x6K6pGiqiW!z*y zA>g`}r!o-%6;vnKfcBNJqJf73L1N)#Y?WOzMl7+x901r6>Ys;d0TpWvx{#;5=OG&T zdX$$>xSs`Czy#LoyT^Y_V6>gJbK6z>4Z5#Kt<#FN#N(y=5jOPYSfvd3@5+31dAGnQ?xdY3<|wx{$81H{;=VuthV+(L!B)yB>_7yV}&H+5&s&V&XP3j^q3c zm5s4{o*5jyY&vM z4Fqm7tl(&QP`|tFX_Fv?F_)QR!hVht0i4Rx4`6R-gt~*=3>gdmZwj&hPla%U^Lj$P zd-fkfA>0Ay)s2Kg2;!j2HCGq1>tZKzlj{-^)Fu3dvdGVABgIAFcEBx)<@zFJV=HMd zY4NT9WD#8sz_4hBKOoSd5^nT%0v76vdwpJlVbK=~I5s#@eC@UL4;#ooHY|{v<|teN$r!yf zYHZ5A<^%JRaK@6amP~AMUexE9u}&@DPa=Q5tj6%-2aBc_J-=Kq@A|nziCot5_T0+F zB;V`LDj^TddaVNktecxRrDE#sr*0LL*>|80l1r&*obldy?d2JFf1(kx#o)C&6$&D& zy;ElzNuJX%eNx}~-H$rypD%214LhmwFQopYcc<~9Oxh}!u=i6~M;2h14w?4&BCf)& zTJ79ccG6mC8?3g?#f3Hm-=3)w42G z)qU?AZ{k*@_HX=8Dt{=xpj1?s_&BnZm*Hyg?CF4|j|5{8qJkY!Y z;a^8sxadB~7c0Go3Y$m~FmPRU6Be6*g!3;WJJY@92o0%q#nKY9_iq7EaEPaVR@U~%E)ul^F{ zHvf_H!MV|r0_^bx92v?St(1=792(LHX$oUI(h3IXM|k59DM}9~W@vqcJy@gImGo0P z!moi(J0Y-8XbJ8ci=P1r78mbYD75(P(!tYSOC3#IZe2!pA(O4|MiiC zcMs*>x!3KVfU{*7NhcEg(EIIJl^w_sPhRO+6RYfhXA>$)!uqu6SY-lC*aPsGDS$$C zkPgNrr5g)S9#|XOp3%SccfgH0wPY<6x*u>H!tsW-6+1e?a>4)+egtVa!h#_Yx`+n| z)I<7I`Ie1TP`%byaZ?U0B6@@PA#pTvG9Zl1a!{l*alUR_jq{ksggloYP7i}L2U$A{ zo6%zkw{uG{BQ04Ewg&;dT~VjpFKb8H$_csYf#P^>E;C{P;kOW{9!YyHBu{pQL;E$_ z$Z}j={>EU~FgiASLf0!uHl`vu(lzJl!K5c+-LaVHc^|RhJGSF3_wA z1hjb}!j@V}yl08j;-GMy3H!VtloolckETVin@X%P3ncJj`db?_@J1%Ifwt4%!U-i= z0F9T#YFu*aERimO>t*GxzcdBR2!N6R15SQ74n}RR5k42hUd!5ZIcE|Oy4isI?Nk63 zqU3CnUf!ciP?O`*xc62QGW<(#fQLSm8o zD(Xu2QX#g%kIU_=RL@zDNYN`#`)ITE=r;n$^T0_?Ko1$J#|1GI}Sk=B_>2Dfrj+XtOHkp@P}Z*!G%E7LG59ePDqMbjRgq5 zBNv|e39woS4YhIY@ojH#Uh8K~``zO`?%xxx{I!=4rqSpQlx;`R=RmV+5X{S?3^XvU zf~sIq*0(RfH=qXMatVch%dL3hLGK@_X=r1<7FNr!0Uw`5MYEhGK^Y@eZ$Qf?kNA8s zL+p-SeqZH8%$j4WVx*A+Ag~0u1B@pgav?mH7mA`xV+DYPAWXr)BZB$Dpn&%#*tg^+ zJ*%M(($=X7u*Ox_KOY2l6DJ&+6USliB}S-&ng?xV6CyaU3=w(_l}K=uGzU|$w;V+> z^$}UbDjaRxB?D0jDjmILy#o?3ks66B37|MOQA>yLa=7dBrvOngI<>WsEM?9PB}Ep((mgAHLQ@h1>g(wagl`JK(>5xB+!PPH;Hk|{WSPa4wf&H zod-xn@{|S$y{cCj3%Z$AXQ7>h-GZ`W3NS&}aei7c2;P8@O@JH1MyN&1tizadfZ+o( z+cw1}sH7k6EmcDsoFm$R2t$b5J`e)6v9P>{e^XJ)R+$0z7kZ-eQAT{MU}t2Q1dYyN z5U|a-Mk`Dj2rF<>tU%DJwAdho&M~9(33YXeHrWIY`8=dalRxk-PJdY@a9f5_5 zv36>{m#wKIP&z;-Dk!Hg2;MZv3>}0G7|#AN0r|4Ap3ccJ~-!Q_H+$=gE7!AruA6B9uSGQ<-e)^0V63v7VO5!IIiWXuWm9tuzciM9@BJnsd80 zQgaHLWqkB_1AAyGUl02*$E#$92nZ8(LFwXg$K@-@nR3W=aCze+EphOfZaZyA4sRoT zcy{Jna3AGzVd_1YKE93}BYlZoOYWQ*1E%E|nL7;2S8IG{=e?SFYMu>=HxHLDHfPpg z{xDf6zEmC-S*t|{r5JhYHFf1!etkqR+?LKat3MHSGa_R0YfUmJ8GVGE7@wtw94x4h z04qkdOmZ_##EbxBx>Uk^(=k3VBW4#oyxZ&E&l0SNgx0;?iEOX8vkiWithuFug7e#C z0OqXZ!2DDMMbLE#Py{W3;iEH#h{P%H${eFHxHp7jK&sO93`u|ntAIrOhsD{*Q7Uxw zbhD(my8olfhsdT>7)C=BGxBI`{KqU+HhPmW)zb89a2|{`TJQm@QkCMojX-C63J+(q zpyvIjr)+DB$X|>|1nQpZ1cFfbr@b1v-3^37oj5y{a96{k7aqoUdFKQ2HE`?H4aC~jLH)%76 zdwx#8x6;5JfmumM&zI=wKlGIE9fM8W%*4A!v|C*SOz4i+drC8FB}Vup1sPL%*{8Lr zzyfTbabn*J|2wC>J~V_uG%P}UPBO9U0t-4w%pIq-m|#x3&m@KWtv!y&7>Y~XchTS; z%7qehdz<*WHN#l;z^=sjW_UOyj~xS8*d2!F2($q4IrGeu2~5k+UZU2rB0GF`uy)ZF zVL9&h9j+jMxcLe{>~KzM=j(B_4Jd;$HE zN*hopo3D>J4VG?m27J^ZTh-{HL6(RcM+us<10HBV02@hizm zwC*&K?B}92E@o=?H%96Qyv>x!V{ z?Hv;Eb2*v{i+eAdpd`#7p+{IJ!3JbdOoJVFF!PUpSkH?gxI0P)k$|rOe@&nR%q5bE5ikfwz#w3s5~JSB8+>8Z|#5e}Nt#*o^l|%A#3s8^_eQt3(ArIwU5Ye&bod-w88azvS8;Q{$#)|heIW_pXs~d-0R_ixyNudOPzG$lp>2HBCb6Yo4BAVQ`?*3>1q^ZHG}hK z$K#S!USz?F#qOL>o={#_uH2#e3CcEx;yzkm82dYE+vLh{ErINab@j^!ODbDO+EI5f z67a)PIpBwnxJ34{!C9mKZRYScd4(z2#~HH2a{C1p9FrI=ue^@?y)z%ZtP3d(NkE(! z{VfjzZvXmkm<j!uv4Ky4s4I;*9P)cKnolo{nUkj zeRSz6S@)TOOn2|zO9!CvkWep@!Il|fBgJj)Ry1S69N0Dq3^rg`#erP|l8r`-|`eq@@DZp5r_{2|-@MhRQ(IBX^8EMNzIX|M`#LlXIMtWPZn-9=$p28#xXX#pm zGmCvg|C6fG^U1_c{2Rg2XH1=-bqv6zL%dR)Ky@FVO8ozR5?q(Ugd6lnKw*Hu6=ej``*ZoWD^4 zN$$Rjp@X=H=ee7kE0@42S_{vtxEl-;P(U=<^}AU+M}GkaudKb)_i5)olL*WXaooao zZvJ$+|Hh!3`3vCMg?r%ru98viaFwlaYy>-M8vJ6{%YNa#zux|L)5RfJZWA)m1meNn z5~NyE1o~h>Xg?gJ*FvuGjN+9EHS))x=7~`hbdK-zBj*?<0!|jI1_exe$9>HH7Rr7~ z99Q5H=VDD5;un8`q5{-PCf@^F*^L^t$R2YNG^i;&eq<#M|lHljo&JZ6YMjY zPvbowPY`W7VBbl&Gewj(lz-Qb-#W*uS!50kMQ-VBB{~gbg<1^9hyhDu^aOTOU~Z?U zs~+HjQ&jmId4vNd>j-)a+;~5>*Q(P{77KDpLokv^fURAR--=nXN(C=21TLg@W!RKJ z@w&AZ5k&*TRX=`WTqixzO|;8t5D~ndne0$N67IFb_Zz*I)u71&KCAv{F~-UQ zHUeSDsSl+$f6Lg8@ScHjSaT4fNLb>dA|`qm<`na^meAyty8&7Ow6c4bnf1GwFxrF3 z85sKQduFHhTJ%O-7|Vq>paZU^Bv#(=5y}QnngMi;v;p2I#x6<`pTEP5z~(P`+{Sm@ zpKnbug~B5#C$%2qLf!A^mt&uZ;BwwiXY5FWcw8O-y+KTX>BU(RU{}LPHAy^>dVxl> zR0I!t*v3Pb#W!rj${lbz<_!TBKgrOz(Vse7qHtk+!Yawlf z@R}f$y5WI^$0%E7AEBQN(%Aa>PZjH07`v0>*JSBOtANvy9vD{(X9YNKB*91NjHGNF zz#vAbH>o@N>j95dIV1NX0jI_;D~ZHK7oSjbp575w5b1C*RQFy1s(mjj+wNMX~GYFu*iZdBQ_jL3`47(XPK zk(3Ya;aO-cHfFY#GeU{uv#D$^dtoyScW{Q7*^KdZ6DlSj;Jvg)U3YhBkLC1Za#}(B9ECWVo+u>PYo|K;WOkxlFq3ih4<<^^itgA{_2_TZ-lkiPC z0L%S08z%oJ)P4z=>ax{R1&CYh!qR5F)L)WafG5*vCw>%0rKUeR>EN2+UM!+B5@J9^ zXJnV<1zd6>NCPf|`(BZ$e|O&a@is3Q?}~EeTX_TZdlI!#7AdTuqd~be-SGXDJ$5w8 zlxl{*;XDMv0R5vS!hnZdY{lTUQE)~tkIcvhrYyPUIQcw{`BthLewE5m*=@65{bd4c z0=Nj694kM`1Rt1!votF3q5PfJvO4fn3a-mvVPNV~IQ0VDJqh{vv0>*lRyn%`{|esQ zGT8jxL7!tY#L@7i>M2zOD%^u$E|gGnJl_V_TMmr-h7sg;hzR|ukmpxwPVT)3`&llC zq6wn#-%|Yw$Dmld&H;sl31XKa(gNk3Vz^r=@<7HG6y(TFGIoOFo11RLCZ{mKED8iB|1 zCRPAq7K}EtBh|f$;KPNPl|^Kgv;SmIgVAr{KV5Vn}y$!tK+)a->($puQ zumDh`@RCytWd?J(J2R%v#hBOLT@6;AvCNY1^zhP&J~%V<)g|jH?Ngn6`)piF<(K1u zL=5Rz`<3xs=CYM@;2f8RfUiqe7Rk^Py&2FMW2RB}Vh6_5WhYb%ZWRK?-ssHoP8k44 zAYa6Y1`-N9H(7~9AsyPpfcrjNSYC+1KOf3jaB3l+Ka-5tbsH5a1Bf*ji>UVnCkk zPJ4NADm+Tt!n^Q`aapy;W%p=vgm)S8o6(K}p_0YWAj5xVg=-WgdLK6sO|x>Z-6}#) zw2Jo>5oTPJpJANZDl0aC!@EL4k7KX_o_ausG$yF*_axm8p#E z%ZtF>EE^oS`7QXY(e7{4#CbVH^xK3tb2PN+Y+K)o!=yzMJsx8-!w4BLY=Qvw+KMj) z=U0@Z!uYQ}SKfFG6LxgdL$3)J)xp-M1s)J0ng4k;?fsM`Ym*(qFXZlEk1e@C)Q-ZH z;MXeEQ1WMn2b+q$iT(_b0`Ee=s6snw2`xC;|B}M-kRTRnU?S$}%Fm|4SZyBx-?a)} za94O<-*t8C2jih;jC~FiA{uwP?VP$O1??6Ei>kWkvu%?T;d&ft@A<2->&_Pu5Anv? z{&Ob@ZQNWM3F$u`6O*Rp=Hl1PlB!JbK!PC!aQj`*F;>3C%v%)H- z<3Dd$fQ-+wfnBJ3{7{*z3@R8~e?{Sl56JJTc)&*iR?I?_rOQ#UvCj^IDfrr%5jkB3 z6}&-IcZ-A&Yn-3&bJbV;;2yP)R;H{71&)!dy%7Nien`9r*=ljEN{h*j8ud}P;`y=N(LDIRN-kJ$ctLg zFNRCco)+bOxd2>uV*$z~@{9=uC1)8a(TkG7zyjfbQuja!EYh`_8narBF!b=8eM9j8 zb}<>Cm&O|lN?u`d`B*ap6g2IDEz9gl<*!K&Jqi>v-%<@o-^;b#`#>LRm+^|alsg3X z*h6}RH$hxJs9;*K-n%@@gZds`sr%W^^_O^%0ioSvQ#l%8kOM^x5Y~f54xbJ4Ex@hj z^U~jZhIS!S;F%E$kBv?LcTmsOa|mQO-;I=w{*=9xUHhG)oMI{OU8AgY^`ww%C`+8* z_#Yt-prFn?_#-y|@3>yP_B)8{JsZpZS0#lbueZo~`7)xBVs0bJow9D(bI#jcUNd-+ zA}ISw5l%_usG1q_GS`=yT*>5P(QY@wA-H$NW%jAt(mBf2NRs<9f0t_qW<7$I`WQK$ zksmx+5jnQhJBqyK2>6R+H$gKy?{mz@RkEpKec{Zm3wqdZfdk+t=bWP31e#0)~-$<*2=89Mb8Yv=Gr_PwMBvY z^CJKx#Omg42fqk{OcLyyg()hF3Yf}aoaS(o> zDDoAjmEg2pyv*Rd#%alO8=c+URxg_)g*o{y+DM9WTep)E@pM0Fn^TF?-X=FU4|3E& zx2xf^SKSI+E6C&*t}XJXTqBm!;ZFoh7DKvbl!l0 z?NFm>MK9~+8Op_25{q!fF7xww<)!XlD^~|Y3i8p-4NISEwvc1y-0o=Ik5!rde4^b= z2CaKoXyU?_K`Kc9ylzgM2I4JZKS=?a>7KkmzPMA z^Nv0_3Mu=9@getB7mhvwGS89UQ=T03eJ=a?nA0m@eHlw~596%Q*EZ_?@`wBilkdB_ zhijuu!cS)Qno`c>=N)$O*bVW}PHCgAwEaG;fMT7OM8bDMDq{>RLRvTjl0HMT(&hDj zv3J0O!N=aj7#`5E--KK}jEtmr_e;;A&*x>U_t^}dg{%LN*!IZ}O;+@`{)JV6-1ccW ziwg|AEz1TpUgraY-4gKTlXIV6pSv`7tzg3N4ca_JipWA463X8B&riX~CG5R8N4eIF z+&sU;2Ru^ym+-UgucRz(k$HwqZMQ6g43s_>ZDy~}?*e&NyS~tz2Vro?#ZXUA&wS6m zdpX)g4+LsjHJk##?#NJDq|~SQO^2|F1{Ag@=RU^J-D9FxERKw4d`}^-WZ`4R1DdsXtvf1k57zn}K^Re@9LaqnvBK zWg|$@9muI-JR+2je{=r=rTQRbL*1?s4~}~5oBK;R1&`8FfOvkRw^Zeci@>=DN+n}W zYA2y@>gC_@=70p&jPc^Y)ByJST}6JE#6)*B5M(*~>|6)qV3W4|`*FQ%63rzKP+NQl z^5UzND@Xc9Ww;BtP`J~bn(2!d{$BrP=pg1s&1JkijFHd6+*=iT1moHmd*nVWh=FPW zY)WgsK--QoVZgweE{#Bycm`bg-pi2jrX_(U4C&4rc*ES%RU_>Bt~k$dS zi&+1{v3kY#F<#-RJOZhQ@Wvo$Hd4Mel^0MdOn`&B4CS(ifEQvXI%J5x5LkFPz}@-S z$Du(;QC{JEdGk1SO{D4Pn~*m@eMGJUzF!S?Q_LHYm)u{~&ztoN2>xQxLzF*KuQ;fu zw~vQrC=L}#5o0GGa1~Ot5fb+a$0X$gDDMDp_Tl|fJ&(Q*ej#r?));DCx+-_{Iko)t zAscf)AvM9aK88@mp;Fi@JcoGI#-7WwoHx2fI*e!K!jb{vbaPWh?HPcLVL;uYeslTv znU>v2V3mjB4qgYWa*P`Ff^HgU!L2{e@adikK<0{OvF2FgjXwLXgBZV9=_71mw#T=@ zwT0f$Bbk!O9YM$$CdOr3v4-=|16c$JDZt1a#+k)fLkb+(%R7ujAPwYVaPR_BZ$~ll zu=WgF=$NwaoV{%R^j~q?i@e^E`F*-jJc0-XhZk{A6K>w@(y0sK@Ym4Du)Zf47*Teu z<3s@torFr*^Ot`w%Z2C=lfDUzlI%QL$;zS-2&s%Scgg^#Pqyg3`e0`QKxW}|`IND9 zR#qOV=oFVPPI5F@HV$_6sw~)N=TBlnD!yC-zFx3P5oUEFLhHfF?xO1@MCk$aCN6jl z#e42H!i5c0ct%3d8aNF=?SG?NI*)N%{R@SsiOGM;p9-W!a37aHFFK!@9|vs$qS?`h zM(ts87hn^t#u1>-S_>1}s%)GYW6MA4G4^-}PyEg!oR=O{gFU#p9B)0ltEdAbbv>an#V?*|J zyn!%8$@~XoJ=9YK!~<6Ef!2Ua#ZW8}kZ%NGq3!}SMAr9y4EwyXG0rrs=dd;sxB`vpnUw#;j$c_PPXIS-4TsA`A4(8N6 z@x{eP3wSu7K!)JW!f=H1O*`+E=<4@mXJf%cdugfG2pYsLNKw{fO@wJxDNo8T^5B3V z3nbF8HVCU6^vk!m^+4OrwvGgtE5=oXX&Q1)iGZFZQcU-&EmX~X$#=Vwm4NZ*%aTA^ z)|hJg^MfpvjJ9N|5WEBl$LeEr8iakp&M1LG0b{AhLD-KC&#Wp|rDJ?%=)vAHQ}gwL zBf`xi{6n+N^s^7pe$Hl?(TLDA(2fbWoBp$T0L#D$-Ab(Tv*F;p5}HdLK>wKnLaklc z-VHOF1}65p1KDs*VR1j>z#iYb#7wd062D-ODxho?!kTcBK%E%3a#N>q{!?hL;A$TA zUr@}qmvO>*D4$*ynJgWc_@y|lv~eTxX2+MerPM14c@Byy&Gc}H23075eN1=+k>(U| z>jTE>f*Jc)A=LGP%fP4k*v*-FD7YYEl27Sj=O)1J*4e`Na6m#o zIVJ_0TQD@bnXu_q#a1G5griL%DjVDmsAIRx*moVrD>lQPDMVjRY5LPd3(-1|Kia_g z@T}g1&uc-OqM1Z88ZN9Bfj5eDZOPZLECz;&TJTK!f&_qy zCg>(5avVt*p9kmdIHHO#1C&CdO`r?9;I-RiTr2n=I``Q{^V6icPHM*m8O}dXxV7h% zcL!+>b2Kwz{&AHGkjJT+rDyHMd7{*~+}6;1P2c-t;1v%%hcrVg-?;1!#2j{STx|&g{sjaL)&6TgQqJ<0p!w(`eIihijHUID$5rasbh% zgp?e=?)@Z(B^t(O2#?zw;Re`j23nk7ojZE6f$O8K4^cgM{9R%hYE&{J1VD|V0>#BH zjoT!Yu{k*h+6#*qKsD-m-?!}TPFN`q5yu;wuJxqY_FJ7@a;5px`UvZ(BN)qI7MJPu zMDiVLG>JM|IR|!oR&~l zJG}@P4a&wLxAlpn2-m0~3fXPZ0m^0P!+-y88~aWtCsHvStN;4HC!v%dZxWM`Bha?U zQIFsRLe*y=RLzmxomT!Q(6;V5=M^r#ZyTJSqpbeijTAxN3vR)sIDK`$f`x{~@9?vyA?>+0`eSYaGAM)lE>z3`fp!bYZ0Qcx9@Jis*3FDAw z%u&C57=N}lYJmsTs9TYwj3&p)J{kYbT=@MJr|X0E{eFJe+(|DC*$%xyT26p5k0d?= zV}4+%E-+B&zJ!7BOX;6v5?QA|iQQ~EdPKFP{BvWk%}R<$L^J!+w3Mrf_x5snK7fBciM$}OCj*E_Q%M3FtM`LgFgFmb z*{KGDzN{tDkD6?q0vE=_R2eI=YNqT9yGv>34nqw&!E5GRmF+PumX9t?rhpwKzj;Y%(%8DvVicp=&_bW;O!ejY^(5Y57@?-;r~8aZL(zv zr>j27yx3Q-?2*eY5Kz0LuCUF)&i>dFjGL2*CIsR5EqcI@t;iSIsfc_6d!9FlQjWIC z-xWQT`;MB>MQY`NggWe2z_?EX#^V&H$`4K8oB^~s0rU(wsKT1=PN{P{amE%Zw;52Meuma?71RXaw4z$lnA!@;@&Hg72#?`G{jl+UIyV5ZXUPHZR>#L zCy<>Kj-c9JyK1Z!v)1McK>m0@X5^> zD3;*AhjJeDROCpJCfS`IuK&Tuj=3#1)6+S^uztbpY9Y$cqyv_EtnxS$kp6Wg&8??p z5M?=#(ti3+p=1zi%x4~d+I_PP%7O^}CcZV2ySb-Av@)D$gk0L13B z8z~-zJ1*?)R1Yu;;O_W;M;~_>=_l?RV2Sgi{FM=gXNe6{?T;ovUi?ji+2cdmp&*$Q zf(XQGLksU9()sl`6P~lg6AYfS**(-mm@jK?wI!_FF;>917z8CWu*KvO=7%KcI-CnA zC6@S-eIFfi0g^}WB}q1wXr=)S6?8$DNzZmd!UrD3gd3*ozqH;3C#OZf!CmN8C+F7) zvo%W1Zqo&ncm>)K5))v}ls# zL8%UKb32kuzUnvYw!?K8UUvod5$ z_-`#)wB^d9H>zhtpWNI)UiiSg<`!eg26v~BxFv_#q{*s<^}5=_sY`yJlDk)MR#qmF z=!}(x3ly09{jMei_{x7yx+GsspK|}@rpdG9yjQu(<(W-;|EZ*`RY`AN3p|jSawgO7 zbbj_}LFRJOI$EX=WpB!v##4ab&4PbSNVwlgsz^BF!|_;^Max|7?lWUJ`YS=;X*=@* z>@RYPjx9hL$%UD)Vb1kC{?w^v*LW(p7OZdRC3`I&WgX4T&$;iCT+aa!s~TLC(S7f8 z4ln79saa&V%AHdL!WtJ@;KaZxj4RyU+p? zB^Wp5J_O_DhGnS|O6Bcq@`(eved(#9)$f^0L?L64Ar>M7f`+QWbBBUfLFeAB>Bue!Oo_?~cc$&8z>;MvcNTMYI3PqniO zWsR{+zg@GSpO@s{(XEF$D%HSE;Q8mPOFBmvR)kXrd%w{7QDu-sf{|IwnFBXL{mhE{ z5ZIGI@rr&sDh8>`rlDo3>poi@3rBpB+-}=KW$q~|z;9J_Kf?6^=a4mq5mnhNJ!5DL z=KHD9(XTbH{$bsf-u(Rdbp4%2__qVKek1k*n#F8n?oo}U)PFiPD%c3zLfL$6hDyiW zBhnL144F-yyl#HzXc9AWGQ6nTo~Li0niyd28IHSAZJXK^&fHye=izAJIAaeHw<*fA zDMQ-(Zy#gsF`JB!*A1i3E7Z)#Q}c>cr5dpl-~aq7C^E^eJCm$t?uFt1lg#Pz$%W~< zGjp)xckB#uo4th&>WfW@V5LEW;_UpH#m*aUSPI7D#x0`{PLvgl6FMWDd>j~VgN(l=b^vQXyOEOd12wT?rmn~9v)yt>JUMl9YZLwdiCd1+xvyN%)NmL z>Q9aE-`s(6meR&Zmu601%%*?9E)J$cGavLjTN$DvGtD{nU77Rdo|ZgxzWkk-PWOWu35Y9Iit)P#ZarY0WJ6Cngem!f`leMyO6j&O zBIfjoW-p0`pIIx7Vh;AssN>mQlOSFf(B3alxUbcVHjOKnwh#*>@f^7oju^(CiWrtx zm=x}}G>BiEhH2d}^+rcVSA991*kuU71H~!o5II%^2$f_@C8$gbNBptvQN1`Uz$ikodl8ZAqRjb;pWvc?-gx&ns3&3He|i6#ka1 zE`2^@ye*G8fGx|-ZTn~v>RXdae^a-8beaj;WQJ(nGx7!A5AnFzuEmQz6Mw}NemYcW>P*oQT zX7nwgh(M2g1!3SzrxWtgTOU1Qsh+ldlw`)ZB6|z=By|GjR#5ly>`J(@*O7OHWp#si z^SH2jJQI6g026K7KQdrYX2F8X-0midh2%s#&QmR2D*_v`aa2CUU43k%=5`2!pb|rq z1&io803w9I|B@8sbgHcHa$QnpenK0ti2{ovEh@7pZ~XpYvL^S_ltDVDSF}L5PyFa%&H>mR5p+n{9l7b5b(xYFVfu+g~5x)ne=}UHQ_3;I+n(H&fHg zp9iioMjn-^D>;Mp5g||3maRE$2e}98%~Lz$OzouMvO*X+8y=MB*op}KAB7*F|NFvE zuCJD@gJkm!N6R9Am@rgB#@-R8K)jF8{HTyxxEw>c!Ud2R*6z!O*~x0_y$rQrRt?wz zCu4~UkJ2%5u>R{ph;dGJSrOiG80uzt0^2;D9wyNr@3UrBvQvqWUP^V7sF>GUX32}c zmHQ$7wdvL|$fcz|ko)k0?In9h;e7*L(M|L!c9CfwZR)P(8BMxM_V9${eHk?rO_O+d z3t=W!5W6}^Tg!d{jxp}F|9gxhc^;%Jb_D?Cdh%ic>9saeuv5GrwBC^gq(zi< zCC)|v8RNXdot!8Qa4BE^-(y_*U;p{vG46j_?uaqYQFBL(aZ7+p0%P2Am(?)3ZKkY) z+JjY4dk`~6+Dl3Eqd@aPbsVXp<_Y<)PIu=>*VwQEJVmBx0{Ggi-Vh}c4{9moqs+dBN$rY*C-TD~^h>76}CEpL30Nc0)}WZN4bL)zu$ z*JNFK)a?+{9Cj+2d_h2lo+%jH_Q!7h)#K6ZS{D~ffU}ptokD64Epm^ITJSWmmU2>; zh8uV*ug9!;o#9z1ZTw@?*}8Xw*Is`C2DjFqH?F(k!?LdbSi5CSmSMAW|GUHgyzA$B zzVDxl_ETK)?w-dFWG~MfxpsEy{Cp9)CPzW?jX!_)0wqF_yPLGPt>`d}LUCvO6G=a1 zE@y#x&QSPXqWfCV`$cD7h+nzG8d8*+>=`D>T%NMNF!>Ev$x(HrX=duT$p)Po<)Q*Z2jUtM)!(vyzmD?T z2aW@ka6^~+b>z9^l}1MTX1P&2iVN(Im51nr6R-jidb188aPuCm(idIy0L$U#vQ_E$ zsjw0nJo{QoOKXTjRl2y8Jps-|@X!sEv+onN041VX;yrrbvWy3{0C}j0pzRh_^wEHF zwjC3K8>}nQ<~BiZ%D0|8=Gdfw9>c9NY3tz>#SW8-I0}ns80Sc!w*|nGy!3nT@o-cK z7?g^?Bm6i0?_I-jg&rVC7``aB8KrPD<+*YB%D1Op>*sA7`Vw|N1GfwN!`hWnpdFqU z|9iX_5#|C*EUX7A&X|9;8-OnW;>^Sg@1;Urcr14*aJ(8IvppWSGF*lf)hRqEJ`npZUi>e~PlJBtY>8wSlT2uzb}f$JgLoOij&YZY|F1J{d5a z7+xmWNLgZayIs{U^25DxD8Cc-RU7HM<{9)AVhGxk3-w=h99~hFyrZ8pF_!yv6AngW zdChUv@n*caSZb2*PuTQ*YRD#10?zL%y~+2+lhDQifpc@&>I19~Vw-?Jg!-`l2ig>PL1Zudv-r(A%p` z+JdC=@1Wc{uF{gM!}%%Ic9n4kj2PAd{zvFdv$|$#1e_ZWAJI&`0H8M4pp%<0w;U59 ze~QJQjgul7AiFVe7toBdl)EX_+8=tV2%x-!y1jr zGGUsRCt};Sm+z3f0DATL-yg4_ac+2TQIPU3{*j7%*#5n?Y! zd4!6VU5nA~FXa%1IHUB=Qz8l_JrR;a_)4_W%;N-6uLvG@!OY?u*xF${N$P*`^l5(Y)lJ zaqb&H$*27271;&lJdujk@2&oQGezS2&6xisFA~kY*ekpf0K1wadd~%xe__|MwQ2Sj z<3DqXJ!kcgW|CG!x&_#_&c&?dk^SzQ!&Y(JVq%{`uo?o69efzZxiXtn9+2|+UUw%8 z`OYLoEq8aFbpKn`LiFR>wCE+VHU;^^1^4i1&(CtS9$_J;PA|?A2}mz`Z=qg+4ZvSI ze_mH#<0JIG9Afpl&b+wqqQhgca%XM%ZzXfBMX}|hexJCL)@>}JRlY1B`BpqPM~O%* zt0JZGGFQ!!uL&xILnInFeNwCGlOC&*3O^tSSc)?%7QA*8Gm6qY!-T5LRlI;gQM2or z)@usN)^1Q`VZ^kph|bP0dcy@a0MPQ@MT`UCI!4Zh#^++~xv4tn5>(jZG@aFU;V4q^ z7;_1Z>KCnlFtOy16^~u3Kanom3P z@aD8qRzY?3^CfMf%*wx*DwiDq?{}H;0Zd*C46g_Jh9qwe)qE{)>jXarkOCr)d(S$m&Sj%eHXdu*DFp#nzf%AtCCI` zNW5{VW-U%tMb%*(|MY}Tl#6c^?f-;XYWtr;pbOw}yW4&s|F^(bZG zOusN}9r|iMa%;Fg^`KsLlxw7)%%$$==dbaXue#9>T$%JVpIW+jBDCEkKe&DLVNpIq z1BET38l;&e5FKX-PDlpuru#NQ?1cfSpE5766x zf5af)Mun;r(ht5v#P%Z3Qg zJXi)`CW44_9U<(3vDBeWHd-9WVbEcH9uw$ARsa>g6MT&z>QpL0`?R(10g#T#2-o>Q zq$N3B`DTHFv0MNR9XR}MIJ8T<2#>McG6P9|sA}o?TV}T9V+d>1>8CM4D~Lfx%Oto? z5z-Wd9Snr@-39G8G`u;8iZwFO(%@78?P5v!8mS2|NCIs8n3Db$(SCt7=#D~zaLMy_ z;8ccdLm#JQUiRy)>aSgK&;~1F^`uWmg5MSJyR*jcZ|jXSmn~)+{0dV?#;vCrOCrZB;uAB z4Vv*d7NJYVo1Y1InoOke6g0OH)M7|RC9te+Lo34-4i^S|>98RCQ4L}uI}2Byras;# zE;*aZIz;BmEQ+D=$EPL?2nujA8I@VJSIzI zeI*#JxLr65E(UhBNk5Z6)qYI`H7|FX8dTfL)d_lBq^@xWHKgW8-R8dc^MLsBi&_%f zCWeBcd6Xk87M1Q(OhB(>H+1Wzk9}zaI8aJj>3X&W6D6nge6cIMU9I~+e7y-&Q+M9S zjSzyhsO=QBwpgs9R&nX3RzN8fv_gd$*{TRUGc8(0Qgq7)=<_lE`Owp9+ptjdP*cjrbbb47k}{%TV~Nt zNmg_I?%zq7A5BS>8=swRR2wI6(Y53BqMkVN$aqI(&*7>EB0ANSB~~J(xCV6orm06i z_V(dve~R%}$Ujs&!Zip%eBC{St0BM&BTv0?vZj&Nw0Dgp`VTu&HTZ7{UCz?#{_*Mw2!zq;!nCJ_T?{7!0Y|)WMe~IB8A#= zz(KtICc+danCH_>NKYoolMgkQ^wulVby#=tH1r`~KkLd&DzKS(^cAw4B?=A`By2d7WAE)B+yv15qKQ4rV5ccc~mB0(xvmZ&5d2M?^hsG;Pbm5rHe zu5>@3Vhx)hDYIT(ll57d20!(p7m`iW^`FudD?I}$tkh;w;*iH5OQvPK@(p?@8_t&7 zw6XoIwwvu0x>o#@AwQOg7%OLjwY^qcEbPx}zdjJhJd_&)l9MS}<(f=sf7yGp*bH$j zimE{Q>&m(#OpYm3HI)!cL&hx8wMLcTCya&sMkd`El1k&2;#nQ1uaXprt+Nj^$s-0v zHEq_Ewas>E!!!y)tA0qh#AosYE2qYmQt(KMYg_1L@M2a=8rnlB1<%*sEanHrFrVq- zz)%bIOBPBzY}!PPcx=MCFcbyXu7UbWf?TB&06)(3RgBwp%$CzkN&0!E*0C%*KX304 zhD%3elq9>k)M}|3%0FT9ZRVbi6+L`X*=@a(oK^ArpuXn51PD{;Q#1&|nU6)=>7nuZ2*r{hX+QnU{!~&5 z&yl}^?`NB4Oo*@`7!$BROTmBgaRMhLn-%|%`YI>J zenQL*q8)fG>Y9&9UVlD*8jVJUYPr%cnfRS^C_e;Qzl3!1mSnX}S)FbmtN8%qNlr4T zKBDFx&LjhUFoU_f7;hQ$GMHUPH!Ti0;)s2fJUM9EdMm5tXsvb)C&y9#+uKj)WD-(g zL<%R3#*C;A|C{&OA2XuO|2ZRaadvw80{cn!(=HzMZqK={-R~5--|bbGp1SoN2n^4{{FGos_W|LcEdMDtu8OKB&uqnhLF#C&Nt);y>A&dW;S`#&`-#IqE%TDOVQgSjydX`H!Vs*$=n7KVtOG&Y>!7<&Z~G zwSc!^1#1Rdxm}K}Tyvx;lXUGcBv`zz1NmvKFh`JVc{{-3p`wX4&@ zS=V@*{?w#qaceiZUHo+r_qbn!PF;8Glyg-=do}{NTBt-amgFZUt!|r1C+6uIANn<4HRrtg8a>zcd}rgf=jw?YNnY1T_u1%8 zFEkFM95$_=cbUFEUj3axP|;W2KD9$Y^a-jyC$6E$ZK(NqN?0L4>OdrM9XzD|YPN%H zCR?ungud4{U$Jbcb-Q>2k2zq%5U~>SUY~e<229+oOv-(*wHb8j@{;Kp(ZSKe;odK~ z2miI9r`VnW1BGB~@hlT`|I8E>ts8`zVfFOn`rq{xv^bj(at6_>u8XA*{3#1rCa5AM z+clwyNwA0-a)R67gs;hLtH8?m0`X-SD^OYZP`RC|7UFHj5;e?6tgZ0g$ov(3$V*xr zfD;&f#Al|Ae%eRv;g4Cshziclky*E>*ISe=DUGLWyHa5rX)?>-G6OvYd|V`$Q%zYB zMamKAh|w#}9kZ(M zPQ|AnS04HSW=q28n&SbLyZHw|+ga`8 z`@+?6*AL6s<-pksfiH_%Al_x1zjVkWh_m07En5^|N83L$G{<8|;InyAi2cg1-Uav8 z3v!0N^DOF}_Gp!1%c3UidP0u0*q{Dw#gPuD6W;e`J)IqbBkQ3rDloxbx0e;M%jT8g z%g#t+y%RO#+2(xw8Z*RxI@9^lvVrlxWWBm(fs2rJ6BFz|@3L%MG_vq&>_UIrN?zkg90>Q&)U#1U>-6uIFW{@a@#PXnrpW3m%3Tsc>rR(M-5ce)E!gbr-=_rPZ{Dk%V*n^PNaR`kbi!+_QpWbJ8{~Od9xj zruf%4scVN5&kZk?fgv<=nY^~Xq(jKwWv*BxX4IrtM$6V@%30qN*VaO+U1M{Png5cm zqL_|_+48cgUE^_I=J~gol=3klv(+qakH);o9#7Q*!Y12L%~tH1Zj!~*wY517vYf(i zg*i%*sdQSWpaq%Qf(KfEOt1%Z;oG!jdusB3$kkOi+m?1+3nMNL5_>I75)vi?zYl!T z!zIK`zuYur?Q2i%C4~3VFq$_`P<3r9Rc}ve*Z(efeo*tdWh;GsfT%u9w_LTDFTG0E z53zP_ZM*wmYD~ilP9+_fE#f+G0m`;K^f;c9?0o>RL|aU*p)c%5S!ObsTGV37S|0KTu(vIJsE!vZrEd3&ryxBk=Fn#{BZ?39C z0!Tq6dC1tpbsgxqF=!?Qkt1$ks9L(Jj;Z7a3{tFsaJE>MJT zxfLHr$Q(4tseJEsn>1Twdz_`G5=oh$#NIR}F}g+#s}UGX${t@;LI$m;QYrq({U9kB z^ruCp3G>fAn*RJJnv-Su%d8loAKpaD4iPoO-T5J@iO40t(NVzb_^ZNvuHb#@m=K0{ z10@(_7;D6}E+D{1+*b_F$$N9V@{^?>o;xydl(0(NSIlexZ;7#a(AC(|=gur&b^zR1 z3JeU3t@y3T+9d&wxaM?9vY&C{wiU?2V!YxNw$PSx1Pz{d7Z`#h3uKlAl-5Xc^-nM(W;;edGqZm zug^97Tf=53aMe?U6cI_Pk)v)TD@(esWE*=cVR2CUxtrl;V(34r$z7nvHzU&Z?fha|F^c?@8;NlKF40U z-)+6quD$F{?8N=7U93IHtkr*==@v|57uaV4_W!nEfm3N2 z;@=|@@1#y+lUmKF4)D{KeO8NrSHj!_^tFqMLOs`H z%((lPOnYR9F5%2a<+@^3#DConJ1$?wUl=;9T~w6zr$6s}cdg6eee3=6cQ0~|{^x<% z3;P2SUp{bOcO_1ALGx$nML&$@jS} z6P6&Evv$tGOx}%$OcB-J8~zRPRUJ0(icZlFYknx{d?ZgLYR|8^&LPmr{1d;h|9=y| zxoj)!Q>{2zL$I4NG?mzV?sSkn1v~F|U-ROAt__{h={~U|sQRJxEo8bMqQ%yzUfcUT zQ}#Y~a4KMutTPJhJeZeMM$=kHa>xm7Cu<+Hw*}2>55%tzZ{xz~T_V03lnKLC6FPR!LJRfp7szEwN&85E5Ag za*u7TeTKXfOfb6YyVxO*fMzus*~^q5X3H%tp2Z2PIeH~CJA)2MfGLzD-#e;eGSn2| ziZcbdvm|}3x$vPB_@rq2mafBDRnORK?%GkE-W_Nv{E_=+dsqFt1yQfHml*hq1eP4q z)qc7E>mSWs^n z0h^f;O^A@L*4utM5y4GOm{*74GhjE`MxC?ku*sDm*c?arEJcXu=C=8MiC`09w|4)h zwo8cC*v}-=^QZ3Km*2==6PGwfvr|)PNeYNGoLGHHC0eF&M1o~09#F(Fc=N=E{mLc` zt3&XJm9&F{0?JQR7^36Rb;1_v>cfV1vzR)15`#~Y=uj;K+gj!9NCfI6t1BNheZFv@s+;k+FP=$d%|n3imUf4YB) z5+tlSpQd={$r(muUYp&SYbu+oH;%f)$trqs0P{<8d6(#V+5PlE(pBJ#VCb(0 z2+7SdS~*Ji0#g?$Q}B-ta1R=0aApsvmm3j<5Mo0HuZ*^8_CuC6(VAwKwiRxmWGO_$ zU=O==fP98oEMUR20rWC3(wmj5Y#?efYY{_B%FyJpA;;ToxVTZcrA5?$bT-7>+E391 zN~X!QL2C#2qq0B$?*Ujm>{#|HR(%cRT*)WKTO-oM#md31*ZMJQZl+p**Wte zoGjg{aNWMjHc_`WET&QY7Sy^j19Lu2}cW@@ExMzJ*(Y?a3T!OgzfpKAh}Z+sE-H|{#&1ify6jHw)vV7!+~ z32SrhjfLuRhR3hj{SZ1h-8v3&mCrZ|$*bcIodJMq>P!VkJ7WafwIYkK3R0Nh#3b1AZc6ZDaGty$u{$p$qexcK5UJM zqc_7fr5!`-t_Y9BpfxQ6wnZNsrvX%rCWl8*Ly1KVf~(;Y6@pY+@}B&g^()lE&MJm{ z&_akc4={)@+KM|v4^g%MP`%KXk)9uNYsUy~nFqv`Uh0ePB(CoUpxBkRU*xFH@#ROv z^i>9@1Pz%kp?utjnPrw;PxH-EzpC`kY&s<)uf{XzdkG;@Immwb$A@#8ICoBQAiAHa zbRFwgf5%Duxv(F9LmZFzkLCbN!%kk+THQz&l$~7fv~Ju8sie>_+&Iv=Uz>pGfcC{l zutFZ0(4AA`)*ESQc|Uq17>Sf5YMS>F9zkcakerSmvc3{K*o65@wYk*$wUBE_Fbg(Q z;@%{bWP1TdEb@*qvj+_qFu^8jg2G$u)HQVhB ztGH_1_2ql4Qa*NqLf83ARqmcWz|zeu@CpTuAcD2n6|7<5C~M0-?@wlyg!!7h3!r#k z9bOll1{OmJhS+97_}ov8-nSsjNYk!38*Tr%@9DFNPM<1f%DvxZdBwQBTt8bp#0vI+ z^=POx$n71WwX{_2nlaCn`@lJP`I_^Wf7s;r;<)oX=X-0;{NnE3#A>)|VW*oGJ|S|C z@l`LF`2P3TjbY`--R(RNZfhi)%UhHu4PrEG)%R~6@VYX&I#;&wMVV^Zi)+5Iet&zT zOULUMmbKn_wQYy0-s|4o^{lmf+hf|3_p_F_?L$VByaUNtn)ZD&u4iKEUpdSg7u?;q zaOsSRJFwqlVahY;K4<2QI^5K6o_`Mn~*%Z3i)d?RYQ z%Vrv&U90%5p?t^)37CNpcU25!KqU}^-A8LNZLS=WFZ3E_@HW7h-z@Hks>8R!)IQiB zX=a}q3T}!GwrXuP^fi3=ILe}LA#g_65?!06WsZe9f~K9dN>X}m;EQ`CA^U9=p^9&& zS;j`y$*3gFTXj=ZZBlR1QSBOos`A!*)2&N=Xw|L^YzWqUN?xt+9ZVsvd@k|vCvHku zfoAe61R`r#yO`~uy|JRL)F+-@D#BAY!sIeNDNI)&(5}3W5%BpAh%Ir=t*PH&5kV*_y z@sqh_-?jE~KkH%~N3T)Nw&~7VuI@yq9}S#2|9hUbN*<-u?3DS_lB9+Z*@S#g%szQY zW`KO1f*YprZz&VGo*8clPS?oY;4yY22+>tNG1Ar}|K7>AH*P}e2f>{k?REmslD!&H1)f)&cbM3FR!*{B4q@+_%i2}P3VeHlwa)G4 zMTD!fcC7uYGZvZnx7W!zK7?=_1!hP6B_>D46H!>r|!=)3G-?LpTj z)|uGYyQkR2xk}fuN&uc3wELq8gc0tDol-jw3}w-#%Jmm3MFW zzQP$rxX{wy(gH|B$LjB2_?P-N^E9Y^m~?dB z!%r-JzQ3n<_UO(^Y4HJD+|tJ5J=WVBZ8EcM9>Nb1Ab>t7V;28h9GCw>?SiGrTKl|H z3u?{QrAHowXTzj{6=Rbg@c)@$J5whXTVeVR+d zH>ldSRraHq+Lv<=-H`u0Z#R3vw0idpGkV{qI7hcFyo{i9QYvHzXAtjVNx7dL3<1Gz zCY4PhWR^a$&nnb$gvi2=`3K>amXpAJ%AbhLXdqdM z&)pu=m2i?Ty;*b)?}L%s@t-A0UBdffDpl- ziI)5qYbW8CH1OY)sY+l}YCCOo!~oIPGJA?=%}_>`XHeDZY4Tq;NYS02s{KQEprV42 zpsa1$Ph+n60JGLG0LZ=)keYW;U-YW(_dL*q)pe6~k#MAopa3~U2*B~1vXEDs8QTl8 z4zbv^bewKBEnI=?n#E`eki?LPH%W*jxUkWE>N}wu2O(Fo_cEHR$1&(pGcc-~Nl_%G zP9s^GVWhQ>x^xRFTZW|H|Dx&nP7!LT zRIWyNvoK`84Lr;)>Z;ywZlaqyABNyk1fC}{Xao@O9mV(Kz;hb#Ge$>1U(K1pXYHI* z29pB>BD`s2t1^RYKkjp(1Y{HBwD*TIuwj7G#xW5FF> zn9ez)|BFC^s?ABL>>MQ|o-2)oXO9va4N{;7u(YN`2rozjZGR|$@mQvF{l*n@xTw0! zeBUs*)6s<$A3V37QDQP~9Hc^kKEgDnGYe%{H`$~r1s-xJc;)L%i~QxYZsClGlfht^ zR^`bV_KZSsrG<%@X1@FkLKnI;1jva%DkSA0`<1sZFyO;M=Ig`5bTZtmUFwNdV?lt5q9sXc-KV7WTYTxM=yny<|(391b&(5~_r zqZZb8jaWnVYVOeR_s(RADDlJEqYxO~Jw1gi!Qp01iWD~d1*GI?UX}iyt%=$<__3qRD8XT}L?O>?1|nEZpr@UQsZIVPKdK(N`tMTdfw-w@dL^ zVp2!VKm(o+JT%^pb{wArCJNoiG~%B7OmPOSVbW`KWOb&rq3v{x#9uQ5oVK=Z4QIq5 zrf$mDzB7dzZJ)2|D*K* zg#}9-)ar2cQRLN4IS#m;xI2XwU@c*q&R;8JVlB|i_uxFsYR^x2ArX3T#$70mP`uvK zc@X@qNHvuApkBKAGAG5Jl}4-C2DSp7=NMrXe8hQ>JaQ_2)k+YiZ4$ zLQ3`jix&vs-Kk3THtNU3x)$EMu^ZEn{>*p{!{9Z<)mF3~wK5J3TiM^nYeTG} z{?eM+vO;|V_-}INA~y=IBuLI#rKe<$xsrXBs`vFZ=FgQIt^Ah~lX!u#AO6_>Z38I@ zZ>Ajy?|T#Dpn#+bLQ-M%6U)XcCn~U%?Iu>~8Pt=qqx_)-(=eZof=1dSEf==JRDPf? z0Z2yREeB&4Z_`!~Vi^CKJ43lKepM#el%hBQs#5b$lqbZ#WEu%~ZSCa+ilXa)!|g3> zJKj_}4V@V?Lc-oE|4HL0QP+C&QD-l_eT`Gx9iiGJ+mO&WdMOAND`l7dQ?(I@Q@gmx zJ*J|gaH74UtFa3C<;t{s(43pQ5ZkV2MCbYLb&lW~gy#+N-e1L`<{;I5*er=jW-Q#X z%qjv{oS7)NXsZHw5UIvHyexjIHudna%wFNc;WD6F$7~2OlYewzNlvky0d*%J#>5N? zXRG3D&8I}|G*zD3AQ*$L8UJ6|nDg;o(V-bvMntoUlWEy<*J-^i| z-yCba{j|M>=dEX8D95$orfF^n2?k6oBQ66x9Qwgrs8J_TjPNLB$}uH*#b6-MOwKCP zERrI21iBl`V}r^-OI2W^WPzs?Y3SL*9znUrw&KWWMpKs3mRF8XkN)mCUu_c-BEV); zK#MIhFrucC>0wF1@d(K~&SPi)=-?NXIyo)yK-In-qJC#b^Zt=ziO)3eAF-LGKHE9i zd5LMxpU$#Zqhb$s-i+e>EPFYM^C(u}c@*bPA9}Epkbk|DeWqmGE%kM`V%Jr!o^w}W zu@dqt+n&5|MVg0yfJ?c%|B|2?;cttB-~u##Z-k20BVgtlYkiAqtFn^$JlTS$m(5YE zzx7^{YIUCJ`>|yq2sfFDJCcM7zV%4z3MWzW?0Q=AxPsp_`EO!kpvG;ljLi>Z*7w)n z%73(3Jnnq_y=!aOwyW07FT;+$WH79mHGwS)FR(nIR93 z-@2Kog*ML>5cofT4I$TMUi`)R(ujAhEAC5N^k47pZ~2pZ@ArEj>K+R3>04OK_v(Ju z-9ihm6OOQh<^8u^^mjs*VB(_elNwUSAULOOrJWoUKQM&yt4D!GVU6p z*7Ng7?O?#~8MZ&yJk)K{KJsZsz!y(}`QJ@0S;x4G|Z~4GsFhUzYRSUkU5y+d$M>MtR*o7toqm&?wr?C!n6wd;CfPgbf7nO3&v|*H%td7$m4R#ZNMjj zl=TrcO&eRy9X~f{{~ism_3x`x9a2(vE{AFF2g$1_NpF%@NT~tpt*&ek!U60H4ud_& z?E-4;viV-aQ}kEW3&r}o#p8AJJ^S(jw_CJLhLRcj{^2d{1+qm!@b3VKB3|E&TEk}S z_;{Ndk=%?eD#WgSoJf{`J5*4LZ0n&YJt)!n40!n)@C%@Gc?wsp^VgD^8tM`dU}RH@!$u z>o5Ompy9Ugru-X?rSUCh@uHEJIovz^ZL0$6r$!dmnj88eMed%t6YY6T?yHzkpty#2 znoq9Ej<(dlB~oq11_@&g=KUAFC^UuGZAQ8l$|z1^Ut8V|lbj=0VX?#vAEgL{^W)#S zK(Fd1YZCMc$Yby#Ykx{ree{47B?k~S`di$xsYmA;-JYBxTD6r#oxG{<;pYzbPo7OhD0jcl{WPa#Vf4wh6+n6ZzxOdP@m zrUd;4Qr0cq5`L)AT`4Io)=afZ65L8r#Wn5)(XoNL)`MP;kQt*Hyx}DP;hzrWY`%j+FgbqJA2i@mx5=51>0ICx1aSXl1+*2!54X1@o6xM{$|sZ} z(>=G~yCY^C1_@m&vMGsnAM#cuW#7Lq`1`UE?(3>l{$WEu<#NJQqdP~_E}&A^-cYYF zEBOcYt!TKSr&^Eo6JHMCX?>$SDJGYa;&8f9LB0$8dD_fEFB{+Yg2T1)!g0g}n5%z^ zW7-u36sbdNG8+q5r8lkN7$t;MH3GO+@4)N?liZGfZGyXCwm1L8Iz@%^%vb{|E%j2((Vy&s&$we=~^^$R@C*%T!JZY@~Ej!*g95?OIwP`JnOci{a(eSA)!0 z{w|zww)O&5)8ddL0hF}TYaHr{JX)Hgc2&xA|dANYKyq7jlSoJdzVHMONCKN9t#NA-o>358|(U%S4>UE+30Pv%CX@Pp*{7OrBj;E!o)Ny1(* z;FQb`h8uK*=d~|4*>*RHnkm;Zs`8F=yj&m69k?Ba$EL6w5~OCrrE&~|il!0x4iX%9 ztGWJ_-u|*#-z<{Fu~4GJeN;`(1NGZ=ueRAU&=U-Z2|9a__e^_!aDdH#9&%i=rcCe_ zuZvz3np#s8hx@d(sIsprD_9_j-N4r| zfosOXC*{mO#xe6l7j^F#_Oztf7*RT{*Fn5DqMc2Tj)P|xqsn;mgL*$>9e%cD*MCyWv&sFt_h%wOhb!+%R8rp`=&TRnmq;rIB3{r`XAH<#@b z4Sf@Mnl&ipeU+#-p&w@Es_0r9i*uP6c8hi!#8gN*FpANqKOn0OKpFtoDB?e!O?KqV z!XupV2NK=PNsJ`qV=nR@BMc^1U_J@a2}gl%{h6CyLAj18F1`6K0@WspJR?l$#X^$1 zcAb72xrKejvZe}i*c!k4FK&h$#eGjq<0rM$;COCE zlCDB(roMgz^gzF^%!Z7(My6&4Y4(FDO0LJJorm}cJ+lNc^8@MTVq%Kq=o^`Pl8}TS zDt})P1?t)#7M$LcyZMc&@mt#EJMEw*kkTj=@k%}a^jLJdV0@d;=n{T0bGI_va^_h& zPDqF;$vg|Mdu{+teKSp!O`B;Bl|)HpFvP0yAQQHZ7OaUtf5hu2s&$$9A%n&}7y;1- zjC~zWH}94MK#1T{+=pFv*ZC^(CbtsTQis zuKEopnIT@#IWH!SQtt zh=~rPk!p^yrkfgzZcC8+rca`TL;d_WEsws=SR~@DYHZ9fcXwPc<+iyD7{!ic_K)1# zVYXHxHyaqSla0tFY;7^kPF1zqBxJ*cp~_c&^X{OJeqY&pgAv6S?#I@xyN*+gFjE9}&UdePc;uPdz;jU&icK=E} zE$ufHJw!7xn{Dp|2LubHysMT&l2P-;0* zu*yhE#`$EmZeuekvF#)8inh1jkSx3k_J6PO?l}WG z*FSU&aPi5HilL;qcnc zBif3!xJrHWpP+n@oIn#Unrb_}SHdtuNr|ty4moL1d}y#VZ`BX}bnJ0nj_Ny&0L&jf zlGW5GiAJ!zl~`lsq0D}L*eWGhv*Ysf$>yn|kdb~`ai5ZGz+Xro)P368kIx;yz*?@L z&m2$T`|0i>#g{&#U_2`f{}=dDd`F0**(R4?;AGjB1k7T}aBodEd|J=&ccAWwbgb>G z@?^YVSQ8C|L?hf~w>YAjvWosLNGnDH9tvSRnRT`YRRIPu#fjk|+ZLn%C>f1Lf6~RA z)PHXH#=m|%i=2^Z)RLS-Ko?Dr7rMYKR`iosIf=8R*utE)ZF!PLx`8rM&kG%?>y^Jb zB62!Dy~TV&5r81U(UAk=cX{IK>{t@!`dr@ct0oB3#x%)+x5u>a%r(pTft-<($39V~ zw~Gee{i9c$^A)1Ct$6D1nDd&;zjc2B|94w-!D+6GEBonsW?xj#+U)X1JjKK*A0Y#}<^ z&-8Uj()8vrN|NKt@2k&uW`DN%WoDfu^?&Jd_|GkOj0Xr-^yk`d!acIp1D!SR zFGI51BTw$bAAK_jmT=R;;RAyeO&&$?oev1gGT)P(s1_WK5WG?)_y&l}W~yd;O&Mbq z39IHZAN#m!SHXO*)b6rT0Bh=UK&M)^FSSqNGlW;QhS~Wka)06GO{bW$vWB`Az0;nP ziZIo-Q)b}JTNw;{3C=0Ng7)E5iq?DmnwqHp(^8)C{`bpv;nPtbd#lSt{x zf#<&QBE&1YX*YWf`9$3Y%}E8E%^Tw=5!EFZd~;Cqw&he9DIO?jrdvKk$oCa%LH;A+ z=Hb4UuPjA3x+$?1p_5zAZ9@GK3Li!l@l^~uk1;}KayK*0=!TBdh{vAU4hr+K@zyk) zlv(O;Ltk%Um{7+|YqHf9(2!vaE{B{9k)(-+BIRLQiItN|ibm9>_Soig*5RzwNy8m7^8oBtJtB~bY(b4{zU9p3=J#JP7KzX=$ z3!RVE(hd1o%rx~SMJ<-#Quj#?SrjX$84VqHkk*z&vAfTVt@WsSc+uO*iS$*_MRGZ< zM=Mtq%pfT<#t}zU^`>TKk8>`k{BL-~Npr(M6b-RjFm~dnAg@GMG7UC?XfVc>o@gn! zT^n6$=M3B#xJwGVV`s@jy_A!jlrD;SG|+Vv_rG>J%Kxvmkf-$Fc}*->@NN?tioQ4{5eRd1It?tW}b0>~>$kUJQA z|DtU(z0{Qmq36Dt9HJI?`tYe_Ag}#3!*CvFz?EH>n)}P$ysn4puGufIjB46i@&|@t z?cG~j_M`~RY?ml$acV9aQ5i_Xtyb$^L%|b@8AZi+Cvj-><}cmBgO!0f%G3k-5slC! zc0VW#8Bl_uw~rDGWs|Z@D3gvB9vpEiHn$dKSc+{zTwchP@TB%q60$62c>{$K*1?1b5cw-16VrQ7~$U@N(#hqbARFST*$JG{m_<|PS1d(_H9OH$LY*?8>9;SKuqkN za|SN-FEW$WO0I`Fx0J-O*f>gTJ6T0slj0`Uq%emEC2e0>br5tf*cL`xQ(7QH^aC=q z%ieH8^fGv?kiUFYUH{5P1AkLF#XT6O9q6pR{~~v>4_vlJ)#sv37Vbf&EbVGd>9bV%8m%24m<>Eu zrTOmPdyQBEw|=Gv;^0);f)ywT1u@K&gzqO*<19vfjVfMeRDD|;g^H!GD)2BUl&A}g zkpLpd7y@-1vJ>%MIWoZ&^)NVq_?COIyKPcVAmvp<wbI&1Nwg?7fg{7O$=2l z-Q>q>gn@#eP6$m&+=EIBB(71j)Kw-iR8Glr#&TeyM@e*qS$)wGM{Z6GCGhQ59GzAz zv@k;ufMeh!j7OQ&h|S3{eVuoKo{;uSBH&JLrmIb&qnun6Jkc|lK$*4Q>3Pgtgy}c| zE0ksV72PqET8GE2@4_cGP0<|S%*2&bz=&)6=!>f&3Fil}&L&bq^Q}QO7EJ?`$2Pm- ziJZ%=h_Ox4GQJ0*+Bcf9gW2<+Z1M1K($m$xdd3gN3-on_dAH^FB()d+gL)7qWaxQ? zPX^Nx4=HI?oAhX2DwJ1h*<-q}Dk^d}Hf!mfqG= zds63YI#ePsQPk32rEMs_&a<=D+t|2yA_OHVPc=#*$zM5e? zQjHbYGin$6C!@j_{ChD%Nd{wAXKO3kPD3J|A!j7wU>?QP74?9qm*}`pOqiz{`GM+V zX|tKQ9cD!~^u3?AeBh_;upJ^r?>!=AxH$JRs}4?g7cD!Mm?B`dA<&pHO+g+qH3D&X(sRLVaP9M?qpg&9n^02w;CaQVb1WM9*yyGOTa~;(vnUFe*M{KC-i|yPGd+s}+5m~xK9d!D z&YGBjg7s+I*^Gp$wG!w(cJTQJE2Ro6`GC7!ICA4rr@kDD)SFcYdvh++f^pgt&nUS1=?Xoe85FH}6%5Ks% zY08E|?TBvxP*Ga1TD@j>1~b9f0m@l6COp%U`qYFho(Cp7@|-E*PY(G!{Ut`A7!V9H z=RV+`u}EBj2Bh{L#FHXY*CgjI;nXt=2MbATx2>B`U&Z`rwNqmj{4SN47M z#TVssTSsi%Kr9@_%w;F#8AxFDkmP z`pTdqI0i?_F{ZPDV!P5@CP}|LRaqn}Z~MNl)hj-e7l=Mts>1Vh%oiEh^;O$>5?Wec z@Jfb7UliiUq~Qtf<-}+jjkl(t){qzJbfFN-TPV%|6RL*A;;^|RU-Lk5jB25KnP--n zkep=LR?u(gAxv)4a|4w+CLRV{Zn%VxW-@tj={zW-M2frlreS$DMz=^PMMVWxOrpJt zcu_(u)nyVF<*lPtfeFZ=6M@l?G?hY&`TbRm;b|!5e9#j+G|U8A-Xy})5#)YXDEDiw zemKr7J@H;sX^w&kmo*~Ugo&Dqfu@$jWXjbPilX(L9PzXv%1Wg6!vM_DuKc z*EYRR{BzI`w`ewIBsoVcg(0SLLaf{|QnqrS<5lB0aaD^6>a8t`aSMb$Eu?%ZrHCzO zI>p9}c!ZUB_WfKh?^zraokfWG!zyo%*`mH3x}_!7vFi+ms7dgZ!V%vNSl6}|Qn*9M=Wf?E--LeQ zP+>^rEEFU?`)thuarv)tN$vS*(#JOCm&{eZ`e~{ak(1AiLj`jLYVKLSZ?f9m3q1ZY z%-16L^%tXSPc9BnGYuP5A}0*0J3#hWVE*M?c|zHN(G#{NjidZ)y?=g6Nf=pKB6o#)WUkMCIVkHtD0okDbfuy$=iI)Y+oqoJ;xB+|pFm@-62QSD!0|iq^VV!w$V%5}L*; zO+80x*k>;1U%%(>sVJp(Bh?l!w0L2Ah6$fy#I(AC8?~!4hc&jR5btH*Q&=wo$ee*? z2N>kD^KKV>zte8NOxNEFceX3hQX3l!zlD2tR!`T%$UoEiYQ6a~kwvvARR&Vmt7vZN zy!PVip^oP-Ngb=snwKKgt8Nj0@r$HgbTf;J_UQlebN^QURN!|agZHSc|AsJKw+D;zO(cD z2sRx5G6o1)GHo0|4i)Ctb;lghWx(Lvi8w@jHgte;ir+!jzA$j*ZRi)e>R-9*4RVYq zH-d1{e%^m>27v+By(O=`Ph<~uKm0Vl97zN;@wfhSFJ+5`M&iq4SdfO;p@!n+15_<+ zTcziM%Nboi{ah#;Q>ib*7A%doU>&ufHnp9Tup}VXNYo9T^v=%6Iv2Dyeodx=s5NSk zkwlIZg-34rD8lcv_lCn=XJK28ZYp;k(HGuMAJ*8J*QT)&`^e>g%Y%1f-tUV~RM7v& zz=!P$kGsor?6vi*xvWj$F1z--J;#bnX07~|{gDeZ@o`{KE4DU0jWg{M}qHY*R6SD)%R~ky6lX(usLMAE%-0q zK>@qMPZ`(0vU}IZ&YME`L+n472VZ3UU9+R$6uVp!a*?$QKQ~b@f0A7sy6YmV)C`Xe z-*xem6+!>Cz_SVo2bv|@w0~i3{%z!ieYL-OXG1(|4si)q%3i;9c8s+x;lE!;hKID& zIphwE8Q7f87=@#IwwyTq?63-dJZER;ezb)gzpmURboKANJ%8%3*LHWxURm?tsj?p; zEJXcZ*Jw7Tt5_K|w7W81J()zzl~SGcozF>Oe)Qs+sXBVP=2H1UM6&tO8@vTU!}0Wu z&qurC+s<4b{nu8~f6QP%K-2)js~xi+GyIz4dZyWEKW_Y$i6Su*vV)VqM7DoY=iy&R zlGle|T@V@?LK_OcKSn0LS@k=8<5kSIE6DkE2gvz3&20@cI`Rqq z3!OI~EEAB|8#LkZO8UCwKzs2%Lf%iD&{pa0uP1Ah=yNJoU={WC2C`Ph-lb)n;McpT z+T(xt7k(zL?@@K4^PNd2Wx`p{NU*sjOgb8M;~uWK(TqiK-J!(9W0@Ji=t)%2+8c}7 zl655v$K$b&Uh%~n+qHLXa#B`0(Chab_pLjt^nscD*Dc$LYn(%bn`NW6|C2@}@BY;L zG5?-$Pk)i#0w4WPBwrXl&{7j}KqClmprmqejcvj`kA$&;o7}{U^Ow%v%=eDXq;Dp8 zyd5ov`bX#VIRd?>-8`f#5t>b4Bdrw#O%Df!nD z;8SMi2r2cDU&$)Np!9Qy6fuvtP%=xjH^=56p3ROx<@>L*{7)uY9`>cs*9DR9%+=b4 zk!GPsw#)3~a}D&@Cooa4`1uiE8}pyw8{g^p+aM`Mr*i{QPxWo*heoMuC!ue+;LD-K zDTO=vM#uvq(G!hQ-1rz$aKs1nWSBsTKFb|qe=jMjjAfQaC}K}7_^n&EUbJ9EGNejM z^=ooHQP(rH#DCb(k^hiM9<#L^d)TzK#j&)s+&wMA4;_7mR0Qvh%^^*-<=>8KvStE5 zC{chHJp+;}C8dO%W^Tj-i1xyW=b1sia-wi&FXzrO0p_Hl{=UKx<46iEf_7GNll%ez zT|U$_ju6T#2?H%wnQFmOIen#^(cdiDChc#_3zd@+UL@nsy8AJYt_n)U%40Y+we4dh z64{N7{I^1Dk8)<>7^fYYogIGtKgh8A&qbCduA9+EcH{UZ%qd3se$!;_C@D%}+8d(A zoY5hPYgG7I>RXfS^+l?*SxP*vTAneeE5YhRoX97yCizfj9fKnAJ^agl7~3G9RyuFw zVgIMa8NYCa`R=)v1rVmL!p}Ouf!Uk!I*>z%d5isL_3Aq@Gv1jpL)nT92)ylSvrjSl z?nYivm>~C--7$|%5MD{fgj0(m^j3P|!&phFy$&g#DYNy5ZcQ}qj%e%@Z_XmNKA8Q=+pXOAvBlD^EU&*%=Gi#IJS>EkNxlEdvVm&aLD`- z&Hb3acl9tTOL$(7FiV@Z)3SSB`;5H6@(In72}blS>Byfr1Y%y|norD%cbsP|=##Y2 zG*yNNThlU_OKWT7I1qBQs9&O*ZfZ6NzKU%Y26PkR-pjBxSZ)7!pA?__343kuvT@CX zW4@apil%<5M4K)z46%)MlcI(nnnW_3)`>^`z{m#J@|$F|3~=EHxy!LH#D~DhK<11E$J2)% zIyZNB9k@)@+@fho_;hJ2CYiZ9d3|Bx*bxgQR@L;MHKGgZDKB#6jAP^mV`poQ_M(t}-CnBZ!~BPK`Fg6PHU8>XoEq8btNCkxauVA;fCY zs%#lE=iD_t+fRrh_#sv%IwB08nqdb~w4iS~SBq566xusiSzH28Q*FWWGXIQBjPfQg zYeVZstMiG#U%G~pOivYz zw$NgG-6&nHaWsx%j)|EDK@(dJnJfallM!#!+KX!{g1W;}6=b&XJ{5@7e~y{~L#MIq zJxU0fzkwf=$Q+soW`vKf;I?AFYTAUulhMm0X3}EGIBzWzvmwDmGZFgvT79u(I42Fg zd9o4YQyx0TvC+oV$_}H_3=eYsHuZSN@5lF%9Nd%Qi9u%QgM5ty>U~iRhCi@%SRUIB z1U+7(5KE0Q{7lDy4F@qwe3@ecl)pl@Hw~(kqa2F_@mYdBuEtZQV!J-o=pwmi%Z!Ex z=P|yL>!n1`o%S46rdmwI(PmA_rzq^~b(%4sCUl@m2n8xxJd6GX*#zHd3BiHEyY;?Ts`yXa1-mmM(h0^`G(42{Zh-E`FJ zx0H<3XiYX;1^K*KvwXGFFq?i{(Xvk8)!}1&Scx&mjyU?t6n%bL(eZ&bS0@8x25(#d zziqGFeFYX0;&kTWXyAT~pfCm~6oZ({u|{5AMD0dyGNYT&5aQuKLNY9|yX-a$(Um(G zqfa`B5nYvqJTgziVxD?1~;d+PtKXjc5Sd{hN z{#k}W4bhH(SsyOyOM1w~CXaXSYEjv{bQW|j!NsX)k9%3=yq%Az^LoP=SV-GzY! zW~OXdgl8#aW GC2{~^l2w@Pe?L>_{lEYBy8c~P3tdLz@XYgkf8YDQKleD+hs{-| z#Ao2&FSd?1N-|c_rT#y>ZXqP%as7gDbwzg40Ma7Oo_7Gc(g%51S4h)RK>GO*$Wc}=N z9UVehdkco0*0Bmv^6eeS<~#k{W!}Z-u7Ag9Nu;b#VeW=!pKC~;&>M8%!UC%rZNI_&glS$Vcn*4%*gWUpEN`smQkag5GIor1?gpl~9Zih; z`vbW0RV3%uYQIa>Cmv;2RPUW;(V0EPaarO-`Yu1nG!W0V%)2}(Qh}8v*G>+^@6V|d zn4!wGY_{=gbydRn}8m#Do_+=#58YU4aQQZfshZNv`4LdAU)%k91dz&oOY)*KFg;Ei8~Z4h{Xr}!|BGvB-A<9$>1Ex-*`(v7!xRSbFMW1)+@8Xc_85dFG&Y&Igi}vS zOtmVidaB>JDhuy0m|QRkFJp#XRh)7+xW3AY?NtcPcN$c&gW)?T ztySonQnoIao=hED4?iYD$*s&(j|fePMi|sOZI)udP~(eaJ>4a9g0ZO&tbamEU^8Q? zEhLmcYkoG~aIf(3&&F6v)~0`bvWZ*S9SuZe6A^W+@|!{Q<{sz(`vIC`WnESaTVJEe zzbpCcJyh#fk^_ltqbE{2T|Hx4AK+c?9vo~NJTw1LRsA_$1VawP_B|qKGIDGj1s2Rz z@p}qWn-S4)t}j8y9D7h`k!#f#*Pd>pUTIQOET#YPMhPi45a_ZPC(11p$r@L7T^4sY zO5P*HhC#izHeUCxnC5N4yLY}xVg0=wL^aJ&^cK&?bGX^AdbKHqcr_SrUP{A5v8mvr zk$#U2?YZy7Dcu599fhw43j9(-|;PLEWK=gmfwufE-F%vxnfTC`lzH9T}?o z9JTPqe7cEz7$u#xFeb+Ob{}|m%!?0hrZS*8_0SIM3g>LRg~Ur zAq7KSoJ}XVjgq;mwF`{+{L4QlgVdMb)9{# z|Bz}X5A@a<)T`z7+E!*V(qxD9&60;>{OG998w_y^G&8GTWnCt^$qmxqqVJd^guEs5;swBMq~nJ}xxK6pDr~ zY4ncCB#>7omWAd)nQA!S6LHy;&nCh3@Hnmo)Pm5(4bq?*S4zkp6r_V5f@7tGW6@kNg zIITZ_vcIeM=lJ+Mi|mAd)&oWFY^&%9M{a(~dwpN4)f^etwDu%b4!^r(Kw3ce(5H&W zOF}x-q#(LF-9Y^CH%=D63s!nXNu>gP+>*oL8!fDON~$I*xsu8~k#X8Lq6IE(WaS_< zyu-}t2hj~3**IC5xh$GFSuk%VIbc;O3*Q5Mawvr{A585$I+%5eL95o_0^J;WDDxC= zKg_pd@z9Wm_7>QuS;MSfqhi2GYy9-3oJESQ@V86!*C}C!I&<21QWPbqZsKf8$Be1p zSW_WS1r1tJ4aX)JlbrSCl#in@s5-s!1hx@e^z0fdv%WobJ-b5;n?bj_%V9{o zH{X(gH!UT`be@}ct-GcPS+$A8lH-c59EZJ>)zY2J8OUNHOOqI@lpN_*G#nX$fz(D(l7Zo(;muVH`L~9%_0#}L zYH+eSEN6~oSuUc3Qz%X7LR(GxP;iufaze@73e6jOShTLfzoTIMESKvxp&GfJh}RH( zb~WzjY}iV3~SsyyC-X+>IP%W>Uf(nwO+%$}cw-Hsq$I%jvRF zq*PFl{m8)aQZmL#L5G|nq~m7AY}PgB)i{F(Dx@pakC=EpT{;M7M5wX6L#@NtgPe0| z)d%6ji$|MeU}PTbL|La2P7HK?mKsrSsL?*#VB`N*2_DDU9K>rLY^tQnYX${BM!!}gX$37A+i1*T>!axP#!i`^ zh2ACaCNFH}A#1_xi(u<)CvNWN&kYa*;&Vy}nSP?;N<43IhOCS7G@5MAYpQgA)$gST z!Gawo;|X1#Ml8KY!HFW))ETt}(=u>!TW#QGSs`x=wqB2Fy+g3>OdCla+sdP;Z!cE8o9peHI zIwFR0&m1g>+k$(V%oMF0+{JL8bKM3)VvQR^k3a-tDA(p>9~gu}#vN=tvJrHYd40C?}8sLJVryI3ku>bjtfZTM4X6VJb9 z)Iyg{N{bdgQzt5+w%l%``C{fBL+-LmlYO|@eVgdwf7A{JhJuE6$DsdL?Qnd#h3)D* z_aoNYl`Ma|oxAM*0Qce(@|y#y@`@a->!WRr|nSu z;RGx8G$Agqov7Yscn zkO?Q;QggFEcYU{?A#=&fep;08%|GLlB5=!7A6PMC@dfd}Y;d03$BCDIS+gcVG_PLb zEm`tJ{&EGtJbrIULE_qaPr3wfOxc?f*5@h3g6ZtsF3Fn(AoL`bO_#a~lO(H|qI$h~ z#(+m)r*sX#z(a=>EdILtOr{r>s2CWkq0ZIp#j^xd=(Do^>=$|2=5H_jSm2aUMc+x* z7Ea5zUn*R5k5hB4c*k1>_MxHIk!ax(j*aqP{eE=ze9`pOg|Sorp6Z>hKKg>3PPGPy zhVN?>dfnVXh&_4OoBdu^FI>J``N2GSXhiKX@U~VcKajJxPpD!UDWOuA1TarHA!MYE zGIq;=t30+g&em72{s2|2U8ImZH$c{vt6`2ULzS`>6^m14C4&jWlzvgAPu7s_etd%# zhX5DSU6TD^Wb}INlcB@9$q6mvp~k&Ad@~T;l)zd2%@2#phm{?TbU_>kfXbTNgpwVvsmRTHPJJ@WlUiIM%_7V z^W#a`zPW)3OrA5^!V(0wbzgRf68oGJMKC>5y2AIOavs4CBoBc>ZA_J;(xEs+UqXta za;;-}xT|B5RDVn4Zmxcd{Bp2S^s({>FcijlE3XoceNzFg+r~L_EY!8Y89u9d=f`ga zpl_g~u+;j_Kr#2+(PmT2p~g0z?fOFd-<+WvY3q-6?^1xwQJpi%qqHE=k*?Di9uT~CiflT=*pWmKB#O(OZB?@ zCk6_Rj*5IUY+aP`IWKWr(knwDTWn@})NJ@wnidUQHi?wqm%sSpgZ)kpigLH4km9*o zUei4H)0CXY+q?;}vWC}liRKjAe=>B|UkY1Y>o^}ak3n3*47!Mm>I?O~>>W|Xu#jtVDws-2T6UTV5tz|Q!w@wH*#T76 zRd-3xoRx#45KG)aLRC~#mE)rqolNZ}p9C@*C@>IJfGf?T9ii&ep zoEo8wft8wU=q$1mDYOiyqp2yZfkFmgeZy}SGOyL>pFzwp5Vs2ZkI-ekpqJhwRQumdn+#CKWa3Uj6e49VM?+Cq}3_+swgu#iQlgv)Y*p zV+nCesC!_KNuhuxRxfZ5M8h86+dloRM_Zq_bYJv50xbMCN(kjjO7l|zSd8{fp18As z{P93r{on5cW2nq>irj@@K?)^0?3!p{U4;zpJ4gok~J) zuyu5=L&hrzVIBlOhvdfvUyXX9Qpp%r{?Ua9Mc;=B1))g*3dEs->nGMpKM?ePHgTLU%!?Sf} z4|)~}{b%}}%Q)-E8VFsS70Sr*0>kz>;fb8+QB2t_pN{V|S-pn1K^`j36dpQO^BD|6 zQ^*{Y_wSeor@Vfih}88Adk%Q9K`Pz<2}q^JeRT=!vkMrv z(w=eW+o6BBl|(kTk_bg5J9kbUnaOwQSG9WN6wY648FLBnYP;pQV1ZkPXX(A4gs%2hsoL0*0svruZq8!Y~6Xv++5yykR+V68yXe!UX>AIzBkWh z`_!q&my)j2Se<_`NP9FBAC6GuM)I9gegq%d33W`cl8hQ~`n-dQh-*J+2uM&Vr;lu$z? z=-XV}+d|)33J&x`!*4{~qb}}C3~L^ARq7UY5Gk-#rEs<^Wia!ze34;7E5$bWVEGsn z`r(-cQK`BcXn7=Z#FfZmF(3fcc*^}UWH2R~&6vNm zqb@R}r7*(1ot8xjfG*mb{~(N(fwZ&%K*(Smc;c}+Ze>lkdOm>5uqKn;2m`Oyb1x@H5C}l zyryRam?uq(FRlhx?0=YuB$tL zqH~8;i=g&Krg$663TwK5rkbal9AdVCRsYEtS*SpZl5*5WFxB9s^dyE%YW>+j>%TkW zYwPY>WJRXih2zAn@uWoJhmHR5zGMeg)ga6t>X#|@SJ~r{7XgMPR;ze(%QctA*sVqR zfgxy5NUv*JRm0oHaC>Y-ZphBkteL0AIiEm42~~2>6}P;7Kgr11+8c(i4Grh{EkP!m zSEMCx(%P+SIDa-$I~k7G#VGe;Pt*S#o2>mN+>ccCuBH*28g7&dA0_^u0O%7*5HC7L{@ z?E$Fyv&=>a8}WT;-+`^f$mnS)m0%V6r7ALgcukt~?Q$j{W#w%xh&xw}b(+-6z zG=DMyGP^;`kb}S48ZIfBF=9ZqHnzgu$y0oYX^j77D$vLYX{(ItF_&y9=5F(j(JipT zfYq~^Y3xqqV#NBYTTw7lrE|_V(626yQGLP83QD^434#q7OaO)~Rc~e#GeRgo;B}T>~OIMa^xIe8DOdYg;Rmy>lb);}fSZ zTg>ymdU=g?Uj0`dE_OF6%pZB{fA9GAv~FbkESvK2F*}F$vu}RO;J?0p{jb0JRJ}6n z@YPkp&2_Azr6%8w1n1kkT=Hjq@}qIpC;cqmZkK@Wk0(nK`7{43%&kx0xegD`Z32&s zWlY{${L`W9@MHX%hE2m37Mq`9YUA)%Dqi z(V2*f#wTmh!qSi(ljgnwM?;{J0^&8VWG5rr@-G$dilZ8#J#TjzO>#v4) zU7K2I&}18doa|IG56dK4h%D_C^Q>$IB{TJbi;58V(}ZL6W^C(wTH0SD#6G)e0R%Ru z{!?9-JCiBVnx+a}R^v%BOkdvfX8w!pU#hC~yAJju#%e0epRD+%izqF|@Qo(K#Db&k zl)ME_-o(5&fC6E{*HOhH<`7syOZ8}3D}1lG1=QIHkiNCP$xJj$zwUmh5wYvgoe+*Q z7YfhpbI=x%@?h`=6XMHn4xT|ktP-SeII7YP@up9vX^v*3T-);VBCc+A1R;yyt!gf@ zV>D*tiQ}4a?i@n~P`Uo?C3(Bm*S5?Z_buC#`a?0kL813e-UkZxAg?$<$E~!iq~*u5 zZl-2t8R`u3TJDxI_SOl_`S9erNv0yALwcf%&*`>RM9lfUBBDN*sfbuj&tX`8)u7JVC+=oFDQh)@#PL1}>C_qD zc*{ht|Adx21kaNm&xzjLLpcwGvy8oz)4=Lqn{IVo?yvmxr5o@~={+*jI%w(A{iNF= za=K8WIUjn1nh!BNMU``3nfX%q)U1V@sIueKI)K3D0Y{w7kUNTpW3)4Eeb-fWxrtMY zQwQmrX^bZntvWatb^`%ay!hNu3NzChaeYT(;2A)YauyUIrz;UUVQ z`W|q*OglQBKAnd+n+%3p-mx>hk}YX>TfK+5u&c_txLYy`$(y`xrhkDzd*k~RsTYsO-Zq=QgO-*aBtjApb~ODvXQ8s>PKM-DZTaFa z#W3J^c4;-~2|fj5{d-)&AT4EZU}weLZK1HB!;`pm)L#TgSyivN*vhm3h9APqMrVqiRY3IYahNgt@sGzq~)jI&lHDqF4CtAfX; zENH}5Dy%CU>V4wR^oxgJzYJ1hVR{EKLyPNI#iW5@Vz$tvJiLuAgSqh7z6g>pB*(V2 zaiSkmiOnzu$kBj4E`ZbrMrLh8=7cK2BS@wcI1J!G$f_9& zSJ(E*P9~(4l(V^q0p&dzPU@wd zRb5Y>uC@i9{b8C%o5;Xsf7-&7a%(b!(>|!gZMJC4_~aM9$x?SZjQZAC*>EdDo#_HG zU+_pY%eG~Uue)q8;m}{%Gx7{(Cg$?oOWlfStrLd*r;S~T3rCaLi80BPWRB?l#lT#b z6V%=FG&>?1KpTqt4liq9Vx(%tKD-9Ltk^Ro(=%FZrX;utzRC3$XYCl}5_0wC;@YF- zp;gV~pHAA=QLq!0KBVQlQFJB47+FBy=0?m72sdyx7Q;mnoKWqTe8Q->3N+*teh#u& z(u*69xOjI&{ipj*BA`G>t2TF{@iD&lUKP+v@Gr}H+VR<$I1baIq~z^kL+-T%Qan9um8?4ZO1hKe*y z9;%fskF}DDG-HWJ@knmDe-Eir$3uHG&?O%!IgkFRrAQ%4r4xk!#=C73F)YY<&N*ch zRjz=a-XP6B6)e~@4Obm++oMUn5Nt1}?#@b0#{S0+NHa617ADqO<_k@wOTjq(E)Gml;o61kTtcEl+~M@G+6DI zDr;{zx1Y}_xHT|i+F0o|nWqk>>mEd1woYinxZC*a(P1Bd*6JOS(EpsWif41H5^q#WX27C4vWHyx_gFW}KrA1L!{y(fYl-ospW(AjVlJiQ_(5AoIRB-? z1b{fgDR`ii;wr^Y`w9tZ#uQPPWocM|vrt(SB62)ie>gcuO3Q1znO%BiCOam!A)41+ zkt914RiqunX-!*He}1Ile6wxlf(u2t!-Qu{-c&mto$7Hy{0Lo2{V8g}*_P@&v_PT# zO94!j3T;fQkE5NaJzr|+!4n-R1ekwPYRai`_$vhcBfX8(*!Z7(+#}zQ1=a0_2>1L+R8mgsuyP& zR1f`ikp5PW=zEA0d~~S$9+Ncl9KI*sE)3W}f0_7`Ka&`yzup}I5EYi6;DfwC#9W3i3JV`2`Pkh9VIbi+!7PS^w6Hp zH>2A*A8edJXEd*?LcS{FxFV+;r!Hy8xawG(G0U-s@{_(r-RY1mq38?NwBt0Nub(B1 zN2&-HUMxzhM77+l;JOT@3=pNEhRVcZ44%I;`A0JfUKh zvEfp_!oVx&JYJQagm3Vm2lK+Sx<7SSf)Bx+6)eL3=qb}Y2BgRC*vcF;(0i~LP12<} zm&ND-=ErwVMdbmX!MNan*rEO+M$PPED&l1dCYugJT{^jCFoIEX>&~Y{`hpZqNQCH0 z@3i6O{BssbW5J~Wu|5)%V{j;#%nk;q>Q+h;l^QWGBAxDoePJ^8JxyRD@paA5pcIcwS@@6Y3P3*~1`5Dq019YgaB{R|+Z zhx%0c7WG;L?I-BQH4_>|ANeS9mZ#*86QbQmNM=Ujgt!|JXh)n4|1WxrQIu`$t=U+h zx{iNokFw1<4qi+riybJ?i=3S2F?q~U)?4hj5U2d0VW)(p?8*=%rCIHW2u08I+>Zul zAv^c7!8O0=rC(hZ4wbT4@(|Z0T(@o2yyx>^@JYrm`^}T+T*2At$dd2_W=5@5 zqgf7ig&|KmD*Ueapf>=Frin)mD_02PQw`t?V1YV#j1YmVF0fy<8q0w0?(CbZtRKJW za`P>_o#G_RnQe!e@bq6z3CB0GmnE?FmzkaSBlKyMXg0jT92SK=nNOUm;ZhiXcd>ob zOgkRyHhkNAyL+Wl&B-t2w`>L9y=vaMAU3O?A0^oDbFSon`N= zDoJGpgI5$!{s|%K8cl8sD_4mt1RMWB0)>FS6a8+1>5nw$L|#Y0x8k@5$U1E2!y#sy z3Mf$A#%BrDNS*I@7>Ws}CDpOmui|%a$o|eB&6m+P{?KI0sqz%r#O1F$TVK1}DEE8l ze&_av?`$sa|5~D53Xj9UX1#7mNjNZ-mqM?iMG%y)j!^7lPv5LQKB?NXd_>!iSWc7j z{R6{QL&SPu<{qNj4Znlt$^uB3X=H?){rP(AU6BN9IIkChBS45o`rS9gM5AocJ)pXi z6xz(B&?ZG|YdgRywwXzv+3C@~7`LAm2|@jhKhbZg=9RoR?z=Lrz{w2uYgd8&Xj(jq z0V!c;Y{O9ub>H_i{o^Ph&TXp5JF_;rF5cLn=%1jRI*WBTqzsa)_VvsEE+8i5s@9pV z<*mDxMFY%5maZtcVkj2*BBj^I-dUL1d|6SoiBN-ct>k*xGIo;iv+N)D*JTP}M#9H> zz;z)`wdNwCGz?jXA_$mn0o{Z6n1=eD(_)f|OY_O9Vlo3#{!L|Es^~2EUvSXZWOQeL z*N2@MaK#dk8)`CQGQTVAau6*`DH7V&s?DTR@3pOYzO_HyAtV*NdAt>bAhMWtGPHMH z(jIG+{9_@-FM8!BDL)p-$uq~c?&_m>TcRh4(st|dU#K}fCi4AIRhH&%-2z<-nURc5 zpN@Qg^KS6CTs>n_pvp3_bWP@naDH?QvSh`68AB(SYJ$yeGBavMY}Ev<4@Ub@c?l$z z9u0@GaqNf0dmY5TckarSM{$ar-vTn zxc92HCMLESro|Tra(sA6KLv_rt?H)b z!wexIh2*Q}e~9Rd{7k>kh4d7P&-Dnj)e^({RioYGWbV>w;zIq9ghjkz?8YDu zdR@kBq_I7>IpomD-U#hfi|+iN+1U#~ij)9vzTYMvqu^=3mwGPx)_>a`o#`_QS{_`M&856|hh{_B66l>-* zeK=AC0`rr`aRViKs9zXtPJH^jrim1XB~v$glw?^fcLVThis4CI)KaqSQLns4V9OZt zHnz-?FpGRhkr78%0@^6yCfZKrcn{Rpt&Zk7W2um7-C-1xT&v(LNY3a zz6BnNpxJ87^ecv>x>}hXq^)j7Xg9e~Y>*;+0$A?ES@bo_cB&~89VG-=O5GrM+?MH5 zj2|vM;ALW)^ar08Q!Cw}$rOgIy&rAtdcemvHp776;cnEHBm9L5!_e+Q3>MMa$+TEn zo~&ooo+hz(GwLLm4wi`m`U)XU=zmsn{7et!c>k=v^V0FEY@RLKSyw z!ZLi=Qd8mM&w6$AfC~8B8^iG?(#ZA$2|F9x%>1*HZ1V;e?g5C1J`p zxsrRXX<%`xs%rE_7w)TubTJxUs`on?5N9nca8+{>@T>Jt^DB|M)<;YJ za6d41Vw8P1Ea zCifX(t#jOdh3!1kF4QS_6}rZduuQibg8?F+otyF1%y~{uUxm!_T>D(g{>;UDsMT#l z|SeXu}=S%HM_#%dDqG3t0~vS1~tBwgoU1-?xJnZVCBm zQIW!n=a#2Bu+lwr%8Q))7Z3X{kc)JfpY4@j6uR-?E}xL~;XC+yq^t#np*sM%-TsA? z6{FZ!>y)oj?7hwUJnW#ZZ>DENcvGLtytB%Kx|yD;KEvC)`G0@v;%j^2w6a3EBHvV_ z@VA4FqtKg29pBFn=Dz**UZxXVFq9Vb2)R*=rfQypGBLl)ZW@mk@jNT0AnxuG8XEO{HrjqS55*qd%-C-x?aDM$NO&vj3tV&z*8E z>>Nuw%WgkF$f{iyuBZ9Jne*aCm@honw?2^0^sd(8V>BdPamMoyc(=hGfmU0C1tqQs z&1D3l=vJE;-r8{3Ylu5ts?e1=|fk{K34Dbh&Amx=``ii zSmBrdVR2r?w7_)#!tl?FU_eEN^N!@d*)!EzniEoIl16Rz3AZ6M79Wla1ZS9e)Y%9Omj)JnL@=F~(L_>3V zG8Ipj+Nk7S0!g_{N%M9AxfDT5y2^i~BZyL__TWDOFpq$)OrK!>+}+}E5I*Ax`TenV zb^UuBU`9WWH9&v-eo~6=lYuz8J`*F+qjJ7<{Ij0V4ACh zzq$R_=;GvrgJ5vCym1Nj3p)*Nr_pVyT74DsdOK3budJ)0($2DYNh(hU=(PAwcj><#zxZIdrvA^1?+4$j-BH_&qTr&+CXM4a zs);TY5h5P<9+i8ap5>2A3C$@^(yzwDVN(T?H@ZVAn(p= z62C*-8MJt>wA(45RjjpK@)G&YZq|YtLXI119QDm0>li6#>?6cC%LiGxv^-?iJP@D1 zQMd?OiI`Bg4cez}3KL&G)VO+CrkhT-O)mXvtyYtKKDO(m>^6Qei`@&PT-%s>v+S-U|N#^pdtMN?Z z6OU zmwAqIy0vknr1hWejdznFszX$CcqkhDb z7(!ztRpLDj=h|622}!sJ)>O@Bk8lc24s{FER#pWjO8r2z6t!zU4QCduRV7;=!I>(O zX1;##@WI%94vh0&7P7}FRGMqG7*XSzTCFq5@$ZsusIMb>Yftpql5q{6 zNzpWt2$=jpdWP=XDpDkX=}~V^%skaMRxt=5FDzW*JBDIR{8^t2 zUtCRU>v?1W6!$orJGfcfGltsfTLrbp9;zyud$|6}30c}i5%3#06jNpU0KNlJ*``dK zSI`B|hO6fWoCWPjS?H}qZL&5cXHks#ZEPIQ*)lXhkHrUS&S^288$shK+yUUTRC7K7 zTb!vAfEO!ulhtZv)^|sq$~?3M4oQ22s0rrjKKt) zrYM=q9ihT@Cq!M_a*tU5r>7vCJgds#PMInr!+J@_`!V5N7m7cfF=oM;|!w4B?FX10@noI+7YFdPy{> z(?mR#QJ^UIuqh+d-Cp-r?~1-t_~b=eOn>cqn!C{qZRzJ2RKJ2`Ly)~GP(>m;X7k|G0Mc|951cX7BtbyBQ8{<3X$iqpVjJ+AW%HKf~8~fz#FyXVwzd z_N&jGU$dKE=J+vtuiiO-zZ3iPa_9RkBhIfoclOQnE*W+bhp=atTYclymKw~7{*K+Hj@)p)kf=l!&6(M3;=&qrPp+<3K5cdK9Hz$*96{8_FmW~A8P zI%JDueRMCA<@C|A^t7G3YG1E*shVn0zQOVeRtD6*(Z|}VR|N!D&1UE7msNP;=PFms zZgKTiXbyq=94>9m(ak@ks0-T%%b+xNW;hPv`yD~w-!WOe3_+|QQ}x-W4q3O(#G0rt5^TCb4yA#?BYx z$p+d{wWjgTsaM5>yuLjrYklcVk9A#naMZ|~3JR;p(t8DkwZzSbh2@Dbf$c9o_yKh% z5{2kl|4t<2e0FZk?t)(#urggdIN-j)#?<#bk{(eoB*2NXy~k95N`fRxF5Pi52HZYv z>!8JX^R1XrG#>-z6tdsJ3X-VBxG7sL&`yd@;G+r!b!uu2?V~0R^!gudyhZbnZdYjT z^3`qQIU!B0J0rE(jkkPT*Ny!41@KSfx?O2X7>$qCW##@oIfA^IY(5JtOA7Th1>_u4 z{*cuhLDC4mx-s(Ske&w0eh-b);D{( z!&!tlc6q@M+yaflFs|Q)B?I?4=h&&v5=vCyeU;$%8h)D097*ZW{#%KM$lZzsBgqQZ zh775(m=X!bP%$nI5^thKj0&N6r=9U^;ww3r7LX-%Lc~v^2_Zi1)g}Eo3yZ62Jr5wD z#uyW%d!~t0E3>|fuMg_gXLrMDB!^QvwA<2fepwop4ZvRwV85uX%6T9_%!5lVn=}#W zX~&DcAFKt(oe+puXApw$;>Z8$gU-sKrwb3Po)uoH9oWp&;$_|(mSvwhgdII0`-Ta8 z$#y)};qAqY#nd$e98rTOzk5J5jIINi4Y8C^9Pmw!23l^Q#Wy2#uw)B=EXWjT0NnU6 z@Ha?(^GEY0Bk5-i=cC(R9D4rrpTlA`FS-I$2|Pnlge4w9DXt;qc=i0OX*`Rm_`$(M zm^^?EIZg=rstLj&8Vby%MbzJp2ex`A#e#LvKS+qj@DlG*lEg!j?Zc|O6_m0tiTo$C z4aE2yS~&t9C3rUmQrtUI@LspT)mJ8^BwD5*Hv_~C6sRfdbB{13-Wfz`p$?CdH?&19o=5AY`8ZD-!rsN?A4oPESSGdmZoh8f({hI3qP#-p^I04TaEZ{xUud9Rh!e!Jez9NqoK?V zp_!I5r^P{vpD;C-4h3i(3v8wwBDOx0@n-9zI9u;CEFpNbKO3>(wN=A2Sj z>fE};y2+T;q(q5h<3KYpomNo-*$T})7@-7dv;c)N0;6n`{08JT0;_qE#c<3}k~=Ma#n(Q(V{7nOcxH z5OFmQbGOduxzQveBz20-=@$A_jIrnWST8SPwV22-b9)-iLmh|^DZ0MsTW%#J2|ZbC zZW<+tr8tJcf)!UO)L|El>@(7`zES5Z%-dL;Uro!D5e(R<@Mtn)n}N{?g2*;TMebHy zT?Je85LEfcQ$g`z0gsv0tWo;3A`vCYD20%<2%zZMjsOvvr=%@fSmCiutk!orgh~q? zYL>4yolm<@+AV897X)wX#Y`7%3@S|4t{2;)P0Q@O@t8N^G5=TF{>^@g;{ukwgZ=ac zc8*^fJ@|zahs_UWKVaPo?Q;q8KWuajnsrU> z(gP(rz-y||?c97j*EUDi0$5T8GeFOpEqh z*9b+qcR!-`{K%SiU-kWID$L7_7nbw;pU=$EdF|H1uKpfxiOrW5qV$7&FJ(< z9c+|8c@>bc0`Keky+Yn(`43BvKOTCVXIcNxugj@B+$JF*e~UP}fxbJ)T0oxLL*8k} z-#w)4?dz#K1FX-xG#4t^tzCo+oyJ4!XnvpT5J|`eTs`B$>#}W?#-tpT#+C%MF8gG} z>8ZQbJ5AM~wJ1*}t2zK~+-Z`@7@9X)mn`UL*kzX6TN$26~q+|d3y8nvRScia`TIhANgA|Kq1$XP-4O7zmr4i(3^JxBV z;>#N(e+8qc;}!E6y$58Ovuu4hQQq(B-A5 zuJNzBb-rnCyQ_S%rqUl#xBB-wh$hNdqfhfcv=`s|4IEqepU9z>+a<>V* zdF#3^b`Fw4aV_`FzY)SNu17m^(~sEOQ-}v^C|LxdX(yUTq6&r&qso+!EH|^RFcc@= zhW%5s=5>M)G}H40*TQn&Hq}nv;kXCM7|UXo{nDSi$g-s+o8Rf`u@eG5|W>G34Qt6T;vh~nOUFWxnKj)w&u+1=0kbJPX*K3{v|TFRc6`eXQM zeHB@%=)EsR^9!6T#+A4`7TXIAAzjm=+e%jYuO`xyiW-hCKiViJ*00`8OTy^9yPSYw zF6As4A78Ou5WYAg5@){VU&+3csV8vLPh%cNHV6HABQg@*yGE|TSC>?sJ2?;nbjN!8 zt~Z~3T-)_8(>O1%3;i{NS>N@96gY$?c{JgTKZmYNRy9QQ=f95LzY6v(gq!flN!=NX zImdGtk9fs6dCL@^6QmwGiPOHhuPTbDv~apnklU0 zY@4WTeU;I~>av!mxd*7Z+jx)A2g95KSJq|AeN$1~Dt#;pcns_V78N<)>S9xo-jWCp z?sv$*a?@fo8ypT6nfKg#W*)hp*){|TO9$Jxd^J4bMSwC%3%+NRw8|3q{S!|~M~jqu zLBVxXST~)Ut1F4ek~&#evv2MtkY=myQKC^rueO;M7D6@cYIx^G<~P_}6{!-`RLRy3 zY>k7Ru5_0ZVw+8iUl=?~!U*MHiJ8M_{#i53pg^uBkwS3FVWEgn;Ho^#G{2T?<%S{c zr?7ECK@wvO?w65^V&=1O{bVHK;8ke8=nmI4NlX=yr+ZDky)mFt9WS6)W3l3Wo^F> zNeI12s8WRlkgBLC-9j-SsMG-jETAGyMPz7#8o)x)NP>kBkeY;mLIMher~@dFq6k6y zI8sLH3MB$khvjX0ybbVDTdCNOJ>E##TfGRu}I9rObod93ORS>c)S%fv%9e_!~=1 zuqXHrXXyR2`G&likx{TI&|?_;EIhzn0PoX|nE`y@mTr?H5&;1Jg)Kq%(e>vv@vhyRm zQay)chlj5>#3y~u69ZAM!@bQD@A?MEKg>tm=hO-h_n3v@$+PL2q&YjD#OSlW)=_#$ zB0xb`)od2L0{kv#=GqFU#>bakX3yhX>~Bk7PvL6Q#BxUg*Dk6io(8-QfShD%!%eFJ zeV8e{ni@GWcuoT=gbkyhCFK{RIupe(Et~S)%UVe)I6TQmrKi#y1zR_a+tV9v0Jaxc zmBcsZY}72*KN zutNwJgo=XLAur$m7VaTHi78eMUcl>r)(Qs2Pyc_lf*&v)lrZQF*`@%B1OKTNEQu)T zLNvwUhE8HS^Kez5#6*ZfEPfzPfYjE0F|QwDc%VuM61$s&5Pj<@nx(W*CVE;d*9!z= z@K*_`0Ht~-B`eCse-P)$I(GmGy%H|{#T%V4d~!yyDBO1E zrhYn0H~J1F(0t3=Z!a7v2tOGCm$zQu`_E4JAu-c9IB+cZ@eqk#aFWZ0C@CD?`2bYq z_5H1W+mrU|c+e=k5(JmK;T2$&qY)tcyN9%E5LJ58Xjmz;Mgv8uTvbdiy*#XvngnuL zpnjl^@75^L?4~MbPNNM^---8x-AzpPHO_)yvMTRA%01bgO#rom?B`MPWpMY9jPz+S zTwnI1HTYehWPjjJ$L9iielHJ#ClmXeW|w`i0^u6Z_UX@94nXA2bBIEaaIUQ}6OqLu3I!j7 znN%S7;7zxs7G$-@1gW?&u{C9%QFn%CXCdHW^PA_^1RN6$VSv8EIOo+=aG=l)SUgb~ zZ*1b61*yN-6~9Udg1Kn7%(v7W!8(^)7Mst58T|2qil)m?uqolkd2)s9G8^DDiL2&_ zHQM$h6tcbMj}2%0*nc3`>oIJ70$_FtgKxfEc;9RT|?P#GxO zR7Q9;a8mQ;I2S+|gi|sutk<$NT@jyR0C*S_k!NRaC4fd2fRh(GhFfNDe%A6&>UnDf z;038dY60jAZM!ux^sLr#wGnVg%fNPW1*_{vJ|^1q3n)ELa+m~3kkJ+U&I^xN-tB@_ z5NCS#<(Y$)LCljwEo`zKx2$)bbZ(X!$))bpF0d)=5HzpgQwsVTYJgbM2M;Fp(dO_L zb_v)6FqxT)jDBK)0TY~#Y*n8apaDY+sCn013wXjK36Do=jF}D9dLYUK!~meNSUBaS zw50(b2W6mYC)f)Ax9(Y9MD$9o2b60v`I@sJ% z56axas(f2oenYMZcfC3`AxOo6nbl02Wm7=SJ9#RqEfs9cT1J~ATtU&hI(Yf#FCJYC zo02k>y}CY_c{!2BUtPbnPCWRd8aqS*(Z>+Kx%L3_h!5o8jlzYFz2}Gy`5WB5KkC6U zb%W~_#JaV2xS2#BZ{KWecf}@&ALkS%L~zJV5U?8M z5)(M&RpHy@5zCmR`xdWr&jzbl`NgnFWwl&NEI5H#8^m5VV)ig&g!O`Bq9D02wy{*s zqk1QQ@R=q+0i-=;<=1SM#G^I<8^Bxxwq^NA^AI>@>0|LI#QOU5kr4g{ld?d^x(0{P^4= zYw&U{oT8OXiJZJGAFv=d7l0}53-}KLQTg>JqM^T1qg44z;Zu}|e^YfTtpSHPy9XBp z+4b=gO*ukQ0b_4``N0GSXXZu_7dg>7Wb-MroQH!rhEF@ap=&5!nM7^hwj+6)=pmer zHY}ADsNar`$2PhBr49S802TF;|4azX4k#<}2z~wN_7S9@^fx1EMhNVhf{2A-{{%mx^yti65w?m>mf_=3rs3zKAd|=!5D=RgC%v<9jdW6pW2N z8bEg_%6$nRU&DxVK0D|!JpTN9qUP|X%$KJ{G2LsasD2N^74>EP8Qo}KyRq@&vK2_?u359BPLc;vMFgW?Zj|;2Tt@nyYA#{LMMyv0!GGe`VNi!Vk=zG=!)p zM7$7*n|46wsk4g4_v#?Nm03o^Z-@HJ@q!aBZkyOtT1@)(4X0kH{8bD;Q@SO+?eDfI zC0^xC_xAaPQ?q|r=zi=~*g7VzckBCk7NgOo@=o`bb@JnGm{hU~F1dNzIX%vaDWhxZ zIPqJc!r_QQ-H)v8hwser?N}0bbKBFrn}T~@_B$S%?Qa9mz@w)gLwZs2CkvH{(st@u zdp9L#T>Z4&75_0%^fqQD$(DJ~=|pMnt9)_1T!2~JdQe|$T=0dhe~olg&U)VGph(@@ zK9suRAh&-kIr|XjYmgz_Vjy*2N)@Zn=qBo@z2O*gQfa>6lon?u>z^7sg&Mov4tNA>la-O?5PlMR3AjDjFBZ{;YnF!gk`iiAY+f?>So-5h&R)Yp_PtecAK=$!$MAMTu3{W-SPRK zf(8>QLyWAXRE{{>NZB@B(Q<3ozGi~>^t@`|1%Ef#HB@M}U{z_B;APAuy20zV@|m&< zB0t{gI5M@$iv+m~vADn><$q+=TxE|0V;|mBfZcb0#y>XSjYu03#i>h;oWRibVy6}8 zqF$QY3?vE48&bM-4Ji+uW9hw*6~x|04P(VH@;7ha!D+v+)`peBTPX_SOQBaQ2>eDehHC3Aw zf`9w@gu=FNb82_XWa-Ap&xWeSmq$OhEBNCZbsYt(FgA#Si#C5J z7?F(j98^F){Zm;wDZ|yX`o&fK3xh6*)~5W5m-JP3;1i`(O(^ab|fql$^9 zYyfG+<}I!x&*FrhZs?Qy)3;ruFMUwG5Z7B`WL0z$a|9tO3yU^XO&=A-M3mdq#NNYc zMeqHo&>N~ZKBN((qoBH^dTk5Fi>EtY)$v3fX_ss2P&&7(6#JlF!Kms*ve_;*V&F$=cQong*ONhDB&(x?A9#ZzAJR2R!$#lNf67Z5tVJPz9|MJ5?j3 z#lAya_70<5u1ZP%YjX$s_42t1tVZ59g)onT3TT|++m4HrTVmoj}`PNvnpuVr>=)Ic#ksz zC6Q`$Qm;fuDhDGHEn$b;a}gao+xuG9xZt;xl5^2xWQ^h-nRF@QB_|%nWmSrS8hUJC z%kW@GmqT8gl;6ZCyCF|5NR`uX)}K48=p&+FyHhls(uFvC2X_>S$o|7r8Sw-%FxrCF znMVH|X~fNr?t-<^Gr0>#GE3;;ksMQRd1RbH@uJ~sj$>7-dmx?~szcIr$TseirG_@VltNn>B-7@Wj?_#wTb%exGhC~nG; zRB@cFuJSI8EYx{%Ne!jGYK6+(|2~#BMnU#z-R*#}A`Bn67^v!FcBSo6iJg6JeM`so zPSKtNAK+fNX$6RTXhLbPF6UMBQND-mh-j6UEodGmKG?n={C_ zx}>Dxi#9tc_5M-)o4sdI$ltFX#vBaV*{gW3u$ixtTA|QmJ**MYy~o}l-vWLLzuRJu z{iKqpf^B(j+Qi-^oR&BNYEIMMwzrf>$~*h6G;OzSQIUPjE5(;MRU7@anan{`DeLd{ zB4)kgI~VEN843pvXvJUcl)Qv4!R$SRo>RV=7*Gn_VNVAnG@ zFUeWYqIL1{w-sDx#~GF%O)Na(>um#2<9g}1ZIjR|NHFI1AG=hqaUfhhB0k0xbxXP1 z)RH9{k?v^ek-D$6^LACZ`I8QnNT@rzk$*_x#8LO*@oKD-BO&4%Z)YIFBP3i;+x={h z=Qa)V0n~-wN5|dtg7us&WFJ0Day6@#-hakxfT+C_1N(4JN<9sy$8qzLc!(;oP4++K ztuWt+GgBSoTU2Z`b2_Yo+X!yT-(-rzuK1MfqoLJtme-T3T?`mmEuQL&XLXCMM`-6k=EJ`Gzi=Ba_(vh`-7VXDv4bf4@dIjB4`zN8ODNtbIT|;n|Ayfag*wO=$2qi4V@07ltTff5 zHyj@yQ;@AHTaBmRe_*LkClK)&En*X>&-WBoG0Ifks*;Vz3Es2YhD+7Aa<(c$w>=*| z^vN!vGD*{M2V+H6`D^L3P`gY2CQsmHO`~fu#pkyx>ca!Xe!`yd-;Zc1+&Evel)ylX zlnkP5KI@8mx*-q4x^P-s9$g-P;$SMasod5fbp`sZgPKy(t%M9`ln+57 zc1hRWa8^Ml3g3gxkoa2^HXe$PEc}Pe5JAf;RGUj&syZK?-W{gW*fmx#XNsB|E1j%5 ze-iO?wOudN*r4EakwWG(B~UYXyZoA-<%cx-ffo7gZs?sSdD1clP5fM^t7eVZr*39R zl2M2Mh`(>DH0B1QjXrCdc~f>QqqXmc$FuD++F8ri^1Wr~3_fxZb5H_tMQSuU=ja`U zoEG^(*cgT3u`S&4UNpMJPo{vX-Yz^5fBvhfl~2T zDTOEVspf=QZIwnfYpuJ1SMna~Jp0?@k^Q!pwXkm`R&Nv@C$=79h%1l1&>rIi>epJp zCvK_M>LirNMkvVG4c>k-a7|O{4}6FCrD}Zx+3T2Kw*F{p^_IxdQ-FMq0mfH>tCzP*skaAY65Mo2m(dIF~@s(%5#1X!5zID8y6|oD)+JaRYM1)uj*;%RW1FkQ4HoloL0BS zfh{sdCSUL2s}~h*HhBBB_x6E%RB&0A+g&1BOCJ@|Os>>keq-Y*Eg#xE$!|m%Pwv&n z>{-7WBVQfxqgdJRR=Nn?$}lXK+jD~`zYFy_PPvSHIaAu2@Q*!%f4Lsor`6wXd}Txr zEmhT_uY5cC(+0*iIN_86RBw-YgIQ;v`jgY!CLW;>?y7y5Bkiy*kH;e)&%)8EuOEHr zD|}yA@v2NVM+L0BuSsICFdzZ5JLnrWJV?(TN9{PcuMi_ktcLD*>-&27gh zZb)y5ACm5+@6u?RDh0`5;*#$f7amn#M>?5g4)J?dG89@d!-aw8EjqNI%W6jz9dvhh zOSGV^gR$u~e=4+Z%Zd%JtNJ)Wc0&qWWru1`6~7BOaeUibalg6N~Rw>N-CXi zo}b)d)Bcx#shkZF^+SV|ckK;wSrMh(H57TL;JusvE!of3C^f5HGk27VC3*gQVeGmfn@y{N$5Qd&i47_0vI+RO=@#>?i!kPm-+FTXql zBN7w%?$dbNa^okLyC2MIxz#&^!+!Doxd-aZHo9eZyJ?%d>wN9`Z3Y!^kj}@82JE>K zuvcZsaq=JgQut2y+z0#}swUFMaIYZyCoG44cxn#p73aRaGHem-+}7l9`PQ+YeN&ZH zCdU5a$1<$D6{Wi&FKl0#x0xIE*WIxbvAS>j_y4?O=$FCO$lQk_^1Z%XoC&rho z(d;=F;K(zPmJC7+JDKiNk@ub~Qq6NBJb_=6#vC}ZS@L02R@I{PJ7FTSVNAX| zryC`Yl8xrS<9xWxf(DeTOi17cPZC z{cRfgL*D2%F?{UeKBzCE5SJxqcgd?CrO~V~RxIi=v0I7GEYHn1G4=Iuu|Pr?nHMM5 zlpej7HEX{2NIit`4!zGY==;DQro8-68#nz>{ipt{J(3F316fL>yfAyWJ=^u+WGAPO zvp!0{`_iljD)WCIiDGh_7h5o569VhU^IDq%eEFj7L4s5hTr_J~!}4dSRu?n+<3AHh zFFUIXv#e^dH>|>ScH35AASr~iB6ly@{w5(4b#C86jj7Yu76T&cui&rU8a+5v?US{0 zxetE)ZdkTY)-unzNznA@G79nzb$wAD^_B5?`4H2CFcDGLr%z-wxymAO!QtD@BT9Cye*SpHRT>HVc2x=-8{-DoRnP7;K)G{OuVD25MfjRN zm{~6mCrns%V3&Eg2ub}l)eqiW%vC96#;sfJuQx;g^nJfPscCXv6BDa|h}W^cWUEFO zn2w{ns!;N8>eV{*Vx^JnOs-U-C=wFAJex#pop(_sc$c&VCYYj>Eo=_KqM@ub#~opX zs;IdR{l7Z)#7iZxtdx*+_#KdF=JDmUYm;wxZeB!*sOiYC_B}=-PR@EUhBV72!o!iK zwrGhXWwwS$@rCX3gN*sAxop&JZMZ1LT0`P`+iRSgh1ZJOj5t*4qr|s{;V!Kiocc~> zRf`stBS1Qyox&RXL>P4ArN=)Oi`);zgw1?dgbzr}R**d%j ze(J1TQS*K^@un>ZUTawK9KqJJ`KbY{rvkYR5vBC`K`c zI8uQZW`2*qfU_)CKa29>$Y+~|)u}h)_gA!;;>XtGyU+pkd5Lg{VsyCTGCz~zPHFB?;?nRqvDr3_OFTq+M7%3!d z%a}9}DfxjX&Nf7954bCJ^KI2`qf9fA#pRj>PmUl9=sT2^rYe_WKeErWwl`#r zC_kY)W@^k6R7Evr-sz5}%hmHZf#RdC!IN@mSB)8%qOL`SPUNeLNK~cLSbPsJz~zgg zt+ke~9X1tdsDA}wr-6~$eXs+i8-bE6Q?^83`ebOOv}}Q3{n%}MV*%s*k2pHX<*+Hj z2x>}K|9m^V0T8?;b;1jLrCK;r+X3bvt2XKF%a63T{!X2D!VrO^PEjlyZ2%x zkv*n)8sY))9v$tLhTRMk2d(V|U!+WI30@_RhYyC=;!U^RGmZ~1U#`fA9o|#C_my(@Ls+qGc^JlF zdNS_-DHCa#X8Of)h*P0a%s9m}>n%OMQ?w6j@csbCshR)_(|_M>Z~>?F>_Ak;xs&$6 z7*~B8exq@k=_t}>&~Q;Q`Ks!-zdII`ZwL;~w)Xm0@4TvJKeL*U zzJ3d7SIS88N1VER) zK??Mg6;C-F^4Ry~keuO8{lEJc^|LS3ptYOzqVzbwFXl=Zp4)f!@D`7_6=33h>_dd~ zK~u+7S!cZLLC8QT3`(CmjdXn9rtE7O*1axrjD^$QCs{M3Nf7yd?lDTL^VpRF=LcV0 z6e9zItvuH+l$~=!M()ZA$Q!UP*)GaG>hCo^iTe;NwV!as%e{}Hm?TZlMrOI{zESH5 zKtHj`AF7GX$;R!8Mtndrn>y{|?9jKSuR?VQK zgmuliiIYzGyr-z&_}(?R*e_BS2vBTPrpnXmMtzN;3R9MzydM6!eaSURp>zig^;Nd0>_azt_LwZAw0>1||4 z))$#Gu>9D0d^u@X1YL2FzcF@cF8zuO^2~XkVfngdFXS$i8OrNpnc1R@8lhfN{R5nS z8F@}CN@=6Vom;MlGFG=k!$X}X*9Hv_w|`WeusW)~eRr|vQ|%U*0$e5jQP^L*|15%K z-AKaxHpO2=GHZ7bYi;f+DY>r4DzDc_AVlv!Isc+e+e@oB&;E=G)}twIyUc})2{cV# zRH#?q3>b}COb;? zZN^#8_j)HpCZivz~}_ZksT}jpXs`I<>CBK@BT@}pZ@)>YTiOZ3DwN| z$GBtlv$tNyMT-+p?n@#5nV&Pb(rw-mus1_Wrnb2t$MhgFdAp`8RsTq-YP_QNqir4U z3b8()Q@$;^1onB`Q0pRy+NP(bFh{~Am6anE>^z$wsR31*DyY2%zi&q)UW-d&G*ZN4 z;ZU^~suBeAD0*g}E=GmrqZwyqQZ+Hf^y*TZ(^ISAkbV z7CunWnu!{^ZEf$-h4BbMO3M%86yHdSaqn2vkvvZti+*~XF2>Xwo*Bd;&q+lgV@hFM z=_oH}TLrr+ss4;;9@C&>ad_JwS^D;`NA=LiF<9U0I|}np${sEF5O?2s{Dv3_E5y-#R_Vai32)TnOC7AlQp)8|bEnG1Bw94K-f{K;y zb<_j<*yq{%bg~yeYMzu<3otR-`V1aOm}67pqiq3-mRR5P+~zOoFIP-ZI{7g6S9TQk_@I4!Adc*&df4rVnM%vW}u5cyTfFdgC(DG~2D6I6ZX9WZd%MM;@9|O;zz| zM9=M43GjCm+t8UE&!<(2?X2<2O8@lAT*ATJpUgq=Ju+$v%J=M^5~4?Y*MsNJ-!9;+Kcg=wb?ZPfAbE|wAxB#gHbZhB|$3B zFc%QRVYWB;%Z$L{y8`V-)1| z8~5TkUgayL>arueo=1N~ah2z<&KEqgPybO{`}=pXg*=(oPaase;hG_(Mqe!! z2H60auhkA?n#4UozAQDovG==Yw4^Klxf#~eIh+W0&Jop|dB;quiyH5qDYOH>s73~A z*k2XTv=yXRNN^s5$QbLT_VH2;Ep)^c^zSK~xoYJ0NgjXHGt+$VaAp3ZT5fbj}|sG^H|)J~!Co?mh*(mEtyMry#t2-ifCL zC3|2ePynGUVQRZ#d{{z8LrUbm9Mj3q1V)hkAz$fjH+}KL7o|t{9~|nt8n}z%YBp&r z>1MN{JgXp2?+xfoEOt6-S~V}bhB>e)zi4>gW4ZvJ@`@eKyFaGkPV^4d=Z6lZFPg$99x5#&i%l zh=_j|6!WQ-ozA$Azp`xM%5OZH(kQ(b^}Jg>_tfX?Q4`CYrLGBQT+~C|w^JGJ7H4t# zqc9bn6-Crvy*a)g@?m+?q^m8z2cZ5sMZ)d-sXvbJ$C_sMX}2Bke?Ldi?A;+Xe+)!$6IuD)hJsvqcEV=nWq;SsPbbYv(jyAjcS5bh; z>8#V)e@bae)el({6|FXKHAlBOC0(3^>%5$>mjpg?07-qf*MN=;(>y3JoxZ)i&Q;C&2fWvyZOzMV67 zE35YZZ*Us~BBEywPU6MVL_ixj1f~NMeIN=I5r=F$3KbQHXzD_A1Q4BrFrDo%oki%* z!y<|x2(E{N$wDy+0)uFBjVNeb5Qbou)&pVoPgbWRrjR z6RrA*4mT#NEan%vWO{D3ZU2h4drNB=D5&W| zkUD~GPPxl zFeQDM5`9(nyn1L4qP9l*_g3cwr+DDAji_Fux)i!>@| z(oiMrR>iUjyw54Paj!f|oOiGsv@((r4klhWr^k*JsKa$Gr8K)6a6P@o_jj zjOJX5xa;TgxDlS^=U6IaSTGzPOKZ$6d5^3qTcsNPb1VXLp;lK?d%^+EusdM@RH}*d zk>h|5eG+!voeY{>6Rqd62R0oyK0!q%7A6z=SowmIpqI__mv6GF35)Y%<_u#(eH!u4ztO=Tv~U()H_YH$h8u<0!X+;k-Jv zmN1>!(3$pn65naHlx$9oyfwcc^ylY8rzv(BZ!3BQjQ~9Zl00iI3$1S>xfN7ya|``L zRkr?1nYOoYzX1dv8@@E!(ifAnBABp=6ANzC*T^$9; z1JF6Ru{54vJP9-^-LrXbY8HW4T|G0$bEK=xgjdr~I@85&9wg>{0po`MXg|CBd&_J= z=&@%_T%#?*5;hzagIq%Ew}i3Fo%)|SUUCTlOXWEEEIMD zqZ`l$fq}wTDD1Lpy@}WG7k+tNNJd;~y}3fR?FUF~3JahR*Ote&eo(NV!7UI_0lse8 zY`fXJ1}Go{Jjwu|fiw#0JMW+6q7viVHt}34v9`17JiBPBtKi(A-z1M*#>_YpFCYN+ z0J~`P0*7p64m!%Xr)eM~8OSN3GI``YaAjlgr^mUR`94`~@Qr+70&$&P6rf}U$gJe= zJxaEHzStc`!{~Iu2%8eUw6BeE47#??X}dKYmAbXUA^WU>ywpm@Wgf`N&qsiOUrq1o zd}31O8(Obmy$ipTNMcuv+w}1uBaaWhWK$Ok+0Um3*pOisr;@bDcT5H!`DiC6*>faQ z;@_ICcs{SlXp~zH0+O@UM}}`;C5(lak4NImh6+OyqwMl&xnFvoz`Qf_UP_0D`RS52 zOG-`*R4Qn8>tU7cZ5I41va{GXms?)rW02gp-oqmMp0X9jyK0t8Chn2!iJmSXMv1-5 z7-_x9t#KEpTmtx45J4vgk3!>^!W81-3qmgY{CESPe}y&NVr>*U;MkU+|DEIJvqjIV zqhm)$0U`UYZUa`Z)=(GB&>PZv(|bzGe}GFi&IgZI2nv9@ThnU%?D>7m+{zon`l&)#!u$$&>r`h!gcpxm z_+!WNJn#E)==3j!WD@_)eCP1r!7J#ERo4_b3r0o`1+0eB8*FZNZU&z5r0aP9Hg0)^$@(N&)&hniA zC-D6(ylZrV4@l*dc>+TF%E49c7n+ z=mrD(+Gv_b`LRr5OvMl7jIQ&@orMP+fG=PikFRTg)W9Wt9uY=P0fJ;~)TfiD;93L3 zMx5p_VW5-7F7{#qM_a@A=m;QpS1u#e0UZ--Lnjvv%Jg1Wk$?^0H8dkjiw8um$o*? ztGfyhCMNV~QO)~??=Zo7rg4E&T+cfZS3TQyvzbR(Y4MiUWi>qLt>sZ-12>Lz_3n>d zZm&%O@WmK*F;QsD1lNk}schSK9V~)|^%Hu*4KyW`?&Mq?wMuc>7*1kSroEg6eZM@p z*vr%(Ykh*o6*k3nr8-N{Vooiz<P*YH-OCTML8)P@#Sm&0O&Fvqu zB=$PiG^9zIZL!Jgn;A#0#*Ki1lZvm!bI7btn#({S?F5is++ENAr-Dgz7!dlQXx!F# z;M{Czsa+J_sO+K;p@p`g(7^%7F)4{LZ8sTvSzunR>Z9y$3vmXt^O1tI>E7hmfRj(L zUdr7_T`x#u9M4|$@f##LkOFfzHb;ZgFOPc;0iXwPJBNUp3)V%dL#>=M)2sKbbBRGH~VN>hu5h1R>cG&V<=Cm*Nfd zX8>$UVhK5{1rBCJE&s;)@`Otl_+ImI`l){FY;ts*(?)L~pY=K}8aR!J4i%o+tA5P) zX$-(vj2m7D1IZwEqz6R$DU=mXXmWjPrcLVBcs!p&=JK8|hVbjLWa}hOJbxrVlTgTIuFHSA(%D`SNkup00YUFWlv z=b8oMD;Ic_5H7ot$FEOs8sxs-N}dD`DQ-PBDQNt$T^pMMR3|e=^ET_5N4&wvLgcok zEo^bg>&t?-0qeZl`FM8uI5!=LK>+))WW1Tzx|~$tFzEvXGTd!`Q^GLx4GwwULC7Xs z-gx`Hci(Gp(>R3p+6~d1LBBe$^+tu$M$0mf90CS&%6xtL#{agxdIhz_inowv`(;#5_vg)yM)jaT52Q!XLPNi_jc$zMLfT(VEfn7UW zSLgsPX|XW(oWvso#EEtcw-pb9SCzsUlS+@``*QfJ|9Pw{t$Q{6=i6`2`huE4Oo0O< z5MM)+0SmUO~Y;@-&SYIx1Y-B~52adIodlqw_hzgw>Vls`_hn zB*j$lQ0G3&``Z&hD1&)>o4^9OOZYAY>>@v=a2eP3iRE1;3~2L;1}gTwZdvA#BYFYs zetxAj%`HWk%@%%V=@*zMqBU)EYSt=Z3BZ5XEBYJa!T1=W)>+BpK92*>Lk%;JJ;o+8 ztf_RnVUSp0G2LOrH*96 zBNBK`vjN#x*Ljt%m*l{*xF~-fJVKjbuBUQ|si|ceA!~7fE)odrU(tN9Kb@}n_A=Mt zArQeFlLESWN`>)Kp7>}Ch}ZzO-ta7VCv#w$iF^6qtva+70+9#-+d?0R$R42T)`W?q z{bH?4!bGGXIv~*{0=+9tbOA)8BUEJ>uJ>?BL=|FjR6;~YO!MIa9K^){ol#!QpMc}mm!NalQsov6P2Sk}@k!-C4VAMK^$~I0b^K$=1+~g}; z`P|oCf*6M!6`1XT%a?9xL?KWmE6Ts4^;^(y!CnIJ(Ss2gUT~@y*e$y1iB`)$2YtpD zbqwWTmxke`pVl=x$kL8CTtS>mE{`Q`J9lwS)^%h^bZ4m*PAc2H@W(CN~`rvd-IoM$h0W2Za^lP0^=<)1>iEKZY0h*T4PSM zk;JSG8!ekJ8NqzTdeOJQ@^3?^-`wbPUiJF~^=ZdUop%8M(`z%?s~&{J`qUKw&Z@qY zC1UtctYzbBO?2XtR~&GkR*LB63f3w`eAzzv(BTWYTa?ki^j~o+M%o0Y!ah(B7<~PF zgk5HE<>h%czmLs%!iG@>d&aI!vAi!L89}8I^oTfgi|4Cu{~EW<>(eiWjdE>=UMzGgt*Kf~^Q4 zV=0DLHUb`yxVq`gH+bqHr%};V631{onFAe7_(1uhkG&DeseHyQPxWek*SDsGO=d%T zXGiW#?FU&9ttT9EDBpS2$d5;^A1knByQt^4x>Z@MkC!ii4GqE-xGAfIsmkY7@Saf+ zq5%7f4_*1OjK0 z^XeK{OIEH$Ce%cMEd&uw?JafK{FO@LRV=P;B-8}ufLzhjVLP|hfywqw%JO6T33f$) zC-o-@8g5>o5MRv`*_tJljTM|72PWEzD*#mJPik4G5$lElHZcQ8%8S6D-cnu9A^+fWjYl%yxKaTW*(|=rrO`|7!s zD>t|$)z-#68g?-7M;Mnpo5Fu2X#Ux9q`60vu~tWN@N8ihE%V_1VECiymmyqN(p&Lu zpBN*Yq6HA_GG&v?WWj_}R3I#`W#uj;f?`Q)8eXVtug3^Qe=Vb}seHhsoeJWX0|m!4 zX)05P*wFX3E+)MiEQ35MBJa0^5JXq}l4c;Q*956cAOp2OPXrKo*knMKR)_~7yILNZ zurbaAxjn?SV0LLsUHXyZef*8_P97yNsgT}e1d@W|++x}yC!IJ$FgGuM!r~M+1PH<2 zm9%C~agb0f&syUS7NmtUWmkmJGj=84A4Jc56c6_b1Iys|5T=vXnHiU_Qvh;LxR3mg z#`AInKI_*;oyyFEuC3MPQNS*Y0pMOrpI14RR?~$x-Yhn3vL$Hb&gd3c3}qa#kG}wB zy;NQilT+5g)peUJvVE{EZC|C9|Ewwv<#FM8IvXiBtM``19gFfU#suN)~fs|FA}$sv_W+E zjqY2a%-B?#1;NI+(}swFDytHq?l9?2&7LByHr- zet(`_)Wyvo9p@C)vvj$POn6EyOLtIM!P}qJJj>F>rv@ooF^H-8AO+|PKGLZeYW)Ku zo61a#bKDq?NsnPQ01y+X2UM@q-lx(*Z3yJ+sj|w;`C( z%v!bS;yVlK`;J#_jK?)Rwojd5lNYC@9l6AFHYs5|N<|%hHE@+^*Tt>v8sv~hxOVoV z{K5lPO$82l+*XE%j9T9+n?!ErQhJ3Hy}$H5J>X;I_1;9#r}Y;0UevdO$a4 z3sPCZkpMu%BMVx*a|9_dJ#Uy`!QJNv7ACKI`Kz0==`Cf~OC3`3!CJ;BwpC%0b7Hl= z&>>`*Oa30jhR2N;Zkr$FLI6aI%&0Gjcz&F6n(V6VXJu@&)+#fT|+7SfaWm7ZB z-cNX+u}Svdcz@SQYp+3;S$4WjLwX`f3_V1^`X z2AHR^YFXBcLz(eg4cON+Y|4)(ZK+HyC8#s`6PLn`;XMaa3|Qm>%(@!b1{6_Oa@Ug@ z01jz@@gSy5dt$W85IC03&I2sz<~NZ&_oq^?0aPBS7Btm2U-AN@bW_AS{?_y0J=Ep4 zv;n{#>|MKd9O@T_?_Tkwof!TFNFv+;68GwLuoFK8#=^PizU&RKJ(#az9~xQ}jC}{o zxR49nvdO7>`rW|EG>Oz^zGi5lL*EEJ$A*}3L@)(*9>J68Z~kWIJS=Dcl~m?TnbB5ZLz%`GgScS3X!P$z`BI2`>PdT0r8LJwF|0d_|e ze#jGX3GV8&D7FX8{$jZfVq~#gc#kd+snX<*iDi)xqQPMLkI(y8v{6Qb5)MP0AtMi~ zI5bxNBR%{>W2QnV!htImf@F8E(;O-Ry(hp2o$7ZDs#HpG76|?;!^vKyw6T19R!5JE zC|7{>O$)1SElltv=q^+O@+|nBJAKaV(GgD8;ghf3=h zJn8uI918tt0RLC|aq&n7w15qckdBIoh(p)eiUg+fgDg2kmh71|Kbg;a)(eBCrEROp zKNXXY6|mQ|T>M0@Olyv*_rugQSkxL*4c7LoIMiL>$+4MQGZBk@;s4w)7-o7YPqC+# zxSL)Q&2X=1sDSHbGx8X|Zg9sYI$lVmt@oXUJ2tp?gCaHE*Ek3~R&@6OAp@g17Qh=v z6F1dUG*3OF9rz3?eKBo!UC{}n)!e!zgXE>pGbw!?NyC3m|9uSFG|H>T=LpuQ^%-r% zo{g4u4v+E6$HC^lSZQx{`cQX8|7Mi^i?Yi?5J6XcvSD58L^Est)k=;k!2PA*KU8kh zto)MORHadNid}kvjacJM*sPTIejM&`6f*Px-?P;o&pW=>cLtc(f|P9;t(i1WfK!O!R)Unf zUsUUzRd4jI6#z_Sjq=L!qLZrwvQ{@&>ZUT^=4HHdY5&mII06MuSZYn4cuP%k=h;G@ z7bTMO!gib@o5QZsVT;u?M)3|4xuP|V$GD=KAcPHkIUi=<^Rr_K>;V>aQgpcFgI{3kds(iNZMT@f_-xwfQ_eWNm|S61qaDo{DkZJ<0C+W^IQKLW7!EF_XWSux4J5S zHg1q4Ii1WEjf)w{o@)3&eTnWl_}iPpI!@IL>4T{k7eOfm)}-f+%`}>tr2ocIL}vw#RndFeo5}vweO!Uw+lDV&`nex z=~Z-_X9Gc{l1Cq~=&T3L!pP`^Xn@b586-E3@c-zd0hIlv2*87hNtj9m&Pq_rnTh~k zGX>YD5^FMJ>40*|YTj6!VXKwJvMHmhgm+dkfa=OVP_u_uz7)t6tsWf7=>_j|5*UGc znWbX+gMhKqhOMY-^YtNcOBOj*-P7QFqrE&fFppm*e8Yf@W6^$xDu*6rS2qjkPQ233 z6wQ!9P8o1mtqt`2;Yl_nMGFfizMzD$?{&(okZtI)y0lWrqj;v&ha_S3s6f)XNdYyT z=;=(UW?{V~ck72>J#T5YfnAY&p-o^7a6?}>v<@NHu)vN~-L)~v1ISm(UwQ>$Oi(v* z3J59W(7>hU0dwa9x9aK>>}+%Su8m+$iE!D^qgK?l-eL$vKRjxz@Q!wGyG2&E6$-n3 zfVq-t2afANv6jZB_Ds91PLH(T9w0|2L?j7)-rydN_Wl09=z0^VrtU5N7fBctP%DF4 zQ51qGh_q^*KoJBLP_!bTAkfwU6@eB7icBg2v@&R9a7aj%AwnhvLSzWB$|Rhe(+UX{ zgxp$?GK5qR(m;l{554#Q-gh+&$raxu=@)-{^&nrE)FjG$|+v(%8d*wbJ=l=qKeP@K3Q zj)|?f(o0-Gj0)2CI6P^vqk?$TM&IDDS}zOE1Q$cAu7#FM_1OASlaD@TJNgd)4GB9I zE~)lJ;(LE{*SXt;y&NF0Ym;o5bmD=dVAnMp)~up7?=iGyX1THiSpyxFH!&t-)q&n3+@UQl3MT-9zz3aU_|%xOg% zbM2oWOCg#i7%Nl1`$xU@tzG(QZsf3X{3rPB5mAKbfO5`;c2-7y2}bxsxlG$kaE zRtPezsaqQAqzL;GbM19z09^cq%~uB@K~3E#VtMaZbt^WEWj5Njz4y=7LIadN99yWH z91XCp9>k%uP()<6w>^1E!XkD&{UrH-vCyVA$qA6*XG2gOSzCES*Xq+E)?0eFcL4p2 zW}2EcU5J%Aq@tEUgjf%4eW0jEiXHi*Sgg4#4S6b8)(G z?6YLJ@agH)J8e=8E z%{ovtlKG;&H}gesfnhiOWkahl_)Gy;CPj&%pmV|DW4+B;#EulPG<@XAWPFiGpLwYN zHlAz>{b#XU>;=(;tfL7?u@VAIsiG%uTWULkQC7qpTFx{D67k9*^wmKWREV((1L0kd zYfL^_yulw}z;!SzJUL=gs^VdD`WsS0JfsE#uq|n7TJkaq$X$WKQ1|-pgUB=>d&dHO zLk`MYV2;*b4#y^ko%dRXE*p}zsHYN9*7*(*Lkkga5M8ziPe|;zx91k{OZh0{Q{fN- z>0_Zv!KrqhT`1uE67k~;o`OU&DL-#AcDiP-qM&5a(S;7(q1*_21;QG!IM9QYb>rEH zskA+ewdou+R-BA)>W=W)B;C;g?bELWwO z8EfBsTytH?$8!aalbG_sm7S^@c2W)sVm8N-*WDtu}!GxYferS{$~h~=per_ znSuM2Y61C&zAICQ^nlYO-0h#In+#OgLO+0U!6#ggW1LeZ2&2B2s&ge?a@FV=JkQ}M zLr^D8_uSamf(Wh}ImC(A05Ll-l3hR6NR-sjj!vMhZWPq(nh^G2$Sio?>-4j^xVd0$V z!!3$to5Z;QxxX}5FJPM|KrKoS#)(^yirg6MsSSywx$(WTRZ{7!T(`W72L`S#Kydvp zV6cAhx2c4I)>0>+8OV@Fja6!wDosb~gbE2xr0U9?X33knWCI`bg_rsZ<5NVC&cjl1 zmy$UuejMcCltnSqjn6d3msSBfYC7-qs6g;(A~LH-coe)Tal#w*Rb=Bic_SEmf@gfQ zdGVJjEKf+gS^~lC!JRI03uU@UQWBfeLuL+BruM61qce^DA(mC!hYeL?f>-H4%rhF9@+csyM792&el35PSkV-4orEKG5u1Y zDKJ(C4=2R$8qf&#E5{RO>296F(W!y>PM;n#r4$$F#`6Je7o4Z3=mvSZqNnf^bZG+U zAXT}4fN%SXuzF9Ql4_=OuIFcpApop!dVDz=0=tn6r8-ANX14XQs4nfHvVOSZLM(VI zuLnCFro zh=C)C+H|9UVMvso?tbM{3aV85%hCY(K*XA5iTvLIs(^+e zu_>VbKB*m1$!)=f7JtD(#0-~s)kbSYEHz}SjaFu?uR?i3ba3=aLStsFT68)voURvZ zk2|{t-U|SEAjcjvxt4eAB3r{Rff!#qBH&9VKsTqm`SR=}LW0sUGDROg+?ph^X&TD6q zJ)zUiVNVpSNs+gtX*I9`bti~Qxa}xQDxWe9XBHift8Kap7~(#NR_EHsnz=wKtk51W z1T?hkiE1bFiVgHIQc|BBVKU&^Q;0*$9{cWxCK%N%Wabmz6&nKcGIYhFY3@7_HKwM{ zpcPXnN{Ah7V>M%}(W&54ls-B!&=zJ#B-LxxU2 zH<1jEjuKS?XM+H3Y=Hl36h$A$;f+OnEkQYm$IunYQae|DfN1+GAxquoIQI2LZcv#6 zg1d$6kXjd=Dp%9@ z)NL{^^ZSrW2!RKhBg{J|tVE)AiqF7ij}?c(9gNBEE4T#FcI9&^ zpD3$T-IVr*=b;@LugNqDh7N!>Wwh&+7+L^z^&+ekoI!F2?t?uihT8b`GMZb;J zM-gz-;Zu^nrJgOX5TLAp-jcpTXDu(IQyhx0W>l)2LC$6QCJ}uW79vC-gIvp!;VG%X zgH;T8wiH}E!n5fr+}gt`AU)kbIK$d`ij=DEr@Gavv0r`Eb7FG(57CgX+bD$))>vA$ zlEYLpu6U2;s%9VjxBX|n3f#Mp!$~-?dfu11tHD+M|E}YvmJoE7fn|67JOhK3OXje8 zhQq`KdT!Q}M7KKx4+8@e{hOKt5Ug!vw1l8%xB%+5<%?{&>n2Q$zBaVfH?f3P#7`eB zY%L5e=Rs7e*$IC$&+f1PHv6(1+9<_D()FXHgpmE?Uoja6bsNL1&w1#a^3r-4Js>XD zoc=OEk91cZZe?%~WLWd}22|I#2Xt4H42~W*o-5;Y?L&^OGx+2;WBJqYLT{%&;~_Bg zy3QGTAy0Go$HnHUDYtRh9@3i7PQu69JcE{TJf)W!F^X~!jp zqU_o#KQCn$F)vGhF@IX7?v&t2?^ z{%`%cu1E1@uUSN0XxpEQDe9KGUkT1?8(kIVNaoUTg$Ip6d{{3A5$>ca3Y4s;hB_Q#ETgCC@+`QMc;Vo5RP^bB+} zI=h53Rv%<6$7AJ|VRM(e=Cnbmm+|Xwlz5*qEHTsiy!v8NH#B&>b5-5l!5P2!0tX() znnr|ZQhB*5X5FR6<3q@WK@?$h@QKu@+_nnAudo^O=-&XHg`tX1n$9#jgX(G~?(O+b zY<&jK+B01t!${vxQotz>Cy9dP`DT?U334LY!)&ya3d%NAQgl%3a477e@)T!af8feV ziJk=1MUWq2AjYy$fjssb-UfzgNWR2hHl-!kK_?gPJKg0uN_muP^K~9rIl<+~deeFur~sI_9%Y zS)=%ngGSxt?MQ_T=>%{mvij<_)?P>%$t3jy-J0!dsJ#*_;*_Q|GXwJUwCa?y5?|hp zSm8Uc{{r6>_oUBkYIt1_pe_OO%|B2qMxzF_1z(NP&-o7`H=0qyH`=oPf)v1pFDa(P?f9duODC-q=d(;D2v_LRcc} zN!N2t6y6!^;1NjY!l^A8F|^KXr9zQ{u!f;S91=JSREfZRJ?#*-E(O%lK8Vsq@3|$a z)*#385N;ugl*NVABZNl9y*)!+)^_z6J3qry%|U^sJ47>FA2GEFaLI{4*P=n{c_>V^ z&~|qBW9%sZ6#CBdVq;5qkoi~eMB}_ufxE?K?$9Wp?~XO|6yio{AyRy-0($irYj-zJ zgLeeh6*Pz|*l>&K|5NA-!RCd{Y1#|w991g(K|^o}>`M*KYfEs*)6$?xfT{|xUJ+*! z4;;sorS^~|s;thCk3tW%GbO{b-f0$Py;BbT?&#Dak8bHYKie4lE?BO@+t|UaYFKR#-RnrSOwLh^*&QB&qH{9C z0}BftdS7}F`DPkr)vB;!Xn3%oM^YRC^ye+jOiXzue5Hm)4r+sz@{N&J_Wi4mv8}a}8=RwyC{}l1T&*hoM{G)kG1E+w7 zR_gQ4Y-*Oi7xK#&DDtlxOE;kG_~0RdV+6ttM>sqbPy@p18*0s!FqGS1RgmyT(q%K= zQ+;EjzY^gLPv$;~dfQqr=QpnBwG^a|t1-^y>Ffvq+gb524n5e+1@6dY5jc7H5+6Z~kYLh?(#H#A`c2uBPXylx*| zqYze6_uzEt?%i?`=N*pR=|vZWB|b=LrqxQdTic%XTW5Io-nd_P0wR9p)GJ+uh%&5h z5@UxB6**?5qR$&Sq|-GMgUgv@SN*euwE zYm>$=j8fB%jcl#$fy<`~e-vdc>G9mtCuhVST4^*tp8qE4CCDnOnG0HS%w#!Gvf&|5 zge&!^2A!j1mk-*HX5W>-_v;+@C)MpW%}oeHW9*cAit>2IodgWkPp*aiasyiVwkWKy zzx!c;@ZIg&9-8}ze}NFX5k3l8%LVWl?;69{bf?so41P8$8$&vhDvYhAba!X2nJe%J z%BGnXJD};$q2aAitaM{Y9Xz6JsyhG;0U6jzzQnM-&I|&dfm#B3+A*9*-kk1z88N&*;rXJZqgS-#Nk~nbrZR>&_z0;sEH39 z1s{HYSBnoX<89sa(EFg_TrIqAa+n&1g!&5rq>9ef0GvMkQdneJYHB}cOdQ_M0eHf@ zNQA}aXFo}qZcs7MqHte@WZ+{hhuyp^2w>y3PF<^~25B|iL?5^Uh;9m^ndt^&D07I9 zB%a}v%O{$zLj5fC1s;I0)ku6dJSePs@j^=;b*DB6I!V#+hkLI&5t3MzNbkhi?Ns+E zFqU7RE8x|%>k6SGNA#!Nq;i z%^e}3D7ze2UPDDCO5BACuZNFXo=~Ttk9Xn@ef%}F4&mRI{L6+y+4;`miwQu07r;Em zlvqaFBka#P9}6}iu#_V~RRz=jnq4SCnu0QW_?Z``-VXeywaCmhS@!O7zr=I05bPF{ ze2%wTli^X@OLq%CAvoJ!`epDLw6w6dsmMu-lb`}w8*qm?Hgfokh{MAj=5ibtW#*zt z%zq|72Jb5oF)O?fOG?6fiUBax!f#5GSJmvD9=VtRBzcw^Lb6Bd)lJtwF~MuR3uA-h z9Y)=%clOH>YQD3UA98G#7r#w2*q+-eV%Lc!C}Si=D`EtMqs)%()jsOD8g6YnV8-Z! zZ~be8k1?Lew0q`cQX+gB8)bR`O+(GELR+IC;S};Zn|ASgEXgg?K+u$F9|7R*$r@LXE zzAiu=bUq`l_91*mT+1Lj=j;0s7M2ja4ZbVY_tX38>Hipzx&){xt>DW~{y!`wOZ3&h z{(ra*|3BDv5)6;(n5-x08f@65?>%|bz*Q#-#zZgcd8{YqzL}d4_57&+nn}zBxP$*2 z6U*eh3BW&=kDMbej2>Qm#N1`K{WuXRU$Mm8Q`iNa`_6eQD~D}wMA-km!C!25J$b}e zH%GqV@Laq1%8DC0iKE){y<1?ObU1oU-|m3svY9?<{|w2ZbPf=`4X-(EFV`K=`?u!Q zW#igmwJMckVjDzM(~P~x&&@9Mo?5t{NgV4mc8UL_*i!T+vBda52-7@$!CL}pHL38M zZ#YNiq{C73?9@Id^HCF5pYNmh%)zW`OoMoqykJW8h05dn@M_*-qD=tL5~`rm>cvDi zUX3Npl~yli6LS;ut1V3|M*{eZ>%b%26u>mGj1ojHFn8qyC!b)zBw!eC9t;MKmJz7> zh2MQ^n>3zE(-?p+UpuVpp`zU;dukgu;%?c)!yz+_X?^O`eSLb3S`o&n&(m$>QeUnW zRn%`o^kSXcxPXQ?xad^4)Hn$pXcAv|wm z>+X7t^~q$ptnlvyd^#s@_cU9w;_Ykyl)J1Y-rz|!k{7KI3 zzx?dd0YLdc0r6?!-}5jY&TSAVkJEuBoot&5 zq9@lMs%d#s#^_XJ0`93jma9yaX9~}EsP^`P&b)d&26g1EJE=j2A_8HkFUL1*h0;;4A&ZpYc{){7SPL}NJgSW}N2q=OE z4+ZjQphizN(R?irWy9O0$i(*3SVf**R-+^KGhT*9^AGWtx}*SrX^vb|eJG%GsSACy zrSue)_#ilVZpO&{Lzr~0%oBOl-z=qB0vL1lO2ZEHv z1E+hWfxah?v!O7)DMN`Ktxv*!hQg~9Ag|G)p~PT>Ic#Fti~by6VZ0nh2?ZvWjd&$* z;m&~;V*HG`ClvTnIa0!Yv$erL5oOO#d8on?o5-X7`;^IWt7mk=HBdB``ODG%zs;*l zvU9bZ@oD-VMTI(P_E3%{kcXHI$&jVMhWd*GP5Ng8qP%bsi!DOSgs8!6Vg$`h3;HZ< zggx*J^#48Z8{s5}g)yr$Jf$2Au04aufF+ z+$f2$6V!{!;T0Gqckr?(Av@JeU5dm_6A~8%(=I{FM_6&AR>SK*Z#} z3I7n?n#Kl*bi5jBfl(Onngnl@qW|hk)jj9pcZM?n>fXsm?1$fp2vWQ_MxR^?)6mb1 zbcmRkGQ(qNwjt9fa4nhHfs}VJm9iUK z1m-(9(gt;uzl41`(Vvu*Itz`H5D#VisAj=2+!IT4qXEP!Obx2%p`f?kWAG|63?p?2Cg)P-eNTg)CuPxH6|{d!)l_zZ8SiIg1u^eYe(v zw8NCc{Y4s0j^XupZHZD;`06!+Y~#zw*Bxa-7mNPEwk}}IRWaAO##lZ0Ago-4FdII! ziN|YbZVUe$MScb?UNDU?`rdwc%?1{^b$pz9xUL;zgKfR0cFwjANXnWiPxLJkrF>W8 zkHeo8bT{t=v{-f+Z=ccK2Gycsb=}e(S7tw*yBtab#Xk6ow9YO;jyFhBTGML%z=Yiw z0Q9o1xhg6q-dGW67YhD1}Z z(UDLbN+oIb!vXNnPwM8{U1vfUP*S%~%bgx0OjRV+RzRyKaE?U<{iceh+KRbKZ|Dzo ze~zjzu<9>zOwdqE=gL{u*hTJX4OZ!#5}JFTySpB=y=;cGYG5n~s=q$XMmgDPh&o+_}1B@m!lJ=G+?(#&Q->Pv@J{xktlhkkGn7Q zNwn${u#Z-X{JUu&GmNWI2GI1#ON7x{e0bSY+K8g;#Q5p#@^Ggbe>u96EkJll0c?sa z2`fHP6Q1advH#n+4Hgy83NSWAUf1E1db8-`VHV2n1pNDKe~o)PxTtGmw+W}_Y~t`! zl($?TVxycmM)Zx@&;ngWU~u;<2pr(_;9^rMzQIh2YQYMk&eXTQ ziiyOE9p$a9(*PzK#a9D)y&`ClB|zjA{b;ch{5Fw-H@KS9@M6@#f33pc2|Y5ZJ3#BpIlTdOr5i&Z0ojg@ehV3 z-cDTogd$EE3!XMjOIrO=(se1%T|*li_!t^{Ld4lITB7V$mQB-Upq-SL&KQ!3Vx)WxOAA|cYJ3sG#@*9# zNC~sOA#xHQq7Y)EN$~gkf_EXZDV^JajYZA1Hl5)w`Jd6NtBH0&4U<}uOr!O<44Rl8 z!w+kAlGfz|p^5I^&n(cJ>Ce_qNi~&mms-E^S5n<*e_9W`3u~{rVV`;*32+vEx&}6u z>P$v`1Ij+rb0D?>`yeUn|4A-DSdx4!L;y--j7%ozg)=6+N&dR|pBN2lH*~1!I^IdF z&orLah&B!e<0cxdL6Dgzz?WAtpkTi)<}_bDwnYdVSlEbUZBj^N^Yui3FxB8E@SEh9 z3S1Obl^OI8T(DcwwfnNbLMf)Wu%5DpMm&!1w9*`kqU@@al=`^uAMj-xN zv1nb{gkEOJ;zhvyom%Sd`ElVR_)eUI^z>;KSSmh&7$mpYvFvx4-+M?T7JFN`$KvC< zVIE5DZ}T>+^l`AUyB@f5jJN8Ki#KKh4jQHwy8m@U=V7Au2PY^WHN%(p=#$o|V$aa@ z?TLqXzEQ{X3=S@W>CzzU6uld+|1BSJ$#Q0tSmA5DU;3Mp>^-{Z=fFko>gw5?Y-5)S zBfMU;C58X|_UP}g^j8-6&E&l)w;*eXPk)AD5va<=QAE9bu@3T))UNI}F746*$x>`A z*7-zq^KSJf?vFqd1p3|}tp9-`%3lf$X81^6Y`We~b~P-Tgld#kLrxuM)mnJPH_gs3ln+AvE@`WS!OB z?ydtc=Sghtc6oMsihMxLuw5Z2;6SROlYN$M0kVOj}JlJ~civIrKq8$&B$;tRAF&>0Ej9du=2w=7<$_Ey6m$ss@FIyE>ggzPXYka9}biU_-7ot6xKczVTug8@VZ z9YmHiA~Z!)K2OB9>qX6%=Q{I<`_a-9@UBH=gH!r9YgDiB!K9{)@?$1eaPJ?ST4W7b z3eXrTVZ*l|5|x&lyKrH>3-HQ4HAjbSo7y-x)kU`9dtV+BUZ#%(UQ7ie#1rpBdQ!M` zgUx~bO9w-pFe|0I!2|?Y4RYJrO)iWos@XKHNvo9Ib5D5LynV1vd<$XK4LxHwofUL~ zZLmv@=qsH+C`7tlOTQ`W8m@yOfM+(;@XnACvyCU;-I{{)q`ijRK8yW4QA56@M3N>@ zm|4r`^Lgj-*+XakAzD*fMC?LK$m&Q;Hrv5h8zcg^HM05;!+R|_Ih(IK!1%bV;bJM+ znB#dG;+(G&Kc#Y%Qz4s2Gv3J3_=o?l8p+qK;DdctmZhzmokU$Og(4}9n?<^UHs(iU zdm&sjwt+$ak9liE1}JjXH@3Fv6x!vbqP4M>^32k2|B)o(28#k`8Xo-l90~N@xFqDh zcqqaN4i)sVEU$DDjpTu;%_my)I1z43(*yi=G_xPS{=_b{j>Ijhpc)24r_^Fc2pB?P z+%HL8(3Iv4h6*rc@Nkz-GziS5UjT(0-LS0j z&*{wj>z!0Dgd%q4pG~i9qrsJmR1DXR(av5LfTU4_D39@}-!7GtJ;C?%ti9o4PowZ` z1De{9Z$89A?j$#&tQt{q08Yfty>s{kT$}KYEsRkF!nG+FEgtQH;tUMTzH1ZWc^Nh| zKi9YF{=7ByxVuhXvv)8cr3S77Ebm-pm;&raS7KXgp_I_f3TSR`@YaY%jW92^oKkk6 z%)^QFUFA}Q(GxGr{94#RJri)^p1TNP_tqmNGnHKjV0e=0fVGg7=$*vF0&59+XQykC z>#V3a6wJP5NU5qd4X&M5J=8r;Ts)}+5)*J0VK#jMm6T8ilewgP{?(}5tE64gl5Yzg znVO@dTheGwAWd?}FqVfOUj9+(Q$-m2jVI9O!FRLKza|}?X|Nsf6r+KtO5!IW=7=9=54eO_$?x@a4jR%^tV=A=r z&!l@6YH))!dWO%Zei<6d`Oijfv;G(uKD!NWMys0GP^(|YTfE$-6u9~Z!&8v>;q;DJ zGk0MFL>%G6F*5Zl2HqWyL)as5$clTj-G^d5l_5~kau4Sy{lUbVY9De)FOZp~)k4q% zD;C_3n8!)lN0Yw;Ig~};IEfU)?W=p-!4I&~vz3YRe-+#afcYb?I;$y%~cPG|e9 zcj7(A`@9MOke9AasP949C_d65qh9ZvbN|AZK@b056fsP3*MXsRIy&pz2%j7iCYQ?c zow{?ieUS)fkc}==&3I^pDyVjryykX62bh!aj}$EqV!&eooMdlEHL2)tJQcy;Qd=iwZHSqR ze`-w&;wIIOE8vb=3OzhIU#@#}j*gvfY(J;IPYnImFF^HpDFC62q`AmbBM^p`M)r)K zQB!OG5wVIRYu>rGgTyzpRbQyXcgJoURsG*P6Id|JXB#^ zJoyPMfZ%f(Q6R-Zb8T_1h}Fl0ws;S0XCZY_3Cs|z4916Mq8*y9b2rY!5hmkzh# zfm=9QHp?Gs4K9QwScPosehY)SDe@1kVj)^#m)LDJl{mf(;=$mSUnFx-qs)^Vk@rlL zrGl|{3G}suHl==`a!5dmT?B!2OgXN3Kx+uH_h1`>C(0Z5_6}C{dBeC+WJ5%0D7^k^ zh)e$AazMuStxe>p*t$cXaX^Xprspqg6!Je*OUq?GT=6?2DYysYIU#v^@u?b=g$}&A z5U(j5tDgWjD)`YS$%Z}gnt`_2R4sYq+kuZzW2Re%3GTUVOB7o}+l1Sb?wJDZ4mP_= zaJHdwG`5kgYVI60$cK+hQEqIVg5UWUaivh+umO$E7L`omA0{7NiQ>^U-~{!Xi>?7! zG{9F!_$}n#{QWA3u7Lt#PLi8VkBKSoQdLAleRGMKr#tHmRk?sn=!1+D{ZB(Qw_Ty{ z)qTApR%#?#KFFyeAHgC4!>*=1hhU3o5dGjWIU_19ozCAuMJO~Fnd=M?mEtIIsA;{y z%{_~58^q{tu3Y@>p{18c%v~bF^2Qjp3Cx~WvV(MFi!gt+^7Q^WrdUd;m|=w+a%>41-J*j zm7yJPfNy=y=Uv*3r{whkHy8XC^-W|kV{IxdV2(G_6H@S~X(Pv&A+B7|x$wY2h)}}$ z?6GwLIjRr^C~>$oG&%aZaaaR&dk?KiJ==q@oNypJ>_Xy%qHty}N{s84RA--wrt!52 zMQ%@WpQ1v^^M_)$yzG-SWtRsZ)J=x*lf;lvcPO1_ zz7`B^c?uUD4m`=@k45`+v$z%hG@T|Hw=bHuFDxI8+P} zHseXOfoU`di-n>B48m#r>OSb9Q$MC{d|?fx1GQ_VK53R+q||rxBOcwaW*nR` zIOqnYgH7W!0iRgY{o4CKP&crN3}<^K%dNaE!T6Aqx5~tuNKGC#`zbov*k%7r!!M-^ zrT;n}ElYnd0LNqgN-7(w2g+Z8e{VTJ__%Fy7e!ys+DSG*`t;p|_j-l%ryn4YW-1w~ zs88b?4!oIcCSDF2SLxl82Fd;=YM3gEPkLEw?Sjk3%LM~(Lt!}ig32Jo*a~0%-OT~R zD^CQgfjZ$j8-NeTW;_YnC4sr+1<7`)bLI?&ZuS+w9OcRpSYCOV1-FY18jbvGxcY!G z59Q61D$tidp=F;wh;(;@Mq_v1q;-JTxEaz%)4yvsk$Q$v`}INV@YK6VkY6D8i`y;xbksH~LQ`WB()ET1cVPUE?gOxtc~PUKvr)aUxH2-j)7< zMoknrL(?S;Mrdx4@@IrD2z(6hvYC}&W(uWQU2GVJS%#iQ)80Xt6l|O~`B3y2C!z~U z!zvijSh$>{=D+I;;CY5Fbqxgb#PDTOQhcxA^!ku(wGd7tlfdu*@vg$yqPNE}agT@!9fuTT`blUZ46+ zeozmxBWHu%rLfGGYHR*_Ok6y>^=_=bV~K`Uq))O@b6=?q4(6$LZPq^wkpxin6R$ky zEXEi6tEKDm^=62S@xT7@|Ms%U5#d6aMr@Itzsg=yK4W&h%Tl!TErc`J=#}32J@EJ5 zXDdsCEXaL!e=UJ#$!db`hjz!oPFaxG*zFOj{Opy;1#F^|EasE`5%00Ti9bYqcnfrT z+20c4O<2+}_s$&>T_)yoVb7l$czb1GIj`%dg;wMEBQ@H5pZ>gObZ^Ts(v5ibL0wJC72TSTAz9kKL*s|Gg7bZR<{efxSB{2HSYXBP z6*(h4sE&BBjr*hBo!{5}nfJ?HLF+MZY_9XTQ?tN-OM{q$R>tmAX(LMs3I0hn;xe$C!OKI#nvIcEv$rp9 zmggI46TuY9Ro!Zo7U+h=*CVt@)Bqx9xaiu~#R&IJBhuyUBU<2JCYs;4OPm^s=#5L; zp4zM|1-oF4#AlR`aq^oo88KLRP1A##^z;H))v&V`py7_9GOpguXa{o48MJJNc+qk> z!cOpk4+&;wrv(>jnIw8m*Ht#@`b=Us%9@K67}J}oxR``b-sgdBWELOa%TaRV(-^w} ze=SIV8Nw-X)wH)4zsGdyrbX_D~KCr9IDVSX^56g?(8B_cC6{J+nTtlTPRW^xnI?Fw@qbax{LJrA2H5fMzs<>H5 zn&rwhEV-0s+7HcRS9CxG2@F2HDrC3;Wn7j@sAqOU+d7hbgyt+y_dLl5sX?1Pn1?>3 zb%=_?WGIUp((nW%S#U8IgCYYb&fMaXajatlFiz+~>kz!_qZU0@6+vX=QkOs&BpRHX zXhE3uvD+G^=JX@-nxhH*Hxs4~>Z+$j9Q#;bJ?KC~jhQIdg%245p622uW@aX+R(!N< zSW5NXJq>0j>gN$Oq)ebiGd-jIjaEJ(tacKw4yC!h1-n=n1P?icv2BzhW;qKj?$Z=G zoxpRg9@E<)gc8?~u*acXs-%1A4smaZ-j!&{m(jF6vSZK{k@4MR5HimrB~=IoP1j<} zLE3`+Uy$RJQ0tR_Q0#QL`l5eqUdj}>>A~0WZ|YY2t<7k;;spH&hOl~0AlpY()uD=b zNpQ>QiED> zDn^DehXRak)gxxEDYMj_)mA6}9Wljw=GkufOI=~)JV6a}sw2465SRHwgqoDzJ-43V zP#VcrX?j}H;%9P{5O2hs;$*3~PSKjFp(dDSq_6XZA9r$8<8PN7NgB8O zNM(`#K<7njMj9Zu&sjVtu-!?fLxw~zQu~6xe$o_hhinlJlw*0tjee4eB@;lGG%>PJe(7UJKHMqns$R!rhKeFJ^8NzD%B(~V+^_}n<<17 zHh-1qo>8>f67QL=nonGBb$og&hUP8&Giwi4B zn>0pc?_vjJZIr{_OP+8)M0)LgvEZ*P?dxM(p5-&lek7aDPx?T4eYY&dthB%?H)Jzu z8D%^KAeM_)Jzo{oMDHDJ-Q9fu91v7Z9K2i~e7Ad<$I;^iV-e*MNhfWwes%TpdHH!} z*()o4y?5o18zs8kz3%7HD&$iBS2szEZnzJGWqwAZD93BnCED0?8Ya{vMG``?nQ=h8 zOb3n-4=`dZ9LZMS07CB@v4hvIj+E!vbGwG)!K+1YZU~H7UcZL;g;Gqn?p(K^e6{Ji z9pC>^N^0MFm9%aRLD$Rl{O0(LTPZqK_r3&H*Ir|@SLXnx=0CGs-|v@`UY`#eNpD9~ zuAVo2+1BQ{*V@X06v_@*U)cDV;_&0LLpK(Y zoc*^eY#zTN9I8I^rMYLQY(te1Wm!him#amjdHdU?G_xm{#3YmJ1a|eggfnX$u2paN zV__<3o#Q?ouRSA+zo=b7F*>~I+=`ndp^MCf1Aj6hCW#ui8kCEsuHh_oHnuO?~hB_IpS<=g@s*Eloxh^zF9kbWKl011Pm3wv7;PU92qxKlzc;$}!}2VSfvM)ZbG`i; zF*eVySyJBr`kb`kY=P0P-AMy!JF}`+nVGgDTK|V1y$r8!O{VDA7~Kf4EIHt`YhJ

    fsWh})mDA>2W_W(X$oYWcF&(>WWoxg`Uz2W6 zHrpNa$p3hjuTSyhirq!IA8ZM2#DBcZpXi*C#CUC4YxdfmaM`1fcBv!heu2*8{A5zl zPpc}t47U+VT-tpM59Y1@)yzY^vWilWv109|#Jteok4Up__S|yc;z2b#>FHb>ZcH z!DhE?qmT4?UZ3?Y3P0y5(A)3T(Hb0R;k)mer~T_qOICbZzaUv?w*P{4b;98fKYD#R zwonoC-P0T2>@=g!w^?vZYPLV9*z@&6(e9hyd!3rfCQT>D91Fhsz}-xI;Ihfij-u3d zCojh9SI)3y?Ove!lw^9KbItt40u!mX3ERJNQM>Io>=ln})yMT~hA+G|o|iQo9r zCPJDg8UBqVxleZ|uefqPPOnX3aF3$@i143|pYP14t=U5Q;h9^a*Mhw_e(Wo9C!CCF z5|(=XHE?SQg=x6`*Sw$aJm%;GkZuUBZJR$okMJmdg=0vzZ<|f7-;$Q|f9V{%<7GNT ze7MqsqEln$sTW0>|NU&!5wny(mVLE5=rY;3jG}jLO^%6#WY`(e=yl@B=SS8BUGM(M zENzi(YJtA+qnxy0@18HbI1OLu?HQn~ePwMq#?jLZ3gI7zeh*TfStg;4 zpyTP)%am;Jo`R@hAf5Sef6L0s4B+NG2 zdr-Im3vR0`0NkL=jVvEx<;hc zcMP}K@bA9zp1=GbQyq!BBae0J=Bay}tJFL+Z?h3ehp|WXI>TzsylXq`Ons|+mN?#D zx9GHv_wVOOhDWz~d+C;3wIbZ3*z8^W%H(^p>HT-+qU+NGuQnj_ymlHaU%!$~`Q7{K zbCCs^uzz?;pm(A5399>g#y3s~p1#za@+d&8$% z?X%+L`sv--!#j>D9w$EXu$$Q!`xB4xqK1#SJI`k7RGOn2Mv_%G1G>% zowf04bpN7@Vrsm?FW}b_<4Q5rcKf`2Ke?3<%nc9kH$Q)Dx!3AOg077P#pwIbPF0M5 z96;U74I7$I_>2cR{Qjou`;#e@eL+TErcX$w7w5Iv8r102E>u(%o10~{zpx^3P6}*~ zm49pIR8H{btX#8>^3|*%aorar)BE47r>F4=-6UPTCH7Cut(R7_cGcgeY@VH0l^;ej zwDr5Q-fI7V#p_o!U&QBm6U=Rz16!_AMhH2iHSbBb4g{muMsH93c~^dx!i7)5{<|%U z?FpQ#XU^YR;!^3gc@y)ck%+Q*#oiygzA-U(>)5;lgRk-k_)LE6{<}?_NZZ z0!?+Rya*eX#I9KFlK=Dviq86$iK07qSKnB6ndC4{qIp@r*9kCO+(SNkQ+PnPdBL|Y zSHwTQnC`_UUq7>*+ps=3&4RM$Ys2t+x9+B0SZ$s4^4k^7zZNGRVo-J~ZdJ2>>%2Hv z`NOk8nwPH8`oQZsYs^dhVq4m=lw}#GysRTLnt}&55f5FDrL1@v_xp0)th8$z=)XR3 zs%1O$&i~-KryN}e@5QoVfd>K(QjQv)ly@-g0+VG7%ZElE4D^IFS&RN-CB@x z$gE|_k~O;wo_aqGT$iq%zH>3_iGM%e$;&~r! z&71pf*gNVSITexM{4wok(&A@dZ1?)jC5F0X%&xgKPV&jmoau*lZ^JwFP^`q)%jBY4z^yGe^bHTO##6a=fz$PS#?!p zE3&2c$V9qhX;!WC@;=i2(1m}!UUPWsXBnKZCHtPVM3`5Pvu#gbY%FjtGcDL~$BSYe zx_Qi$etQ0`=K8zFrp#N{EObIl-p93!c`Ys7D?XdQ#mltRB9M4uEgACyV@a~#t(gCd zvGa^-Ds3AzBs2wVbU{T4pftyZ1r()-0Raa@Mi3ZB5S2EgltD@yq*xF%60i_rp-4g! zNb{ruvQm24OjUoXF2|0Is-|wt%onPmNt_32H?EUQLe(rKzhu-v6z17$3 zv$YRosGmJV%j`DaGwtYaUi7=mGNSHi&=Hl&D*cszOmSKS5d}Kl>k02vPU5Z4{OWql z4zoI0d+4{x0)4&KXF1~`7G?PLC5Dd=Z^WEWK>rbLvRESiQQv%1x%gtvdE@(5mQQ$5 zg&W#;%a;9p$v0Zxa-aT*)+AS3g7%%P(|9kBY811*&nW~E4y=Z_%rhF`7;p zQ8BlSkJ({2^xpUmy@c0nKNTy?zPpJD(rW#KMcVH@?A{%?;)At$qhA!R`|i4@zk0`J zN@aw)KGrMR?fA3ku#I;zE4Fq2h1U#mxM_T@gr_Y%icwvsc_H6JXLm2vZ?al{}7rhW>u-Aio%ds?jL zd~?uwo$l$U{(R%w9aw!HCdIdPJ2LU?4qokKvYFXajM=`2MrPujI;5z`pKFdDeru|f ziho;;(ok9Z_LnPZBE0_j9hX;~PkBhIvDrw-Jfid3#%US)*p+_sm522MjW(aT?T^i# z)-<=hh)*y!I=NRn1N}HV%8gf0EV=tI>iHY}y5r}qox@g>7<*03GSD;Ew3+$^H?w7B*i>CsH1EyjwXd603lY~8Wie|E6W;GF}KQKnCeK4p1o4x7>^ zfB8*6$5T7K;AYY9uQ1MclZ*G>9s7fV%?fHy3cKogHA3m6^R6ughp$;3MH5H8mk#bQ zoB2+hktw_QbLn6nJdkwUC>B-RaI-e0t3RCA;YH zKlaa;N2g_zk&Q@g} zv07&M3SWm)I(1WcS4rcyj~TA(e>WzSgq(cVDE`~L`@RbrtK_%(Jc^1xq-WNkwt}Ie zvC$~QxUD-AUX0ScXY3R<-}8WuGzEX@qUXsiu^DEodWO9G=2|-UFeKO=F}qg(K&n}> z^FbsOh;sgX`47eKG)C#V=c#31tpkptjH8(?Ej#aOp*@YFYX0$kp}8}CP2g&DWpChx z)a4+NT*VQoSmmZILSD00{T>ul7m8%!ofXDwrCSkrh|^BKMXbL_ar z6!x^tddck58WmH`*oniRZikvY#jcmFvOpe9EbXgl54p4Ajuh!wbaYCjFhZue9%36;+_SJ@edA;c~^+jBRj5yte0NCXQ1Cq z)E`;JeSRq{nVB_u$M{i3~QJm<(RM`&mSUd_FYhYk%a!h?)}Ta zW-HYmMA0r57^M?RCtqAWo;y9P<2m~bW6m>Py=-}d=E$K3w*FRXp;*n2nj>mIHA)lA zH9i}EIB%Mv5_ySG`_>>pMYY4jJKAC=ewC-%ALwSxvi+IaSS5=cn|~y(K^f@K&c_rI z%gx@78b{vOOv(|C{Isv6!b@r0;kQzyUk(@aDrc?Pm~X5a)gCk7^bm#JZ29~Je&@b3 z-KDN!ZG&%bU^X_WyEAZ+ULV7P3jQ1^(8ueHUy1iQ_bH8ydt`9sg4E?xy6W(Hym2^Y%{yZerly$|9GeQ18$p(LHa-L#n^_g znh$1_ONb>FN)Se|(7H-%@k(Y6w>F>0+}y6cD*t9p#LYIw@R!{c^%$bk<|l7{$TRh4 zeA-~(n7fbgho{{arR#X5NaH%?hdDQB`o9gMsac!vD6vQWPB$oY$xIB2JJ7M&vUs(* z%7?smw1%=H$Te%khin9e^^eY)^c8%E*<37EA*XLfR*QoAkGQ&E&Ef@W4P)W;LVX5hh4LYRhZ~6eQ=C?j|ZzU$G zbjOu`=8;<)wx8YH8F=_#e-)3z<5s4hdyhYPA-C}RZdua79bGR*YneAK%u^oy?)~Wu zy7<8Dqj`6|_8i2tC@+_tb}(w(PaWvi`gn1!u}u5y@HDny+W4MQ3|{A~VeRVDFrDhG z<&O+r8G3~d6s@~o>{ImjieL-Ovdg-YPAT?6u(2P0{p6lZ4IM_xgyuF(n2*(2 ztWJy49~hT4%8y;D|Fqc0b;E`|y71;8rRA%CdW)rTxH+fogCQ2Hq1I(`{oa68VK~OS zZHET-QmxXG<|Vvgq;l=l<>tF4o2>6IGd^(qv%z0+H*XWie^T~Bz5k==W4q>N%=%p_ z9@w>8SHIRg`UsV)#IHPxiF7k$V>@_HCpTWhtB&p1 ze)AYc>p9-#koK(f-q&2Qb+_pm*5;?9UZ_R(G3FHQT0iY~wx< z&~NHK*N}2G0FO4<)PR2covI5*Xseg8Md_=*@0<8o}5bQS1IpJ+G2cc*Bs2+GDca?;TytL+}Bi>tVmMPEmd<+(YWun6e+LSt<#VDV1 zE;RqaD5j}0G4l2nkNyS|vE_Oy){MV#KPF_e>y%}PEql?p7JIEaWG~7Ae^gb8t5bx* zadp!USu?QAk2`Clo3`NXqKr&Gp-iteV-L<@p0C+ab>^}miM79F4QvF*~{(=-8v=r?&Ys_~XkByNn-)ZC!(``pfY6 z8csw3`joL*4yyuxdOue42Q<}1ZH-FvR@(9WS8u((a!(bkQ2(2Q*%OGCw|{B2zWqU2yA{7;lgbH>`flusgrH>TG*?#LlyB`^vieKOj>m>4|5EKT*WBcC z3+ob)qM?T1RbQdI;7NL>{D$LconM1L^Od_Z=HDq1j$XyDTCYYuHq9tp^zTnM3>BE< z1V(7!t8CbdZ?tR3r=j+);wj zP*-_%^J&+14b0PpwW8C;Ip=k|xXjcEK_E(K?L6l28ju(RJk zm~E(WRnpCq{resnMn_@z1)f>=OfZgi;|H+IhSvRp9>ech9;yFo)*aom`RCUWYi&=p zEcdxT8zCq&*Kpaq2CIxZuzx%GFuUHtcg;F?+u_8}x+i!~yhiS3%aT=hO)i^XlCOS( zRrA>Q$j#|o@>;JeSbeFnh4ruapkV!te|?YX6vu5`_L^-YNbhEvkPqZzOnPd3vEQY> zydL)&Z{E2Hr}N#{tHqBzGq4e){rUX~J9;qaD%~%})Me_AcP8E>gd_1b0=!-FZ~JYN zF((dx*TE_pFx-QwJg~F3EBB?=a=flF+RI~m(TBF%HEyfs@Ow9D&+Du&DqrP&3gZ!J zNLC+iGrV_*#mus3!s{3!&oN(gR=vY||Fq2f=nH3KYLQaOGuurjcSo!Z4N4NGbkCaW z?%0Nce0=d+!J&)kTMoa-j{4}SA9bmy#xODOnytortl2+Cd$gj@J?YZ>)%Mh{=&f%w zRaWWUlW#hhjs3O6IPu_J{E0>fm7LLa*9@xrE*Xy!u~PT(?Q zcZQ?S<{vZsQ>YY^)WG3SzRUkfqk4a=I8qy^J9xJ1z(?)RdVO@>>IA$+zG?famBaSB zpTh1OAy{KDp2gv(7z}hj&N*wVH+b&a z-tjBy?g_K?FR*1VEiP$<;Xj_OJn86jjB_y089&!={MbGfqjp_)^nCAC-O^<`Xw}Cr z3Evx1(Ynv}=03$(I;ffb#+vD`Y zH|WR8Rh)Uwf~t;5`D<_emwhT=xc)*ApUHc;T1Z+JNzhue!y#S?i4hN+$7*c*F8mT@ zCgj#5wIj94F3)@qm8zB`jSXOY=~m;1V28eP&n*z!@>p%=qNe}r^@l5514$4StqMN) zlHq8+c_jvYHU4G()Py_hpgm^Ym92VMd+zytn|$Fo_JL_#fYJOX#q@{~2+P>o5uOw4 zjdNKZwBwF$@o6E?oSVoY)vkJn(cGl}-IfXq zlNchV+w|}-+9G59RU!UbZLJ0@9=kS#XB#W`rn&b6CQ)69`dko+HK0jyL@Mw`ONvQUB*$5 zFyBYg&6wrUJGWLDx#WK;)~K;p#kj1DR8O*B+m-X1kxO;Do8FtKOKV-PeZM7J{j_F? zORZ4a-Ss2Z*yCz6e#fNdW(b2;#p}Gm2I(o8>AidQgIq5#KRRUXzpm($YgRnRuf1n- zWo?OLm#63YV*O7uPg`CuH`}CtT4!&}`9Jegidg5ho}b=mf--GbeMhNhvmOQ=bB~Bk z{)(P-d7190V|01cRKM!JT zt1vaIZsf14xw?NH)-2yo9sTg)qa(Q&UzwL(?RuO2bmxnL-&d^t3DctCupEo7Q@ZzK z*1n?}aD*gD*8aO@%X&X^qv6|GN-?8U%kxkFbcLKq`=Q%r=6JVw+cV5dv%9?ThRs&r znd$s^-|~m%CAjNrR z&SeT;Mn{MW8tg#%WH zru%Z;3cPo!?z;^$8P;=8`i3_Ju2%EroW7Qgw_ijX9M8m9f6pID?jLEv`1F?ZJ5 zp2fbzo6e?md@^cs$le|H??=qtud80a(RzE~(M?a1@n4u7#YTx(yO)@44(;c7_qgz)XMt_XF%j^k(}bt_ArA&AY9%tRFjd|KX}_XTECinyq!+ulU8MXzu1)7;CiI z=5W=}hjI*;<8p%`Ot+Q49?LKIH%X&pZAo0fUF&KkBxAq%pB<&uebKU2-_);T&3<0iue}Ptd54Ct z#dC~Ch+F*TfggV;-Mi2UavUY)Z}oqS;&1eiRO>|+B~1EpiYvQ!FiE(v4_a=8OPQ_< zvB?4ok^fZW#i>hc$=^4@e>gi=yl*dzqA57Hiuq1x4OyasLqRb^3`3KI4Ik1bGBt}V zc7|9c&2#ck4;_%Xp9Ne^nh-{?r@CLUVieyJrj@4Wny&^-Kp#yceBv7$s*gtzEauZ* z)G~sD;?ww)uYZe90>hvu0cM!?QJB}6#Q>rZP!*tp=?>76oaFyj0Sw-YBL1nVZ|#qj zz;HH_&2i6+)zCTL1`d6ACCU7MruvEw;)wc=vBliEIg_@ z6x)(H(v90nk4kSG;?qt5c}p_bni*2+DwlsVxIib=We`CQC1*GHj=1j|Dl0i8s^Oi9 z=B*t?cy})-z{6B3uR@xb#>lF0Xt<*FCp1Di6m=7`)(wE84Mx-oPaWGC|`I`^y3U7f4yRWlE|j{|q4{ z{3Q5U7DS1HveH->G*%2bR~%J^No+az(MgAr2jnU9A99xDeGiQDkdg)gOiH}Jb)!(m ztMn~j=|Ps}uyPsM@zWRn7e@~UBMT1{vOzyTB}K}=gb1F3bYmXOAU&D>3i>!)@40MD zpw?zF%uIq<^EpM=4J1(|4Bfpja!?r<58&i9idZ+_Jc)L}fkzdO?|Ko*CHyo;c3p62fxYqA8XYyqiCjA5H*F#3Q$ z2I$4}1=;IU$us=>A<>L%RzqJ~WkgY^c!qSyVI<3q)lfrn-b!pH)7i|^$LADpEzO4x ztu>hw^9jW7raBeEtWvtaf<#W^fFC_|D*p=!kZa<;k$*;|{IGedVz#6yBbft`I2J;i z0WuglHW}^lPoe~c~J!Vt-)TNB~3Yw#6C`T&9jlg70JFg@)q67&ZjV`N4kLR;2u$1a6Iq8r?oJ(;X7fuD-xoX6srhfikUFsA@~N^Uq=fFL@Yg`s)Ji&6 zVZi2>wnOW&gqoq{@eQZfl5vS*nR0<`I&i;ixh=g`x!e**n`cKSP|VZmLZB-DZaRQ^ zTfWjpR6H1wk&5dbi0N41+gAXMWwbSe`Yu}GzoD`m2EgYQ^q0z)$_Ftp5l~?8(w7}<5wy)v86_^?1_LpkB#P) zzo5y6+vegEU{1X*o07sA{imm0@Gu#~x}wPzBMuT4P8XESHsnu!TZ_8X0GI-SFP`|; z#M_iv0n;Fgj~m`$QiK4-n0btl?-?3_+@U!Me$Nmx+uU)!~Y>t zO)c5j(>V2AW!*wQIr>^-m$wEXTPx9{P{TjiJG+LUDho~MY98|)R81^*mrmEPe(m_y zpGoIYr3HL4WwQK@w>_-qkq0i-Mb0;i&HWeViz4QTqwT`_8fIJvr^(QNQGxN3ZmN9Z zvq0)uNbM;S2Q}G{$VUa$Fj~xlOLM`031++p2!ACIJ@+~xFGakMH7uWe(N&^kvaX}Ui(?JgKgh@%WzU2x{O{5 zC<|%H^2TH)+KvtZzlr50p9UHLGYVJl=Y{gGuuK7oGPM)Z-*fp2EqZAI-QP1w(C}Kzlgtx` z-=r=9f9;;2H06_82#-p2vhMO6I$1JLXylNb{X#%4Zw+!cK`e2oK)(*DNgZUm%qIwb zU6|riQxf>43yLec#7In13`R;@WL64+QzZo`%1rjov0S;+M8>80in{bjA^0$WSJ0KW0F8Cd z1Q30P&L?DDD!)|bCVpS!%!T{IKUylLa){1=SHUe_60Axc?e~I9QWXpe(LJYeSkyKw~S`ouJH0NY>pu z#7Gpy0h1|>+nPC0VTnr%;#ZH`b3?(?n#`lW@OP7oMFIdgMWujLa!BG2(>C;7e(uuL zWPX)Z@GW^ja%=X4m}@uzCioM#P!i%7GfM9kt>5ijvd#Nj!#L;$ML^95yGkAbSB zmV0ASEy~HQh2=xD7ebP<)j7~Mn}$=&%>hTydw!vL4be~6bAG}+voRH^nd+K2pStta zXcKQ}96_qQDdxCw7Sp%LJVo+_p@QS|teU5Ux=DvlA~lLG(eZ?paZ#CIUDhQ6ACgWu zECaL2xeo;)mlOIyNVU&kwJOemjv_}n8uARa^xx=Ba2OW6oqf4PWbAbIar+ebc$jg zACodY$fJjHV0Fz2o55Np9j`;^-ICB2|HJZt?xwt$)WcF1(S;lFUnZO?=D$q1(+Vcs zP6&a1`hSLX&<0AYl{7rmRMoe5qW7q8_f$Kj7GA36m7#L=e;k8)@LyLShW~r!e^2UQ z_WgeY;r{oazdhO&5OCV6?xpJ2NfYYHB}#v&pma3UK>}^AR^lYovh$hI*0`==q`JbX zd!?@>?H&wz-W}=f9bhjGChKitOrb8Ic(j<)_Q`ZHscV-0_kWYBIN>?)k(D?<*azY_W($^mJ9E9_y z5Hz8U{;%czj*Y_uA{QRba;dGQYkL!mA12 z@B}PSy9aLL_P)*UL}*BRS!L(F{HKnU--$TcDb%f_Ol-HB>mqHd!pK{y2JQ9u0=kXw ziVZz>f-=sEO1jNA$R$Guh@3;94jAiNf079yWvZ?-3zl;*pHAM%4mHQcPgUB&^GX^& z1q&b!vo8@nJ;nJD)zTU*X2!*&2Q&!i?+Q?Ij-dMcJh?xYUyY4h4^8b+S+C2x<&pq^ zx+x-Ecv#KT-Q|t7z&K7u)TX1_Xf_u2|5*RBIaE;jfnSDGK>m~1%Vsj-65pxmn%L3$ z-K|1lG_b5c0;ZYPRon1u76sJt9=nai4^yFn>APeO<;p_dOR0~C17Jf(4p9V4XdWT!b~SP(33Hu{YIGj4fJF{T}j zR7VPZG+34?5`<3=sL1>$CcZ#*pdvO&)K!3DwOpI(f|ylAbhYi9+yfK-)4&(_HjP}< zGF;R|ZK&mGoG1kD70)5GKv2Ubg@qwO<<^l!hT;)KtwJz(yDPSh!WNoM!X`KgNE(sw zC|%HhQy4Cw0Tow}%r6;aS{EWL4wyxvke4G=`g4YQp29L!0W3!WQZlyEXnyj~d9vQ# zK8CBGf(Ic(Xs2K(Z5+nBnFBWTu3dGDO<9Xw=IK4%2t6$olPN|775(dj=;rAmuJTku zu?tfe1?aI!^kopODL~4wkmbQ4&3V4A(jigCCGKETRtr{j*Q#*YzDa;ktnKrU3} z?j|V9MLhaQD$h1Cji)|7$EUygXUeuCfnU{&P=Y|>r74*dR6%1tb>iq~FAd%RcI+g- zoH}?E(I zt_YPF!PB7PtdVLMBp6HUM3zO6PLjNJal&vueQGkxJz)s$yBf|pP79lx$gf;L?vB~C zpcc!Q1*+x^rSWKrS>t4w5T9LHu?XZx_^3g;%16(6NJMlg^fUQM1`t1oNCBPIbiMSQ zc?vKa=#e0=4NfCDvx^t;Y&o-6!7UCjPm4e0#C4M{!J9n4Fz7!v8v_%QhougS+1mL) zvA*EYlr1A4Ri%4T?Ush^0)w4Xsf=_kn21u#-4_~%NG`pg<0J!vG1z}xj_U$g*BpfI z2>H|~X&I@KM<+5a2h{K!PDJnsA_P$%Aj)1dBe@S!|13^kWXUJG!;#82LbDEeH$tY( z2+G4pkaj-R@1%_RrRW1YXP0eXa|Gz!PL3CZmr}F5Mqm20B8+Z{YDofTE=W*4HoI~g z*ccR9gfzj%7}-jb!Hl|Z5~HTuMs))VB0J{;+ROxo9 z76B3=y#jh=Fq(N9Y2~35CWaftoj)<>{>&5G|-K?ZkD^ z3sfhpJE&X7VEX_}WC?7jx$+)BfR1zmFd|t%p8^(~ICO7&Wl+mdEdL}2$eq>FVIJLg zwD{cU)^GzoQUrZbHr$cG6wo1Bf02+H!lzq>Hf7D#@$5VYI!@MgCpYk`1{V3x>2o9( z&#{#o=J{n86(q+&l`;o|P-8JT`5YJ@iMjIW##;hfu5@~llM(lBLY~g8L#hx~zN!MeR)s{s?<-;> zbOu5mX{;RP`9;@VCrN7g^ueTQlTk{PfEJ!lwUx8^(ljP-rZ7Bk5!pAwM<^2YJPwlH z-_5^C`64I_RQMaHe0tARQx=Rag5!rz-z&qU^mJERz&pNGPTs}FP4MXh;G`9?nzFj# zL9i&`(>uyz(kJFwhv(tl`y^ZK5aEO@K;vcKqV?e9K1m?Mj{jwmN1Do=6DVR7Z|{tN9yrP`<0?c{B0&VV zk5~2%q!gfU7KZTXFbS*g1HMwST_lXg@#LI1`?_zRXO*4k9oyYJE_RbAzK7KiADbea zIfVKoM6I#?+Ya<>vS(AcfKHt(KIap#G;RC>aA05+zme=CC|PVJkFfY>dy+YG zNsFzu$CLPF{}BrTs&iLPI267}zd+S6@zC_lN-APUBqSOhhI>!nO8hcx^lLI8V(#DxgZBJOp6H|h! z{tpGq8+$Y3D8lJ-PjV%%n%#14D#n=4_*Yb7RzP$OKF_VYA%k?$D6Nus{t}5-H84Jz zf$1dz{GaPJ`qv;FA!BKlM1J0=wCO=gU5iQ`=WBohl_RJ+F~@&q2Z+#U?!}~Jz9H9O zFNo)B-o0L76-^H1kiMoIJ$17FBG>lvD2= zeou7eT;jaO#j<&ItQ*MCNq+TS5$i@*M9D`n`xd<;u}naz6g-?g279ZT(^V&Nm-5B*ileDQ@(85|1dX*5nD z)!{{_1NH?B=E_bmZ%oN}HR2?}IT4HcaIkl2^Xw+OXns922F#!&*WfV)EVC$!Sl5bA zm@wEoK1IyRhwDi816yw_oYfUFzG%XkQTQgp30T|heEQaT1$Bj*9p}Bst17n+hQZrK zl56YWAuD>BBL$KKJp=_?IxbflkenOEspZ$q&JBEC1VLnKXjPh1IjWGel4|rqFElN!zGB<|#ykMpf(t z2|ca72)zSHhH|@Fgc91477yOL{BZGNR}^l3Q9z%7_kU=FYUAq$3Bw$@m8|6j0Ho@d z;@lQeyEA{kAkLA>5(HKMp&hnAVfT9k`FD>zU8v*JKEsX>O8meJSZZfR(xjrg>xFVD z{IGA%r%-?&N#8Li3vTv?Hw%cMbL#>0%@oP8RP7H)gPc&zazd&Uaxrik+Q8J^TR&8) zHgnm+4kFER17`{huJ@cEI==%LXmXv)*d!>(>F(0S!HhUsO1-xxLUNJE*T>wXP#eSJ zP9W6{oduc$L;>BWKtqT-LCU214rmONx=VqLUDZ7yy}8(9kv{N{pfcgbhSmGvMs)WscJPMcQ?}f5${fRPtwxH--aD_PgFvU&YprFgZ61IzX zmllEqbtp4#>D1*9HL3lmp$Z=q@DQs4qM>3-uNh*1{T22e^C zHp^ah0{=jayc4G%tHEIb^2;*YCfaO1mQ zS&1QK8#nFt2-!08XsA0aBsv;6~pLms`tAIfzU{%PAsr+o5Wd{so@)y&dv6*D`60e}+nA7NDvH57!3 z)m-fgKMbhkC=&N-T@;A62uvNV4b%MmX^Qry7=`FjsvT%@a{famWmex&4yNmPb6lS3 zK(*~gTNhF30hL|crFZ7(+$;e7T4Zt|YiMO*ick~>0hxXXR3cbFo`)1_&x@&|t`bMt z>=dupcS)O>E~MU)*E^0R^O$}co|N%wF7+HwZQ#iq#5O7& z_jV&pCSz*^i@$-feu|jMr+yGQGA!T(kXpAK#83*3D(icyB1Ue?Jr5CcAM5)NaF%*a zE*VAt`O=a3q1yq=ijEmrFF2n{57INEaeAYcdco1Wp z?e<=@@)J-B_!WhrXDauWPu&U$<5z6qs2$4vnV5b%R z>pNfY5s)X=h4$S-1I!yowr#pj0E}4;Qq5#YO5=BO;h>i?my3P}eCi86CCgzIkR3ky`(FEqWAAblhFeu}rD&Ah`rW7N2}_EW4?FuJp;eSKg%Gx%n#^MO{8C zg2@C;?j^BJMWM#xoS>Fns7e_R7}5+_d~oPxzv-?Bji;(~IDh zK2_M`O9%BceX7f2;({kaN7|p?(G@a&rlXP90c^jT#-T4zxMcCCqgqi^C;*C@t}DFAL9M6;MUJJQIZL5MPGkQ9Ac zJmgo;+J7D2S(j#=X-VJ7mdMz@bSbv*L4?*#j!BX@X1yv;flu?QXUC4BM1ydGBOjJa zW^YLcfTANA%6JtNo-qg+fEw|K0%cJz>Hdd2dA)#N*)B`VZ{Fv0&yBaK5u<bL*tzd^Qw2S@tqZ`X zbBrUGg_bEJiqpC!jyc^=?B2zlr?^Arx*z_){Qo2P3mqnHWFhp*n54$Z=4-y|RT$DO7n9uOG)NqDLU04ewj$?AK6QzOR3s!IRlPjOyC51P zw4;M}fu2ftcyK7>Su~qZpUgVUrSBFz3#Xb}TMwC}(JPVSghW8T_T^?Nwo2NOeh7!Z zNfdGpkNInFVih?P0wB+~2p3InZ~S6&o`YD=k(n>#~4M?mKW*|SqCEK*|Vw3AFX zsiZfB0AW25; z^3v$3C#W_A#3lty5Ks-WNwxTjVe>SI2yhfu0tEsF1xuS=)Ly|Sk3a@VoxrPN)$$xW zlNftP>)A_F!dOxQF{&$pSQG(CB!uR}Hbc^*c)yJxf*XAzR!d=wPrpG#hC5MmN>KAY z|3~V6##Ke?z{&?O>MegIu?YXR3I@_9TK|k2Y<8k3YKYT3y1(9DVM25Egu;ok1XUeS z&<}|Cl`{zKOL3R~ar?T$B^5;AYTYAo?5}IO?acvB>9j38Afr<#9nDwgA*a*}X)98$ zypa-}y<X}tV=&6eqdu9=tQU4 zgtjU+zv^8QLLQTIiuiH4ZI!0dNn+v4-}p7LJ>5wU2X4{lpa>=?516i`J`{)W%LWC{ zaa=x)Bw)Cmc#piA3ew)72$e2ut?Mp_T=f)xE;WH4x)|`y@Iu!gNO6SbE(|EvU*awKd~D|Hk&9){{shMUfI%qOkCODcgOK6; zH~)*(dw$hxmgSEEhsSJ0{)&M|G2mDh2fTxvsGT15vnCiMv!lbg}wYQIxl4rBdQb(}V<6qQ0QU zKTn?ekk6{@5d@S=fk~Ywl_&A3iVDOKHBUMXEFRD>P^~E$YZ;I!u9LqHk%jQc4U#Eh z1hCSp_R2F4`>)$WxuQfSldY7#gU8ukc%p^>PdV?%H^q~ZZlJm*dP|^+1$rwUZKJkb z&7wr@q}opml`U$AcBpf%bOb>p*? z8Q*b3V#KJMXOfNZMxBg5rtnT57y66+)k}Ya2-oudnbRXRpZCl(*m;|?=G6lU?C(3Z zjwSXl(soMJMe$mn%C#It_axNL6DHru$oeU3-=nt~Kl!r*m1EJ=vi`oeL58^VtL)b? zlXi#Sf7XKnBL6wDz48*TrUi^JjB_gM3V9X$pFLF$arw{QBdkib>ybT8SFDC7f>)Zv z_Pn~tqeJR&!mAlq0dyihzj|!LsmuNrNi2Sar~Oy@&N|6JGn`7;i(Xlb2~aoh?m0;| z#|1%)%_vgYZG({{q7|gd9h1!|5jS=2T=|&t3fcx(`Cc02iBnK@^HQw`S$#mc+d@5( z)p)TiV{nl#V0~*s>1?VgH4y3ZXs0(f{Cld~Lc~)iUl{G8w9ZDp zSQ`c<^B413E(r)>w2huK@ax;4B3=#P&sH82!-Hrd>@O2wATi83TLct-xnBW47w}t+ z{4y3a$N+vTwCQSi$!cri$_=9KoqPLuG)0*-Nbp3_7r+N7(uR|1K~3p&^Ar)Zbuo9c z$Du|#{}7=lYNSMjaxxLkU0Q`qde>ne?@BO-ufkAz4|vI8kddv)x*{VLN1SKd8bgX zqp7{D=|QcaGM>xV9I*@HZ4adl70w_vr5i{#-9Cv^0%kk$gI^u5dNl|lg;8)jdsI}-8z2?#5J+m7<#-93ZUq<-HBQiQHm}jRO$j`q5Zv8 z#S*CT#zleQ7eusm0UOZBCj=prUt#W1^gE_sx}qom zmHdT_OQiw3+Cr0gRg|8i8bi=cS1ydoobMx?O;Qv9LAQHA<|+?<-+X(on2|19Dl7Gd zTv{S*kCfS43t~u9)BN+mQI`FCWaq7=)WM}}PyvSrq}_9_6NiQ1WokzvhLW2F$#=%eSB3cUMR2e_RFj&Ip2%;vz87_w{N z36aa~aK#6~sWu@#ELZrjJAvDmB!GR^RX!9hmTVPe#QC4-YCGYh8cc`Gty8HNDo?%y zm4unHLS?e&5Ncqg=K4{A)m)L&bY+o2CxK7fpY{)Na~+@F9U>st4$ycv6uHJpFzFMMnJWr*a>rAIZ%S>S#R4yvTjU~-kzsK0A=#Gz zuQu9}HF5$9V^i?PcIpbtW7Ek2hoQxjPpgQ7I%i*NcEv1ouuVkaJb~Sbv*SNc&ZwtK zQ^-NxbK+4@Q1?KklRusjZ%5qq#}YzN>5`2XYB*TuR{>h>MF&qK6gs@9Q-rn@PLEIN zzCM1S{KH@YT&AHhZFgKBQYo9+?%b~o#oa9qNIA3|y=l4UIohK1zUju7BuM4U)b9;8 z9kCW_na36%V0CI6L9`)P6hDIm2BY8nAIzk}ZxJf5i~=GF+-R{Yp|1Zj1F4Dt4jZ>F zsRx1}PzJYFz{^Y|@v8|w32TTkNz-u5uBu33r%g0v$5EjcZRgN>8;VekqLMvE@`T1- zXg|vstQg9bi*XbXp5JPJma#l>n$6RYh7(;jz}*$bNanJ6j>4qO4I(=SSy9i$HRqac z5;JxLOxp-F;!pDKp43Z`FF@i{Xx1xF$Z)5mIg#8(kQ_p!HSgI}K@2ow5@r=8%~M_W z2}qZnh;>ncKc)(*zQo>YvsT#9cvbQLH-p_yO>h9JFQpEm!~wEJ`kDXfluCytC+HGK zM<^+8QD8`yqrc_n6b2Jl$j_|+*6N%BlN4_ZQDVc6Un|&h=>wJ@3&R$pXP>R%0 z@sEQLXGT2-op(fCeU(}c?t!+Es_FyZl_tABQPqCa+SDk7bHH&Gt$GP?H9zY+m73~o z2z4+P6wJ=tPlT;|LcrAny0qaKk-%2+#kI290sjRxY zbbLS!le?H9bBI^>Es=%#t6la}A*n;~;$aqC`l#ULw+!74`S1H`;T@EHUm)eUP~LJOt!vX4 z0VR&hLr)B~(KoGo0=3h6{ft-;nBC{;r4&WSR_=@}rnmqV50Qxj+|A;~rYxUsd15@o_D){}&%jy; z_O6X2UPSp9_LIAXDFjYo>M+rOo~+5Q8A*O{7~a=2^;4Wi0Wrus2%(aPNawxhPa^bx zFvR+;xS~6$pBHjY&kPooNoGjr=A%h&r70P7@KV_@_@YY7^VTiVh3wiljjRqh=10iX zuP?Q_?}IA_7W242g}O%sLMCo=sg&s6b`b*&q>pYM%JS6a!%gc_fQ1Q z7v2Q`bu-1Un@_*o!8{CQR(R&;tNk&ElnntAIWiIsnF4)oH=shH$EBe%!`wfC;ognO zjE5d8y4e0zKv@rm~s5ioZ%V%(^z_p}LA(Z1>p2o;{@Zg;xC;|0$ zn+dSKU#jxFRfGX_}QqBnGDI`>3A zDiwbJ(tv)|ArTmN$-+FTuz*MB6dTMJw$T-u^udhfFLnr)g^G$UawL4c)OSOujBJr)1*zhou55}BS`lbSkxLD2Z{MO0Fw*@j21GX=y6cy*qgQy>NQnWd@Yshbmcj`5Q(m z%K|tu^*ZDNqvS`XkJ|M@k|Rmlx;Ufg72z;d0~B@AU;{@^&PzJ91^JIzCXG*t%fOW+ znSvhadVBVT(EPuk@wqf6Sl@{W+VXu9&9j8(p1yoR(ZaRWWRS=5;Q#DXTV5Y65f{<1P3IWmwS+oUd zErGTsWGaN19f6RtBx!9}3TK&0mI9K@v?2o`WC|oPWP87dndg0<=XYJdKlBn8Omfb- z@B8~%3nS}r7Q!!aM~+^62d~KNsfcw=BJ+V^gZH&atKC(3q99L<1Fm`RS~?mSYT(p6 zKs^FyV5|#dJ{z{U6nGOzB~q8&Ow95~Io@hpf^(n;78jV!=u)Ib7fNl676EBSqp2#` zpa|?K^ zY}Oq{MOvw-(0xGB144b1{t1%n$yDEsP)-h)0I@5z&TSos@)>a`wRe)}L!L#itF`j+ z9vRw_KZ=Xvh|n(vCcwN@j9f(!HprA z=#F(3NdUoGiYL2aiFwGoT;b51Uez#gD=;sSEQb?dTs@iYnTd5$Dd5RS*Yyh)A9i+xOXS`=SgUN9^`SLg;c;{}9@dXy2We5vV?^4#2WFBj_TBU^t zDZzzlT2OSQKHBF%J~m~%(m6q`d#3@{zB=TnTqglOZUXMFsgYc$u~YXmvW#e}6PO$~ zpyK&UHBPFa;@X0MK{gs#(Fs||Iwi-!0a4O8)=Jax>&QLhbt}(-uwhD8PNo)=-m07g zSyCuyiyGW`^$*=o8^ttON$u+GT?-W_47M&1AHsDu%dO)W3*;A5#I$jzVRF&8+5ruq z1pg+D<}Pjsgs-~tHXYKms2^AYQ^pYq)NU0f=k!%F=o7(Za2uIZp_M}tTmfpY_9T!` zDZ|1siRhKyIk=*F>E*H|$W0cP@aw%4GEINFiTxtpZ>5>*JgR0%XAL7%f~?cG$6 zIbP_w<(Z)g%CDZAYd&UPz$67vP#%qn9_;mEh717`S{R84JS?2tr5}`J>oq}euO><> zPGq<-T%2U>zonPnGTf1O3HuwaC4p*sxbp<;@-Sx16PmSDoMYU;15eo*!Wv1$oNqo> z>S;V)FdYQQJ1QAsztYkTafC=beC5Qz@x)-)N^Zoiv?jBP5? zCK?H;qtKK#&Z*$Sg7nEJufM8xalp@pBkiIDe}NU}rV}F3eIBIs9QT@dDer_wDv5Xl z&=yb_@vfDE1ZAMA2R71zhFH&10>It{eCydWu3IHDHFmg!_ZR0XAMs5CTHO-{D;3Un zbUibA9P0#^PWye3UvweQ2!>>z3G(upmjtCfc&o+Q(dLLWNa-!~_{(rHUo-4tk} zM$NF0fnE|?xm#sNH1DKHE*Cw9YuSa{)aO zz>>1iGL8@}rZi&Bpo-E0nQIfh2P-Q(al;lR`)~%q<~p$ZJc7+FRoy7k_(#Ee{qK$D z{w3l)_r52O3o5pxR<7r|K#43m;p`dfs|u5X@ER5>rIQ0b-;8*#i4*|H!agnvVD%Lz|Lg=GnJ$2sWzOh!w4#ZLv`{+ua+X#EMV zB|z?7lw4JH&!o&rMSLY7#T$dVC#dsdW==G;7JAw>JDx}jOFAoK$8FFQ4UfPXE&5{U zaAivh7D1CKoG_u$#j!GG^h6w75}Z3x1mKr>_9tk5TrRJK#f}k>G6TX~18-xLBrmAY zro~(B$**)4%+8cw(~hzZVMv*d|H`ldZs6e0_@xs87dUA#5tM|rELL=$<66#*yh3Ir zCpqVn3D8G6K&RzWUM`#&Qx8O?b%Wjyl(||#tzF!XORRj2Q}GalXj2v6bMrYd8v9V7 z&|^vlp`{Gg^l(qo6T~b=Cyq}cs}*|iC^Ea)R`rg846!;slzoHEJWl7?%!Q9Z1vTGW z@Oi&OYCv0vvuEw8?2C$rCLo@5Tu}VGaPgxV|3jsM*%cixq;!L<^(+Uej#fGN50! zWEwb>&4+BpkYZ{mY3tUsz3i+5y>KQ0j_T;|Blbl9zU0s&a5#hY>QVCk2N}>z>zMZ1 z8fdw23~*5iZTda5`ULAI_$Mi3zqEdfR3j(F zc6>_6t;D?`x5{OJ-0J2XVc0{T>N_1VT3|KidM{bl3kkSC%j8}&B|{%vQ@`+*R1_Xr z;(t;WTs8x72b?3m>itTk)Hm*kq2NxXASsxx;T&12X3vBaFCFNski47GlLrmqU`)y> zOKt%4<_Z8j&_tZ!UIVPa(n}R$UaUuwT;nWhh_#DDZQxheI^BiFss}^&{xDVVpcb8q5r2sR9Sx-jE^tW>AE$q&ph^u9krP<8Q~wY=cMrM;*m1wqg3SEhk7NiW=& zPjGc$3j-tk5{GTrNng@}FbRa)N!L~@bvOq^9`u==#idY!%PEFqVO760kvtbQEP4sF z9)#}J#R6YsWGX5)!;J(zxy5M;q-Za`N&_9aZ-&@kslE|{OM;Vet`hZ`E=tvWbO-V3 z=^VWOLjVjAod}>0Qz+OTyAMFa$3x3}YU1^^>A#*Qm|cyA@d(eg>LCMIFuyhhvaD9z}wb^F|O)$i6ASNDpDDoR!D84g%XEUuNrMYRMM15_qBw}%N$-*fuj+(h|)WjDa( z7LdDfEY!k|9@%N?pXR|(Om@gTg(O$kaK||X^<+qU2&$`9E^7mPZ>q|BD z>*a7?r!v;&(Ys^p^OX;nx9q@JqHTnRMIl6DER!hT+>R(m3I`?s2%7pJ{+~ZI;;p?n zei0esF5rBftJn5K)`19QJ)Nx0TF_uEsDtX^5HPP}sU>?i7P;0%-NEm-iC>&g>igRe zsFyCvZBufz)dD(XC^UwvY(BewD|poAFzkMu2xK2bv9W&?a4oGElxjM}-0vOt5s)`aQX{5Dd9T|A3YJz}+lKO$sGq45 znR|+*^n^yTx-DIX&-7s8=)w6*a#ok~;=N{&j2GNd-Ej6}aeYc=qS>O?OKIP606aw|mjUfo4dxtFNtCb2V#gHX;U361fvZ!Bb3(z3DOkTXJ5f~yyR_LVnjYqDiUNUZ zAp@LKso_3KRb|6I5IidDgC@=gS5O)_&rI!k+#tGekWK+48Cb|8mdnL1t-7BN`;g0B zwJr>1=7Lnlj#C%Pb6oGg4!jn84<$I_JrhPKTuQ2O8o=dd*3^1DIW_Jj{a43ovAvUq zhPhJ0Pf91&u}Gs6<7-@2@cF|k0p^ecbnOn$9sS3G*>8A1A>v#Kc6uTe`^1sP@wQ{% z_0*N8DqSp0_4jat7F>}%G6n~l!0^CfqOR10ZaNESD@bGl6B*z-l=W98viwhVX9QOi z03iv4z>N|>Gt5cxWC|PDQ;=O>5yf~QwnkhK=KLgFe;sD9IXHdBv5N<1k_q(Ey)Hpk zktZkSWEdKk=j1R%tONZA-&*xR%dhnaoM6#`=V;Ev-1rCv%BfDAuXX7=Z%h{{Vg1zX zfSLV>W^MxB0xmp=wiv5H+umdrVTHCFG@VVSO37@(FxeQ1PjBGaFv{o;2SHtajAESOVYrw@ zm<#h~rewR#Ph>x-U8eMgwIvNzEDLx5-jUjNM@{z2>sQSQW-Hcx-auYDVg%z(H)9n) zzK&#p;&&LtC1Va}MOQnL5;pI(Mo!&0L94fticoY^Hc z3#($Uf>$rh16AdY&diIEI9HBpgX!2etnzgw1Vx-Wz&B%vWLV(0wbbJrJXeag*a;b` zqvp?2Vq%8%Ksw$Ln<{;^cWDf`4L%J0V7FVCrWPuMs;UtHY(PxYmJE3yo(1mPZ())j z7Du&O#RAOqhJp}OAU(9slkWy^X|{#ugglg~$@yh^V@U7~!i|h_&e%p-#|Kc!`O>-{ z!Lo3QrH=2qiuvlOoh*i+w<`9ENp?R9j2CKaXPYq>QavupscdSbJaZCnlxKS?w&X~{ z!6_LCxbo}3u#Mx}y3*7%1tW4~Q|Y*>g0Oy?>Kq>a;^spRaNE1UqQN?D<1L2JC-QsW zpRw#L11q1>P#J_zXTAl_8tqcz7kOYhOa&1~SlTU*i>AiAATz^2$msGs#Ribi{o7q% zOStQcF)B*t&wp{eNVtQwcnWK^RjpIPBqG)8{&ddVLED^)`MSFFpAiOpEh2H4P(iV> z;}KmywGRsFhhWP|m2L;wN5(~*%SEy02@ZgjFzi4x9g7>bI9dn%|ngHgFI`I3iu={<)Me#B-saT@pt}Nel0nor?dXuC*MwL^y>|x#P#k@cFeq6eu?9p)1=MRt)IbM zoZ%^Kl_b=IOG=X1*?b!+qU5kIaTQJg4%La1k)GzKx)Lq>_z=oVcC&VI=J6ICtuZjg zw^oULlaZ_J+o85Y0V08E!iDM1={U*QRFw_}G1%@J!iX9-YfDn32XA!>z)c`;jMnkv z0j&hoiknL}%G3jAMWsrCl^vZ9PFtm(S+SHieZs>Bpv7SRCT^gD1l`Vdw+oY;F@dX9 zAg{PKHraB_Jv=0_*F6=rKN-#d%jJEnF~s8nJ(k`42=U2qHy#fTQwJLgXSZ^dBJd`s z^xYi7E)88okYNn!>nBabCJGnQcnIe%(_nij(Bg1+mLci#f3Z>xYx2l0SJ%LP=vw5PAiWt5dEl8NIsdjALYfAK}0VlnLJ zBdOMKnguBM9#B^6xfg5IpGp&3_Pa7ci^7hSyyKqb(jvczhNBDDP`<_un;+lPt!Fk5 zv%WZPaq4zV0|4AD67G?>l=-7uK37EgqS0KH!wG@7tht00I@cybr@+IJkIOUBYlix{XdmE{p+K^LsNU{@yZZ_O>&Ysv zMM-aYy3J1KIr*)x3|-^EYb<_=ZxFOiB8ka%_VI?!#*`zT^y=@;Zjehco@7be8>7P- z5U8v$1ERq5fMAy7(c zS@$W1R~ls>DmBi^+Bp3&<{y-BYh}?;vAlkzU775mCp!@FC=RwII9PyHm{-7_$gv4} zafx=kGFIdkvX3($qDYUo(3O~Av>zLWn_U_qL3Sml>6yo;4y&r53D~0`p#5~qAI)k& zgvRSGva(pObm3w-$lTLRmFqmj>_Z0`|75$q@S**2aU3MJOaZ5Hir$+{#U;BzD?Cxi z=r9*MwW7{q80MUCcisD;d^pwUy6QGnUQ6UUEBL^c&d=1XatxKN%eHd=#yQf1w6f$+ z^A->ZJue>-ILyu`!&(+VL&V`LiDT!w!BMjaCdzqq8&h#DO)s~#x4bFKAgI-r20+#4 zIqSB3^&dgZ#-E^~R{@|&HV9$}ciL(|URHW7D}&2H;Jbc}x7XT}fVQlFChljUm^;Ro zXyuL|AHDr6Y5$67Z?EVTab%z8jO4QqeHn=hzKreQPV;SY`P_VCeqG?*Rhd5IXCNA6 zqj~S9gPWp4Nu(_r|6_UGA;q!VCUzfe-Wzss-$q>sopSbHK^JfCVtWVGr^9iHk=VHi zdWc06P;2pC>$gpO_Lukeyz#G#@A1_aPP%3;mpQ;Mc+D%AIdhu4bOv|?5My;GLGfn5 z94qIoFDB1vJO$ISPX4`>o%O&GfBR$jXe)ODA(AzHYD`$L9$2un} zrhN+3Bqo8O1Q~uJy@`N8rgFZ0^)BDVtn-d1dwuyzzgWcxi5XyJnX=mN{pfcpO|a=k z*r!B7kpGqs^M3o2;Gi^svvu#>y`{P@&TTB$c&+LuvVkzK{?Gfr7+jMk-T6n=aOQ&_ z2S!h;M#f0n`bL|5jvnZ{kxjbT-hYdHQ<2v2%NVIzb*pZ}mKmLEUACWO0TJ{4qxkXa zzHI-4tUhQShRBZ&Sjn`m#DWLj1J%djwx?;Q7D$GD6mw1|^Rn z6G#YfiC^p-^5|2;kM=#jcXY2`z$Gc@;UOf(iiu*;=&OzBW&$q4BmfunG(1`KsjkNZ zuQ2yb`=}}FwlI(uj%7Ws5f>#xN)Uk^C3C!sUU+g96+^CyIUW^s@9)ftFy`3CAH7+0 z;tGzmiVk3c zBTu2L3Q~tskcrPd3D6791c_r_(4{G4O)e#T!_*T|QOO=s+ZS6Q^G+Hhs>VO=EfA%l zfuL*F`9$|%LfDcVohK4}euRj-FmS<}I!*`{*9Sg9#Ce+!S?ci??F%U&uo&5VNRz6n zJmT6(FT`5UA0mlfRrVz9EHhW~&c}E(6Ypa8SOq?pi@P&i&rmx~q{MpY3RD7GOT!)f z-Wf|W#1?G z6I4<=`QQS|JpR>C$Nf(kZ`Xf2bsAain$(pJBV?x(6Yr(6qO$_;<^?HX6f&ma5<8MN zigKPJFY3|s;YRem5ufPP>gpfBWCnHJwAy8P5dQ86K^*EjjxTN4N*Hq>>q1r)q+8k? za~PJepfYy^oM4w;RYuYn?{E%0Fd*VYd7{@GZJ6U@1YR2Q4Me$RJ+O+FBthuHwOEf~ zyrnsE0=(u4wmr2@ifjKz<5A^bN+19TL&QT;@K?|j(@^`q_*}F!=15Qh~z{iV?^662s4V8HU!HtiJI@XE5rZT1QpJ% zmcR@L>v*IKe1__N37COA;7UIKs?x;?E~e8JROS9&@mNK$A+_TKBt6-3Ky`EBkPV8+ zBf^2y1lcSQ0ov)(UcS7qO2dZ}Y7*EN2n7+anVedu0tYosPNiNvR^!RjfC{>&w{A#Y zcV8ZPR+Yg*C7VnCj*!RD`*AeJU{7|c?u`j|#W7wyvX+v9-@i11NKz_KiUhsv6Lrj8 zmi)e2TIb)oP9ygL>^p@?9kSDekCeU576+$o8p^XDMt5-icsMSd=lcaNgD4n}1GlLe zxz8Qh6h#q)6h;APHS=m`cy{OOGRPaG_P#G8t}l;mOxv$qg!#4}lXe=eURk>4vzSvz znRahltQZAVk?0IS;L2|fUF(G3tZ^#NpRgy(2W~KiZj4XsoHAB)ssw^H6vfDIda!o4 zh#CLnqxFX)!579DG#O2-O9e`oNiMd2w2mFXcCwB`gp3V7oO^ZXmdVMf*Lz#JIdXC# z_JQ#-cHhzYdIGuH;AS2ts8Msr>jID>nh*(%(2nBw#fHP80E|A9B-JPnN#e6+E4tAVLSz!Y#J%;4|ApypnfGiQwPuuJM#hLBM z&(|d(sqS00C#?+a<_bf4WAqQ|;KWS*r%QUgqYk;xHZYH*D&(KH_m4tG>&88p#H9Lp zYQ(2{lJ(n6f^OWf1vCTq2p9rfkBLOmfFkBFoo}>J4EnMGm2WmXijFU4QWm8zMxB>Bq8Xp9XE$dK`WoluDq66RL2q@f=A#UMJt(`#S8t8CvpkExi1gzUBv-81J z;vm6`FRRZ~Ov+L8MPIo`%IFyAvI!{uXGA&5g$sGhf0#o|+c&%6N75zd{$>No51 zRc{=D)nc@dCny0tkytvoQAz?dVn^e~4S_P$RKVMOFf1EuA;PvmzjtkBV^lV8g>37XvJN95jaunPd%AGbbJ zLXe6O79;`|QHF2QE?*^7k_M7V2dt9$+?^E)P*6?G?C(1jaw9Lb@6)MtNUAPz12ma; zxhzvXz&#)9DH$JFVLAnLGC)JQj;YDIDr0FHgPcqQeIl@;6i5+U+9#-3_l^#mJXdSi z*>NI2%wQ?4_&%$EbBu0hK0jH2a1Em!f1I+hqH9s0>@yIqZjzbFQd%Jg=l+h$m02|Q zPj*V6O4)H!2NSW7qD5Qwg=4G+B<%l|AQs& z7RtfA7cyYsP=@CD#{di9h8ZlvF!M?;hHefk;lH9>-pGI*C=KuR$kGokfpo{p2c!$q zCX6}s8E~#p+=#?Da>A2lD!IC&l|JGeqlmiHUKW$h^)Os1QL^_hGb^8&qcQRQI3j4k`?nfFN(; zB}l$~zyLVHs-VsbAks#JaYsa3-&z6*qN}j+XzJy%2_EEkuncDA_XcC$31?aN~2s)VWQS|KSZt3i*f|=p+*j!LSig~@FkPD^w z`}Q2{QVzC@L5sr0ldQv*QixcEB%{JS|AWf@$_7}`(*VGVAQacYQt1&%kp~>|SbycP zD19@=LgsP3-aTs{#>DBV zIDbY~Es655q>ZB4@{`GhsL|JZn;A8Jh~4MTH};&(6XR0n!1Gt9FsW5xztYneFT{9x z+Vb_g={S!Kuo9t$DPM0(_dhgY#(&FA-gHo>McWd$6)Vqlpt-akmByFC{{Tk43{DICuUCA`J(b`XQ#oP2bW)YjRlGiiS^dy zBitIhF{_6eU651l?shCVD<3wX`~qIvN9!6PV@U8a(qynd9_E;PnHy7T?#SIXApQTt z|Nouf|Fd1QR{KZmq5$*KU7YxM#sCKb@gHO|MsP+UdYrch{VNq)C#Qz1Dq)39v#Zx{ zmumM-!C-tw;vcoN7ym24`@z`*1JO#UnOT0WJETw`AE?b2z0w8A2`$5r%`KsY!=hVl zck^u(SF@E3UWz$laT8a29Fef8oohtB9lfmM{g2TK2dHvn7=CZWl`g$$mMo_OJ-X$9 zEigg@2TH+dWMjJc?7k>i+5v4R0#oHy5N$|`=tMvO`=f-S^>a!H_1tu@yA$K+2r?O< zz=xdRLcthdgp#1LIbdJnlrf&AxBer{Ae-QGH~=5T<^L_r@b*9Bz20Xn7>9kgWs{D+ zz9N1_tk1KTeAfs2>>$+&30VgCj`=IRSFG^zgMXg$KV=!`e)Az=8{S3Og#$=I+k8O& zv1X!H&!Z-SiuWy)dEbx!7 z&->maRliCOChuFdokG6N1JwQezkE!7+oh0Y%_jLJ#BGW){0R1$h@CG|;O;(WhPbn! zVp@@x-y6I?PKK1tK~^R`YL0c7e<8~KF+|t^4VO&k*VyS1D=7(Swa(`3AOP*&=hi z><_!hwvA2>nNQ{(;cTG#)!kaEJ{e{q-R1a2nbn)BB+$x_n-i`yGqJmOxnCaSd~PBIaK3zrLpGA43tGMe z>HYx@nM1m5sGDT~B$hAPG3_TT5bl-$`JC454SAe=RJ4m1QkczhuiF^IKOaOdQC9M@ zK_sCuy4G1v*bO~+%b6N`@?q0Rnx%BKTzk63~} zah?0uR-c*R!tf&RJ#JTUF=f%bb@ft=eXhwX@979+NXElA?-07CjjuK8m7e^QW&zu4911(`R77wz@xN!5WzeOZ&e z{WE7af1KBXkb43Kg+T{5|MXW&6LLS`?tYZ#F@|r5TX!hqrBx6mVnWN9h;XRsWnAzs z%E=+^Kn3uo?;xBG(nWAN`$S9JYeq<4$Pr1HW?e84C}66BFKC)|TTQBufRfYYpqzw& zl)O8AWe+z-9sZ|Fw*$^<*tRnw&z22=X<3)c4t68&8w@3Ia)U zJ;CqASGx_i{-H|)ib>VMu9sDwiO50W+6Sti4XnT2LI555=gY{#9YknG6oX)PuW!Rv zU7%`T?|ymkem(4-flQbl*%0$K_T<1~k2e0uS-hhYy$7qmTweVv5DkQ+CxANwQa1#U=0lgj+U!U%R(&^g{W~th%M57G;!GXcIE>tq)!mRqjv!tQgW{Ty z@A?Lym7o*hnIB=kloZ|Ch!X$efv2c9OT(1lgW|z}d!UoS_#8=^;S)FtBl3&&s<&9R z<*EizJbEt#x$7bw1xzLbfL-!!Dh)*Ja1c(zo4VHvJDJC9#2Susv`ZTgmX6DFd0SIT zPpK*;8;_YG+|6JX@4ZBcfw43@U1?Ke@#F(KtRhN z|IF6+QTL{(tZcBs!?G)pv&!oBcZtWKAVnTj?9LAFzYb8v3@scHmUP$HmHL6}=RvIr z2P~mMTJ3?I8)q)0GM}?UNyCO6*$l}jA{cXt#xAHzd zg(l6W@{AUo(~E8GMgJ-hvZ5YiK~p)+UUKYS3YlSOteBReLMy?iVSi--6LJ8#Ct1g% zX5&q8iiLbO0W08%LWDF?x_1I%h;fWDZ{L|7xZW^deg$h(l~YcyEs#~MrN`B+DzMR1 z0AF*S6@Rq%s zkO!qH*~-e8i3VW(=F3|qv3zqI>c2qioH!4xnY^k2f;{(ez!QfyEwg?l__9L|YdXc< zbV$(2Jet4-L};wPBHyh|PT${~Y(hj`mJ`kls>!?1b;7P>9Ze2(-LmV(%dbezKqv}f zrcQ@p)zVVymWY@UZOCugnCqWoFc%BQuqG!B;o8kk=EVZm7q3OpHQP#2fmIv#NQSqB zrJ`wtB0g`nZXs#fCqAd%X!N~p6USb;gWQ8&6Dr1u)U4ZLV!j4iS6(XKWF#U{=g<~{ zAXZ&B>UNfum>XY{SPnb@N50aax_(MZEuN!EWciF}=bXf)x}L|oWjE($vtN^_?T&17 z`ISYzRbFbVT62ecl#e4kYpVO7f?*VvFmW&)`6COvC!3MUsuD6%G2yWK_gF!Gc}!O* z++z@*+sp$sZU^i5=3yb0I?+0gcSb02p%g=#!zg@+{=$W0Ieq1zaNcxGiU=D*2`gAB z+B7`^jusjs0x`SnalHnrZMgZm@yCOc*hg#V`MTe?p~6}9Hc6npA5iQBRubrRf&%DFyrm) z!xD$+$zYPawP2zb5y`c`XVH6Rw-n3RuPNsT%B?AgXv(0>k8p_*VK3fHAu=#K^25#5 z-;Uvb3)jtTI+)c{v6iJO&*R%}orDN@F-_8$FO9Y@IYg@Rvuvovp*d<>&UW9jE5|Cn z8=jnd-$Zd1f~HI~MQm5e+OoC3P7S2%;HCGWfQcV` zlM*xBL1L$d*RBX^FfezW*EXJ*Y{Q%CaiLB@u-$!SCctZ`*e{UHAvJD_;+J$BHZhON z6Z{WRqr zEa6kG5#r*nU9hF@@qQC$A z7U$8#>{G)}xM;VaGA2z{<(CFYUNl3gNnaR=-jt)wc?uyeI!oMrB`~_EQj+}-QgT!u z@p^I5L+(zZQtP96JA69lqn&vLH1&6o@+;0;s-;rE<1gzgW1NR{Q%FG-cWJ=ssf6ol zLd5dUOuAcyX3d*eN7Fuh%u#L(M};|^RSinv<6qA*sznxFkBNOW9af&M_KFyTrjT`% zGq1cnX0B+m4z!L*(Yx$nTzHBg-)Y!g79^kYm)TPJze*E(v*2Y3WCPc6$RSi1Fk!fh zpWvmiX&+pLzACc~76uk*49z8#-(_lFX6qpSLIaPhJxOb~$RVsHuiB$4M1`gW=k+U@ zjfa!Fg}Bf*igCG1vT9klAqf>=bHB~aFw62A+A6#vCPh8md8}#71Oape2ijB;nvC#> z`wss)6^;v1a3UU*!jsv385M9L8j~7{f6c}q`vO#*GUk67isZRr#Qi59cs3p4tCm1{ zaYfT3YNCC@EX#1@)vu*XF_DdrR!&jw)RR29j-P-23F9ra%18;vYPGl#p|QQf(T-*vM=mM|pR6eFvYPy_bsCFA|?+-Ml5# zwfRsw26lF+&C_B{#!gIVHSVMNRk_PeJM& zPVCNz9&2{AvyYu3jxx~UbuDF}mJqDu;1^_B0?=$gYBtEUn!_M>q1cgwh-H98nD_Qq zPQlhewGiq^)=|-!6X4sdwlA4w0~NZSs?Rdiu$8cmrNLwEfmocQu6#`7axjlAV8RRs z%55-@cT{zkWDbg*kn7x~|D@Yi+d?H;@{9^;u>&;3ANKzLh5>y1Ii!$a?{M!uyM5OB_=`w! zq}{A(FMWT;kL{~UaS5B_InudVcz3$X4t|!V}l}U>*QfmwsJ;CvWS8SkkN8L_rZ5!Nn!<|MF`ju$E7}g9_u`{{V70 z!iwhnzJ^F*%81wD^Sl!tu^KK%)C{Y}{^VX7#syt3rWhkx=3NHlS zVt-HMWy#*(g*{^7!f71w)v*0nBHDiq`-dRj7RI39FTovU)!*(%#BT(AZuBoVe`9ZX zdOHYvyboF(p9d2-3vEHfFAi}qT-f_wGih5IDg?@|VW5%}n-9=3xq2L&KBOPh5aBfZ zT2(3a+bS+Vc#@3=&0wei-LR2J&)QiiX)(Fi?f>VWKvAOyTp4WgJ%sQn+HQ=;#jwD2 z(}pX*o36GCs1U!vSKH?rXRE%GAk}UQHYDt9wsfK-LG@tAP;1p=`Ac90Xx-Vh|4a2t z@v6E^oX<00J|i}<{?R(U5N_~>L&>gVzX!^!OI;dy71Qxj*cyo(^88FK_^4!mK z2h%-=5fNBQmSA^txf=V*bvcC~vK9&!W1MM3Sdt42A|{kSZK?63nQNTxY^ddIL4w-r zj5ZeT&1DVpZVv~3(s}^rg`Y*5GCDDmaeu!jZ*)-bIN(c^KdOygu!!-Ny5d;o?=q*9 z8f3?%2DAmcYg&HIJc}=U>UYz9Zkmx6;x*%UMU-`0WiU=!HV6ri1Q=3w&^~wjZ9Fvt z&3)XB35p~_uBBG-&b`_v!vrGWtaPBwEP^@Ea|aikp%$i5RTT~(H!My`W!r#UOQ}F{8kb1g+bS&60f!)PANaS_l{If|IuCLrNPL!0vrk#o{((?R| zDOL1KFb%*5E{X%Bnc7zt203$ood-@*+xZu?6HDagY%*;i$Y~Qfuv$)G9xd%eI3ehO-$J zj_FY5V~$joZ@vZ_?AX9JXOu{iQu(&EYWwam)+P-EO-)^ZCeKmkyJi~5PYcC6v6il( zRP>X1EcoGQ<52+YIWhvUM?|Aw>L$z)%%c+!jtOFkauM`=q!H3s=!22sO-hJwPjkQo z2!Tb($3d z_#C~1G9k02izq-i-a9}#A22qHUCqkzshu@w;} zO8}=3m0!^s6UjzB7=_cOVZ6_BbbbyV0L5Gh7)Pr;M&q#Rr;&!q0lH%Apd_dVzX1K1 zToHCbdq?-DrCGdZggrT^nbV`fa26cA#jxrE`*y=&MZfNa;#=S{n>uh|1|NV%r9vQG zfE!r8+#lO?o-k5E{`Yw{(I4xmd#f1yfTdJSq-1?!8S1;A3Yq*%v~2bFa&SZ$a8UyA z32v&S?|K^8-@73ZXH2B?xTy;;&A^1GuNMy~J73j77l*Kb+L$>-r?a!|%!|fuC*A@N zvTz<=g}bp+jAOzh1yFgss zLuCM8Gev~aHJzI3+ZK$Y%?`!>$#MK{qPh1{-1@C)<4V3@51c;1jRXLLjsxNZ8SB^> z4+6erc$1X}jyWPaHw4ra>Ido4Ws-}1G#TQpA*1K|BD7X^FV8gNI5e&}EhXlmmOU6( zm%?5Z?!bgjH}W?Hbis}pGMPs<3#vsnSQ>YR>$X{FPyS^JjY0$^o#=THCQK`xofvLB z+U;`pUl+7g zTJtlfgE9*5z|>jAg1RWKWy0NAIXR4rQfZCvPR&5&>bWHJzp^~6qs+P;bi1&#AYoi_ z(YWY)n}!F(LG&OEJ7B`uN5htb`Iu!=%q>fX?7>t-7@^skLiAZDZ9E41ez6&r&?|x% zJAZ7ToOqJD^>93%zGe5&plg@1WQr=a031*cy<(8#Q({L1qs}2Z3|{FHI_wZ8NZct^ zc2+(DB(b5r@m;!y)^UZM>zIv_cSEsd+Vq&P$Y8~r?AE%cX9xgyfLjD!H6dJQ zmlbK^r7zpv1yk36Dxj%Vp(Tf3Ck`Y4wu)lxf-%+g3?*m%-tc}iVdD{iX%slle*?OD zW*PTXjoo0$hvAv%MmgBl3t8xSrHG&?yAB@(%qqbzuE6d2a~oD!8bMmsGx-CB-Jd<3 zzV&C1eqgg`7!!p_8@r@)ov7qox;)2j?WYF5^%=+$O1- zC^bjK|E@B6G=Q5?=*}Aye>@ReG7f91t3{VoB&cS*RT*G#%cND9&H*1OHoGs^Fsj-t zoq?*5hlL&U%3eiN8ayPDQX2X$8-iS+KJQCxqY3+^7beJYQClh|Cj1rxB|g+=p_QzB?Pgxl#g8p9$+Pr?H;=tjm@Re6}#&caL{inKe1U@F?aLL8qi>lQM(w z(;z&f6A%ycK)F0_C*3Az9xdo{4XBB_8Fy*8_Inw%5N0_{I00qhN<;b8BXYw=y4ccL zMivbLK)V4xI4+3wGH8n&^9a~}jJNFWEE`n$Ry!rG ziYt0fy_d1}zlG!U|BQxL{*$EmKZN7Iv8-IZ;@LIZy}j03R|eenUHROKZR8y%7fBm@ zpsXVL^;M*3uZuwoB)=7TdF1G3vhM*>Z6r{?$>c9&e%oibKI^tOugTdUVb8BCIJ2$| zR;=#mHLKkI*PmPQ+&?_8uY7Jz2y!G^Vu!BNw4AgADC z-3G0)pr9BU62FOY#aC5t=b*xW{KEY12Sjpz=a>Cos$V^f+$(5AR!P#(b(A{*e!cmW zQ-bM5Of^#yk%38u0y)|^qBmPK#90MuNmI$>GmYrY_D9X2w+D}9$K*5A5;9nWghP1q z=b*K>DRX+&-)2+%4?@J75bLY3!hWr^3HLsl0Vr~6w!i!m`)Rf-Cp`5r4r=2Xzx_J6^cWM=uW@Kb9hjc^i|aCM<>BEJkLCQWeT}xk1VxS(#H@V zrRoE-G|!j=f*Y)j$gmJcQg zM>BE{4og?~;FYc0W&q-<2znwkZq{oF#PlH9p-eo`m2Ad%=ZP;>Cz zW2dtcG5(3Ms=&KB`Wn80vpQ}R5gQlOP4&{#lf9as3sp=#dUqBRPJ+6OiZ|_rNry$0 zq%l9zCJo$z6u5(Oje-j#00kzVrSYom=TjjnF*(|!b2U!7ZHRb;s2FmHTE1p3-vnUf zC8!w)IZ%!?BCTnv=P2oQvx<^Iq1*X2*nFk^x2Ay#)D-XoRKh{=PwLISAPeVFuQ~J&NHwMTKme*8bN%R1uT@nN$2N-3(}?k z>gXfvYf5_KAvpUycwEyT2GrYF9hx|Wv`i@%Dq|ApLjhMXLA@rBP18->@W5mIRW*m>r!x|UE>Gc zawkcjn?BK=(}mmjaWWcvW~HU+0;PgcqP;=FdS3O8I z0w)VPdA-(s-CY6_$$aUR-I16GqS_)1@MRaa#w=oGNs*_lo=#MlpHvy+C<0#Zq9|l{ zcwaOFYibw=WF9_O5#B_Bhvf`B_35hX-M#YQhoVi#1f9wS?H$psk)3o6Fo_Pr6h*tW z;!+xzOw|wMSrZ`bzJqffg6DF)DkwYbo=U6wKZLytR8wc(KB^FcRn)fQW!h@73Tm~= z{Cm*~DB_4(v^rIRQ3Ph%Qd$xDsse*tVl{wmQCmx-tqGX|A%@&qC1kiH=_m-|&fYB~ zp@Jmev?7H7nQ}`Layic%`_1{*IcKf2*3`9d6k@XXet*B`dakelx%*_4_9+eG&j!6j zSJ@9SKjnkxMX&4Y6x(g<&cDM?-C`N|Iwx4y@$gsv)^WqK6KO>;<=!z$);Z96Zi@F_ z2lk-6&tykwS-GWrA{JCO`P|4n>1vKb(BWC`+nYlVjp5Q#V-J9ckGu7Pp6O}N%QgRx6SmX2!T9%#t zptIoyEk4!ImebrW`xT7vI4wSrHO-e=W$p5}jLR~@7+F8RUUZ{4=5SmtfWP69lT+(8 zbsWVj0?bQhebttfWW8()iR+SuiaO`ZP_L>qEsBimWvbtEFW!_46g_EWuBwHrPDb{i zYSg5j(z<%2I~-2)?Q`k&p<^%-BaOZhw(s6y{~ocy;q3v5Zt@N(lVB-JrDVX;zsj1@ zi$H1KHc#>+GC^EUQIc`XFH>zD2OgS>nkyP6Z1jyg%s`Zc^~+1s(gDqEedz9%8jQKO<4ra zhF1F&BwCpM<(--N1wKuNysc=WvDBqQdEO)*_Fxg^QCI2fo)Oo--=x8`Q?)KZT}huJ z!pg`eOvO8-c@e_seiY6jMT)1`pr&bgcwRAE&;^qMKN@~?l-srx!SmZb-rJm!OFY5M zku2@Vq#cSwG{cHId~7?cDPT8`CJ%8>T4k-pSwC-=g19xm*H~;+v9zV?p+@kg~TpZz1{C{u;OtIn~ zO1?Jf9#c8S$julHdl~uK6jMtv3HQnyzL%%0c%$nO{Z0d^XH&GEBdyqF*Nx#)`j=*^ zE!4O$3iYGT!t~-$@K4SWN*=G?>C%qMRb}?tW4X{1Ckv643+lmP&*PpDcb0k+jChk3 z*w2jW3m!=ituP-d(+>_alB`}T-1=9)Wy@=V`|7`q`{to=TlzrBvnsr+8jz{P5)Z!gHYds_HEw2doMvTxWb=f>f%oRci&pR&MKI{>O_a6ufcE_U?#yx*I ziHp*CTk4h^0_7u56k;s1@ZM4w8bi^rL@QiFuiQ*eG29Yu_l_b8uX>^p?dHIW#zYrf zRy<#egclrIotjacuo@jr=AsiG_Yg0@>jHWC1yy6C^nN&BY-Yxlz@s$3&;gS|^F`@h zM!K)Jc*lTNRur@^wdFj}&69`pRZTC@Z_6R2*A(x=#U+eN$21N}J+KbV!cESewsR>! z4Zy}PHHlVonxK)`Ehc4HpdpncJMH$lyV%rfkER1&yyO@{1SWUlKcXA6AljOQ91kzR z7j*u)Z55jZH)x^}s&QVgE9tfO>t(tdrd!3B1 zQ|prl;GY8RM@k}O82i!J=;Na7>g*or1u~5P)2QHGKAv;YWly8z0t-LVoHL~tTXEP5 zs8`ErO4OBgh@U#WWi5c-)+g0&Vf5as_djx8=f6{!M9AnZR(!ThDlc7H#Li%j3oMK@ zIgP4JhjcoFkpkJ+WbbV~iz3h@;N{Z&3!>~~nzOfZ%_`GbbxNekG6l3O8Hsy7YCac~ zs37r^iD!RyW)-#rvR7>>*!M)Av}}&IP-<)~kldeDsHEgaU?*;h!pg&^~oRVj|#eL6D3%4cIP)hAEl4MZDN~!8rZpN?%+9Q-!31q{NDCyxk$_VJaJUqar1L5|KbtrbauW zb`(=(ZxT?r)-2psdkW3BL%1B9l4?z^ALEgM{#f!>E6A=$ilh{Z}S=m^5Fz(c)Z*IeK}X)Ja{k|6Vj-39eCmVhEZ^lUbnf0>x`@C7^Md6xR+|Cfv=7h z{zp2BRgwK37PFHxP$u*u(GPF+X-BapZuMpG`^`H~%7kXkT)I7A*#s-7bH-xU3TTK| z1Vpb0WJP}(uzfsme!$Lf>`)=>zz+kykNqX!$r%Gq_T~KuAgN=`du;B+>al>|_stK+ zE3ZU{Q0M&M0<;VTE#GgzoQG=g^>4iRH`mf#%l)35@zS{`y&Dd*9`_6`Imr%L?cQ)A zD{#-jUzhY>e)aLqzhhrJ{Ww_`HzoH!;df*#f)kp=s<#FmhzOq!dBGSou3Yem?V5AJ zC#RmB=Y001ghlyALRD7&kT`eO$`JO-zqy@3+b=p^SeU$^#PZm#t26y}Axv$ph83`T zw&6|N<+rCZW~cSkv6dbS+@H#GvZ7TPtak!HdZ~`hJbY|5WMfud+VsKxcb1(ObR|i07c<&#^t@~pYK1_id;lE8i|!vI#Yf zMNf|CJyJAoWxH5t+(J8VaHhwfb}iA&htsL#?>?j+qc$jzZqMxZUP$O$$uZW@A|v>O zjMlTsn7Pz=oWfiZcVnpJpJl~2cft;12O+kxY-WLF`sUe4>RQ}AnnhwCOCL zu3h@g<-70_zh~E1Bah9Imo7Lu!o*1}l%L_==h2@ot%O7~1sVMf0}L|IbM@7+_6V)) zl_^nYc};J5Z_T$B%-8+j-hK1*` zbW=K!>(vN7jNxTevP8)s{FY_|zm8-00}Wd5pksZ=bMumKStq4diTzXrnR}%|>Hnm&5g~ z1ENR87F>!y)eewsz_55aY6xWGws@s(mSm!mVpLbd~x7drX_vnOl4}HCx0ofE#3s+DW)I$ zPeahxWn#pQLi@u;!IVD0Z8Lw`I8J~40B1>8q{KF%D`6wkArpiLi7tw`%~daEuu+6Cb@66#R2aLH%jOgm9C9J# zVv3V%?#;Pi?HuhtYx_KdXR7+uqN z$(-ceA~Asa#MIkY#5c4X=_!otn=gDPVe`g;tJelC$1s#g(!eN1Gx0_;)h6|6BY&>(qAM!?H~Y&B2VlULaNwN! z-?|rnzZp*)4VWWmJhJ^(E`U z&rAKc&Rj{3E_@r`nf1)-WoXa)tpo2Vzj^4uTftuX*Z}?pv4_Z=CHa2(3+_Z4_d`THAApdt^hM?fZ|K_nO=Y?tw3!>aOwtz@D z_pi*wBYuhLwLjp~EBJntCEES!pI4ntfbiBiJvcKa9v~nd`+KfIzhPT^J;fb!d-;r8 z6(Qb+D}Kwq$LZEmdNd&9=+xf565hdpNF(d75|-lGdw$!Ot-8bMznOo2%y0H%A#rm$ zS<^%Fg5p@UVL^q5r{k}&gazNbf+D-?obw_VeAIY(Npet>_Q2x&SMDt*$DDQj;K&~r zO-%%CU+Um3;4Us{{Oljser50ScRlv|vzOU^FP?Wk9^zW!p8K9R7zP*DZ1?gBRuPLsJL#m#9 za=N>)9#We!=Wclszyr&vkh9H&KI$t0?e936(6{Aki&wj`{n~@jmR;Yrlv2{A{2s$jgK$Af<3>i5TKyRi zI1wd$ky6vOTSQl{u%pebXUkZxXVW#-fXlt2PsbG$@g-!`T#g_f^6H?xg1M4ju^$Q6 z%HR!eDp(kK(kciUc)02XMf>2Y^IEkctZq+$cAALTGtZ9ZA>jx8E~ED1e+rN%R7g%| zpdWb!1}t_ZHxI**Ti&72)7QGL>iw+ia9BOi-=JidV6+%-Y003!Z@8|niBmBF{ZR?# zi#l2sni~8C_3Jc!|AL_$(PjWO)>Ac@*dtoS48$wk6{KsfB430WtgCv_B>O`sh)yBg zxa4{$bl(}=6a7F$;3GLOcXc0$w`AO{g9~ENvRI%q-V#l$%=Hqz$99)j)yf@!sv4_& ztz@FqkunZR5bw-CW6*ciO(Y*(rJin`K6F|p_}zV5yZo2S9q;@j=o?0wN>_Q37*&|xp#WCE$5QA zJ0`oyLFYzTLd!YG!29fEt?9euVlZ7;daJ5$46|kTXE~*j9q-0d{P0AY<=%qA*8bQk zO7>>|zZm*e%OP59waE<|PC-gCYzQvc`D@05G@;dIH@tizt^42F%{cmau8o%FAz-pS zw4CmzM#t*Q>{s=bBpB2<(~%a zJW15oQzbe?=PS&VY_|}vy~$ql)yc+g$7u-UyCLZuWwbN-o`-4$0h_3e*f}l>|5`3X z!>~KMC`QuD-M{wPc6ny^a|pU#8&*-@HvnP2>^50N#n<(UgnRls5WJ^k>Z@`|(+zN^ zyL1&1>2lDd$W)04?uc1`JqH>Z1kUK>+G7wkLzCNbZj4A@al-5)m72aQH?!zlWxT;L z307E@h;!NED9^dm@$n^^#ZwOJrN8|2;K_a{YUPmVy01_H)|bqm6cF!3x@nnriW@WS zTfg-{7p|7$$s)LYeZgT~Ri=z@UVE{5rslTZdXy<0qT%1D zd%y1&d(D}y$V@n;-ORDF5Tyo z&VbEv*F)h&7N#lg3F*O>n*~;r3w=1UEtn9{*mU#VDTd{=Q__>!g6+*zeZoNVEp2bd z*SHdGQg>bP*a%&Fr|(SRsOj5b>Zj}hL8_4GT{_da^K~!im!#33j%bPk)NRWO6t6S< zWajN~TzeU<`5l;olj-l07e^-8O11C7u|q5}r_)Q8%Lz3I1x=O|E#LqnPeTT%wdiFD zl6)PZtU0>+%Y7i8x)bs!Spz`tK8v~ikFv>~Z$5|@#5Rze>%~B6%)3t>Pa_CnN&#T7+8Zmzvdrh(0s@VU(qU zt{$hpCgDeRrV9GuevFB6iQqDBFp3o6D$Z!$Zo{qADTg}#<(qN7Xusi^T@Z`wAfH*A z(s;>X)3kDpm;rP>j6BZ^rIaTx?DF^&*I&HGrivw*y+1t=^hN>P8sR>k6n>YgwH#uu zi51OtC1V*FQr)9ZWv2j=>|mCz)u}S^IYrYdeYN1O{yc|6aO5`babeph16hlAO`7%9 zh1fE(23HoI86OpvTrE22}VlO!?eTjl? z@|==;3AU13;w%>@2Q#;4eH4}4OF!+f)11BKj67@00p#1|UeIakxl6+d(qxOol4MgA z<6kO`C-`cZ`@3BHgpuMPBH|*fFQwoSEZ)OZod%MWMfy1)PRgGWY=bAGb8yeB9Cevr?P%I>yl#LAyzUtcK-pVohLL%P{hQHq zg7xH?N6Y#XkCUnAu@4a#HV-R&^$Y~EgVWvVx2$?R@F08T1bcgRAUNF**LRM>;ybW^ z#+-o9cg_shv1&$K7OPeg^m1YB*xZ-r{&Oj&*35ZNdEWhH(cY|u=DGm(=Ea*vKl=Bg zO{UPZud`TN;T3NTUtP_$6+7A!Nc2H$YL@`E)fs`23B z{9rQF2!A+P))*Rctj4}4>GH0x{QVBD%o8pB!{PP6=hQCM8`sa?{qBx4Mb-W#=jY~y zp5<^qeyu3KGu z(b5mx!H2>Njv%K*lQEm%_^**M5*ZQ?XQJWc~6*-$%Na(CW_pclxE0hx*EUr8Cr;y4~1_ zsmkl6PrwCWVsGy)uH1`%3F1A-lu%Nc?w-G-o-2i7-P_~}OkNzN(@P@U5Uy5~{xf!C zgO0xT9{I|EwD&7DYfd3S26j}Wdb^=)J-ek3^8yT=zQCI;J^xCft5dE}`?K36TRJ4` z|K%ONMJZM}9Di<+Z)k4iq-oxcr2V0MNzup$7j#7-kOA(h?92#K^J&SV>(TC`)LPv|!T+-Tw&I!eoUJmOM zAuZM&qa{+hJQX*ei zs4`?~F!+#K5Pg!k8{OQHgN-|mLH8}+Yp?#hm*29?@+X)U<>mQ2`ONM{=C9;q?cN6NlN6WHF%n7d1}Wu z&3#FK7W_3mK_4g&d$O@V=z!pz5i>dn>1qM3f9F99&t|ujUZ#OQQ-2q+OYgR})kC&I zuAA1g&m*IP(0Zo%%YEY4`vwz9g8*X6TNvr=d6?Qe2%Ni~k{>{mw-!blj;@shk6f-1 zCvS&nT=+m>0|b!7SRYza@_zc}n@=A83@jxtf|7STLD`V1c#ZdOLW?W2C+A|jt} zlO5;?N*2C-y^J7_Pm5$dCJ za_O(=D@Wu3rI~k&e#RlLB);PkXL&q;#fQ|j$+fM*|Wj3BwTVq!~Jc;1Oz_=UoxA! z=vBSE;Q>UR%O9lap+piTq3Yg22RIq?1w%hrR(y(u#W77`iF#>2RXK12)>gPiZjUl~ z6*mv#9oE01?h!^GCuu@82TzOVhBg*JW;`De=i2~;RSqO9NpVB4ws&D@k;~saVw1Vvf14Yls@=nUbEpUbzM>ACad&9(NzxzX z4lMO3b{<#O<=|W|%w(!Hv@#V+FEYZ<4<=FH*z%_kXHmFTuuZ%$3c~nY3lL^8bPSVC zv@(7bCkfMtr%>6#JtaAoTj(vBQ-)>N8BYc%Fwf37S2h49K|-n5lCbDz(>QpUx>rz6 z3yUGONj@&zoS*}f=sBH;`F)w{zxaBw*J&|DhDlz{q6e;OrJ;pb-C%eG)S>*hA$;yiH6msDXoP{=O?*$Ww!L!+1FXG1t^ z*MxLh=Pv1?WxIW$CBsFDHu^_hX429lc|~(<&01IU%6!!KwtOIhG}>LURkbo-%TeCu z9+4b*1pV}?v&9oTyzWP`KiGF0r;a2Vylyux+@>Umx^uESUV_0c)$^@JI^6Lm82yTV zYPQ_b%XTB-YRIlCPASM>6iRu;KaTKV4ZE&CauR>W*4&(3aD{$*N?#q1XbXGEoXmbq zc2FTN$8(|I2%ICCsgi#QW;Y<=~12EJ$DJ` z9(T@&5ijyeOL1)Qp~)us^FBxw%6I3%$a|Ri#0b>PvKelQ4;R)a^e~HPn$d5AE3oey-a3$E;xA?KZF(-_D<83cuTiX@M@C8t*_~F&@$x) zb4eeuT`-g&m6i$b3N|qn^qW)izf`pH3K~cBl{{f|4uW=qRq9Q5m?d{fZG)yRwv&)d z)BNZIq^`m-)ON0aRvTKVzlQH0)44sE!5c+V`iJ6Ti-ussLhs%}dbqC5Ya>K=Nj zwM<0^h!jW67cHJMbM(+U!xV3HT1w{R;iJ|SMzlVsxiH7lp?_mvKa;2eUl?BtQ@qxe zUC78J_Q{zvCe>cqNozhq9wO>rFAtt9G%koyhH$hN!?y|KR>KVKs$H2s|kI;0+Q}c8tHmW z5Tts6P|OQ9L4_&{OCpdYT@@xEb`zj56Obh%#k=ah6C!Gy&}(_cU5)i^=i(vx)HW9A zC7O$_Mr?e0GtGQK4nUp+fUt7US=UwCFEbrVQ${=;#u21?(GD@-QY#MV>PsfAch%XP ze%&z@e$p1~o0(Q!mfM6GpO^n92>9#UI?ygBQ=-=!{oatvjA^m%m3-Yjq$^BdemQga~xrrU|6Ofyxb%xd7?gGoo0tRk|j@h_u(x-tp3w)({W z3|hvs(Bi}J^~96|Mo#_=i$&8IEl!7T^w)^-?$<^LI)9_6vLZU6X-~7*R1x+bFa-Fw zQk?m^WBs&lBrYge=Y5GcF<<7x{b7?Rz37Ibg(BCfjh1x@RG%4>7j7%Solac9(-U&? zw6~i0Cs6%s1<%7Px;GS0Zv96Z!4qrb(72c>xJOElNmEL_#;h;u+Y9r&1NQj8-*1EZ zT%gf3Wlk8&qTkB)yH^^-9;4SM?n8GRpV3Bx@ge-3=^m#`Fx08mUO3l}MYi~+xz zCN7REdiUP>5?w`fk|Yja(p8g<tIH9PatIGqB_;MTThSa%7vXwf z>>nCG-=8`3vaYbHILL$+8J*qG5-%@#EhQfeGb4K*eY=)v=t#C?U2u56!Cp!-sr)v< z)cOmi`<$7p$Xbg3`LB1b#4p3MehHG{EI68>pvxAkNGddk3$dHyx)|~PeR#@9}gw+jAbmp zkNuX82mZc{{UZBM6@dS@`@K2A+CCHzjdJ*0KXKhJ>`L|}EsDmLL+~3j7Qi2zC;2#F z-WQlKQ|fGsHvR7BgNvdHCT0c+JLiZ(i;O$}9a1D(d3FelV!=-9mK6EkIgN|9S=}-3 z*k`2Igm~Zk<0E|k*k6I~hfg2*QWda{wLjd*5}qTx_4`-O_n&xr?Bo~khGf18SU)r< z^RRPX?BCWO4!Sh&@0y^I(VtyGQR#gPK3cV8UqMjhPRD|L(IU=iu-MCvpXy z?O5axFDe;b^f_ndpGX&2#m|>R+bbWdUKk<@5%$YjOZnfG34Ufp7xFUZR!o@KmsO&n zc>XAQ8xIS=BerV|e{`NiVXT`?gkpl$)Mp}YF{-A$y41jQHH^APV z4z1WPb>g#|C!v@h8br6f0kReivVVMuRc)QqNv-ZUWK|)#G4)j+Rb8{XdwF*EF5^|o zUyGnBmEn(r$8WWZLN9H5hq`81`o%-T&WMEjMOna>BTMg%;MWT+kdSqd~gk zK%MpSX7`rTv517#Uc5!ZF&`h6FcB%9-EP_RDZRssi+lu^v!=d?E zx@wjFRykt}SFj6nm zCg8=7C{=Mj!KSnsVFH=T5Fy4GY$(}?|5j@1w#QjFG}LM;w$|-%c&r62=Y+*GlMCzN z0FeRZci1zE{yBoKWiL+aM`%e0o}NHU1Pes)P2i-w+t`1IDSt1W`YxxCs_{e={;GY} zZ1~e#jC}+l>ibNFWr#rh)ntJc$2r^=Q-;O%t}OPl)>Url-%4nAHzPe|7Df=Lt&ou( z?>1%oHq6u>{2^FsT%(>B zZXXz+{jBD8+0&uI79Nb+Zm+k$WC?EwgW$oDj=B1CxmN=M5S28hgA&ftF1gqJ+#S90 zIyc;2J7#z-Nr#1&W-3kV_3+AG7U(}zLytX0UMWUpGhMwGu=F%KQ1NDI7Dp+}w3I=I zm)26cEsVFZL1ES&gGmKwZ_7Cgv{0kSQIf&Kjts`ubNdnGG>wPpUUdr#>DLAzj1Pa3Y@kSJ$5{9T9`V$CIUf974`kl8E)NwNW?|}X-}R$m2S>4 zrvapJ>#K}Jx`0K35s_|f53|I~|6reV{v%N?B@>O)Rm2+W?B#DwS94F~tciQM-PpL- zPgxHJ9H5KNV)NfEGC(m7VoZaf4=9Y(T*$B*uY)8o-IPDc~ z+pGvrsz0wPn+5T;Fq&yXfxOLqcFs>sfM|zpKJ@InhZpRE0};@EzqgE%XAPM)w8jzdoO)zNGl>Y1Q;G?!)Xv`9 zXL5W=I+))3tlbW+n)~g3NtvJS?v>#!oZNQ#xa+ISz?bQgr4f@2t))G~tSI;|*t#4f z=;WQ5!x)ywnxL&1Ubls4gOCZcjfYvpDW|WFXV8@q5&US7`cBw5#~Q%%FW3fvNchr-&PcJ9bv-3KYa5tV7OxrJB%u6EL486G18(%6HdB(oZ{t{q^ zx%95|{-L6n5iPVFQv-CBt)4p1Ac&?MT-=r{^3W@PT3K+V`Ix%C%XClw3?akwm?5!R zl|v7rU=gm?gT7A>D%8eRoYRwtyCXKuy?gu$uoingr!GP7KkTPj4?@|Emmmdm(_LV$ z3!ioiUbNU3>19^E3_Wd|M|9%@ZA_MymdOmu`VIlnvmys-;$9IuRmXgJBu`%@@4$OT z!qwCdruUM@Z(($v8X3Vot!Jm4!GrGR^n-(ow$&2xVxqoE1P2Lc5(K7Ae*2t&M1mm@ zU~&*7tWOqL$bEmHt1Q4xw$1dcvRU>t&rS7sjsCdN0cK;$RXz^gNWk=q=1;O5?XqU$ zvSXA?cyzq)LJl;GF8aJi5T24OdMB6u6qwAC$>fUg2%t*DdocH@zHAs_-1C*AAhYI% zs!3;I$@8|l#hVH(;QJOudgZc{$siECc)Byn9S-RfRKJ=X=okVMEGlgF0+05(2|H|Z zcqgZXQ9eKBQ;oNy7^$N^P36hZONam4H2_XhmOeEl=8J|e74>>YTl($HwT^^bVV3BI zsqGks>u~o1uAtPE)G)4B#!cyyj^0&e=a$u}kOwfWqN@`;!zDq7$yAgH)fdh-3#0UL zG!U{ngCAqH8CYD)wph2PuDD6r{CPCqAeE&! zCIYGMGUbiQ50me;4nRJ8Zs7J41@(+-AMQ6DY3@d4=`CZXciN2U`H11j##EguMXdWS$8Bh5#hJ!$S6K5ZE? zI0~l*Ak3&Yv>fM1T%X!Oy~$}P(SE9o>Wc+H67<5JCXX; zW|OJUn?`WS9HEw*DmD#V7eqvXQzRL8)pOIZ`(dJ({>7gvC+eYxH65@#|IVcQ4yz-vG}hxXzVYtxD|&4yb_ zVSzV8p4M~}OkI#GJw)n$uQ1ua9Z& z`K+t>-GqTQ?ugH1psBYK^>!j7k`tpf)M8CI5lB#@)P!|=q~g1nt23JI|0t%GFqUVq$IbNfn}=B9$Jk3hh1xiP z#1aS1_`^`Z_Fe42jREP^U^&^P!hZ)GygVZzfOq_IU?6Yy+`!9)ee;4rPj;{_H9}{c zkTsX&dZsLl%tCSW`*W9!RvM~GmeUcc;_FHrOwZ>b`jON=;T_-7NROMXS)h_CoLZ9k8uUNKy77}Ll|?wu@1rB~7P zj4UEM4!!@c^C@iXa<`z-GKQ8h)l#9|yDlQZ@RhFnwZX!jpmbaxq<#{6lDd9$>9qrf zkrc)Kj|)Hkqydkm-DNlP%I_Sz5;wrRyPLh!#{2ep=8EVvyOiNQAXszRNEy4-?0Rfs zXx{Q3*E7uvqO`r`{idO3kM!_Hvz-efk0+Mi1Rh>%rX{ME8?=d@*=e%GL?ZJg+Pc>f z)^)+r$f+4Fexso6oD>D9WTmPp_1M-5JJOJ`lm|it%i*P;_}{O(MZuYBdWb9)N@B{& zrP#H0Q=*{nlZFmjc{!MW0#OL94q3o>z3E@$=0!&6k+0Jt0j2EUtgo+VHQsQQ!I^4@ z`UVldaHaw(>p*f75lu5PjaR)cZowuY#K#Bc2kS5+ANb9Pp)Og`RU1|bwPdz+Ws$-M zl8yM=;&wU^kRyl3u3J<5&we7*QsL`v@K2i`Ep|8mPx;KOd;I5mD;D;qAf@BWtzS|~ zQ4%9|*XSR!7Et!?R4QQ2)%I_eia=d9QMJx7zIuv>!4s~NgN3Td>-8^nBR;miJ|>5} z*LAPQYXOb#yrOOXHXg-IIE{(1vYrveFUCErdi_x>eX+xUj;~%?aX92`pU)YeGVHHB zo{PgregJo}VycB}Qr73!;*>)a1|)Gw7f@n#(IvHXd~}JwS!au+{8N(Q&=qXI_`{yf zmfP+_lyVIsiU~-_v zIyE$JNl)8pB-`2Iq4oA~m#@iMunPn*Z%y3FqRD#WP4eU+xd{n-{o-uKTm4xvjwC#7 zByF%H7l0V=2Ye=~W0@v;a zeYIDwXHO=&zil!-%SS%k=t#SqI9Tsrq62-*h_R=35}IMs4ylAzJJ ziaI65UFl6=c07h#Xk9KK`L#_+7msz1Uh?XC8t*me{w$+^@5-b7o%woM^8@{pf5yaP zVZaX4=g5&Bg@{|5n7@{&&s#fe;IhWaTT7(I zBxZykoh&Bz8Sz`a+%RgFz0fyAiUn)_o;_u{nM`t{^fJjo46SYt_k9Qpy?r2V9tC%_ zv}B_!F}^<8YHyeSO}}AW7#*txgNL^<<&a+bp0wl-1{*gs;3PO+UvM6As`jOrn8 z4kwv@71YyROCw9DX_x&CJN-+P#Nwa=6~lDGeKlXLZhXDft3jf0gz-6CGHcT->I;Cj zz$RWjj+uB(p8k_m1VF<2(`60h*){3o1eFF`F*yGzkP7icimudGxk(C|23A?Z^m3>w z)P2Bk2Zul{V#IEr<8Yk&{2;%*)^DOz-Ne|KY`WFdiE0=z*z=Jl8 zR3nJK`J+B19fPnagOP#kzBZzi@zd@XBL8>Xz%LjmiBUTN5b#=yGQ7=#8t#OA{lDsBc*>ip1A#`?8rG3iy zk^`nZlF_rq2q_maVRpYh%kE3?@a=(Kr_;_4WPn06j!?JjKHp{>*30=7i~7I^Ei@%~ zsM;GPOT#A<5rzZIpC&WWC>$R5=!H#grfO`uc#c}bR2SfVm6Bm-irLtJ=Z41TX%wmS zZIjw{{CpAerLGsv=_M)Rc`Zfm+Er(*>u9As(&EI7X|YjNNB}HarP(Fy z*C4sc6GoRO&R?Q?_ztgY(Y83a4s~nX!EfTdogPtrme9DN`KYd?)Zk-(icYM@iZ+x7 zOUNnFF`zFcC!BTA+l)@>YbU0Rlp`BKPVPMV#K;RR=LOYz3zXiHA4S?ps2>mOsPD=n z0Hb7a3QIrlr?FEDD(cjp>x_&k=f>Knhg-Pyf^A0hg}okcrs}2RZKWx^iiiXfv-&7~ zMv})=`!w8$M<+=+R5dRa!KE6^vMET);h*a}jok-X;WA}cX0EO5Ee(+rPXdcjahgO` z#@bSE6HUo`nOkd?C@D3<4)zL7zN;_fmw7y z>vE26<4n3x-Gw~r$+Ccg{*OL1y7-&3;^}w^Ql+O2{itm6q&HRnt7gI3X;*#rZUWD~ zbpJvE-0X6O%T}nEjo!k27dI!;-w4%xU)M8RyS2juKU^mH6Ohv)CyXO8mphL4Gq`o& z{n`@e3%j{+efQwThM|$NZ;~~@!3wSF&$=2@qJXG)?GU&Qc3p5y8n4_w2S(hEfGt%z zcAGw7MM%=7?%Qr&dkq8xYuwFKZI!Y2nESBZf0N=KHSDxC_qQeR+GSA?UIV!k^$1i< zLLMWN1JXuK8ftOs(t0;@@qcY1+}{L&%YULW`Z*Fv2J<)FV=0wEOvnZygJs? z{%}JEu%qRy7g-B<>}BjNoviemfoJ}wV`etK#msMTTIc_7*6s7t-#vKSM9=SyQ zmu;WvH)GzcnSoEvnC-V37L)vWEGjR!sxxN~pdhfx8q z1!l}BWnFsxXZCZu{a3L9#O$xv7=yz1vFHB&`T5q^v4CgiKh^iW|AH6S&R>4`mqj>F z6Bm63r?sGsz!Np4uF&77-o*HbY67T zzBO`%g{<~Cl{59#8CQ9Sr#(fiC7*d6m8^9uJ=F+vaUKHJCq{HWFb&PJB_CnsMU8|c;r#Wuz-4r&aF>RMEi(l|FV%~9=6UdWkz4#hH} z%RfAGbxGyQOwZ(kl0LuHe<2&)JAUf$h8LsYW~%#vzOGldY*JZJE2HXPKJoH`!PQ1q z^v3#KO)5(k`$H6Wcc`~j&wmO?;OeV&QBdAYj>uQ;^~^@(fTFOA77@MU#@4f-1Qdk_ z7~hv^!zC@xXQ?(j>o{xwTRg(h!pSbQ#`V{L$xY9%JuZ0el%&4@#F6b|((X`JZFk*tc733`FexZD zY(Y>Ti~qgj$R%sgHbvYbj?ele`r+=oohz5@fA+P(B_Vsmo=lQ1Z9BY}``G8IM;70% zFMJl?p4iLxkEWX`^`wf*Ck4_&QxmZ5<^~?v>xCJo< z(uwJ;*5hy%YU3tM@pZ%jI8Bq&M5XtoU(xjl_!qLmr+zKC3h!AoR zJY@U)*J9zojDMx=f&P>)?-5r0DEM-1il7R)!i=Cfv7(Lic2}IV?ZGMp5#K)X%*5*X zhzgBURnHKe&`ZFSIP-Q_&&X7Sy=M&JSbUOv#P?ijS@t@kK)(01FW=i%tlPvqsDSzw zpsOWe%gwWibWL|f>tB&b@`nc~0HkEMSKU#!v|OjYSDtLX#Tn>+&Dui;>`yc8kRrVB zO7IsasOlVQO3yyza*r7XC1KC5$qF1i9X5C1zuzX1d(D0r5$$>v0@rDP*zD~9S>jkDl(70Ln>!Z&SudXMpjZq@ zju9C`gs)Iy-A{HpAUy&U=}7l32?IjPu&g|SF~)ZLaEt1bpc9*pG+zV7P?*S6g0;nv ze(JdK4@vW%>J)waRZ-kZKXEG4nJfdh7D96 zZz@`KKaZa}sLg1XCL5R4GqQM-px$XQ?A*r#H=hohFT#R5T5IB`U{E7_Nnk0mBYAV5 z8X|x#q6iTer82%aLXw&nZfe}z!sX4E72XAVuqsyv5;VcOG0a}EwYzq^S7#pfGeN0f zXKKr~yM~nTL)oFBai%MwtwvCy($0N4zu=< zUh!TYEy)q^(}W5gPBy^@L&?atobWn<{|OK!mDyf=@!h9Y@>>i(Q;+(E@Lg(>|^O^1Gw zeTvRZ?pxuYL#_Ae8bqJ2A+ladMl|&pnyt|DUTscIz{)~YU3uIP9&(COf>TjsiK%}# zNXH_Zoe_->Mp-r%OHo8VZ8o&VsPV6?pT+aQAN{nVVy4LN=%C-;(!H|nEGY3K zP5QaGDyKvq)`6fPICK!ZB$jzT83m^6e3G%G_Y{;|DLh-!s z0fuog32wLFoX~&2T=99^!F}^M%CcFW=@Ck9(jZOPX7S!(Y!B}1fO>y?F!Af0l4W%p z`pjRua~Wl>^ufp}(?FlZQdbh5g8?ul94zF04WrP|deOdndcKqhPXSnEGWsJ>2v1MU ze=1KHq4Y_)WZoeo@NY0vu}cn3_6oN3yYxTWcbAxe_CLKz%CXuv7_yl#! z*@9XOhG6QDy)!iG;}eR$)$BI7Ca2Ml3d|?vsSc@?PMjR2#1U9hnh{+zNn9?}*dVdPV#pZeW;>mK|9M#)-YN=0`CC&Zh;7646>-5;RE?&XEJQm*llFOL8X{ zMk4E|9F@?M)7&`%qBfViA5U1v?<2!@hHR}m#-X)p+PIz8jQraAqM*~fOCU_^ZGH)Z z?(EtrL3A>C7-?nwom2Oy8tskpTPDbkrz0s*Qu#+0jNg=AT%R5|$5yH~C6LR~6gxf{ zFWlDAR?5*KLexcH9y^lm2gH|0(z=o^>+>!%vamt}Dx8C3{?L5TaJWV(MiZGp_UGl?#{}2;N7ma?g0R&pjD#;MJv<^^OkpGZ9Y!S`q=x1;+7nNX+KG_pPWnXThEio z_4wxWA>+#pZZmfU;K}oom~}|Y%+Ug0Ty*h?qo}6yWGX3Hk}qBdkw0n3Q;P6DY;(n* z7q_AI9*bc?Y}(h^3(J|R_HaEB3Qlzg52^X@9Ja(W(r||U${`vLvsHw59cumB z>ehdX3SepfcnLe42#n=*>+RVUu~2Sr!bV#yIk4oA8#>#O31CrVW*I4ab6m3JUOJws zI$w4b+AC1;*#jp7UY;@!VdkBKWdX|51Fzw_^7Tf-&81w zF8PW7T=yO1ZK+CTd4~`yySI-79EDF8#kj#1iBB*!kVrSVsL+B6#QEr}5SjDhh+3U< zJhdVsE8N=_;jRq|#;tIi_)hFtuBD4MrF-kLJBgfF-o_o5hn|)8KrJkf@mh~^kk5KN zzxH%G0HX^o1zJA2akcgNL%v%G>e}uLt}GSzrl3R27;V*2&h<_F=n(=(%c|)V0WI(A z;cpI0A|c|=-v9pbbi5#iDGE|#P~Q$7F`v(BVk89Y*Q`ez*_B)M%%@&w5+#A{fM;en z>AntVU_1oGEqhCGO0sBMdX8R3a-!XcwC6?M8^Y<6VnvEsec4-y%Ctj3c}Pg>wb$(P7%w~GCRYQdDleAlt!5H;oq0Wbb1z-fXA<8DMP$TyW?hNO)=Y`_8B-1Kzc*d; zW5PgPad)8?;TGn4h4nZ=32~q+(@{9mJ&iQ{yZt{DCeoe2EgSFJgl9v&)cJ(&Y~*?P zBH}N|JLvCpr!xBMRGlPUq@`5VNFGS7XLAd7I{I*Gs}$qKIz&1SN%6E*W~s|Rvb3G>K56^~a6^`&d+!!$-}CY0cCih&F7D~WeJH;njNGp)iZN={jJ)ff zAY3fdTt1lWcIIX3qcxa{rolLZ9Na=MXL`ssjLI#7IlEd;`$Dzg=oR8BD3PsLwRc;xlTi8ydlnsQLl z!Q=lP^mET&KrG`}fE=&F(9r*uO_@f*T(l{i{`9JU{n?QH^*96?9Z)uQPqq1WS@#nG*MW#JeK6FD>J0cdN~@q zH#y}k#c^b2Tc^J+Cc)~$2u1!7O5$z4XfhpALLBb+mLh9+j5kZgd@Vk8jFa#mY3`Gd z=DM&E7S4Rh?|<~Toc|AGz<)7o)?W7Wo&GCX^J3X^{9`Y(U-zFE7!c3id2{9~|3L;O zz~K(#+nxE}$Uvp#bE3zcBor>dfn~G(i5_=5;dN)t{N0l?7Wq9f{u;PkL=1oW*vyrF zuNYX{L*PR7Td^Ox!vWjH0Uz$N1->zZzn|?F@TZ;ZfImuDpYIM@K6zj)z&tef=rvYQ z56u+sQ7P1tm!`YovyZFkLC7BC;3MXP+ zXR}Zgymt0I|0kYN{9s)aniNuKUC7l2Tw4J=AjIEqWf^vUd+_>dw2qm7}ewGac0F6l<%f6ZI>|XVXj(BJoe{AGc+#XJ)10$SGRGNRV24EEXH(?!{Mha+lTk zx)?W#luvY50mREuRTgo&_M>(YCS**k?Psb2FQ{LDp5m(u6;98QOm&_m>>DM!@eZjA zO~g?(Z1;3_M_I%Ccw`P)W-EFk$!W!c)BP5B_1x%Q3T=XOQSgzH*@su)-^a4Bu`zhIj9}TZ`Qu)#6gu;^|i8XsQ=^64{0j$@G$-O z1Z^y-rh=S!r^UPUOFT-ZVW(w))7<+{#O1}6?NQpdhhLy-TXg#+RJL2)Q94P>CdYz5 zHkM`MaEVcBnVPBf!Azg#5Pf32j7KQ>{ghPLvv@ZK0pIE$fABG6noS(+m>jwJc&v?K z^`8T37FIE7UW`C8+j%b{{L`LndhW3H)W|_yfIhlQn78!opT9z-tgNGQtuaC0@3J&< z_vPn5)g_4ReFgy7mBpd#{YceJH!||7`e;l9@16Mt+_LdD!7dKNdF&9{ zi@WK%Bg7x*I@vb{(u}q=1-50ADxcE;x-=3~@KRHj{qLdY!H{pgs<>!G%KIS|J(a0H8-es+z=>s~z3M zH;4ub;&PKxl3d6Is*chDViQE)NZuG?K7H)N(JE7#G9%}NoAhWVT=X*=omM~LQE!pi zi71Z^x0Ina(-igFI|S%u*3C%9AqDl9E@$;EM>qXDZpf*q5_|pLJ;CXkidh+>YX?3k zhP!s1w|sLEO7t`jq~TS%zwo=6+6;M(0UutcY)h+!J)0Qiu}@DXZ!0BK!H<`uW#`bf zmfQt#dQ;i#Xm@xKj^wqm1iDL$-|>A}^_F8NY6ghctp|Z5DdA+HEMv#+s<-y*4XzBF z^irz)%qgCm;h+HzSeUvOu=dzbt(7-&7k7han=hKuebnc@-}}0i8aQj5LOD_I;z{1X znXw#Xe9`A@MP)}`HZ2~q4}N}~R#)Gae4YuPVk1B5V(@vyCZB|ld8nEDzHxN!Vsa46 zp~CnPN%}8G7RHVGWC9$uObt7trQv0xD6#X}$)uY^+!X!gUqCKM!!L+i;S0@YnJ!W^ z`*N(~e$keIS;M-(R`cG(4ERBI~%;R7A2VxUP>Hv}|F*iZZ(jYF8?;>l>K55hHG}I6YS=?WGw>M#us|0f@>uNcKA`Nvji%QV|&-OwP##nLe10%+-W zRkx&_*>%izmy!&RD`MZoI~s+uLRXrJyJfha7Ww?!de z@?p}RB7-V8`4u7hRV-d|NmU*&gHKwTHuN!z`=nSyV!qn$sd7jYe4G)^-%XT!4|KH~ zc(rX@?nc(w4mglf3fb>!y1AP~djZOy@F0~jki%VHP5=A52+zHLe+BrAyM(SEjdm(7 z?VS2J&P7Qc^q0pEks`%h1MiuYS-O23iz&lY{!eqd?nCDkedWj$9@=CzWK(mp#hI*S?Z zMHU3@A#|SFt%6%AYE{|n+4siq`PyCcw$sc%kW^B$Ph?h6_u;8+mI0^lE=G1Y&k)Crn zdGO;@Yb#7CIp;i{G4c&_QruzseoYPwva>@q++`RnDSHAwIpb@_bi6%%)Yk`C!{_xG zqyT8Afj(&}tFy8jc)g;d_Pe}azsRXB{tL4XzHRT(~GS=XkIkL9R z4icDZ%}oH>U3UGh_EM0^C0QvWu=<+t3A5d(^7HhOaOi;F=tSOGuQ8n#t^*SQ%fNPg zr?3O57Wel)v**13Gx}!~crI+hE-UMi`9eJGtFSHmf}c3x$KulxyYN9JJ3{YJ=%=7>TKr$cZ}Ox3}92 zIFU@2FN)A-qG^t5KWES^YiXwI`tgBd2~nHXpX09OOty(1?8cIh=thNO^WqqBx17^eEYnG$SKh)r{##*M0+BHu_wx6N$oMDBdW&Wd*divspbs8ViToD6HVHxanb5}ro(GHQ zdB3H$nQKCW7R@>pkl+V_IHf9RY0$=+;NZ&5U@!)9U!QR`a4Qeb(mj4btdQsOcRZNW zK0S9?Pw1xnqZ4y(;-^;vgH8&b_)l2YG?1C@=@n)50iidM#jyS5$8eT#S;1JXe@u)K zAn9*V%p%_6ke!}cwr1PMt6>Ij#AE7$Ek8xQp{t)lkOx!2NyDqG9uq_MA$p!m45y$OTHTDA;i8BvOR zCb4Gam&r$Qso88EV$3SP*0k9nvNnvLZ8>s#YFpO;8fPF@pyH8m)rkLqe2Kl2Ipw}) zI3IS6r>OI{y2MLrVj4dU!KerN;K&#Js=Jy#{M{8wx@GYv z4#Vpcw{dP_OEN#A1bDjebZd2Di>qQ<5VXavRy5(j+^7=-o%$uZnWilllNlBSS71+7 zWlP%T$Bj1Qa3We!G?vz0DtxKs5Dst+4lpqA8AB6x9cG7e}9#XT*KiRoBi4wI^pH06xMF+lf=6DJnDE9!{fOv<@H}_2$5{iJT zmF{hOD^LC5>PXF79Q6eb_UftX*p{u-Rjdf?O|PU#LzYZS+YYMc$4&QXCNp4?oYv{C z3YQfj$-w3qFCOf@FGW!x1v(Qb~KTJvIW=18_Lf6uo^_JeDiA#=wGli3;3M;T3F6PS4 z62$VWsUcd_uwK{RT(wNei)zMpFo_XCGgVbRXd*3#jHqqyVv|utoO6F_XAz?;(eXVeMf)JJ4`@{xmA^1_yU>MNzmW zo}q$>^rNh4HWXvvjRJCUr7kav&gMx!R)D#8n;5Be;>iM3%aIKtE#~hbk$F6Cu!2(X z;`n!#|3MrY#@ZB*|3rbu;=Hhwgtm^uR5xYU7WlG_lNb zY&xA5Mmz}nx-(~0RaXvGtNv3be4QKD7Iv>~`<|P45$9?|!}h@W9V`Zb=pi;aa%t}~ z`s#r*tx!W#S~| z2^(QGsM%ok*73GsM_fpW4h>>^2509X7^(GzI#I;nt1f+BCp#h++W{YY1|79>;-Y0A z&QbA~h|Ne0}*q%?@GfK7*gc{qm>boF>k0W zAd;od<)HwP0G!FY%H5Ds0vbjV6zRgcIq9Gy<#bZK9Ecb>WwVt#!dZ4F_XHgIb(5p3 z`Fng6KZyV)D|dSDEm87v0PQzpeg@DVBo9=jl^PV4E|I$bmrtuAa#;1NtLq)=2z$+im?#L398S6KG$kRAtI10@;# z@2l)M!4T0>1+tNFi`Sd#vxp5}7w9pt;L$vS?VR48$$eU>cIirXAcgUL`0&_EtRLUR z?=tp)VkUW1J>N^;?_5>gC22&-jdkL;91q$>dv@~{%iC1n?u6V@Af_cnPVMmsIU`9H zqEV;c5V;$l7qSQfhD7d@hZK3yV%^t8V%Su(kUb^@qA>>Q6w+Cj0GHNBjeUCP=Z@0I z!9yqYIcP?hqyu(f5q*u4B&h&xbXx9r zrRY6yvmvJikxC+LL*H#)`?IvJZd*1%@&unMyw}tqt?$Qm2R34uv4sDA&)g?d8A&?! z-p-EFRs#0Gur|_zWKlLAn9zEZlC1cHZk6Q0YG7PYrc4UTie1lJfXG zGcJ_FlBER@`LCA+MOx|YikwJoslJAiZif@=#Erwh80DNQ`rM8X`6x6MDK;bY^rG^<@4Of8{XzsK=Ca zgXV^rmwBw%bbNNB{#oQ1?W=C zYtodBor4Vdh7gcj4fEM9QYMP|aA(+VO-E^l=jigX6wHf5DO>%L6}?(sdRlz3^dQJX zM6f*T6_FZglFobdoyYEF7g2>37tBH&^HPWWmADyuCyP>OvM7xsmWAC_2 zn#)^`;njha?6FtGr>3D4ETU1BB^%Mek;0R_$Y(IPWP_+|1Ob6xvm2+l^`!3GhIoQwr{aqaE;YBbWnxyJ^x`vF=oUw`()x!g62arq8 zZ>ELnHN(B&26wktOitB20n}};fmTF~(U&Y}G`-Q>v<)Wu=gdqe+Eghix!|45Z>n>0 zVWSre{fRs=MA;)yvNA7UVa2_uVyMhBcUX`ruWR(5su&)wmSOGUy}`S-zGOz zNd`%!cwmy>lLD&**;Y7#B)C%dRIcE@UZ|OsksF%l%&lf2vWt2fD9dXq(Bh-WNysmCXp5k`IDoVhi z#ej^l2oy-rUH;o?E?JnuuWUorQdSxs_NwgRaaGf#1rBs{w1R>nvA6i@fY7{>1S>Uu z!KOY6sIg+G(JagbDW{*24FAs#!|HaOIC@{_cMPv~5M!ChtSpbr&4FRK+3@;+0^QCN zZMxsMa3v$66Kk(wM8s#Lt5qcavK@2J6QRbNILLXGg7a|Hnbq3i_JgxDM7)9KA-V3o9ON20CE95EcDT%zW;D zaf876_b>h5I;H=wFMt{pI^);j{(=6p-hop2%sjmWl zRsZwZzB@r{gaN6wZck2cVCiVU)#qHC()9t{yT3&a_5HtmH*`V%pmh6d%d6S~{umju zko2cIAKnrMyYI|R;0H(_&K*vguFDe4y>Tb>v~6@=+?~*(VlujNzx`-IZTOtw-jM$+ zB&`9TTXu$4WCVSnp6T}w*FFB+rQrSCIlTFm)%JizYbxn%j=LuyA&2{?KVGzt z1#Di+8N+#QZIxXR@Qt~8tPX}qU6p+-WFlYim|$Uycx{;yUR3!d%jPBN&foOB{N#!g z`quJR!>d?=wwD*CZE>g=<;vo7F7usd=cf2m6 zXRPY%5-!tz_44QTM-{5|&*`5VAQh@XBe8E$=ShVsQ@3B|CtBMju3Gxi2fr0mQr8w; z=`(!nZXGx|c45{H@NhGlQk}}An5He9vs7ZxR;wawrIHo~T`(!OOhSfV-}Gm}+{O0h zEnFlP@({0B5Mx(vfn8E&l1AnqTVBBP%xSC0Ob%zU`elFE19bQx(a;Wp=M1P3O7#Y_ zE53Xu?VKyc%7_ZmdR>GjEjxDk=4__^1v|0|I^p^6+XlX(#2qVIh8$Ehar~meOmjpR z3~Z9ndQksr3UZv=7xSA9uZi;sm!VRhBF3{YoExQh(VJ2-6Y(m_TNbW-HFV0^6$&b1GJEHXNv< zJii)5atfz4!eY0hkn$bdId8`9svr7me#2xSD>;5C_UA9Y{PsRAZl)RrkXn%2`5*V} z?%g6XcZs`xam)&wdxv|VA|6DYT>A`OcgL*6;hGIOk?JX>Zt|;+CzFQTJPmD{sh$5r zc99iCYNk|(`H@O99K-0&GNxf+oY?mWpap$+Qx)MXk;Z4O;m64(0P8l72O>z3@4e4l z_4QJg-0Y8G{ELAaj?Ut}V)*yWaptIRXMHS-m&u_;D;oMvEdFGQt2okWuV1>SkowsX zouQKtSx!%CPq#h%CtuRho0iUtT0=jZN-M^W2AyhQc&>*Bs!)hQ@H6ejs~F~UOn9Q^ zrZ@%f6~&#!88&QVOCoJ`zUme{jZ_#(HO1wv4VzsA*q*^Z@0gev9<2af=GKX;1AbP_ z2l>*fSy-@pG_K4p$=|_igv;yB6#uOU>_yv#sfHm9N+>h(V<}hk#~br{pT*IByQijE zWH!8(xSNrUNI2QM@>K4Ke%%$kQDfv34LB}ltOi_ds_M6Tz&kiV;P%M%n9w)~n9yiW zYOiL9mReHaJyuq{YwzQ}62WUWsX**Z;b7?97S7U}efT4`+Kg&S9Gn@M&u%k$;cgB6 z+}I1+Gx<|)joQuIaPywK_A(K9xZ7c?Td&f*HP!jOzy*wJuAyR4iwkKh?tb2A%JZ$s zc@49$@ZR2{EqBpR?LDNcEu_P7*dvurCH!?gL6tb_6Dofyl=8W9Yv{7BSZDDKI0e`*?siqJJTs3{R<@Cq{A&jtq-Vh{`puvAQXt zc*=8P(pnR$S`8jhwz5Luu{5Rc@TT+Di~DLaV{y(GuS=o@HYYE0_>agm>OxnNpbdS6 z-%nqaATP^YNgrz0bCBzN5|gMhUHfOBKEQl7RnUIc>QkQC?+!xDIiYDkmp_sGMqs|O z_a^7Sm@>br$`9SRBy395#}_bk;fi;vI(vHZ#%Hb`>yG~yEpirh9>s$j+{}g@#@5~~ zIT^GN(WwbZS^Shwz@_)~U6wA!0wJx0>wg{oXF6A9uLvZKsbq^lj!f=b2_y3s+Zpi@ zG4hRH5#&A)|mCT`o?g!YsQSmC!236 z)X|YQ0yVoTyD7JFn=OwHqgyw1#rkY7O4Nh6x4NUZCVdxpjDNrlxKD*s;&S6F8o_OGf zH_z%A>HNm3Dpj5S_Zzw61K%I9V#I45pZ@&&PTPtUTEtU*`Bm9$=THBs_GUmZ&63ks zA0~S$R!SRdVi_EY#Yaq4kGA!ytaRoidUOSc3wR0Eami~%2tW8Ft4?;yWakHM3pQaR zew(F7?l&rJIZL7V$er-g^Jb#KK!+T>@v7@UjzOzTSzJPjb5qMgR0-!CSn-!N7`OSc zus|bdHmPsn=TTLDaGUV24r&z^T8h1WgV9{z`k@7BwZxptFIgVC41=EyadrW z`&Vyr_YX~@O!FgfSE3L#Ov}Fi6Hkr5uJd2y00y%Sd{^+!y`z}Y|xkCGciNbIxH}54NNAY+jMWW zpHVqAIk-*lO;J!XPF5}+K>HgyQcC39l~X+AF?Ky$`473|gQ{;ULKNdo&u{0P{UlAC zvx*ym{rQ4W+ zez9?9_-x#HRd01bn2;`FA`Q-?7-@%syWr``sKq$?W2g zKP5O+)ar{wkgV~8-dkpveMQauO%Y17-{>(t#}BLtNkJ>cJ36785fQDEj^D%Eyq{?h zn(4rNy+O$uUfVmOhZw1tGQ2)mjq1~hy_&a5Iwnl#*gd|UO8&-l5{YoPcZ=sNIdq$m zjLo~A0>DHehgM~T?X}_hjv^Ls`ig4X^IRJ_U)v!GX&~^LJ5MCZmz9a3szM#7B`rJ7 zy7YQ=`IkG44!c4pl;@WX6kA~S7PqxIyC-yYtjnq&OEWWi0|O0O|I9dAmaROg61my~ z6SK7oGt^m-t$0MnWIzu_&Fl51@0g))f7i&{_z}IpI_}-GTawDjYc`MJ^>)-B>DO(< zyu{R!eDqohfwUPz_yPU@IR4?!md}&GlRjwWM;T)Y4Yh2xdE5LraApetyJN3P6UE#$ zx1Wu&Pj7MEP*Qc<5JwnQ@;4<4b67HPt5|>_X-bMKVYGs*cfbfIilX~H?<9S7z`~yq zX$4TWns|W6n<}P^&gQ)8HmK^f-*$>Wj9SPhI!%9mz#M)1rXn~fzkf3mu@9D6o) z7f~E{FaZu!>klZ&C%MT_qj-Av4G;l$AQ>}Z?_ekJo)?U!gqOkUg`L_~KcjvZuXH&1 z5KHeQyBPw-K%iYQee^6uSWxS-j-2(RS%#Z{ThEuncp}#)&lIQI^tmI~ro{qEuJxy0N@S>~?8;#OX0vaEjWU z7;ony!5C=XFoiS7gs7DKUCdd36{MeuT0#}$YCd4K!DjL}#x9?lwe zynBK?6Tp#M;iN9@DmHbpk7+tfT_$=_AKe*iZ*G{I5*m*`W32*!4;hcVlXP9G4>ZBu zC>|uX)tu(dN|=5%@Qx%2ikb;XoWPi;ov^g4*muWDV3|m1slG6+JLehXKYSZg^o(Q@ z$e4na2+Z($b(WQ%mq~xEr@^^YfonZ#!nRu;&g<5lxj<)E)1J2&1G8*Q>5}B&jr^i-c>c(;45IPA5a>?S-JlmOBwiFN!480|5sL#FE+U- z==Fe&Gcp7JQAIjXfBP`#LVR%Wg(Z&%BW77VH|(3hxsP3Wyc%=j(RpF~&~?5xm4z|J zodF>sqoHw;WJ9;p5_YiXPk2TekNVA-e*+z&=#n!hS!2fK`F!UO{+nGk6h+2Ql-+O8 zaGgKQJnN{_4QbmajCh1&0Y19z^mkP*z4Nr~I+X;d1p1EDs7_>NY3xf>s2) z5p~~L`FwBCBO>jGp-YGQ#>*dkv|w3)RAviFSTxPK_x-$2O9n$M!iA?}g`r=}iz#X3 z1(v`3O~9;?@Rxp#kx}*SH%l)sUw)cDpF7(9DLumnFnVEO@E`v$d}X-KQYpCKVEraw z(dxQ;)q)>EqE!k$slS>Ubjlck1WMi0H|8x)KVR{QB+581%yyviG}%%~Uye>&yj!0A z8)&#`+2zVTD_BOd-_P*;s^Jh;R!mbBWHq(V`(N>;JlB(#(#j}ONV~uUQ?^#C$d~DY zOkLN04CnX!eD&XdBPiOYe?4bh*~f$&jr+O3KE2iO(8S0e?jQW<*jrtK!NQo&zj~EI z;aRrv$I+A*o=^>b;IRKI>R)typ6=6&ZHac(CXOmG67{L7MV3Ljo@go+wF*jr`qVJ% zxj(F%qy(ac>&$flcZfHeh;6vgnwvHu%wAApglXnghsZHrqv<>T&amMxiR6BP*=XlE zuMLG?!*}!uF95zu<}FTlbvtV1s@wOjd`c&~7gx6P93@;q{~&0jgvFiqb6XU>pN-u% zCgf_Ez>)Q|Xysyo+3<#~g^^s>o&iP&g-MegWw&&~d>pn_L7QnI$l0fy?WO5KeV9O3 z=FVM$wan{tpCscb)<>3yfmy|&>y$`B?f_TCb9PfgXq@>G+h3S3Uel6=0eATcH4C#L z#xh|i;`ZyrCjR-+VQ7V>!INqG!T!{i?dvESy58~k!&v`ZlG;U}MIq-hHHu%GD*N*ANR{8%c=wgvI-$cLiF+DwxHynn@dW`E<*cH_MslXeJMS7)*7#{Ab@e+?LV4&+mylY^8Atk`AIg~k zNZ_c&baK?*C3NT)!>W_MTYnK)xB7f#U2rwc)N|BXocyv{ICa;k78R(Ej7fCjhf@{P z7{$()wBNxtKFmsg8jZywIO+%R6$<#4+=fuNd;T_blWepcU3SI`+INI)S%Ct=22gKq zE6$k4ct<|YL8}I{3%oU2c2d08{K(A8h#5=e;ZafxtSS4ldXL^~PM&D`NC#P0`}c-J zPwB+pW$8ZX2Uy+8jV<0!&%0sb&rfyd0fpfv;QwX~Y-^I_w{=fN(|%Uo578_w2UZ-p zw6hFLgO8}Jv`!m*IlNW5=I}<>4nYuvcQ!ys$LM#4H+_4Ny&`=Wkw*i1jRS400h`B*FU zb@8yWToWy?*|_h-ob_M?neWn9Te@q?kL|B}EHX(a&6NK2rGD-HLaOez>b1j2l=*Wn=d+J!f%qMNkHrIW^gnFl|gd3~C~%Q3@cX0^SKr?h1H;$&d;0Kv8ix zZO;~L^I+19#U3vsr~HfPL7X-XP%nMb=8!T4IIdmCk_$fGaCRwg15d);cxOskAvTpg z8JDdKaPp@bN?6^^uqu{BT_#5dl1M=7BJ$seY{Iz!|p@R+(!bTjaLEh=cgW(_hSa-RZ!;u;2 zu>d6I$iPWA7__KA4UH;prr(~T6?Vg5?-Z>*e3!cN0A@dju2!A^y`#VSnng;F!FTN) zCEJ5^eA2GBv->qGP}C}Bha4_6+!}efC0D%JI}Nv8l<`JR5n+k;G!WiOYx!aBK{RCg zP7BzK5K;_Lh%=5QR|T5yo~!0HdVVl~tOagb>VCRjT+&Kk#r@fuJmLCyLxJ(xGvC$@ z=>PtlWai*#BRjqi>N()fs(t;M_RZC%vrlJPb!w2ycULv#*}5;@khRrB6>meKHgO8$ z;D7N}ZsAzfTScRDpW8!R4d{tUBnn~gO=-`H0(~njY`*ANIaiv#uqkTDeQ_*LsS{OvkJ}u`3`x#=KUrudIE_;lmN7;E z-`O?C6s$O|!afWX!UL#+2o3LVrVr7$QyaVK+DBE1xMxo31SMWLzsNQrOIyj)x5L|5 zYnLvF6Z=}8uq1ZZoG?q*YOsifONA7Fc*|z*Dlp!%Y|CNURj`E1r+6DOaw=|R>0JOz zRC+bH>!?->GeZPQj&X|p8w*X>C*@{$zL@bqCz!-FHwF!RyB!bGHm3HWTIF)l*|~3* zUqx?@)PbgIE= z9lzhzqpaDaN4P(6*mRB{Z==%g@}ln|ooEH%$3+%!Ozl?{vo#!@Izvsiz)c&n$Zm9= zyo3mWijR^DG?Zjl2AQp-`kTObE03kE)%dVQaUCbH2gX|lQBr{De5;E6?sg&GA~Pi; z{#TJk2jPw1;g|0O7UVtzxY6gS1{QLk)uY(TjM?jVGR{A&5R=Rewo)Y*FN>@~nROm4*oTbbY z5AW*)=;!%A10Z<+-f0YgR6*`EW)k!jUt6 z_rc@-e!uox{u&8R&aLy|abJTL=@%J39uN|gA_$rlxcgjS&>I*1E(F(=Y@ZBH2|V8r zvYG`{&wm0dQR5jHg&NQ2ewy>7@K^D3gth)b>vKczHQ;Km|1orC4+1su0inkPYg<~c zSYIr}=*ceBz}N>@@6)XQF}NoNM}q(6Z)z8^}seUD{21*se<% zf0%LkEw1;I)PP?tcPBmnXvj=(Z7m2+(gbto-t`N6X2mDwN4=kZHy`zWzB^sERXXRn zUGugkZx3A>FtWv&zE3>Yk`TyOEI94iIVa|hW8Y_Eb2lB*V%`*dD;9jcEc~z{w8FD( zbpZeCTVbD9`@hxnNmKU4i1)8w{6iC$R#Yi@?*uGTDmExSO+=!yiTzdc8`6NyX5|Lb z#Mkr&*dnsLrVYe#(DsfBK(BO9K*Qp3vow+ijp;^Od-2GYx7VUc0Nu)mCfiwL7rh24 zpMwRWL-+5uvz{;43f@@g%z{9RoVU_c75uQ+s_vt8@*=DD9T-tncN;&5?D|(9)%4Hj z^eczjzVgt_99t%JnHqS7w~#fR*CnqEH-G=}LWXL>+_`libN}E6`2WZ`+Qri1&gIQ2 zTRxh8f;JUem&wY(J;&?T6Htk;7a|C~5Xu%iGtvkv;Rd`anAYrpPNT zyS4ZJIgh5h`|4k55s>-83Z1;{-&Ni6IpF8APEm(?e}9LXmr~IC-b7LF*5o0&@%6=5 z9Qveb{?CGimKejIRk}t{Q6&c{MZx~EuiFQ%v%fq@NnQ`yXr&w9`G}Fh9K4=s^jQR| z-fo%`M!q5rfxPxpg84ByiPZIm6$MUH`^mPKTGYkVbtQIw6H^t-dZy#O&AO&!XjC#5 zQ+{(q?*Nd);4{*;)okd^xoDNTK7QrhhhJF zWv^?dZb^r^7c8>e=YM5oK3~b`-Yje%7e22O4s|>nUQd6XN(;BaxSYq`Oimw#n*Gj$ zz-rHxG*U8E$PzR-fcU`F?^(3loX&fN^paY%6(hD6P>4tBv4o1{EEzWNC~5)CC*GB- z;cw<`e-)EHPVsoA-`qhuXY43?9!12jyIb~lO>9F{4$ZStvf;ljL8w5UO$C_q4B|F8393Gpu*k}1{Kamc?Mk*y2Z=b&@gG7|*G)U`cu4+U=2P;(l(Qe}U1-m` z&scQ+j*=awvzjjH+MM2J?*c(@HoUHfR|(Umph|aHiJWAAi`pTjWoZCrX ziuV0uq;e4RonhWGIT$L4K^R4-A}tGvZdhc^$qg^kkhB_^m(LV~YEB-k^b46<>|8=fENMN9rl~?@X#w@99p_nsh)mcCS z5fhHJ5v3RfWp&-{_xEIWl&{O`uv*W>7!Zk3ms_W}8@59xgaq|~7(dD2iL(mP2bn8; zJZ^1;x-Aj zS9ZYLQRyZ-?yTNhs$Gk3_|B_1en;gNHKQjX*RvDO=|Qq3g89m=ytKjF#z-!DM)KA6 zvXCg(_pTo^u~u78T}`V-cjpaEc!`DV6`bko?0B=8G@y5$yhp!m(YeJ1ZMlx|i-m?= zw4w#Pnp6xl+YXK#MLibI)Y6O?15u5vhAl9mc9v#L?1VI2 z7Gflb=ZrxYyuXa)=g|2(&L7g~2H zXd3Tz?Xh-UpJb#-nKl0sjFKXayXt??>TgV~y0CG&?&u+zM&8M>Ih*ouzOO4Dox2#@ z0~L`35O*?@o<`0yW*_=RTeedSvIS{|2E|z{1-4kRchhLf!6I|c`G$;y^DdOO;uE^v z;7HElZ$zssvMp2HlAoFm-_D=Vy5SVKy_>s?A01t@KkY8}HO};R=*?X@rl>f|p=Eq- zUl|Ho`%GtS9Gy)1K!>9wFDhfI^ZsoGRi{Msnyw~d)%mu&;D0S#XS>|a@@Z|_v*PRQ zZHc=K&-+RSWkb`wK>c_yi>4Bq^Hg2Nws;r%WwGT*IO{N*Q#(tu($2b4aQzDk;YzR% zQ71WS32@`X z&c@7`lc7D1We3jsON`Aj`DDl0T}1PNv_|S=aUjkYKD|3DsaFl83ISZ3<*^2tg?+fE zu<4@GmK2zq(=eFaU31FXhVY$;fT*U=qXHA;UCr4rdm(n`c0NMI_U7!>-V!=MjVB&< zXhJ0;1e+|gS_2D;(NrGa5{VlS2Uy+`1Oj_a9oP1dOQY6^OwWK2uP06=A_Ar;8C!g` zMEL+-`1;092&*6vmUye*+%6-Y)%8^qgE1AxN*0B^=`$GfN_jnzSL7sb3}dZoS&{63yzJe! zWvpr6(F4X&YlQ9KBab&)tyAo1OOxfg3zA#Ux->bhHIYY5_r7T*9YY-4*;-Ymms8UU z2R6>vFF2ju`|8-CxGph1+C%2D&2i>TxUJfB`;rECb+CsSfcdCHdf{po?tqGXR-u#O zLl?LtS26+xHpxW4!E$c3J~RgNl?I=HU%vBnSc-xM#XA=(rAJ{6ae4!qtyG3vd4ero zc_HyA;CjwIq<7_EA*bpqGuu!e)#}8we*3aEBidQXHh`!w`15zF<>1yyn)tD~H_SC} z)5)MUyyFBBfnOeK!Y!x%jAQDtgf>INMs52RZsa&yPxnfvxFqq}kzHG7NWZw}Na|q;q+l=fC91 z_Ay%&fWbl>Vz`l`?Fh1%oae!PH@WR|r=3Iv}Kgk+!MX+Ggjrzd3e0^9( z_41+{eE+b!>Cf|vNy>6$wIK0D4XVqE)EQ_~|7K#dV{D5{)Zn*hUsPk(2t^26YKA3vb~e~N$jpK@oP{;A7tH=TC;bB1_ho%bgjiD9~e5W}2y ztr7(Nen&uZL%@||zbAc}LJ50lngNEV>$!0EfIdL;^%f4m$df27HwAL9@1>g4)`@4GMze~ub^g) z!0jqu);qB73ta%+=fhLy#CYo&Sqv4J&CI!`o6l*VegQgVU66cj^UkqA zPJg>@s)l-|C$LgUFYqnNscQwrbN&lb*U8rv&yNAmAYu?8^?F){>iKMKnG*+sd?h23 z9<6lbYbvyY7hFFf?mlsdLEAXtlE&Peqox~7y1)k*5YOM!rRgZewH06e{VpY&qI=VJ z>qMeHCU{C^+&jFM^2;qG4%UmLqrTbEn`k#C6rli1*j6=}Ny!S|LR0n+k!DHkMXKHq zlu+0_xCaYVoDYLeS*xZS4(cp|xnZ}fC%2K(OrStQ!Y16DH#rMpJZ9b|(@1DsI@t}f zmnsw1-1O$q*Y+#}VCxOPY3KSfjT{AHfNdpDpU=r9@=iy2G}>w*1<1y15GSk^H>E&D zus+ePVA|iz({x>Lzd&80bC>aJFKMWOSlty54hmxW|E1bu_(~ zr`ujV-pMX%?l=R+ij!X3YN0Z#P?ZQG>{m&jQ!WD$O(>xqWED5_8yk>!KEn(4@efxN z^lRB8JqzN&-o*JE?hR7Qh6cW5@JrQe83F8r&MecIqLMD(dT!`3)wYX%#rg0{`Z{`Y zZf?KEUDZ=S`;R`Lq#%;6i;CmMOz0zz&;$0^jbd}VuSp%2`E-+2ewt9O(Q9R>Or3(;z_Xt$@bzZAc5&SU3YtWqAK zXQbWjk~LCmFJKtUM{=Z|36iVaU$|2Fk2$vL{F0yU5)~Sk;HlkQo}4NV>x|AHIGKhM zauljB_andXK-bBEF9cD{KJtR8r#b2ms=;jF!J7@8HU+`9tlYVa$&m=}XTGEAfuLt$ zoZc5W!2IFVmL5xnQTvz2Pr`mUv&Di~9<9)9!(?kCT$s&>Z#Rp>|jOobeM1%{Fug$JYLx9oVURm$5094Dj>nQ5pk&(c_aSXs3y4I|2S zXW52r0jKzwPp|W1F3f`>fZml|v;b_Q>x8t6LjsQ+i*h>H$`wU26R1zp@LxjEQJy); zMa_CVND#sFruddLtj1 zZ8O%x@`(Lia!NX^gFA}btg%ih^Ahh;q0)$~=7Ch^P=lGu+*Ux>(Z)aBrRv^ER5hj3 zccWlrq@I zPC~~kZgsF@;8^~2_pCT3Ak9gM*R?9v?;gr%XWF89RFEUO#I9>trw_Tjn_Jk@s7T-A zEuf`X!e_C^8%Ar@&PCRcgZz6 z{|Ow>VI-97*w0-6fK5~R_I&&)S;+VA=e=DmZX^g->ceWL{SNaskH)tmC|iF{3yPpVpjjq@!9joFzM0t z(&^+E0$Q1Rj1qm;vf9Ez`hy&8FQ2uFxx%6L`A94#=CfleEM?ZoYDVf`sh(o*>!ZKz zdsr9gG|Vf^(#adb&yD63q7kTO6KB^Ovu$u(^L+=j)Zy>sJE4(gYfGhxsDywYNP-Wb z4eK^#A249XyO*Bq^py5#&aqP*$fWhu(7jh8JWMVq`MD z3T#?CyTFa$Cz<^qG^bcPN)=JX=e_w>NVjg&-Ul}f-UB<%du$l(EE11q=l+j3=tvz3 z6)+}Kv1jwSnZ=&|&-1c8z-7azt$?phL>2%jH7h_I`C=n$qz!$~dFT!X=@;}PfYVv3=;l4j+Tia5oKIll^?8sN5uIkPfmz9xnz=%La zO4+;lC2pe^I<9pW$O`#W6uS@xdK-3OXTNRC*Z;)dz$%~w9=cL0dT7SGB%W+8FU%d* ziG)hN`GOTHXF&*LU&eA>B!I9`yZNCGFWx8aHw{e$no8NRgL1U!?IQ9^$2^f%Y?Ygi? z7iVgkUmMs@iI?4?1kbfT6a)NQ?L9Zu*{QtV`?Qkn?-q79zw6Bf`zLx8x0h!+DK1Q9 zt~zv|0w5Csp52w!M(Sibd7)P3N>M-CLr!)I>WW*00U{!Kgz!Im|B#ei(wv($2%z|s z_JlZzFs9NQ`sKan$UFExucXzS=fc_Fs^rH#zyi;@YHWJqE>qkPq2a|nNnI7UKha2i zXrH*?w5U53WILd6}bw=E$Pzf?486j`n&6Cg<_g)mtNC{cu zHfY{C1PC6?fF)kn9~5DGAt(!XVM(rD(_SPX00&AGmrK~Gj#2hM<&&RYY)G0lT{y%+U|EIWVD!HHdj__(s=w0 z#aYhsR|fEIr$tyb2iykTr>m1XdJ5G?hD?kwB~Mf2bax!b<-6F7U)7Tcbe8T@4JZ?M zFOQBcE68GX9#<_pAv-{z9r0f4u`I(Epkd1nIB|ezDAG*eHp0>vzf6fJ#<4Mn7pEWr zXrq(;Pl0`Svq@q3R?%0t@gb_#M2!{kdbX-P&zL3u1 z+d82jV2c?{M!Mb*u-U4+L_}q(J|W-?kZ#$3X7;GT z%D@CYp0KWhSqkj66gAj`3?? zOsDiiU822A-Ge7TyZ!Dz!amCm|Gf8y(KA2Gf`_)6&w!x5Dv`PZ*NB;ZqD64&VbGQu z-KW=Sku<0>AJr3-o|rKy(JEC?W#{`+vRI}>78_*yX6^g`4_9vj*5sXje=DnXooQXB zty>kf6_uH8R!~suGU7H>162g33$+y?9TgbXxUf`0!eJkR(O`F zWGNs?rxhv0kSSXlvi;AK&dmF}-nm+Pfy=}!&-1UN3z07WB$*aYFatAe=ag`vpvpD2=5pEXz8Klc3egw zhlO;PU+JVo2b4rm@@`6Ayz1M3=wABMw+h3xJHIZ!p8u_O-{}g%X(NbPoJQSl?K}2X z(+5BGeAwQ!vFlUA=PT^P!^VYS@txc}zcrjHw5 zY!}onzD3I4<(3FE4<3AkShuv6K6;>=Od6qX!Nw)U%+N%4jOOc=h{uKD9!EbVj0F|r z5g~xa;5Id%7wZ=vMN_D)c64Q83`iL$jM8 zm4kQ+ZlTWRbCv@@xTlvT`-ru)02&0qHgvwWy!i# zI9EpKv(36y%!)dW;4{U7DY$s!thpX+pZ^vlFxZJmLwww$cOv>$o);Dh+A zEz-zJT#D>aLT1E$wJ|Enbru{yKe-Gjy%6u{MOwT{Tex6MZrmLiO1ylX9-CAX)oxXb z+p?)$*xHx&-IccyFH;w8OHV@*wpPA+;yQVAgcQeileaP>Fhu$gL>1ZG&B8-q%U)h< zYoa82#$DXZi2-H{O^A|s@yg60zY4WIeQQGCUnwvU+R%X-vpm(EN=(ZD)=MR&pA92vMgDD3mO7zuR&&s!@L;)85P5EC6{pcGHkW_(kdYLrXrs zv3lPOn7J8;6p6HCUm%NJK2@Ad6XLx315P{TmoacVZAlpQO3a<~cb@;I`HreEIyZs4 z(UPU8FF3>_Maogbj$HJ%Xlq-}m$t}x9n{TEn57RhTHZ}ilEfU`Z5Nsq$M*N5Wg(Vn zZEZe-F>SjWx5&o(U=F9~zLvPCo9d8Es$Aq1<-) zo4BdOHGx@U_y54!w}KNJ$2tpU8GX@4)_)#V8LgJNja7YhVJS7a}a5Gqry7L0( zM0<6&VIj=>>sXU>^C|HYmy2G{9jc|pU3kBmTDsnqza+c^!M~S0GGHd(?iDh^z~zM} z;oP)RVjZ*3CT>Lw<}6EOQg)Ph;QoqCR!ZwxWhx__QxaC5ey79S+kDocOUX1Q&?4s0 zm8%l)y~oGh=}Nquap-h4A0|ZE&blOI4u@o^wu-2CY0+8*Ri$@(>d!aAQ<1>q7js~P ze4XyArUh%7FMM9Wm(ik(6#6EA-F{@+pf_7I`R;C7G{A!3On$*LleSX{L{230j5d9M zV&skPbl#cl+_iHgbGM>6F9-aYnp75+$e1m)5F;ijXrpDukqf%K7%VmN!IW& z2IL~xUDHc^nvFBo!9g=wW4fzWdk@ab=MS{7O!eo(#s}cxkm?t?+5C6QhI8rae)4zO zUMe)#N#C?s>Do~zH%iIK02A8$yLTR%IvETdr}>P2XkJuuXxS3s+^vuSa!_l0)2UmL z3HWc#5k}i;A*8c%-lBG-_pZ!RJ=R5PC<$E)uv00h&h#!1xAgVya_sx zxN$=EzO}75G_+a!5%XR1N1C9q7A`+ZB0(Qqf=Z^-$te za|L-=RhJe4`ZYU@y2&^;-8gcyi7!+*6@bDi(xU1p)1BUY7KmmJ-eX32+74G@ite7w zn3~DTrbX7>%qWmv@SzaU9-}>v7CAC7-I?)IvXd=ta1X>IlxR1*BB?*ja=H9|S^l&7 z$=Pc~O z+C=$kArtp~MAVEg*bvuzR=9iq)>tbq(mEExNyIz3zY7y{FDV*GV2Hxh7rBE8M>nFY z8_rye$1cV>Y;Qipl#I%UC-Cek5sl94y6kb=nttiaOKknC9=uhFyB=a1eK$iyKeT4W z+p0QQntH0HT(?MRJNlpi8_*idotCqdwtkn^T(&y9mpXm-Xp_}|3x`d0qAIu~k+DYM z6|5hds>B_Nfi}buBFTvUUfF^uxo;s)h?H4g@@71y`{7gtAsX+dzbK#dISeL<8tgef zq=l}JhS*)DQ_V?KMZfe>i-Avy`@$7P&$8IW$9+w8`6K4;Gf&XQg$Qkng=|G#{z(tpqBY$X= zZeE?};6@5Zm7ioQ=57@q3CY1*Ol0#dQSqS`t?Nt8)Ef$>3&8Ak>l50}WLeBko4q}NuNj-Q@ps++OP}1o z=%aw8-#@kUdH*2Y+)aZs|8;qC?#1X?JI6(fb7L1ir<$hTw)9E=ka&Fm0#bD+*zmpT+s}aQp!fm(q4lZ53JZWO>qSS3Oc| z^G>OsJS999#cCNt?b?DyWLp?G zIP2hJkEiz&_nI?}a0Y74^p{OK@1*$EOCB4&F3(K(WfZncLdrcGzxtZ$R5XA6Q){|q zuQ588(uHGd(HmUz-OMDz>qC2R=qJADp+!HEH$$%h zXS&6N)9`ib-SNN+DHJ~GsQ_Cxm|<~yLd#nIRl+pjn0?!V+PV)I%V3nS^KpLZ91mHDyxPVo;J)ujQp zk*jYFH1|n5$}BXTJ!@ZGb>Z{g=5G?X^TLx$@&8IqpPZ<8YScyrX;hT7Y6*W0CDhxv z?+Qmr@uBNPrHjA0n>c~v;i){{21C#`5N{ak34eonET|XEe+AGQ}QKKK4E$%CK{EJe?oe zLEegKZIjX>1gyTe+ecOUT6}J@OvKv^;-oi!IrxWzxUfs~v)3u-{u$OMfgx+<`eafn z1R2Q=wg?PRHdRH`eKas+adh|e%wB{Uq7l}Voo2&R*nFnp^p5`^aW~Oog*RPdFZk*} zW1$(D-#&iFF}k&9gzZsVX{x#$2Th6y(0eC%PYtWR{im&zXa&f76XQ)y#>HtSZk>lJ zdOfThtBl%9egnjsOm9Iz3eJwT(%1Vbk!?MFi*b_T!pLk%jm^1}a~AeVUvLtnlZoNP z@Xkgvuo02gCmj#BWQ|7|ve67R?D5I{figleCfYL%6bkI82JkQR|9kks z{}P%Q*uzr?#*ALP2#^ziZtj7V!#SPZW2OHT#p)Z`V`FqxI^NJJJ`K z5xR%G*~z%i>6#cuO~6+Q#^h5oA^wjj+Yu zgb&`IPJAITaidI3s?JT{9G_frG?$6qBH>JY5ywQFo$886RbaIFQr5+OVxs`WAz1P+9oRJpga9x%OF6F zBHcvc>w{5YgeW)f!DqG9np2rY0c*XqD85m@3Wv;*B-cHG&v`2zP|GrZ;=Zno1vb*D z{6xlJBMDLYYQ}+^m(P|Q+GxhmrpjU_GpyeFp?%=%w1)d7UZdLZRT{*PL>5jijWIe0 z%WT4;t=OCPDZR?<>W?xXJ~O>w871icN!)tl0!?3|dXzR{D=rjFb z`h^gg*@dt3EjU4#%PU^9Q|jaU*q5ebSDqcyO+Lgyg2Q5-LKG)!+qR|wHnI^FaO;z? z(P1XT`%509!+O}>oR`zEf`cbmbP`j%<#?1%t4@K7G#R=;3T#~f9l3E*9x#^q*%4e6 zrRJ6e8XGTp6=v0I5oP3*M$7?W*mc;&P2BnK)#dXPy|gGRWq7{QIYNpKWNJUK+Epjw z@~F$1aa2vO=Hvh#+3rCQqsiadB?ziQfMBAt^=)SnV&9$z6t!^Gxdsz;GmQ7LcF5j( z;Rwk2!^b(TXRr_x!|5bCC4@bzr|s-M!FF&sef}*pL-r{pM4!O)Dgo!rG8 zriT<-OR6&R3WIE}+o#_lBsSTjMmt-#Q*);-pRy#d`~4;vPmbAE^n|ZP(wzNSBu(CQ z*)E;S2=`(}t0yYuo!qxO?GCE??d66=DWSTB87VH$Dn<}A`2LGG^?cP${AGB^{SQFN1@(hmH?`(nGqK!_boKd6VO8cvPV zagN=HELu|VdOUUeO?K1wgg7>-?-FudqQrs103&s21Fq}ktG&Ek%=!!S3!l3|FJVyD z*FQFjd~Zzhrc*_}Ww0zd+{25c23P)x`7WgBEEahB7##BAVRGtiJ!{>6bo;pE1P65m zVuPr}E6K(n%{REjSqfUj+(SJSAxgG+9uA=3SJdBrXj6^QQ{)=SG` zJY-cKvkRkT+00s@?VNX#>EndVypJght=Qlm)I}PUr3y}C+RB_*XWKa&%(*Om9+W*j zPKc2HSy@i=m7|u4qX{~Oj6+HW5vT?^EXn;U<;TgqvGooqo&D%d|D_`ZGn7sID7}pq zcs;#bb}B2ApCkZ->wa9tT~WAm;D@rp8Y~abG?6vmQdNlqt&SuKT{q_BZhiqwCjHRt zWj#&KWM8C#zu~xg{)Pra%U?hWcDmt%_H7sulf>0NFQU1?EnZnL#GI~dMKgE@7*vnp zaX^Ev#NkaD_(6;7y*bu&ZaPUE7+Ex-pacdFf2j=arf>Q?q{mwcId{3@!Ck{Xt&6w5 zS6x>aD?Z+c^2lnsI#1g&FGESl$|EIJuf0oMu)YX%9MjSi*2%nEtG>#@el|@^YyVwN z%gRyf0U+BmWlXneHX#!ofL_5a3>jcPTPA70&9MEX%Ety)ULA#SfvuS2G?sOf*^;7@ zby(iX>kDSE)kc?`X{w0Le)vyCn2i*~_S0f7yfY75e;IU>)plby_q1%35=0=T92>r$ z66ine{|+=Wb2)j_=BC~l0nMTh0AtAnkD3FVn4L0Ds8STTY{JnlTc_ZiE9FtD$Jcjs z$W~^uiM3qzPL5&$!mfW`&2c_z)5r0)mOLz&Vf>Q26|3aftlhNG-m5I?x5+3; zFR$;iCCsZ#i#beHSxuxg+wLJN9l87*IMw7mN1+91cW@&=5EDXQ@d{^2ddAl z_(9#vO}IooYlU;c<%R<~mH9};p@^hWhl`c7?fgL3-oP{^CKx1iHbNC58qW!~;1P2Da z@Jw9^`^^nl zx^jH#yepXc0-g_Ex@;uQ_orBY&XYD;Q`!n^-t(t@LFKTCRl;4`1%elX(qo~_|@vT39|Ll#0{DzQ| zUGwr;yIy0xwmZas!@lK3$YHKd+jxA}#+>kDSEJH59S>L(vnl-u{<}>ZnT|F4e(T&{ zR|Hu_JL3a>xD~WMQ9t{6<<4vOXDau@Gb^0GUH-u@LH}aS+pr)&`O5YA8yl+sy)heo zs@|Rc3rkm|e3JI+-MzMe0mV*-H#Ey{+walows$yi2qMO$q7p!!F5C=tmLO~EwZE4@ z!HcLpIZ9QtX}`%??ebM^-wFD!20cy4-|0}%TYHEnbcw@#kqHZO#y7M}pMHi9DCi1) zk6GoOT=>=HUki6+8VBO<8l%~L(8+lNc986{`(-L{r92`h4Pt(4-(3XYkHEIV_d zylnSsm{x`Tej1SDaCa=!M2w^ShOYh1z>1P&vO2w-{(dd&tLlGpZ~sC|*2d8fhlwvR zNd{r=6s?QXf2h>`;J7^D{Vvo_=Q-P@e-BaJE57$F@#UA0MGd#JM*A+tqbt3Z5Gc(T z+sLZXl}djAYsi-s1XY8-Mj}#2!B@?MBt|@I3H+4llXIkfSTUh}!>GGgp?~Y(d_o8{ zc|BPpTy(f$+&!yd-qzG{Om@{&4fe@ycJn#sA$Fz_#;E8L2P-kI;2xOZSmV+%B-_v! zef8v*yp0()VhWOWqF;V0j=4@qF0Hz7cpfIxdb%1*qQIOK(VqflE$dG@oE$j+`mrDvb|}iX7v!7#Kd}Xy6vRtv)njR z5fR4yo;N>V+)LM%VH)f$dvm{uN(~RK*qU9iGMhtvRZg8%Q^ajxRF+{B-7lD@K7UEAv1dA}L@@y8(Wh`Or6G|?+xD}0+>S`d9A zXWX|OO@CZ;rK9iB5n-`ctdY?QhQY6Sv7r?fV2YSFq9tov5WR~j{jS#KHEP`!zSqus z|77BIO3|c$&dn~`q4u62zbvyBleECp-P+uEwE2vasyz}|Vt!9;i=)3B3@q*~Dv4r7 z(_Z>Z%PdiEOVL5bUldBnS?T#N?ZVre-&Vjk0DS2r>gA5;n@x7}Z`k=K1>dJA_9$YFUtq>(Ov+}a$ErO8AqiF)=)ETP#gA_1ycN-;p&l)vg@+Tn_L8t>(S z@j0UG{AHrC`G59KaJO2<7VMUU6xh~V{V+#+RfHnl0+p%0?A;y-_!T{*BxVqKvii33 zF@x1x#c2!$owtRP<<>cjnxe1LFQfcgLx`+(e>Y^3oPoSXT9#`d{yDsd4vKe>W*JHN zHh+$T)6RF;x~~Pu%Ahe62!)a%;l=ol z*8J?U(!d4_VDvqomrm8#te-_4hV}U+%5NOjz736pEN!B2k+Vlx6vZm1Bx@V%{{F6R ziGm}^-`>Y`sYDu^3n!?>>#j*ZtS{r4-8Y#cXP9A6r_)uwra2VI+sLYM2DAbpJ;sM+ zXvvQ z=sc>&`7O%fa`Y0rG6)p#{v=a zp-JFx$qa2RP(fe7bJ2w(w9T$>E+rqMOXk(PO!Cfm^+&F@u`6NYZ?s#cLJfO};}LE7 zY6HN`z&jzUzo-|sU*m@8lB&7U@bUuAuao((t5xcDps z>PSf^=#<^s{F&n~DCo*Q=Bnc)7BZ6|B3X0xHyNyDZ~);EK6wSgPbW3$~{zd0X|XE#!rq?EWvc5@z5mSOrqVA5o*=fO6Z%!37b+@SlW*3ghH+TofswPdJ~!ph^ITz+VW z%kyXuwrJ}6%MmeWzS&+j4JBgSt;*yy(=<+Fsb6Cce~H#TQEJhQ-q`vRFC+t;(_~v! z|9H598#!VAlnV4TwaXj`jv>Hk)+0e_Y2s}=kigqqdp#ry7+f9`rKN6JU3emr+&`<0 zv!6!Tt-PLE9BToE!QFEHKsKegdk8T+#>!hu%X*a?`-hA>yzwc`XPAAn8QXHj!4sL| zJ_9Qwml$Z7!)SEmvJ39@A3$ASId`i&yRrW7cAN4QEjyc(d*D^I6E!ZhyY>x*0&bZ? z3a#EI!L)J2-@<507D|?bb+Q~XuhgE& z-O7(pP{-38Xi%gnVVGW9cO~92K}t?lP=XrdG z#7Fps&kX5LV-hJ>Mx_-yj9Qc&_FR6ysc2?ACSjD%3ZGQb9n!;;aMEtx8v#?2)sBWW zuj9dS9);xrc)*Q!ltqcThDX2L?Ep20FSzUNraucqe`KWu-tHre5G+H%4`0=*j$?%2 z(q9m({n2FOZqbWR&)=x8Z#kzj2GRckrXRs9R}Hg;G*u%qAMya#@W?ErtpK;6;2 zC268WBC3DTlSIlE;g)frv8h#ihYWTrP1i(bAXU@krYj9iye$Wqx?5B0ugO;Q1w|#I zDi!ZICJI8g<>qnPiqS5FD(JZVxU(pImYy=zj;+%%H@xrEq(ukI&zj24QgHqDPwTPhu98RJoVD9WZ~FcPhllE>Fne0|61i zA*HUsZ-e*e>4UjTA=0g!+B{C@w;Gtc{vO~@9nUvozA{6B*4X6Md~5e9RA zc7~kS&3kTW?vB&*ukq(}V+#D}K1L?=S1gu)|7=y&Ldo1e?VFp=+38p_OR;|TzUR}H zz3JzFI8eDJj@5Pj=&MJb3yC$yhsXjJvCO4M-vg6W7GsX>IvrFjJ7i|0_v72H$1_6G z1Lo|oI%O7E>XzKY%+aB1cw5Kr&F4N0YIwD&+JE0cUr=KVEt8Ww9Jl-e3d+^2ZLXo= zYU}p&F6_FEx~cmLs9X2oX~<=Fytt<=kyVJX?_bA$>c78uCLv#*0eR+g(bm!|SD;XeWg*~a7dGPeSBDkzOU;%giArtfj_tO!_+y`76Ox~b}o`pw( zqJ0c*(FsBVnaNn~4q`UV-e-~WQ!u{9cwGbIbJ?9Q70%me6Iwh0^@W1L6(5PyDTQor zpqFiLIp2_SP8?4wP)p=R3w8SBC5H#sye7TFqg`9{l}ztN|5Qzvn$B8*n!M+Vzl^OQ zZl||iRnsxDyjUWbLp3{ygI#wCe@#ZaG-Sm>!5=;at3+c=9h+N}QDN8#+5!&Tw_c?$ zR*+x3h-F*QmR#!QF^$K7Tq5~sU@utAIM35Jjf{7UkW5U@+mP4We2#JCkbtkO;wUC` z_gD<9gsN8VbWI+QYd)vI8v1xFT@8&!a`-W5q{DvK_Xdi^zDoz(a=lL|$Utw7=B)7v-KXl2JT=8?! z*CWjpcW!T{%L7i^KPA`F2rUhiHp@mR#r<^R%upva?PMC&p{O_*&DJf$m(!|alpSMAY zU$UQ>?noIjKxrV-s*b}3#g?y2hFUUiE&3`s%+#(eg3Xk!6&1TAld{MDX(Cs89^Cu5 z#Ap=7wNlr4CHl3}%jlO>O$bgA;boL}uqPHJ){=D`ZW8#JE7BJi?eE|lX*Ta4{lht= zqKta4%uZJ)Vfq;%>aSO4!Yg)D#&j&W?Gl#-{OQV#vx&K&MZY0d;c`~z&Gt&~*2*kF*Qwdm(Z0a2;k&1|C?Y7NTZ z;xs3m%rctElG|~%*&8U&!l%Myez=---OoPo*xz;#+xg2B$`Z{c)k-cL{PTGkNNR>7wunvV<)YI85GOlB$) zJLsx#GjjppZf2}`Sddrd4lO?1L)LItW)2@`H|M*DR-Ws*zU;V+0S0h%-{^-@b9&I) z4(E==r2B?5E+Pzhj1Xm0rE87Y^=ukqx7m{(xtDkcj>k7DZ0nA7C{QlfOUR?y%BW3^ z^yxbCKHqDtn13oU^+9g7ewjCptWn&dwRZlNknx$`N%4k4ojK3W7RK6WoELZzLd+fJYy>{jt7r|Ah-Jw zY^bRKu@ExLL6~#Z!dqTQJZXKsgiHn$hs&&Wol|S1%2yAv+hs)|{4Gno?J<9c*r6?2 zq(8=eU*RrzVt->>{G__S{S>FQU7&j|4o3j1@wuENWf2cnCD~{aS*5a9%;;b`))35! zMBur9lpHK2-_=Gl6_A(zNKvvl2D_tf$v-KY`)8+{k{=yf{Qi-U0+^GkVppeyWNOlH?G)N^!d8frBNESf&2fd@Wm2vDK)dh2D|=cFI>7<1O6gQsSr_nn)&WDB6Sxd!TxiZGuQQYx&_`OK$!` zX8$i!B(pqq>5N?)smpR)TfqquZ(yy=98X{@{8&-Sq03-pY~`LaHt4|VFsL$KfFqZq z#m&F*!R771Xgb{`)xF^H+d{qPX8cMCQ)r*EaDmVOov4uGIqgbXRe$`mc)W^7nM_*~@Rhm~Z$;1s*ttvXGdiaZdGL>EN-uMK9oGkVy<`k;Hl^_!yW^TBW24WLOG`75L$-6nk1#1|{1(>Ws!WyY z8b`M39PG`Qn1J?$4fX9#hKyk6ZdsSx0FzdI^(|V2QlM0P($nG999Ce8Oc0dAp(Cu< zy6bU(-qw{dSeQ~4&*H=nu#%~6d1`LUomGWgT0|HGd`aP+_SU$OCdh>byhyQTnr>c8}= z|1g@4|8Z#6!u`Jly(5{mBotnOr~o+V=WWbd{qKPHSPP;U`og;3 zhlfpheYxj@ZQBB#deXaNZ$OBnEJV0MTote^_$6Jyn^)YyZw8&&7a&;V5(EkY9&Yi? zsUP@lKE%waxBRA0d4ATE=m25HY3H^u@vNOkW z{1<=v{X2Do3$HICSab8|{fd6YWn9Y0$)roJ-zC*AmrpH z8TUR&L)cJ|cJIV=LqN15{nhc{lH|12?tlxcPt14ESs2aPHtXqFOU_@$=PZ<@1fQO@ zObMV`V(vjSEP6k)ZTn-wYoVy6&(88c^asSz6Tf?WY4xu23-hYCavl zRE8V5`;Dm;Lkw?Ad+FjY2v_WB_3BYczv`El-|BD3FD7a_zWkGwQ>~xT=c`u~gG~xOAw$UGeTSHH7>|2zhi55tAr?@Ub?YmUido_2d`W(e|~m;KD$`l{@*L zs)-}t7QSnQyk{_9`o3)VlF^%EJO;6(G!RC!Ru)AC zFlg`PD^CCM*ll*}|Gd}HP0E?_RUR!u9J11B zJ92`)g+=hLplCLk7kY=P2`Vv>w>s+n|@b6+f3Wl{LSSf zbXA?XU|yVbJ%pD|>Iy)MaPL>1WPB(O_Ql}9vKrWo6hdHXE?|OqVrDVQY*`Nv6oq6a z>n_B#UN{g^%1WW?j_murU0?Ay7@fr|C4F0Xnn{;57s__Gb;c?ftR@Lz@G{B*3%=QJ zEpd71j+BA6cSST=`!*>L%jRuQFDSZ0@9T1aRd3rv&2XdjV8pO&lwGi+Z1N7FFdTEa zR0;_nLiKb4<`f=}3@cc!vQZ&c4~^>Pv*WPkLP3+o!Ohb!e5XVDh^iVM zrSE=^hSE@CJ&&kK^F&xwMPqDA5@u7@{;FFdI@R}aBAY;Y#vS$Bprv*;)p62R(tLMt zvB6ds+Nk-u>9=QUx7zkSD`D1>G~cM)az4Y_{IM{a>7v%(6O8SA2M)qi_p4g8z)j|P zhVuV5==$f`TdOW7?wRHW@P;CYx>$l^?w_#&*Z-J%fmhs$W4K4%b6L!q5~2DU_`hU4cM9(p_3Pp?d1J2 zsnx+-jl)JRj@AxFUt|QuISQNRgupOAij`gdmJs14AQtYPUYVoE;ZwxmQ}DF|G%3Ad zOyC#`IhW|`t7H@fMxM78ob1HM#hb;UrK)1jpojWwOL&axnNF&TbKBY{jw|77nmI`LE{TxgM}dLHQj3cr2%-5b9!o4_VpSPyRC^O1gtz zKF1979%_*)%a7a2pY!!ALh4I%ls?D9TFkC)%jYFTJNiw%9kmOz}1w36G6zzs2Y{C=fMvT5^ks8fe_zM)RbxMO5^S_ zz=Thb0$b~CC0jvPJ?Q3&`OFqNov4y@ls=b=#$m}sTSu>ox5d06er2Yz`F!rsLFU?m z7M_?Lu5q>QoATopW4Q}g7bn!&vdfI1(tO?s?yX!=&)+S)^_&MDLe;XBJ zSqn5qmk?Ecrq=T(Coem^CIXD!64y|ct?j;2=k<79s=v}~f@O<9?=4D{*!R2`h%6_; zGdoN;H&XuNphFFd`xB$)KxX`Voh6o=92|elq>hzq;uat6{H`N=C*i z5tCgpi9F>&q^y!S%%Ji7)kY1FIr45r5c!%%!zO?4|;pr2I<=SO8K> z%wTZE9z&7(MB#QSBb&K1s@M^Z){8t<;mp-3#OO$CF$XceDhIQOZM~!y`Ff9A&TF-6 z2q|yLV?>aDGukLB&Sfx}W9+TQEntiL9$(veZFEjOv$9>SXLH6IE<3b6`6t(vUHU)* zYfzYZ((Ko;{*s5|0BP&X%agVfxHg7|^8Yd3+q}7K z2sVnbPm2VP>8god%~XfFU;$oWucnEd8|xd(X@F*Nuz|)gwD>44aYxF4QcYw6c*@rW zK9lfsv8i6eYW2#T;p5)xZ}Yah8hqR1!5vM&jWh(hH9zE5VM^kT` zuDh)=Qh723N^zvZ)jZC)go&D_xlx*4et0Bi6uG-#`bqQ`r9$X{$wq8~TNj}kbWff) z^AD{ULb+_N67U?$p-bL0ToP;t>(8+ia0KNw^0#EbN#KlNuv<+}JE@0lq46=wjG`YN zI(T@Ej;9Sb5e=v3-6|VDn>d)IFUr@V0biJ+Z6G4g%zPX7?RWoKaUh1G#2Xu5bV_%FiXtBKfh z9t;Ycyg27w8yGY*sA)RgoMnrqs%QGo-923L%lzFjOWx0z3@J!n{qKcm(-v&p88CB- zAnJFzms`;Nn&})I-sC*AzDc|6{g0-^^|@AjbU)zf5bt}l0#@Jmgxm=VdBgqQiUR@f z1?}1IT(`m!$oFTa!Y8I~+x+Px|7}Z0-syYZf3hJ!+yzYMp~<;pLo@;KUUl@SJpfzQB z)t%q1JU9Hgf6UL(FMo}UFzjz?_w>}926nh#(k|es?Sr1+Lzf-#S_A~+K?gbY__8bI zvS)JjKtmEer2!7NjjPU1Odpo93LtCbdzH)A!VXe?+8&>`z7fhF+5 z=a$wpirmhTk!hjCPg2*y19R57>#18W5pu=>9hBtyl22bW?j67LYuTxj3B3A-47xUn zkaly!6HW4>brG^pph5|l{zus|M+*I{&zvskiAR#3F{kIrm-z_YNctRPEdFJ0*~TL; zGf@O1C2iR0XsFMBE_QH_U!xmdlw$9Y*i@)JHCgOPO-Ai3j$oEfa#hX*QH`1qRz`Na z?D5r(H*tt0D_v}&+QzVX?g&ZJZ{Wt}{flaFyQ!z0fF?$xtW1U`p!j6X_vLUt^ir}r zNTa~++fTI)>}~#71nguspn#xIGLN#JzGaxZA>(thhPl+_HJ=kRG8z*AUCCjx2}OhHmsW0oMY&1# zEePwj{7EGf+^63a9K=T5L|5HM>=#`S%ziy#yea`r##Wr9q8BEPGe$b5ZG!=h(NKA| zJDldW{5Z>YZzIA3u&B9g$zj#EgnEZ93ucwyrs`}DVB~b5+|@4KpeDm`Dj>daf^cV7 z)|Rz&si`25nVXgex!S?Dote?CUxp5sXh%i8B^g>2kE|Nl+p?K~jj~CZy7X;tmOHpi zQ%sCd-=4C}Ue-%hnii-9={EW!f?W3KNV+CH+*`5bL|cIk%x-qwpQC3k8Skan4^VaK zVW@z>inwZ=5d+|Xz|||y+k9RxWC%T!pf2PbN-IE=Lrb9;6bvLVr7y6MO#+)%5T?KE zO@r0L#wzn;rIdJv^VpvSdGe<i!;an0QU{k|8>=(RkEFP&voyi+DB= z^7o_sbFzbw?B^4=)^@i1+D%EaC69?ZN+y&M%G`#al8xly>~g(gEU=gnrF_O-9Q@G! zP=}BMPDaUkQOG%)N_p+b;Hz3O1azGRTlb0nJ0^^`V6x(mMwMAI-FjBE!wW2<157DG za@U}9aLA#yuH&}R(IqU?w8XXgL*__k zjFxC4m~;`9vCs)LcFcE5SF8q0e#Y3v<(wroM-1ns|nYGQUF_ zas3}^(tjWlRR(#`d0=m2X-j0|qEyBjShyXWTztCm`RU8U(x;cYYK0XBtdwF%JV$*G zM9vf@T2X4j*v)qXW3?bEks(k+2TP5A^{!I7&WiqMxXlqaOl~`0w!OGcdn=NVf%3y zl_eXz6>pq^8(MHewxS=CZY@2xcc6Zk0pxfMI@g+9v6FL_$mmKI^EmO@>q{>RlDR1t zTyF9nd>!i{DkX+hIk~pWQv_+-z`-s!nV9EJ__Ou|()~96ma^-98ioC_Uzfn>buyif zK2NHIkh)COq->pqlHz_>J!F5_ZdMDw&vkTg>&>}_iY?#jmUd=LR80(srI1tx$hh*X zFkCx$&jX?(&B!cX{4MCE1JFqC2bU;*6Hy*i2m)efufX0hDzA~d<$!>xPr6j=DP5H* zH#MEu?JLl8UVodxu4!S0zK=D4MPkiAxm#dx4YOfbH6mTw8094LAM>}auz2pV*yo;k zWuRSznS&y#`XJ%*8)Sqq)_wQE`iN|VC5=m&_uNE%hBa~Blkwfkvpn2rBs?r9GRTFQ z6;Ub*=Xo`Gi*E#ei`*mMofi}brr6k%`w5Ooo zCj1K~U6k-6Z{e{X|s*&H=JE(%Mifv#VmY6=m83S^9TYh5~VrRXj%lZvFT)9ffTa&OJ=sg89 zWcQhGwB@I1^x*lbVJP;k&9tt6kJq=p=fX-Kg_x&I+{j@UDIDqh^uZ-IZY0Mj@nGb& zfgb*5?Fdns$g03~v+a794dV_*$PDIz^bytAnpt4pu>0gZl<+m@>jxwIRu(||8N|O+ zraaC3;9sUsm&YcL@9_hit}x4DD9Ev}ISu$cAHW_BHr4!nxee9ZkB`@J+`i?CDxIUy z;WK3`I2j|szM!K+8kT2XuSj-sx15^bh73@K-R>mvn$=WS7zTsB)O#G~Q9I1ylP>9- z*XskcoxE_fM&5*dvzbpP@%91BV41*5L}phoNZ(>D*4>GcuyS)BYT#(Ha<>o2(0nPb zui@3Z$CJz6_AN>wgQf{~xFq$>k|=e#pQh1H$fE7?Vy}jiT(K$ba2feQ1k`!lebB;s zDBkeU)h3*f=!Jo{9^R&PW$ofNEOD_G#S%9!!$vCvcgjNIy2Qtxa`@Q^BhuIkp^j@A(6Q!+0e`1;;DmETSLKh^q?PQ8S50J)cq-W zOLqO7DNgf!vV_*SVyIi;Si0G$Hf46-tYtn{qU=j|9wi+-urQGsmh!S& PQsB`Ss z2Mz0+vKX%g+^K?CrnE7}35r(6x*c{wO>-GRW8GOS>QK${}t)fEu*r~6Z0p1mxCIhm{;o;y8$p{*`3 zD15$zY0ednC({B`~qud#XwA$gzYzJIHBn_YRh6^NqJpIv)q zT}{64P`NGe=lA_TYYPm&?cKo%+|F1FWzF$WcLY_5LRi0;3JBk`e`n^?Nqg4Bu?hgw1gaISkSLPIur&e%R|ZNw8t|ee(?O{w{HNJ$q}X zFa6NZrO&^Ubm#L8J%c%0$MCl*hd`k{w>FH68ao z1#wxF2LVYQpzg)Ft>iba^~QRiXKTP_XdrsXfEA?W-k)*)T|)ol&T(;k#GkzuRRqB4QzNk6vy*Pg7(J#1Y_vaB0zbzs| zyTt^*wj-&e<8;jogVM!_yNdmzt0V8F;o-YD-w}9yhDo^(-Du2w$S~EwTc`JiShwtz zx8ust2utSH1m{eLIcLW)b)$&)0E!cHTfa;N1{IFsB5%@Ke*EQmU&x$$Q+j|J_BTdG zkOd5c@Q^{e48WKUK-2SmRDW5!Pq0vohZW-Ih4}cLrlONi1+z^OlVsUPEsiw zU&Mt_|9Arbdm;Cuv%xL1sNe-{=*|+)^Op>p`M1zJ5Ow2+Yv+J>cQ!1n54I=x$)q9Y z@Ly_O2~#9>8rcAe{V;XXRQ8IN-f3y8;V?q)4&^c7-sQ)mW}P zku=%k+JSm|4z2}+mo@DZMKmZ(sJPO)pSBh@WS1q`bj7tGeedC)hkMID)+oB4>L-aKFh^~Q!FhM z4K!Qe%{<$M-FD6v&Z7G--Bij(J2-gP%O)L=kN|ylqCF%K-#JFth4SUBm!f$vK~Ip7zct@GoTTa^SEiB+(|#1mj2Jq%_krS9vReb%h7kCyv$uy zAgn|Lnr(6V&Jp@rC?txANY8JHcEnx*p%`o5D~4pvwGmo~hRp1IGgh18|0&@PM2k?U zURh*qx+>NCEl3aNnMJqf3pf7J$xVBTdPHStFK?jfxeUq*D|-OnSj(8`AJFZ~Jh4Hy zN3(B{3~FG>d^k{*P^>OKUI5|sBa(iCn{~JQV=vAv7X-D`Vpg)&?7^0uWkfg0E|lS7 zXn@>p$LDR!JC3nYt}+#*$uqckP_r!oWHr?g+(BCw9KLHJwM74u!CBe3?|}dIe~4;_ zT;MgW5S$LOK%ONmD7QZ~|GIGoek5Yhe|fy@d+IS?V{RFH8&e3|#-ATy1DRlyM7uRi z)?0D}uEBy_`YHQh30O~SQ~SH$zLJe`@1po~MpO;$is0Q7tth7m&M1vR_KMZ0q(}}a zOvbq8tXH@gztv_h>4F)qP&U{Ns}B|!bFu&!M1u0%BRhu zeNhP`Pceww6$j)vAN-nBd<+bA4Dy(R<`{BchDrxA6bE#1*J?&-$gT@6k0+u2(WZiY|5zgRlsI69K3YxNW3ON%N(i+Y0J>kY~dN1+mOWK7n`x6DzKU z2_>ilz;P53_qhjzk6gVgWA=0TlBT;w&+1bN0R$o-0-?~?>7W{A&jY>`4x-!5cF0?vvpB}J*;;SbJ}Af}hGm}S|X zkh50)ErReIP$wE!xUrP|4Um})Ln0=mTx%6A(E8BT;_GyB&USYz;RnBpQ%yhwpjGVRJ>f7w&+FjYe-kKp3AP=(uBEkOtivfMq zjP!(A?1gp7m9bIb#BXQF8%-8apgfpk23f&k?5nek-XlYO0IBS;A7!pDASHLaqvAg} zs?nb6LEqd%z@E0%gYNq)Oq5Uph^M>-+=*;_bCJ3$@l9OJ%-=l*C624+%ejzAO+DvP zZd$V87cbdi4TXO*%1>-9CuN_5O`BK9(ZtS3aMq0d-cEi{D?9qE8VGhVGT%VXZcm2i z3Oe~3H=9IM|qYA&4qP56G5vC^l9` zQhzG{gnb`mAK+4aj}h(H*Lv1?MWSaCbRQ5yay43Q2vYcmCjR^#=Y=W@H29L$kjmI4 z%^5bz*8<}s{Y3j14T4Cz0vkvIX8??wLT|d~WiMRFrv(?LbqxdRJ0mU^u!%w`?s4b3 zLL-RwFTf%wh1yR@mb=YcbKRl4gz4c_$Kc4jWNw;qy_3QC{`Bt&BET0 z2@%Y);RZNH4R&yrp9Q2B@F2cjP@Gd0zTB zuh`bGJ$Nkm9@a?{yX%CP53uR9vg<9TU&%R}N->T^h`g-Vp>aq;=R!tWeodF`ZahH5 zjM@}veXW8vQtpaO{s5E}qOREVLUmCTbTpCHcv!~4R=I0>`LuS@MJZ|1cVWG$onchJCB;*wWjvW>ZRhrwS6L*5>T9k7 zE3N6jJ4KKwoL%p)#Df<|M5>xo2su90mwn86i&NHgxdIx?Y(t!QfUwW-iKflb+}hM0 zOf*By=fsMf9ly*ZUy52-aItZ-l*Fxl9dFDjJD0%8kl=>r;L63Wx3fy+M*Hr$dhh;P z1}6CmH7kG`iUZsF694cJ`IoAYaH|2Z{K^!+ViFaD=lUJ*-2r0b z`5M+q_7W<(%x-*+0&K{g%Lb%HM7J2;aq6>D8%z$)I3%{Wzw`mmM|_- zyYkxnRmxWX0@mvD=T0CVtoUIrnk}5cM0Sl&*6cPB$_0wq@gZdz&|g#a;|VUjnwpBo zhE~4^6P=rK{Ci6E-9+xlFo2Y|6Cia+e0KA0uMxlP9+>?uio~LAN{1JQYx0z;WSyKl z4-`J6QVCNdXeQ{uj;WDs;pm##nfsf;e$rQ}Jv@$_4)WC_W?2V5z&k|yoh2`=$Wcu5 zuCNpN=b_rsHbzHa^v)Xj?`?b2U)QbsSvG9jAOBQL3D(l5NN?%-C->}etjT%9ZUnCn zSqD6BQot`(0{4TJ^tp8hUxkf3WZ(6mRPd8-4cW6iM{>BYlAh9wuP+AO5d^n4g2F!B9ZP}^q_1?_F(0hUwQ#T%d~H7%W!-ktu7N}C!TMd8 z_59uhN0Q%p&KCVEVMY+L{l=qpuirZ0?PTl?-0t7GbS5y;*Wniih4Wn{-)KW9{E$zB z*ZA9Cs`hmMltJ`~>G{thp7%uPMS=6d-u%s}RT6h}c_7Die{)>b^6KF}#bz)|Y^Uxc z(}!++GpO3d3BLliv?kucEj~t!e%F{d@VA=)(SWka(K92NQfVm451(iwsxM(Bux4Y` zXvuQmD@AyQW@@zjT(2rc;M^G*I_89*1 z@?Q@n&K>q07@$An4M~M?lQOz6C)uAR^=^Vd=&Tca=!TDH4*ug$tWSn3wpbjcfSsN4 z#2ybYNAd)MR=@BQ3&gp~KIL~jDbA1{W_ND%Eu0obS(rf!4+-QJGf)r>at-Ev>uDm$ zL7G)Re(MkBZ=V9QYB*0;R<4!qw!yJ|98vAl8jI0BNYZzg6%ZSpqZ7w;sb}Tm!vBhRIJ(pvm5m`XEa!rC za}wvr?uFSCdV8Ho+o%b?gnLic<{*20bI}gs| zj@@_g9?{?l{mzexzD4Q24%t=pqEMtf zUn~J7lBXH9hAmUTeN5W2BpC$|t!|Q?TB}RTjHp$!6V;kXs-qJ{a#Jp?r5{)Lwz~>W zo`6yo+VA>oN7$uQUs(Z$KoWqv$N}}}r-;5bkJFpk^kvS%Nk8HNh5$nf5I|a0Jsn~j z`V5pJgw)OA2-koM)aWL6Y#O>T?_=XlNFYGixPYlxL|q0*nR*e;$;OevgG9HDId>5K zyaX@IhL|S&D-I-`lX*9jcsCdZO5sj~7or@dpI=KmH7i)4+%1Y?HI@*)vzbSSvT|_* zKp~HQr7`?K?89HGPX{d|P%(s4N)ww19?q-32Q;^s!3+{C3~3KnC{B4UYZ(EC{P|#o z=3zhu$lqK8VAW{xKpN77P>k}H@Zj@61TA6Wo9J;RgeF#wIq83hFY(!URvZ0@T1JNtn}hux4(a55|6raLZnZH2`BCoYtHl=Q4I zf{2^vBz1Dm>ifH1&LGQ2SEJH2W3%IkN59WZ^ooYM?s{??Q)U;22n0fLc+(>{%=qW9 z+9LOJ*%<%}T^~e|e*D1-WYM1kGdtkhmkT7PxM6!C+#=2kzl~nwHAb2)+g>`-m84wJ z+89UgiKp>i9D(?V*qW}B)>5jgWPYQvtN}vYd(T~?n+=@-SvA8=@p&nJWvjJo@4lS9&kUffc1%{s;yJ*y_5bc$=_rJU^nrkF)&kK~({=EV!I$y6 zQSaRZ2w=-+{c2{kIdvA>cdV@E7zgj?+N6#0k!K95Lqxxsb3!^l7G5a|+gTG!?6G4z zYA6y>c!iUc zselA6dDEyAd7Bh{<%wGT;sZ?V^Pa<|>81@HNa7J5!VnlP+-j%u*B`a}pxLY!z!)>t z59)nf;CDsGu--NqKT7JsEZ^aXdV-!3r7a=EQDp>AiG2`575|1U3t@VR&+nI^yI+9+ z$^cu#3W_*~tWEosqtK67zC8^xCi>5XH=D{dU-5f%dCG%eitjUuj*g30;LDJ~GB65K z5J*p+CVETjEXB>S+GM}51o)>~$}Jd6im4|1a5FEh>sNWg+i7lXtKUIRx93|ue$emq z4-ZM4i}eOigS=%`5uE_r4Pa#^+Hir$M@ucEIUq;O7yaFYn6rc9>OuQh?Bo-EqC(p7 zHQ3GtR4P;QmcQrGzwQ^}eGxUf2!AH)k~=nryQz#&OyFI|_4Q`k432<$9Q zK&Eq>_K4!MM%rgsZ`~dAz8m_jW^bgLKsq2o3#X+-d1v9t8fXp9s8-7P^R%B$)Qsro zNYRfh5y#%1Aqh;dnJ|c6gQWt@c$$umG6BU- z$bq!s>puL0=mBXO(NZB6VcmI^Sj$>fX+6e;jxK~e$ZVrDLq6U+cNXS68zzn<*>>G9 zG^qE&!vk%WqA}XC;!MC~S5gAJpXTz?hOAtpd9DZI_u=w%_qX5pw9RixK=h*&Xc?Ns zdapuwgv*BauGrfA3kxuon?Oh+>Res4cOB6yJOr{h^$4}u-&q-Z9Oai>r(|s(?T&yfoy<9HuFjll|UROuVW&e9tR56EH0|=JOzW zne2ya_rrXa;$ecD#WYMyRcrhzJABu9l`uI}Lceu|CB=Vv5bgDLVRsfh?eC@e;Qc&- zZ}hKn=NU4|bz?yp-t(1a-!!{%x7Hn-pihN1Xx`#z+fpg^nU(0eFv$FFIRuh8#8y%v zvb;^M0|gEtwv&ybHSG7e%RAy`P_YoM5`!5N^Ik+b)m>tq7q3(vmMKh)SFb5w8m}kN zUJ)d(#;+|)xLaEc-B}~_8ZUL^rzLNrW7c^I)BW=}#d6_jy^vmh6_PKIes=PW`2nSt zeAPhpdr_nc5^WTNbxr@UA%BdH!I44?QB|RGe7qlxEm$FtYJ1DA#i~qJp`t8i9I1cw zX!6~`G9Q6V&`-r=6>?5;hw$A)Sa*Tgi;0&zRVxFu!2Ejr*l{)XK`p6}kR)f$ZQ1R_ zkpdAZvS+@LYTcdf#Kl+1z$|6Q8_qe9aR|E-B^Xz9{)pP(ifEAI$bT<rPw)%b<^~e(yEf?E{~*ovP86Bw1OqEI^N{K zZtB%x-O;2aWK0iIDH1$qkTW1jxGTr#%dXvaktCzS72aU&frA_YSkI|HlFLLzvpTY{ z8UA`bG#bs~y_bpzgjc>LqokpLp&zMI04h#VFazu&4lRe<>UU2&WB4OO>he}k0mM%p zt7u=i)4(Fb=rwU9AB>prN< z@Yk^3w!xbK%&vuG{@3l?1HWwe7-4r^qO6)^Y`bF?-Zk2t6`d;0z0&p-JE%qF^*FQ0 zH))t2?^s2Vn!N2NrD}1V%Bw89vR0lw*2F=(>u}Mt1-$*$&_Rkkv>aB~*G1MsR#s8o z(IF|y)AIB8H&7~(FOFC2r>c`ZfO#NP3OB`X(MHzhWj2MrkXJt>9j5CQx-&s0bL+8w z&ufyVZ|mzM4ZJe}zI3>xs^Fxy_cVn%AJdJ3yjFjKQtwwx_g5cSz;DMRrr*AWBAhpV z`RI;O_I@;VsufYXjJUXy^#|=7`tW_z6g|a;e{}heXm6IKk{4V(I=w3Lq`isXo4tZP z1>drHvSTHeOx%nj`s7=B)_TWiQ3X*j?Z73&O$801D6)D`iS<$}hh_Et5xVm5kj052 zXOZxR!5q%R%&xa*$sA!fhS>8W()-m9*O=Gv$bWswuONy|)&EuPlv)@4l8~0^y#5Dl5w(WT!+@1>mcT z*OTw5HpS!Y1p7nbys)@B)=#^-Xf0_L$cVoC{JKemlJTSoj1XtjHx>vwHpWgm&dqfO zsR6z&sA;^)fl`tzDJMz$6aS-LDc}z&f0%I8qsU!3;iH%vfB9?_tB^V?xn8#bk8gpS z_4#V75O;eAiT*_tiE-wS;Dfbvr%m#A+Y`Z-KDg=76<4kS}I5S^997$7$Qx#>OF|CkZ>%_X_3%a=9 z4-g+z3NBu#NcO|ek(mxw-V&-M%A4%Jh$G5aXhoy+{5RmTE)AZYU%=z@$;<2ak^oCc zz7Bp(%}+hB>u?*8d}+2;Q+_+|y5*W5Ynjdmw-KKQ`0Xq%{?pwYd z_{_Q^PpseH5wa&J>D7&(pV$MSPhLn;TVP1k?G5cgH?Dz9|E&-PZ}V=~3&EkgDWN^D z{}A;1`#*m!Y^{nQCn$Ju8%iNj#hibK)oqJ2oZAqn0#MY-o8P5mo=QCNt>WzI01#J5 zqm%N|7SfApbqRLmt}lVa@+bG}*A4Et?UVEc{`@t+%o7L^V`mNpA4m_(c-A|o-XC(B z=kD3`^T4}PuYzL#?#*83%WIN~0N45FgIsfgg7nQPrmugbW$`!vJI1iB71{l}<>wB8 zTwhG;^;zFsf9A%nkI611sE3Vt{tZ&JvLWCx7z1_ldExUnEj6#1{%rd+@YyKaC9eL% zz?1^xU28~--ez$HUZ*_LxwhWG(V)P^7Q5lu(3q7h<$a;Qd0RP4yTOSwM;L3y`yjysmv^=t;Rvb;x?g{IM zip~)dSDRn0FRS);HQm#-`u%cqgc$}V!(BqEhdWu`?&BL}auyyUiW(c|N3b;C-s$@R z&Sm1Zsvj6_C1mzZj^Fvy9&|%l@2x(pzgS~&%{*+@f`(!O?bfd}B_G7Q3tMCF2dB72 zDHHT`0=(@r(qxh_M3Ii*uZ^$-kc##M$bH%xXP1r9CC_CmjzVZP{0>lrbJ>Ppq#xo^ z`TJ?GK0L4-9Vv)x&bx&RGa&>X!dJ748w{)S!Ph9IG)FffEN28c?Zb5Uc^QDXt>A5j z_8w3f5Ck7q+XbJ9_N7Ju1IWRN$}XaCp0&NMkU7GIEWkcf>#Jw$S;^y(>z_lFGPFlz z?MI)~6n23d*1)95a7o8*4l05o2G%8*?0WeZ2&7&nF-n(+)s`)jGUbH5bs`(=#YXwR zCZyjO5i&VQ{x2xFQWW@h@^%-vltX`lfrC1D2>};>I@kEOxP3U9M%ps1l|bm>#%;n zQZz8}AoZYE{9ZDI_rf+jI}h$_=$E`7{EB-aaz^@^(-m|*ll5SAxOuLMeS9wT`IsfN z&jS4?F~m-?t3ifI*x?{X8EZB|s)Aci^nn@gUMxxObtN*v;_Y;6#`(Gw55 z=mjy8QNPr&-YYT%xCSOV9uG_1IJyBK2PC$PodVbJwCA50v;GC1YaYrm)QzuGhgeU8 zZL@Dtv+rzykCt+GvYGQSE{7*$(T#TZOAoO}Ut`EcpUd%Hc>zSPc3f1$t*PfjdeTjK z0@26FC7-#GMv@#X>j;;p?|%t-?t&P$6GJ8RCuApBJ17vMe$7-xvzl!SO6=^Q(?)lUFP1yKm!-4=dCF z!>+~L7&~Dp4~_CyVowNq-VGfK=OniMEfphraqtZLm0dfW5X~yI^pBO?pubvm+0Lf^ zYr`H*BXFP@meem}vj&oPVc*2H21WUEQ;a5J|>e0IxjuS&JD zgzwD~ZjUQUAf5(i4qUeVY_j5cnC@^z)JEsxeXxDi*Fg>r-p?#Z^7!+*@LosNhTP%n z?($M+Mx|0L1*l?z%)5#mZIW+IP?$zPtjPnDj_M316l{%y zK*R!=D;AuP$S90A6|I#@G*$@bN0%1`89@m!Sh9<0u6pg6ASTvFPDvDm8H5nLH`_pb zqL{aboVS<)eC6;7mSKJWU9;M^g1>A5Yz{_nC0wqsu0c zj()}3dBEXrdR3IrPKYq87NUOTGN`r$RZ>SQ7n#R;&l^=p>7cQg4D}nP7f46Ed6x5= z_o~Nm=0sx{IGYp1BI5Tta%zI*f(6&y3emj?8AbMDTx@%I=#uyFkT9Zl&N0+QTq>j%FyXn(1Z1qt7F_KBODa5F@!ljqq@W|Q z4hcwIMw;Ab;e*G3_>BW~t*c5*EUIerQwtQn%B5jl?nTib6a@ZKRc1%uf``btdhw$~ z4C}37%RZ=6Et(bix%kF~nTFK(O!`wc6Z`FJbt@m8^k^fB2{AISjquu0A;+bZ%s5K` zZ|lKZS5_FWR6~>lLnKo#szA}hP|78z&bvZx*hH#2a(c(P#N3u1C;oOS>D)UsMkifE zKn+gvBdSXihG`r~!6d6B>Tz+-VRZ#8%tZ*^>w(h1f+T-kRVmI_vl<<)Q~sh!Wf?Su z`FjX)xeL(eep+b0akObrFKZwnPDKKAN&(0L#qbmGTft>mI4eeh4Tx4;Z1eeyXm3;* z`ioh-rAbf$S;p<(c`)Z9hU7r!mBJ*uUX*1=5$W-B##VA$JrE;plVaCrhaT;@*x6K3 zU!!!WL)z;zICXq@>#U;!?<(B*6i5fMsK@9-@4oKnW2~Q?YAw}lONaLAcI$HZ2l{NR z|Loy$HhzbZPO9h7-dWw5Cn+{SOY18xq`_|KTfqk;d2!;Lk`MC0tmyNw6SL-EAYIXq zO|UZp6b0NkD&Xv}R6@foER_%xfjAUek3ckg_kq#Cal?3`<)BxYN)P?v>hN1G$otf< zuoB2R*>tZ>-Xb63q~^ojvs9RY}8X%S&7Vnj<1=plU=fQqf2F- zW43y0q}dIoy+T5 zRsO|1L-1~raTp+VS2Nz^+}|DtZ3qZ*oC5PAs1KCUGweoF{(fqf4Zdpb36lwkLpS+@ z&FsmYG}m6aRvMjGTCJvsa~_=XQ*r@^q?`!=JhFE-^^~8~ZTgQ>pd`T8cooqb11L3U z@1PEG+dBiq;zK-nH*>w)!--hDg$Aih#>M&Ef0VURCIYn7$k1KRHSZoL~44R7X zmhOnF&sASsb5h_)9<%|%A-L>{tW6N&h=g2{yOI=Mcvb2Z#>E3t(;pbXDB$W5++0C| zp9Er0>kQCSWwx*fZT>p=TFeYTM1&9s#Rp0xKZBK2Q8PHSdcRuv1IOx>R{!FW-Te4> z1cD57z3r4X1r>ZX{Kwj{l`&)fly;u)otldLB|&dZ>g zusg@-Ib0Z&2pEGwFPdIT)gv${4dBQGU}_5samXPMBdQ0+XnNB9k(vS*Gc3nt6%dy? zI~*rNJ1(=?+vM)naWRVctzd?;)cPx15##_IX|ru#Qs8Fl$x@Bb7uku|7;siy_M?ej zx9a*`8}`AuS!Oi#012g`V!LBU0)(H=kyLahhTL83SWY*0U83f1PsjovU_9V99^nz0 z4<6tv#9U+farS%Vh9QhMtreUOgAmr<30~O;mT3UMsIJa1D3|GHd-}N*-LGovwZvWL ze6XIo{Z(P6?5~{221|zF$vh5BQv{C0L$kO?L41;^e&=Dd8h<<)U6%{+i~`kWdMiCs z3!325?si1Juq3O#R;}5$ir-#Us;nJiVvc zP|~q}!BW?r^|&tb8PsYe!_5rrxv%ig(`J2$+7XuvhBwO9$LP5~Pg&e}cl>ri3{lllsTAJ%Bjz=DPpnBkVVoQq$ofi&bg?1Mt|z1byV zPY3Zlb#;R1JzmDVSara=<#WaMlb7N2f=&UdTZ!{3R5&8jq%M+nOdHz!5X?PpTNe$7 zdPwLR=)Vv9Kza!^3+|N5)bXlKg$3NhYdOI>wmrBndhHf zx8Wy6&ac_HL#$i1^mA??A}w*_;9yjwD!Uku$VeQJapSv`*m>mJ}-5}8*;kCLrV-|#ecXKz4yvDFTDE`WP`p6 zDGQLix4e4(oNLQE?dCJm9b4{iKFQwxzVCi$+zA(BD|K6~C6NqT2>*r*TJY{KvuA@RI>pnCg29&}Km_(=ZQ$z50q)4}}k) z^A&<$*7Ri&9B=-@iH-}zhJvZu4>lVh)pWf!+6*|g9SjvUV#Al zp3&*1k;$hY1zgphA%3LgUVS{fr3y4T*?;q2z(23)NtTIDto9@sd(PYtMT5WOmA5bs z#2p7;7v~Xd6%uoH%TBM18_m-QOJS)!r*3Bq8n)i_`n$9k5J4*4&KQy<_G z#i=X0BoDMy2&YEyvx>?MWR`85rTdnRKDqS8BER?pJ8VJc1+}=q(eY$e**C8(HU8!f zbE9C=7J7A|DKM)e$myo5(mjwljP?Jt94@GK$2>WXgwLwk@17VTdT$b2W75#?XR%H0 z^x#D$F5tSdowFt!OOy@moq!EGxAICl+)Nx?EF$}4#J1o#G++=% zz{~5OWzN+;{$MWur~qOeIFK(Z!;v0RqeHEdCixFQ9--iLfI15RCQ&I>eGYEcXXkU9 zzI>r;N^1!4f-bXchLEg<`Nn(_-#sinkibb`G8dG2Iss$Lv@;t3o2WJyfN7$m614T8efKl4%2 z58CjzFfdrV3mk53+TcN$!H2IeileVqV?3k$lWg?!X&fONluqD09^@?!uRCW6+c{0} z9$bUY6KJ%9vZCDE8@bPAJ4ZgO6hu@jYN65Kh|Iq-+^92yPKT20uY!>dR(Z&a&!GifqwX*vs*nrNNmehxB4x@)_0#V>Wy4kCuC8T))0N5FA}?L^MU7MCpK5%B94C? zs)&(UG!|LH1yF_3cn&lEYY1cy9?jl|s~{|OUm$w2huhsEcHF#b!S-U$o2$4OdRhNQ z+Sg&hS$j|3V{F-7ABCtOB^-*9X*$>L>=USn&7ORA2K`U6o!Z+Nejf15NvyAP`-k~Q zM!ejJ=4(XHe~R{h;Mb=IX+ffUsiL?+JwmgAAhQ6p^K<7qgB(g{V$8zfl=ui?&Q)fAaY^&|v&@aQa z`_f?On$S$^;T4(fwUF|Kb7wQp?9Uu!9*@GrO8hfBFvH+ASS`jZNZ3wL%6(?t!hZ=B zB49tO@@(QjXcZ}w-F4BD6-g!at<#3`EWweX(sLsXI<#Ata*5O`roi{A8R6uoKoeir zE4kzobPB+vrp;$v5Ja2(ePcOq=`&u}Xfvan@iS^R)_2U?83yqm5)Jc7=PD;@)?o@O zxYZdV$ORbJ{>d{V(!|ToN@$z#dOj})y}`tHL;r%hx*AmIjs?m-k3!;Rtf|~tdWzDr z$VtpHcKl&#}gKH z?mw#00n#5xsTpm83bX`kL^Y1dZy&kmI~!Rm?fI2W2UctNGbkfyV2-WdRuM^Mkd!0Q ztDD=3o-1jnQ=IbWfcd_Vov4KMA`7In%-A|8^#vh}9fUB{+0WC6$KTCZXLst`z|@N& z>U_YNY>gwL`-jBx&VU7<2W=|BJDF||tS~xUIALW@KJeuETVM%KVv}aJn&I~klt~XVo_l<}N_3EXgh29O zAeqLD;r9`cT2k9KfEQ0N*-BjL)a2+c9CcV>)$r0o`!!x3rL@|aJxoW6tnz9GG;i4R zL@}21fQ54M7=++slV+sMQBCyPK}6T&(XRzSmYK(PiU@2aAbqQ$(+AT2urA6tKIGG%+^sh7>ozVF7Tl zvFH-AR}t;Y;zm@fWQ>awpuD*Ziaf^QA;|fnnreprB-DeT1t?U*g0{Gt{rHmdTt^_^x`mk#{z3 z%Bx$L$vJY*1Oh9SX@pK?f=HW?RmZrsH(sbQoM3SGSLK(`4D2&OimPCW$=ImM=?uzJ z+rn(+pgz3VaZ#CJ49Js$`f#QxOgu!&4;NAKQYQM$aVN?HFHDvZ>-`cc4r3}{^{lr( zMju}6x+@e2I9r-k(PJ=KR=P2#! zOdFmPw%56$E+uO)Nw^Z@8c8uTdDa0fX@&m*|Nk=lvVl#Ok>cG{c4ReFVV=AhSqssC z?M2`PNvN+Gqmg+THEB2!>qRIfRFL;A{2GY{eakg6*QHLa0=%R`39l#@QnX7N%c=2N zbCl@eM*C$)#zwFxakDx$78H=V|INT>yt5ucwpKAc}_RNg(frCdf3!!g|^wz0RR@<6c3W$t2FEijIt{GBX1FW`GqRlu)&17EPT`dsqRa zw>bl4sjpwy?j<31B$Y;5km{s>iDk8UyznLLIjw%ZR@~}$)tc;&#SK`SuMTd`V@0f&P1UV*Hi7jX zmxCfkJjpJMra&ack^H^7eJjn;pa(&w4b62-kkaBX&*=!ps_k)5vARlKFTDMij^Gxf z`i=XfA*bW}p|Bn@tB$bp>a0Tr|JC#9`<(4*3Q#Rp&4o8x2LRdPuq*8%{iY@Bk&z{w zm&%65InV?-t?3&<+{jCnzj@K32h)+RD>3u%y(*A4y5Xc?Hic4BYjH?e*r+ls-$~#A z*->N`Uo&z0q|T0!dn&+f^8l|sMCwf8x-A9TDl3=vB_EWJ6!H)>pn|GQ;&%u9?8LtR zY6>d=l@J(hpVZo=*QE*q2(W%#kS@1VGoS@Io2;gmFdoYceN7 zEiafDqsKr(PJ~JRIvD*<*87Qk3T(nqfRP9d3DkO|>%@J2G^eO8q8g<18i<6AtW`OC zVhrRTs)_DpE8wssH!&CY+R|8i8b8_ZXZaTZlb9ia5{Q%l@SaMUOW){UVnp1O+%wFW z&(29{8R5HQG`gbelOy-*sl;l3cE!F59VTV4d!cRt1Ag{RT_AlY9h z+)s9^H|1GQ`KRU8*SKc_EHzAwuW^eg(&{=s2xtqER}C-C6*Uc)!7+k2eG6spz1sYa zC+iBTKS>$^J(%22D2LGAsufn`EZ$X=@62r6eOIiMUMFl_NqPO6h_eNm>`M^tCS|b9 z+(T%a9kgC1j5mO{GEBthMQYl9c0XX&+S#%9`p4E+k(^X6$|dm%pbWAghTh_2TwDZF z>N23T6v5V$aCKGLfeuhqfY=-^cxDaKR4yrPSh#FOd&{|<0YYj!@}G~tW@pu^y?lG?U-wpjEJF)`)B#o(06B4W!qw5J3 zpR)q|oo9aIRed)W1VAd)+ihsI_7MFQ(dZxiUF)t+i9WAM!1_pXt%kT$(!$^lsXCNl zyWknx%33aFXKjISuHmL@WMC%%gE8gQIXmq!%~BTg16=bZrpG% zCom>BB`5He;Qco?WN-LIaLSDhzX^O{`}!mQM|txipf+dVU-{ert-OgJ*#pX(|KHX= zs7Oc-*#m{_g21HL)(Y952)e$B04t+>m`CE&Ki*(!$@M51j4}4m#WsQXcdX zL}_l`t5^=cer_?yay;bgoS?AuuwACFe+af6-?E!*P6%M^YTkEg`MH8;?aIKFt*`o0 z!yD=n0vX{A@eft!Qasv}4M{=BTiN#S7Xp{ScT@%EzM8W>d1HF-TYzi2a~I>1*12H%On-LKcc)zp*qor}?x@{8wc)Rz&;gw1o+%NZUzT+KA!2Y@4R6yWX?1G2Em$)`ANoOQDBm(a~@mn zIQ;6m-r(!ZmeC?_phnz+E{EJ8DLy9iyUh#rOO1EnTFLQ-aJR(?DrsO?y&!f#A~+yF zeISK=7*0%dUH~uks_5V)$tT2UBVXPi&T91-ILV&$_EiHUVDaF$js%Oo&EMHLOkr-! z`dF1Wfc6-&iH!@b<9*6+et0(pxQTw^tBcCPws$ye03q^1Vz$*|xv z#2v+EzO!ZhiXe2?%rM=_-*yr)fSl#oKbATosZuyiD2In;hv`Di5w*S>jJA-Ukt=;Z z{lc*d)$L%g-)TV}e32Z1T*;o8bA(s{S7}|-u!t2^Q*k?44VPuCp`^hIqr!wE2B>Nk z0nO-I{{j`dr@TnJXQAre#jX)!O~&w>hNGA`|GS~kMb^nLBkykE3|prdW7Cc)eY#?i zjbvH3Qe&Zt-j4Uaw)@j5)|XZkETJDmWdO@uqFjNL3g1=L&`K?el!*#^x)Mc!*S(Dq zlxx8kydhnc>d#X*M>%Gc&bv?smR<3f|}9F)M% zL+~NYKGZQfM|&)7I|_^^tRSMR>m(;L*+cGY>WsWN z2e-IdU2ScOsGea5S3hjcDQT`NA^M^yXP)%m6~&Yv88W#f4Y{2`rYZpxIe6xSD@X@~ zjgz#tQ1##Vq0ny?T`NM7!j7*aVmwXWL0B{7UE8Y|O(_P8sOj_@cyDB^Hr$`vlrkIj z-S;>>R4qd}i*8osBBN+Jn-SeNd~L{aN4^MDa`12SLvE-=12fE&7;aEY*-Zk%z$|j+ zJ|kIn?|I>YV*9bn){cD@u5EELY046Cf;C03_wJ{vX5flf!kdIt_(G1C)sho>85N9O z2*p)*PuJ$xs@pg0P#$%+c^2GcYL3EBwJXuyJnO?C-*m@%jlseUh($Ot(4iC}m5k0B5g}O$(2^w5Lq`B? zNB@JyDm#|X%^e*QoWX@)k6dt$(9T&;2dLy-C;O}Zqdu>+K@GeUh&&*?&}a85OIc({ zMci;BN8^XUc3A2c2>wqH#Q3vW#5xW;(eax{SZ@M!R&&?*z>SsOfBPoo ze~fqJvWlz|UCGha+Wm9Jhb)a08{jRXd}sB@H|Cz!locJm(}+uSt`LS-*`o1{NLB~1 zJ5?lO3n(vOV$R_fDcLJL`eA9FP8t#gEntvBFrbO7b>xqJI42)#&YDXi&-C5|c4@7z zECU;WY6CTuhs3r3E(sC^kP!GBqk07Em@FX~q|bzqkmMus|`ERV$cCLnB<5kpeW07}S4u4h_z zBtxfo-vtO3aEnkrMW=rs$Yg?ZaI(m%UxS~@bKGBVbe zI|R&tgd()xKKka}8Csa4?_2Zegb(Y7CT_#W#1>({*Zu%HG?3}8yVI1rM1TLXz*vYi zO3UnBNm8YgJk@5(Q~3_oFv?P|IhPV9dnJ_@WpCC5zh29?K_fDa$P7t z$EC+ynAdD@oqT7U5=ZCE{mU`|-f=tLR(1z_-H3-gHX$9M@N$f}h39%do=4ia3l?p( zU33IGhfVb-vp8j<#7F!8&WN#ROo3=YUbVav#y7VEpgxV*7Cv^iNK$`Vy;n$okTNX$ z<0Qxj)jHTZuDg>9!8$po`aXdVrn$&Ql(&0P8uA`%&wB|q0Mo+;o3)}x4rw(blHyRp z*$f>}^$dJ*qivcNqa3CMQzOU-$4!5RWOc&@-GJP)gxg!KLzaRo9ruy;w6Xc|%wg=K zAy>;^_YN{wK(q2;oB>Z3J7KGzuYaC1IPke)xiEdzu2duaGQ)zj9~nnh9b7lt2)$b5 zu!REy;%O`6i|RW?#bZzs?`q5$O4w`IT*?6ZwM)p=8` z!?@GZB5B?zcGudO81H>uPmn6S0)e?4YtPPD9Vxy34U>j8kv{@_Is^JA9V^yt0;o)H%X*|HrnN**G5Y!-!0;S?wcin-@;GL&!hl&@ z22`FimvWI@UiJi}PKn;8X}sMcoOz$CY49#=#M_-AWQV&@LtkwbBkd#7XQVAg~Ajkv^8(=~lvQhH44WP_&( z>@s29b?$7rwlA50v4NtT=K_W(rudbB;kx0pL2j5xT63(sKo~n)d1dc?HF$h74FP7!;uC_(RooCZF;{3+OmUud}Cmue-TZ7X8f7B))$qFLMk+ z7DG%AJbom4lxUmx{@ZgsCUkGNV;q%MN6YC&WSR+#HwgNlvD;%;g*$PeQWff1xmrLX2Rrgr!!i!A^-r<@e~M8AzUY}NuV|E-?|a8>L2%= zf#)(6*Ettg9aVR4s#DTq<6W{mZqnO>7CX9%iDT<~p7Rs@dPdMzvbHQ|GS)P*+W(l#@d_%0G2bLP~+w$(L{ciQ-;p-`7z4?aRBDh-9SmnLk zc~}S-hZoCA!l)aG`{M`|ib)_;uGr3qxp1dpk$i8y-V^v8kp)!u^yh_S1AL%#h}saz zbkFO%p9~{H76z7d$dMN*0V1>a{gb^1y*`0_4e`tG9UQH0>p+@9)u-!EvCPW@j38(u zWLf3olF+iX$3QIu;>;qTESHCw-w`+VSJTtuP61*sO5apb_CJm(4uC2z0jToT|AnFa zzxJg!=1Ci0p7njrYwMCNi(d5Ie1iz6+3vd@!eC#W^f>1ewZ+H#@3FpdkYN+<8xMqG zw%?lgU%lJ>0?d&4dSO|hED1u)hJFDb=BnK+5~&~w9DG;SkG;;`y1CRw4w(rmWk=Ze zCSLXQE=}0+Fbh=7rp)b(WLs`2=Vw!lJ9*vlSg%7x(DY)n{cNbUwp??IDaF}~d?@C6 zZ|AmXeVxZOnX}RFZHTe?Z2m`xv8iDnBfWCfH^@=D?0|2g+?SU9yGTZHPxv2^Ozo97 zyq?=@{<=%#ttP=q2WGjJPw>&rRJK;>57l12YovtV^Pv$UGz-Sy@Y=Iz=R*WKAavYzc{{)@Es4)fWe zmVZGz$(Ug4cH!m>pPC-tR^kj2Fqd5PfOXdU11+y4d-md2=ZR zLcVe~Zp$f4e%sYe=<$QeJykqnFO!1(CEv$vJ6lv|r2G>bon$9~AYsA{YFdKj0%y~L z85%fzdl{t^@=!uXtGp#W!ohzQRN_1D{R7El*H7e%^%u=T)B zZhG>`C;#~MEGj6`#LIMeTYcvSVJ+T1b-%{ zeJGdG_CavxMV(BHy|d6;418VSo8t zlk96q^30?DGcRo5Ivp7QFrc`Aik0BP-v1bI`>t&PRu8@a!+$bI;RtRAdwZ7a1=gM? z-DQYS5=4=aBd>oBcougn&=JN}-{&ux%mwFaf7N+zX>U1L2msdOgx%!-QDtT3$2!RO zr>hn9f$I|#56lFvcMyDgj9RzL0wQn@NTo+>-A)tw#@grO`z-!tPR>q=a8;W>;mzbuw5qcb6e7a=!6Ld_dq?u z?Ik!?d}Sg*!Aj{-fNI-Dsy7`hL0YYFIKzjQr|)=B2IjMKkxkKjphjTe62ki4J*VnV z;^$UyiAez7uK_|A8PdB`DV-I1VXecq{U+L0sgleyH7yG?Wweqo80#u8o|D#l8p`u1 z?@kCm5WLwM18n8JcFGF}$(qLgkP@VwkkQ;{L>-YsM2YJ#wir@}1fOiI>l#Ln6__wi zx21A_7PxZ-wo-}a7<~pqT1QSd?I2X1dCulsLi*WwA8cRW2xF>K7TRG1TE7L~t+6VI zzAlRhF3Gp~$DVTrk>L!KE0Mb`J9@hvbP9FvlWsATM+$-RA7L^_xTlWijKw}8Up-M*_vXVk-s_4D)GG~Z0WuqJ5)191bxAFd zs&M5zM1SY?{`>SxDayKknXcZ_8)#` zRS0>#*Cf>KkNS)WtZkiK2R-Hp`>_91){ZU7-G^SXA8qg>L#dQ&#I!h(>AEJ^hKh)E zCfjU11Wnw79z^7V=;7D*(f0Girtj*-J~7W%zh7cPhK6C|-l@BpJaMJ99fDsSb-x1Mz?QwTYiVCA3@FA-LW8bm93 zL<9hcR-oF^T+$Q+>NUuduJSvbIKACa=w+GSmUgWE)WlFh2DgB*K(n{vZAY)W)p_#2 zvxdMU#6UZ@nd_`Yl)fHSof|TDxT&z0rIssqmMXs1Tam>w*vks$>F@XA1&_;7wafDK zxl(^@95KzD#oCXlHnGFf)d^$)-Z9<(j{?5>su62N}(c!vm6{QI1~dqu!J zJ_CCXgK!Q)s7EFtFGSjf@$0fOfN1R|k_w1)W;!K{Fuxm-cC`WGj^^=uziIwa0`a|o zx>G?7F$N!zX+B$#1*nD#sMW{Rw+E+E8$6TgxfM1*yxeqggE~R$9s_N>0OrDTV{i=0 z!Xg~iWy6#g=phleVy1)eJ;Pr*f303lwo?2h?lSx(tI~hYJv5?osM42N%ZfCIU9-4z zmO6IBUIV6p_KfndrY z$gN4N5rPoPQhW3Fr4qk42LPWT(j4cY*EnEAxDwA-yXElOO~*SL(_IxAJrGQ@J^#8} zjK$1nO@=1xG+0FqQUOX|eciH}fvN z6Ox~Lv;)agb&hV3S!MBStv0xCFiFFrE`!!lpw?AiWTL#kX@@7&CS6k`1njAsDhi1#ko?UQKC}?zfDME} zqnNpxb7a`GmdTT1-T4x zP6lK~p?n0%Msn$Ci;c(v$^=73{$X$-p{((=Ao3Uhf!thBE5i>cE7p-UPJ7R71G!hS z!Q~4s3@z;KU65`D$grS;CdvjVpl6Oa!5}~^TV8qLs0|{VXR*H*h!wTaL7ZQ3@?P;y zA$sqkMtse#6BP(MAriwCap$2x9V7vEAjvp(O*V)NlVr4UvA*dqXlqFrN~iX*`Mv19 z$D{q#Mx`hLCmL6!(rdHhMDj2wr84o>wAGIn0s*o0p^e}_lc@TSPOXe2RZ5ZXlQ;8Sk-VUOR8O*q zFxAz%&FX@0{_MDp1JGmwt)&u9XqRmv6A8IjQi!yiM!Y9M+!pTmsk10v2Qn2t#u>|> zQBA<4kVX&qR(8K)@2ToIiR&zczW3FPigDez!XXWhL|>JoYU6X#b*rS2=p!>>;qu6y zYCj7x>tk*4Fc8bcU}FXKhAZ44Dgxd&+z#P8M52?E_TG8mD!MW7Xt45%?6U(^BQWs; z6(uYNt)WX+Q{8^u!7*f@U+dIgu~Xd_)T5Gy#)8@){gld44&=x4sT#KdfQ$}|BRpqE zZfG@d-^?LwdP6_MP^LYkK8D&L9+uN{beJB)7+QYVt-*(wp-1qxCUmt0tGCF&VTHYs zU*3={##wWdzMoro+v*p@UKKhJ{FM-&2w%0JV=Jj`V;q54a2L`lEFObKW}WzxGwL8R ztf8)Q1GuG`=b*g|)&~%Ia$xr$%T;~)sUcTUM()JZMzD12QwzR`nx^f2a$DgRO5q|a zsQ)KSOX+k?ymf>UD9F!yIw-}TeIG6zS6Xbks^x~0>J27xB*xZ`wk(0j03Nj|kX#g5 zqZ)>!e;x!YWcF*zft)=j{U!1Go=Ko%83`t$3}+k86D|+P8||xD2xz3emqsNL8V!^S z)E!*_C<`L;q0zu(d3Le@%oZ{U5TF^8@J!0qO;%o*5P$h6*g2rgOeoAZ!PIS^op_of zf2vQlk6vlB|AqN&}?exaT5o@lhjkxvHgqEY%hJ@fSV zpv7f@c?#a3F+@0Dc|;ACDZ037KMp$`6WM2Gy;_dlPdzC zS$%<@BE#3W8d8m$vsgsp6(&f4RAbkv|FrUW*MpYD0h8I?MQ<(i@DL#~&Hr1^;^XP% zpXBA|vowvg*&{5Cw8h8ofJY1|>LC0XXk6k*TPQ>gPcr%m0?LBt`w> z{}&|~L zcPB&5>kl9mo~kqLUYi+QnjGgDV-;q^7LP%S{E%_a`UAIWK$AfJ^P-eduGYaByzVfI zzWDs#9(V4)>Y|ZRkgnYR>?6{PY4(Kw^4Z2BCDl2bnh%od;(d!rjJ1wN`oBrE-xVy2 z0={hjkAmfE2$l&MBeDK$JNgNGdHi0p-xuA>B8OI1J2}gCU$y#|D*1n42bLWP+)zsZ zF|Yo>bxa1();?CRsy2K6^JM~d>Gu??MZ?CqQzM`t_af*MrrdQGR0i8bLwt8K@@JL*(W1Kmjqt^zb(i1s+Q@?0#1mG|Bw! z#nlPjsgUak!j!Z_-WKglUlkZ$)kd5R6)_fVxNAAglx|2nftB2%1v5bb1cK&c$}33g z#a7I7`@ln9aJyk(Tq)g!@J=g510f^G5>?iA{az?rH77je{ee-1aD&Ef>`H}oW&6uh zZ;rD?U>^lxs#OuQ17-H(0>vS*f^ufCFK*H&KBMyIrv6xs&-FX#T^MIZ<@R_8)Wh!* zd3p^4HCjKm!9}{bI@&ygc03P)YO}tI_+Xf15KfnQ#{;N@u%mrsC@i#(qMRd>FtR+G zrfmyNtde(MclQwW>;{#4hA1v@g2}e$ki4hrVcRC89Y-L^Q9d+^w9*mZ@$klhLzwrt z?6B@?A^iPD_3f~0Upo=OuLy4#YwgbThd5Yn=s~2p1m}RDg6~}Q+$TlGkLzft>+rb) zO`&rOGs{O*(4k^27YNr%eLH6GWADLR_^Wqu2{dKZZi(uNT>@0h~?YVy_XeXRo}Af7aO+!)NyT~d=T?0gDRSz zex(0bUL-BLH~9AZMYOnKjFaSSKo)a5n!bJv=xx||Agu{8GJeZ-VzjR_fg6_0;;c@j z1GFN=VrJ9*8p!C5()HUi)B9$*#MeHc-co|DYy^f?47dnUcjC7pgGlHi6mrt%lC0Ic zQ-#4rl77m00|a(KTjUeMwWyA<>P^)T&Lo$1sSDtd1i4kI1bkIauKISOa+pI@RS>|O z3_*fiScP!0`q8O}rVZr5$p)3)_t$Lv}GD$LdF9M>Z@Wg*yYm5S;k5E99q z#%|^}VSFRT9BbnLxfg56FLy*Lab_md_j(o}`8^2K__l&0fRjz8@0(Cm^3&FRkO5Q{ z__YKg09EY-jB&PT$|S8`nzYcGPWd(qQU+}$Fj{kK+@{cLN*?aX%*lbPnI1FXkfhNa zlXCeS;#Dfw)lQCLoST~UjpRgioYTs94?+m|a^%Y}9R0&^e?!rIl!kFw#(oB|!#Cnz zG^$hoo2lx%Gz&4rsVg=usN08BT~Hm*xd?)Q6zc32Gtt$D@Tc6&i14HbQye+M;wJph$;rz_Vs)>$xLCZD!< z&fKM|1GyAewShVfk({(*=gc(ylr~iZdvQ-Ki7L&dE74{>bUPW~N8K|}#iNlrP(D2o zI=<5JFGqUMO8Yg^RKGwiL4~AuMnb?sM6!RR`uFyoic$!7D9_mWKpF&{kq|dxNmo6X zBy3J__dx{Y$0gL3uL_|x!VSZp@LayiJ(gGFDrP8!_48SrSDsNH}})6~3y3aGcJ(3=o`mwLb3ncH76o_L%D2G*8=NQxTCI+5TvDYmf-{^}p3aANwDWkyg+q|oC-h|~)w}Y!GS8|0R z;EQ$^8eQEJy?9%y+eJHNg*c6$5T2^EGl*U}~wH{xG^TDbvt_(?o_*DraNMdfLaK&g#IwPpaRvwB7%uvXZ9jfkwVnlZ_ zbQG6C7<;y%uM*IBYflOMfff>qv-7GSfTG16f@7

    +f~Vxm5=xx1v&^K4WMYYvXfg zD;JmRv4?E+2Bik!v*%U&o%GJCvMG4Xp2XGxb(AjcuN?#B_baCd8tBkts3)2x!LZml zz;c)8IIa*DGz->zkPe^YU~rKI+-llxsqCx+gjzkLl=D+S%QoBj#6?=~DIiBolNj3X zwB->vUjk#c3qyGN9*i~RpuC*rqDTGvr<%JNa7zqj(pJLXwWW_4YuOni7;7{W;c@R* z?T;^m2N}B;tx&npD?OkdzF@^~j6*1T67l8X&0!g?>ofdSoL|8-|`IW66=Rdb5Xj2qvWcnh_#ipyD|z~kl6THYO8YAREGM~yupGd8@gAznHammh<{ z6*Mv+uc~v{X2goi8m7u>&-tHfD9#D2dFDQ#P4l%^Pxi1B^AiraaTG1rNvWNM)2tqR zg!Iz*qi}OkD<%a$1;2rosh`}fi7(5eM>i_b&(7&L<9CHP|G1r=F^u!iWj*VoBtuA$ z1oFUFM#9qDoEC5#vh(@;@O((!5*;ktlCh~s4bMw%I#GSue>oy!Q-zvng920N!BohO z?H;NA_AwLy$l(vNpqLYYqq%`kM>~&-OpZ%S{Q^xWi$;q!Pxe-?Jwl~No3nFhCkrJg zFDsl|6`LW!d5su#d=--v1)T#&MnsZ7k=qeS@UEHd1|>Fq4~5n(ai^YD4-t@Gp_ zvKs?gEP9D=@G%DI@CRIJ<@q7|v(S<<*sk+1z9dfzLL&HHlK0|BTVc6-0=9`9 z2HwincUI--8&NLfzUDwFltd-Fw#rVN#(2`aNWp#y!VcvQG&$z+=E*%WTlxSCkWcP> z%D_D<(LzEzypq9F*;gY!vhw{bqTtB_U9m(_wtU_qf@z{SNA;bW6Kmy&QJxOSp_y#5 z;&R49vl9b4nzhhaMmZnaNEdQPYh2F@hn4(xeRNnOdQ@V|MY%3;t`4dWmQ8e4HOg^} zxA)f#y1#CBnp9wgiGdmh!g<`)9IpoTx5>3lG73H~RmAD0N*oNoY~)GXZaB_P9><1K3F;NWA-%|)=1H-n_S z0dUQ-IO~|b{sU+VfM-t$beJ8jv1X0UGw-sUnzz!l(BwQ0C$gn5lNMz}G4C-A%KPo# z1K)MfqeF~Xo6+{B`UfbSmUJ+p!;IB`v~W5o`;~e?5R}qWBPY>Q5k0i^mZE-ZjvL!F zR)X_GBdH&bqnD_8$$o+V!?HuQ@3LQD-(&uNSayh^iIA?xI@jTQ%N%N5xF)6Hl_d&NV4qcJ)_}@3@y8EPNYjO@jNY zC!~-IE?zy<#T;-Z{)H65^*O|{9b!KAPWFX7ikCMp>iX@KXD@oKpY`F~d(b;@e^(y{l`(zS&MPp;quAMh?M@#B@+fi@*OUrM z=ZXNqPNa=sN(%cfLx-LLin`hU(RR2}EqD4V9`Ecj3ov&6=F(IE234^RixF>)KUZ2P zA{z5f8^r%gn=4s$>02`hHx@_(Z+$AJJcN6yPCw-S5ZpER?)c*uzC*a15LZSI!ZQ8* z8-Q3>#9gSI=wfx&(5l ziMtQ!b=QM@KkU8K)&2}-I7~IU2ULC_FSqJ+wa<+YIe#3>FSxSpEXuWO56GZ|_0__$ zD+F}{<<=sci=xd*F6wU(7CDOE)iqc#2#XXCRETkFqJ7TL792C9%oaDmwT$^xr$52z*U@TXY4D{!t+9^4DD-r z>tG&jj}dEnC6S8qC{Iz{9K!oJ_|dZlB-dGwUewepTuSW$t(5lOJ7J8I<~z72j&U0T z8A&VRotbp8YZm1k%|vqdmY^pl&@|ROc7o_!bM{wU6gL`@V4P1u)3(F&lZfu**Z&~8H1f=q$p5d;BA zlVXY>rN#;aKFTZ3S6kKT)ryfipzLSR&^d&ql`HO>3LXlf0UJZvjy&2*BWO9j!Aw{5 zmhK;FEA-f>;w-u50CR6DaQ#?wc%BnGhBf!_;n@unKK@Eto}wt;Z9dBg&d23 z5yj<$jsDSqpz`$W&19e^n&*kuw2Ue?@J<|w;&KW<)@sPkVeDg`E8@T!6-iZG7G)jw z)EA{{YT~8%JZNN@Sei@&B`LhXEQw9+d2G@%R}BB$GH=HjFmB#N#lk? z9^=j#Hjz27LcvLRSq^PeWuVbu zf{PyH?+%;EGVM9XR$GNIBaVU7%Z1esjHaV3!)WK>FgnT&EdQzUrAH_)4cZadb}1!x z&eXIgHxK9MHc2KRFf%H}ijhH%DbTp^S0KFg6b#XjG)T^YEOH)LQ+AtZ6+&t2Xskf=8Ro+o+X zVJ8{5tl=7W3)PMS3sgO_QVC_f7~wk6)+Z*UU4&uWOh}Yt+4dZl_E29XD}o zu~w~__z^Y%N7>0Qq6Qq7N9gs_Su^lR7_rvlgPmpB`I!#h41fTIW}U;uf%4MyR2cV| z^D}D_AeWsVLdLF`kk;8gD-F9L(mF{cn9yqWrHoC5i2pgcJQ4LNgW|A_Xa^aJA`i;730z(ETATWG^%5ZcjqnTj|4Z+ALp)zQaCbjR4XD%PGW< z@e>;RD<`J0r_xoi0-*283Nr)vH_n?`GoLKLTO9*6vv4JjU?Tk;*-7UB z^>H4;R1P%d$nj_&`tgs-wfJJ0zZ^|Pke-7xWz8#@ZJ zc4N%K62=BeFT$h2q7v?Y%6?)6uF^JJ`EpocX4Aew)%uY_*YvBCQ1Zn-DF`)5`!CZl zK7CMu0hvzK^Z&M;$jv53d?*c#2S5)J`zBydIS5hAK<@DNCYPhllTdJ;yzqcRc!^ST zGc`5Fs)f)2-sJtt^I;4~dhH&eM}1XKY&E4Cw8ctD7&9?mwgGEVO%f?R81Fpn zD#X(A9$NnBm&% z$=7*i<<_`3d*Lx}s=H;Gfs^&uAN!V+q@%o?FqD-l7geVM4-A_g{cEZmL`+9hWGBOg z_$5a}|8=pfU=$9Qd?nTrPA2Z&f$OsxyoF8lv)C(_N-$`Z<_DEdWl&NQr zR7ZtS5ijm`*wLR0pd{DGh^9h12NX`0$QwGSQv4kFCwQSfjEE#6k55R8>Qzvv3!41e zR!ao&0Blkzl$tf?^iw;!vWFnq8I&P_ETl3rAubilE1WW@V1|Ifh0Dt+x*5;!By-YK zR;LpRx)!vKN?soeHK`}yJ-ehRE3t5*^X6J%Q=ZdK+YOTr`=sXe2|aV3n=e8HjJ-Vr zwN72_nv;3hTKNFgU7m%13D1>HepX&r7%`+?I(lT)47Vmub zzk~O-!LyAZ&RPlL3_ReTpL=Vjl{q6yWAV075>)k~2gXfYB9$qin z7rl7E;}suIQb4Hhw#P2gHlLsyPTwToL*?-fZw850_C08QA1{7?S!4!xRcYvp!(Qt* z`CHarA+7)6wcIz>UW^ZTy|B}|?%UVUqbsY`o{Jw5d{dg|*1*uv4SyInFDZJ-W8({< z>GK=BJi?YNslBnm>-lOw()!JdNvo1cQ7v;}cYIDlbLSeL&+_YM-`V6dd)LQ1K(%7c z>R&c3UlZ|utY4tF&j#QQrkaV;&Q-feRR7~aMV!4LhAzqoB4<7K^8WyO@;G-*Z{OAe zycy@2aU5(w+>r3ecWBK1w$3Zu#QMcf%aKKyIfjO%9A&-dn7*GgfqNx;!~Rtl+^&Cm z*!1e`;LY>F2izTJ`jK16rx#n1W!-OTZo8jhi%T{>?EFL9{vW>U4rJ^?`Tx^$vD3rD zZzX)sZLqLzd)ldjJG_xsw5*FR?@I|=-b&VtS$n@sJJv8A60iE3L^~mUrJ1t!&Sl}$zbkXjO z{+)`~I*=ut`^Op>2jYhF04SeZ4WI1vFg>Z^x007Lo1Yt*g)8geuOAV_xDitwA- zH&rn`pt?SZWW0%R`;}+$wpY=+u(YCWZ}K-7NHIIHHh8q}gj7{h4Q#7SC?(5xEw?S} z7(;l5)zMRj6%_{mUD~ogO+vGGM&IShpdxMoI23|Qxx`irT7?I|v6Z7Txy;C$ioz-|KIlJ#AF42dOx_)=_W{% zZSVI+fpT?lf~skicucfomOYHq^4JYbH-9V3}YK;0Nm!2;u@^si^P18 zc1zWt{G+BF=Z;gqo8X?+zONoeNwS&;AC1*o^$*z}2jR_`w>)5fEy0qDHNRE;Q^$xq zc$%??Up}Nga2sb5dn_X|a1d?2)A!HkJ~6VsmNzk`!6$}LeuD`H;U#gFuNkF&aPXM= z)dW8t9ce9bt^!rnS1fbjvvld%gjKuUdGNC_ua4$|cyA}h1oMg;PFxuOHi+VL$;lGD zZHpQRD}XfJ<)M||FjNj#P8eg~f&jl4d0C2iNnD`tIQ~;_=Q@O69(+4`n)X*CRWWWm zge}Q<_9VQ{B7LdxzBWbFB%!;?FyWTKwlIvP9&ho5W=PIa9eODnm)@`u9HniEKUBQM|fVS@v^Txmiuq#R?-qJ*7Ya)dLXf!HS-xq zz!+ltL|TxOBh7qbGlZ)9^p(5Ha;Ya+L@)rX%pnkOZw_}Y0)-G9{7lF_NG`k6SDFgh zpBl)oK1$>uuZ9d9}*HHcx>9j0z%IZ*D=6v@FsT5LoKI2X)B5Mh52{Ad`E zO#$dIkqKwP0+I|XHl+IRwg8Qp2H~u*-1ewe?$$aC)f=S$_0GkuZPK7CwsL^B<(sbA zK=0smHYq;M%&kZjRWBV=%FYr}=^mY;Vt!Io!TBBGfNh~nZPmgA#|0g@d$|5#i57X| z7@9I(+(VE4M>HCH#+;&gz7j+3X@BU>Ej<8moB>-X%K) zuF;aVnd^%j@0ykUk8{SWz6(yJ-jOu;cG>4TBSv8>v16#OW*E7*s|OKW)M0J5(4NXA zKWh4lAiBV@vF@Gw3@t-nz1XcbbyiCSPGA*JSGNsf0^K;$Iw-q2ViJ8S0WKSi;Yz`u zM@P{XekN??cARG-BrnA4#DHVw5}=G8138n6wn%c+yESm}%8Lo)e6;#}V@j1q3*6%* z7(UDL?N#4KR0`v8&bSdGG)0%gMux`3ztSSOm|K)Qit{JI zH>j3UzLlqGtjSWGpMNeNba@j%MjO$#<0jhcW#NG+TPLSSfkIy-MmQHUT;q=nIeGZK zT-E&4AjIky4pWwil+}U{v3nDZZu*nzUCtPcDZe}_6sZuR!#VnKMc6xMSgC@}co|JmLjj9~a$UcTO zO!hWK<>JAj@(ASKc>P=2OfAjpJXbIlCY7c|qfrGTcK<~U_4lBO$Hc&lC7?DwdaPabns^FLTJTK8?R{_ zo0-N)YCFQ8(BZ7d&hoz1CX*2tJf5%G-<6Y&a>tS5k1#Gi&eWO313wRn(7O!135uoz zx(o0xC;ixbOppRqJ~rb3#lrS~-|ocLX_)>8ZR#NC7{!_mDmXtu)@aafDoxJqt16Oa zK902lvneV(2nu|TPTQAF1-^hF3^d$22Q({RNHjnK&md!ch^3&8!qnq}YzER|hxVxL z`bM0QKj@f9q+|RsE>HuhoAmjdILaB}jn7xGfgbE4UWslN#;-=?3lLgO|u^~GF9iZc9~-xfUD*A#9xQ8DZTO=wo2{Lx)7e=aOJ<9 ztu?Oh?LE(K(Ks8uO6fL${ZDGmAVGewyBKKE39{N)azlY7LHJ2BK0SM zhPaP511a2Ml%QN~I@ZF%M1Z|QBOo7ZrVq3`t)uu|jcqkTTzUXOZ&wEus#ud?M^wAIIoWuPMnlfU_{$t@$ov(p4Z3;{bH3 zp-V=4-C;QfSD;bx@(3MCc6QHFmX^aXA}oN!zW-jrfz9+8f#r~WiP#Jwdu@@A$M0MB zOP)($>vn-Z*yoj4-ykO`DBCwUpL8h5?t93iP)$mjBmrsd&3L>ll#qAM`R#648tv!1IIGc%}KL1?-~x-J|K&*>6lo* z`2YMEEG>Wb3Mx8~|H|8PEg_<2&G;J{_qn0krsrqRcV4`hTdHLP>r)wtxP z$18+pF7JNlPYO}jTZnhrr$j)1yU)kB{`fCqjU2rB?i+(QEyxRNf1@YUF)w!5301cy zsQ8G&?M!&sy0&dU(iXschBlM6`Z_Ak+;YFK0%5?8Oep2BCz9@5p)G4`g35sJ3-OqqY>fVCy7SAUfa zRoL~&U49wD29O$9IU3r5Bi-{d3 z5xYPl!eOn9T-XJ|=Uod`w!HC_O%-F1U*Rer?7L*xZ{>j00q8^y*J|j$G;;Y|(=Qti zLpVDj>nn!Byj1WL$#+WTJv72e|30|9l&P zB&A!C1DlYTzcBXAsi>Dw7rI`st%@c`B3yb9*#!>MyYwBsfHHG&08rf{{S`Q@y4(fU3*|~d zc;^38EXg$Wq_>mZfGdVT{#t69c1deY!WxEllZoj=Ly~i*Fq?) zV=}CD$ghYCDGqtGsD*2#C4t})cJQ~e0*^tub_#oK77Sk?hN@bcNZhWB9WldNY?wp*H3b-ddn1yJU6Jd@?hz6F@bBh> z!fv7U95$vSePlwD35#Yjfq(F+A6U9~b``@{Z6+}C`N|DRP=z=J&Lpa?MGi%rg%(Z! z#aIg)6_*>qnW5H$ z!Y$dcF6U-ulJ9IUu00WT$Kv<$m`gdLRF1u|+i4tttFzA$8dYC>*Z+9gF#Lz>CJ%Bbcr9()Eg^*rn5RKg?FXm&@E;UzW zh0B#!EQN$Y!T+=bd;bTg=KODihnJ_vOW~xYXP`9Z4+ngu}e^4|P#)rP)b`I~j1Ww}ZUIuRf4dGr_3t8g!LH+#j5txhA)(DPr9aoDErddB|i z=3O!&#bFt1tv7!Nf=9~K-9`I<0=<@Ae>YnHTkZG-yh0Aw?FepJ^H5L~{5@-&^XM%^ z@B}0|BAjF|Kk{S`74zVZJZyUi4KS^{Mkqh^_389eUt3$Ry!oVB4@PR`^v7pW#*^u@ zcczac#&eMUFlI~>%;YUxWna8$azU+)Eu*iv3*w0VcbknW2}CDAcp@h+`)9HL&6yW3 zWyJ;ED3fD7IT;Cl=AOPwsb{b0^YHF?@Xa`zs^mkpRb&Z2aS0JkBC4~OC;?vV;djx<{db{eI z5Teu*9AP@eC1Qqwlm6Zj4#Ya1p055bG`+t90+Qq-P?qZ`oid>{F0*80s*k>R)LqVa z1w#e>PpJp)fWAf-eB)p*EoHhM6VB0AS|Gt|u|TY$sm34jw!*VHkQPcq3tPvoy7!=b zDsuNx>zlf9<`y8L_?@8q&to2H1bkTKw?uwly@nj&OPii$)zw9|l8Azw7TNV5IEvEQxAYz<|}B<`Hh z!Qo<>Mt~IaFu^b2|G$Layto%#aM(mf(msSSDlA8}zHpurYbO&DgA_{nD5WxQg4#2H zi!ngn?O>e&!Tme)&a>R7tVi41-6kn@)y_4+}an>Y-6`&(mI$%K1h4*gO} z-O_;tJ+@+OXt_>r3MYzFN@)8awxVC+w?@Xtn?*2xlwe#)iG-B24??7?Bg;cUaTHuS zQ(~fhdepYFi;r;~-H>u;ovMDlNZ9xR|IlK44%qkT4c;&>wcJ&*o_N}G05_CCv={hO zxN`!G70wlfKSjCKKk;l?kp8I2L|CpmOY2ASdF)b3BYnfz2z_Px7^tkzq^pJ}bT>GI zC@<-l^whk>gp0(#SzMt1Cm1LR|AHPu<3<_L*#uO*L-mlqb~2BwsDX4v!3ekqC#c3< zVLbbS3qVNQH%7PsA_gjfka7_Xv=%t-h+bzl56;i%soe(be5wW+*h?008mS*j zlOR$|gY&HfEPY2&0eB=x+IgJbnu87NvbN_#poQy&giYjB(4xtU&6_Gja4OiP*i{ZA z2$^Y1sUol|0>u#0N`Pt+TP096AyXlQkdq2YMj%P6oQm&ZswAl(WTur;2q6_nBINYl zPwedfUjOy2Z`PWw>RN3vc@NKhU)S}!D$Q!R6yma{*UCk+r1;(ub{oG7zmlXT{$5ab zB%KW8!_nZbU9=6?TCMtC0#N;KDX|PWM%wxYCwg-j5SFP zMHrk#4biC2M(*fNKAjvp4|_@Rczj+-vAnx{40h9Q8=RE#4qtHT?G@h&lh3HO>_rQI-|8r=1-Lm%Zl1D!4X1u=g zvTj+tec7VO5z6%mzk}hy^D9Z=hR^+GLm7TCf|XyUu1hz*^a{-{LJRb)Kk*LzxVdtD zUDCqJs>#)?kj1+_sZFnAe{Q4ryz}abn9)6xaeL11`z?*KAr^~^8Y6a+Ws4_2E8-;qc0MAG1-BawIO3<>*P-Cnbh%-$RW(<21a%*7T@1J(+%yA2)jum{sh2xN!0sCWdPbJt2MHz$)UUjYcT&s(rkiH2pmFjuvB)q4; z+nW9Nq>5o_5ojW{UTWF40WhVp3Bu}xwd0Gp8Ok~Y(coIhxMahh=57; z{D$F*@CW+#vSI+RLKbTPZ+;IeDY--f-`PAz-KThHJOQ`_YV}T>=kXayQ9!e&A_Z_5 zkZiCmtc=Lh52_|#Is#TB{lvkpuO!g**O~&$R%{QB+&e+=jiYBCeSn+?XcnJ|&-a zD21PL8m!3Zhv&o`0udpdyymF}Z|2I8Z5p&_(Mv~j;Hf?lRBE@015$)RB{|@@S=c-8 zVsw`SYP|!6s`xN;OSe~jm&^JjAL@;?;CMzhGg`?&YZoC%%B{UDXv4+5{}zKNhf^^J4b6pKxdVc_t>3X+zWD!l8c_|Y@81Qmvr#mT`zQ4Y z01`y*%@b!}(gJNc-%Nqm$btsWTu-%x?!nyd_v{4bb5{kiF9p$3pdYR}xSdHrWvO)R zDAvR%Va1L|g+us(Y?Qrkr$G)^@{Pl5FnWj`>{0K7|(9hw1qNz@O|YD*j=oMtT? zAYhcgkF=uh3VYHPD2SH`0Nzq)u29pX9$wMpl|V37oU*Xb(UCp*%e+8U`N=20Ub&yR z)G}G?1BXs&m%&FpeXw>hV|YOFn71l{0sBRQ8{5DMEu0iL94j;vKApjbN5157KLma= zAuAc&C<@hZKP=3LQeCk+uZt7TJ%OLgRu_$r;D0Y_h&jgaISX!nKz2fp++?xx?Rm|K z%ry}fI%}&8z4sb0Ndo$1A1h}B%3DV{F}IR;-qxV^-AGTlF%MOONOXep4(aLqUY4L{ zzGE6J-Wj6v4$&y<+f4qbmyyI`(r7N{ualB~>Gc3!%rBg1l|oUTY1YS2LSyq!?r-^J zMtRjkUVqDt!A=1O*{= z>fhQ3$zj;hAx)cyjNF7|7+9gLql4ca216Y*o=TjpL8X)1yUSIcl|3)|P;1pg@XsM{ zCpYb&7cz3g-UZgU5P0l>FqFjV!UUq>_6_;PFX64dk8m+54&@R8cWanNUO5O$ww$O2 zxjq{gOK!5L@nuBRjwt*Ze6_Uc2S!DW#8kfd3Czsf$o2f?JETrYPDWAR`OMYv8FswU zjy}{(LNakE;B%*oduD(z8jL85KuF>=2Ye=#z2!y5ee*_8{Nwp$3aLcjeHgZ*>JGRT zttQkXD`-qNx|nvjv78xYoTU$l7fH=8{TXW0*D*hVsQy&{kXOz#AqShSJjq*dR_?i9 zU$vA8Uc?+oZ`BWj;n597W8*QNrzr^$Ppsdd&97YNl+J*GaIE)>@wf3NI`=K}RCg@{ zqS%UF?u^da9S5j-@`L&Wzx9wyxHh*!Y$rt?k>Qa&H4WSYCQKxV0|^#B*s-)ASe1Y$ zBPDj;0)BG0`V@?i!(f*=3@Bcd$-XjP^)1_0y*!luBx;{2fgy2xt~Ov2kP>U3n~y0k>Qak#?IcmnKz!J6r{jBFIAQhlhB=hs&{B{Nk5tzcfn})51|>-YOH<`U)5s)Jm0;&( zF9cPI-pev)LK~MBo^N3p2fdzNl)CP))QNMsn6pg8UnT%8SYl67F2BRBf` z&(+M(2e{>{w?X3-0bOF1IMejz<>0pbLmQ!djpG&VagntKRZn^fHu zCTMMXx?GzjMV=UE#doP7(seqnMAs0lV__Qiz#~iyE%Ibtd!BZ}z=`Z|T8bR5Hf2?w zvw>)JKro<0Aro}M6VCDgyLOY16g(Jf2Of^pvEX|V9;hkgOJQ(c9Ic|h11@7ov~^lm z2;q3{vC+b2J1o3%GdM8pqp)u9G%2X$mu5TiOQ0q}Vgam#YlwAnA!)J(;4PeJO~%z~ z*R;sV3mVPuaktKV9o@TGp4geyUYt4vVM~=hPB>b9&iG^Z8jXDbOupR8*y05P+~l;n zzVaest;gZsGkAAg{;6RAFN_;&2&+E~w&OBFblkbZzu8IEt&UB$Ly|Xs&3+9^-FLEr zQ&26mlN6tXWi{L#O<^j~1kCUoGknw$*j;{CaA9853Dd}3iKECpRC!W;s=03Q!T={` zQUyvKbb2PI9>JymeuPQbVdnND#o1U(syffieNC?(+$VLAqV@)b1`5)|DYv@MI*4bE zy86n4!33;hbGK@5c`)yiaqxxFYiDy*tIt1mXvYiIL>SKBG}o?=>Y7c>>mb&z!_sT^+)Fl_agQ(lueb;%v6|m@_clbNhNbl#UYmQNZzN3bE z|HoDPESPA$Zo$7p`hQ)upMAMxo%im=ThO)=x|%!#$Rh8rzy!MZ1`h%*$*Qrg zWX1jIFRd%T%sab;-0+e2#+-J)7Xwf4p9`p36vTRVsTEB+`=8m^u&K7mvT`M5-LWMB zU1^Vle0}29`M%@(wpX6-g{WDf?**T;jZ~k$K}vNj$axdX*6x6IrhohSXC(dhdUWfW zh^XU~*dQlhkdw?woriY6x$~Cu2XDV|0C30eowsS{ronZ%&v87=YuTi8ubcL}hIf9x z@Pgxw#q#CwvRZHzwI()qzxP_#ZN@EP(eejg{u{R3craFevvkI*l_=;K>y^*op>oVu zBs-|xYnyvwH8yLnkLa$j`(>BFO)33%K;N4wl&0iElruY4X;1nc9QmS<=no-;@`1k> zV9mWak8A-w4+O3qxXej8xTCA3jSx}xl(peJSeYG$h!y1rAlFXf(6n^FJqpp# zA(y@o5Hiy9DQxwi_CN>}kLgyS5uWYkHIbh#ONMfQtKc7~^U~YuD!+qC-U62ogz5m0 z+fjzAlG+K1gnp-A6xem|$+yoTRT2|NbocD&yKomv<#v5*%wM;<%BHXUEsVNrnD{}1 zwTw#->fed%7Y}S+1|0K?`uFbY-qGt{T>>=XT%2Eu3G+4YAG^f<6*Q)v=9D}_h~6mQ zj3fUM)*46s!3>fZLb^lP0$S4G#jFPcQ710G5ASEw;J2bv5XfvMM1=vR#p&JUk%bUW z=_H%)ouAKeZ-eP4t1^3gVU3#!ru0?*esGl?T7=}IJG9i zz3KUv-Ooin_x!7iLQHna>OWEZSNU#tZGRnxaU_MW$y@L}u+_1u(@9C(fQIS+n!57S zD*Mc9PxfzFyoz$bNNKBi;-4S4?HA#)oyp6NYJ9#+^P30%wxGj>z&g$73E{s@it>p ze~xYR*Tnf#!Ll7IrVoytgX39b7o^*-}?)|LFSCjv|`Qg2ik5t)UeICZ|5jZNtO?uk_D#{i{Nn``2Nsm zW8qJO{W94}{O@23RAP-Ez-A8BsB^(*sD}^MN`CW*lt2!4tr@feQfh65PxZl64L0bwwd4z?icP(utHqm$*`pYrv{`~q>Wq!T5{ZN{qaYRkVPyABU5)O$_C z$!}H)#s~WQw@-CH6b%q9!?_q^Oo5Akd&K_~h(uqD@fNKiMsEMY5-z{M;Aubo(bo4a^)5{%t5#+3|SFsXC-i$ zOXtOyoVZa79supCU0NOY4BfdVDpR}-QI`yzrbUp82MP<|uQhH6OsEaLtbz_`EQBvH z2^En)6$ifK7Y@!dL0}ylg55CG#)!tJ+6z*+?mE@*r%OZ1u$?o!-Dx`Vt&?3UW_Eie zPd(Z%{z@Za5 z+D4ncnV)MvL-W`f;RrZgGYrmfCwHNL4c&RhR)#mLot$lY@FQ3JjCrxaP2G-D>qi~G zkqXk)`hj0A=fiOkWJ}ZFb2pi%Sh3J4E)vZ2u%=*8%?Zbk)~n>>4(!d+BAE5zGtS3X zj_XlnhKP=A_uMn}H*w>DvU-s_Yy{{#U}L^Di%A9m8QamR(%-(H4;Bp|QWPs8^?I5i zKO?I3f>ahRHp9_l!N&RHu!0ay9>o@=UoY;%-{O(tBGyD_e>Ouj-g^KBQPBF4zr)^M^gH0jHnC#85&>>NuIL-cH>B|2 z+=XPJZkDpl8E{4qI56Ftx06MCAQ(R7tv52Zrk^NfXn4EjhxS76z~F7e`xPLh-)+}KoWqCHSlnM2K$OAb5B+AAlZibOovJbDfyrQ-W+ z@|!I(Qk-#BB8IPOHmg0p9x+kTR{YYSruP?!S_8SZ?mf+6f11riF5scG0>Nhv%&byn)yXvQwz=uxsL;29za7+m3*-Tk%fdO4 z5U{^~%>J)`UnY4Vlcc3TQpdO-SR0?y1f3b}g*Ljhr7tsO1_%LuSY=(dUn&LV!J zDEWe$Id)|?>H0ZJg;P68Oak^}#Jj=7KLTEBvWpbA!wQ<O>zhF?W4fxSK1oDTOB2A8#q4G;*{FlA~-38@0jmY?I>SdWyUcco` z;lzx=Lo?Y>)W@`}3E$G!iZ7Gb@ z`mHzj3~KU#TcW8aQYYYGrKzYK3AY56z;($zo)K88b%Ae_5esnx&Kc#7j97;j7Cd%< z-r9^c^RDA|00LN>_M7xtcQP0>xyK8^ZITT{J_BdfaB<3`ezZih0mQIlho-&KN&|i7 zi_I=qp%tW6y>)7>2%eph425jdltyi(_inU1ohuMYLkK2hvE#qORytZX(@7ND% z=aZ43l~V}be-O(?2tZ_M1pPF?0E8;ZZ$vz6pIJV`@;B(SzmDFi+gN>B#`zX-M;I|Y$axDexE`{CVcuRzJ*mUL2&UoPC3vM#!tSzxjYow`7&@Y9BE@eLBq2n{(ZWXQ z#mp&u>8MHV&>-efJ}X!s3~rg<-L|0`rrt~&gg8g#X^{`G>>AQ;s-M1G! zuO@Dc^E3n0hjc-cBtvG-fFEX<@ODC-0e?Fw0bZ8SE|v8CDPGrJCAkUSYct+zKVCl$ zQ^i)Guzep@#9BHnXA45Z2?dw%A0~ssfXwt9zYANLio!HXPBav0MgaGVKZgVn`C~gA zIzeHmNUUES3$X+y#1dpVaEYeUu_pfMjNB4!Gs!;%J?W-ymP&ox&&vt0t zQebYT!W0jHAo$Xdxa4OFKuas+Yy}n8Gnhy3O+&0K)1#?-oTd zvE#tjrHXF$OJOBfIEi7+#nzrRGW#ru|M1`3aTrV>DI|o5$qyWv&KkOs5aM51)VPB> zPD;5Dx(_s~AMetT;z6_-Lvjt&OoOjGV={<2)PBj83=Ll*__cE~sB{SSPo9-BYG=qR zfqwBz>99*|6}|U6QrAZaeBQ_K$z5N(#S4u?$YKS$DXN}E>yaD(|4y!pi2sLK;1?SJx1L?S+dnTj{2O$H-#GhSI>F`(RzJ+Aq*P}|Bjpg*UVLYc+8#^Y0Ltt=uUDzjmu4u@-i0fv z`xXcK_*1_YdWTSs-t%opob_#pqh4M9vfGdA$I4xi!IXf{E$ffO{^sWeuq%6dSXY31s~lyXY?y?{>;7`zb{IQLF9POkbg^RG)cee%S+)E?;D zclyaz7Ns=hSk<$X4O=T-uke*nHZW2w)IDisA5qTQj-PlzMI9`q0lua3)r3VQ@ZVmb?LbGIK~9_E%z&eW+jbWzd61*B_UoeTMMom$gB`hhc4dRV#Rt z^s?N4;)>`T**VlN{(c?oX8QfFk-|Yxae@n1p35Tn0~P!fLN>yBs1L&V!xbnF%BU2> zm{ja|mQB@X>#_ zlwOUA69P+Z8trY#U|@;94il%NU%Ds>(6(Wz1E0kCc_!_(smhzC&sE}2uS}eGcaHL6 zNP6|V$AEB>T2H(j9$G6ap6*f&b!RtIc*FhT_f%vlCN}YQZkg@UaIq!halTJV2-m=-JwtF6cZ=ttf1<6RJyI+{|I38?|3l!XlgBMh)RQeSb9~! zv5@vpL|ZDP&^6A~kV4rU=+T<)GbY%JB^zK4$#ufZkr#$RtCNgJRMb<`?K8eHE&nTMOU~h6F5( zQ2J_z9>S>kiA2kYi<3UoFFb<31^$+LqJ`UG=yjLc;fH}GGOg240msN%m{?RqoC?qQ zp2&@dj=-$+?h3xo#r$+kgi?6nWD^_epF%+hek!WWEv#6t1ql!g<%i)2nZgPP&TrqN zSrxyK7Er;^R4z?Td%efv2@^E==%8O&_9ofF2SC>G;qD57lBrJffIoQAreq*}nJT`M zrCe~^vInRISW|Hd5Lv-vFmwb^K5$}$z2m%+mt8PMc;5nkMv2594^Ljhv=gH5wHt=q zMZ6E^M*(Mj1Z%XH;uQjFw2~8+3F*%HgVI9xuXkP&TI!V>6VgdIuL6$``l&W?Rx;KHaTqt zOA|D|0spHM%w|%iofJFLE57SYs`V=7Vn>uVEacQQz9=#j7jqurpk_cAGPke}bA$ua z(lAdn-wMtd4CX>4Kv5ZMa3XS2DAvfsFY{*c#=CGu*VCVB#tfGOZ#;>9JQ(qk(jjYx zfUc1H1BDn8d~k$FM<^Iz_>_&HG+ZA`px^6G9)@ysCj^di&0hR_kk$NOmq=}Yc2upK z%YA`oKkrEn6cFFIn@R7E41CqqZnwFM6 zEBGfF=t@M901pnPxBL*4Ax_fa{%3TcD$wR{NZ~J=atfy+G=pG?0|hw)7OJdHEp6jG|FhcxBD%aHh21ag(Qk-%RV>W~S84J*YtMKG=vk-K zP2QuLS*Avn!y9FHoi=M6@?eO42mnR_cFVy`UYI8YK!`0nqOfc1HBr*crxKQ>Ow(I_ z)C0g0k>XPxSL3WP%*u9?bqj93&vNVM-<@k}97$=fw!Y?6w~V&#izB{2q zjB1C$=31C;b5Eq{t(cVH1lUJP@Rl)|wY_Qp&eowCPUii~qg86KWM!u6xZw|oFNR1A*Z2PPZ%LV3oKOIb`-psD}fPVgo>jjQ8Z--bwT`@8(Om+~@10iW9sa@`(` z6DExxL3_2RijD9nv>!(abUx5$tUx^TFsx-@@0980Mb;{{9LnKb4%MSHn*#fh(S4o! zbxcMVH^9*Ki=z0hl?Xd6!&{(#3@DDn{X(eiU>h3bahCL|z2>g*pATh%gBfT}I(iR( z0Ox?wh!3fz=-L&q0IZ_snTQP%-3nPgK1okry-ULiW(dU%F(iLYln5n+&F#?=8*$wV zPw@p${=clJ{MHmNCSGvB2No`{PS-SD(?^2!)8hwj<7^MjualY$@;5>aquglNtb|#L zh!)YLqrGYtb_a#iT@`zaQb!3%=PcfoX(B$CIcqyVXxN*v!-zK(L7&K2BI0im*NkEk zrlQG}5oICxHQ=6TClb+g4c77W09cuE{+yiW+?U_FcV2DlW5s#IXJm=l>xOUvJX*}G zlZBD?=7Ten;8#{v_$A0{m#Ebz@XvVM)ePtblaSe%Q0^v_RZ)e|b~}at`V%*Xn@b3_ z=8AQewhf*^6|{BFoC@+fU~-Y_z2tds@6}$IPytPPEO?9;K#Ukhcse*@gk zVW2zQH!s&uuA8{Fn3Amz*e&qS0ULO{b26a9F8nQE-CV?>eUyMR{GC0M=rx^a+FLIP zI75H#y?5Pt&oBBa2Z+8edTnT;toGfq-*sR&HDI6PVAh0hVk*^>Cc3ue>t3|$T@yiM&e*Zl==)*}`IC>bWTymUiN|9#Svj4{~?yu(v15P&1pZHO~ zsw(xJzr6A_Kfs$Kq8|w?rCqssjH6~8JjQFLY~ITKCwB~HN6!5@YIIt|7$pnFMTSqc zh%*K@U{&r5am&Pp)0|&Npef|UAHYOq2xHLT7dxl964B?Ek^-5w!`>-pg?k-Rn)45` z+>#ESSH|^(E0xfDWE4yR*0_1;!g+TGXmefFt_k~S*PBxiwx);= z^w<*da{2qfM{>WZ1)*cnG!R(O)F|5Tf6XtBJ6a{AUw-)*(PFJ=>gxNUt-42k9^Y~a zyH*XCJ2kon&n7FIPzP=`ei#|@-G1%M{M92^Gdj5)boxowy_Y{+A)VNAMy;&wNnQvn zfkrRMKDT|eiFyytBb`sFxYP9E%5Nvc0=;ZPhH{D{WV2B}ug;zTH}F-3Zj=$oc(}Cq z!R?a|iB?7=`CtV+pRV47^MA!=#qDfEw>*Om@Kyu%zGB^g8aBXV1M<>eI~$0rv$}U+ zF@7^-m?b6f=I#wPDDvf-KA}WIbYRRXNT*ioKa|K40jRNTZMy3ZsJ?7FDU+>=G25^v zKoP?>PIhWxRRlzeD>`6Ic^d*Iz(h6lRCEP0!NG)3qi|$o#5y!p-^r2_y_oR)aM@AR zq1s_I8tR({@;NVsU788De~)h@`@ve;-wl!S7&lq?*lb(hN`bWll(kbslZ;IQPe?gOee<`6veI`ZfU zJO7ao*1*G^kiwNY29}rLg82dV-jW;t3;*7b7dhu3W{^x_W;(nu#~=NNoPe2yq?u+ik=mo(=GR2#QNIoQhfJ! zP7fV#oJZpzn7I;GMKDb4_so_Z&vyit!spzo3}h~NpkE$*PfO4nBL`uJfIjSlOY|NE zDaY*1c9u$y!;FZY+G?yT&V|K<(VgQ4hz#W%#+qq$m_YNhjqu6QW8SGQAiC<}W-UeF zOvah1I=(cxv(nCOR?3w%ad*MUF6kAFq*TX+I9IGKsL5D=ncTIg~Cw0%bsATdl;OI3&VxidUGLka6-%KYFRd$QJ% z4AyHX7x7|b-9G(ac(c2+>(*T~1!8X*Pms-kEAmkjSdMZXuD(f#yEk!GC(JiwjjP2~ zekp+53C#Y(z%U!ZlH_3Q2*Y?XvDK zT9Hq*48Il^RFbR$!p~_io@gM*oU+gDR&t|9-~=p^onTI9uf?Z%PD~^;bnA`s(_Fbx~yTj?1t`EV&V21&-Sc_A`IaC=*AKo8k0f9=t3H zb+h)&nMjeKjabE1%Tobx+$clMEg~{o^?{N})@77Q{|0$+J#x4qv7wtKI0WU5@XH-2 z&aCb(PmFw!L2ro8QAL6&P(A(j)MD_rby!K!eK?dEM|;besjI&((01SQ+-^=%^bpo# z_WaO+7hI(9d(PW;i>>vygFCs=kN@p1u7}m_5Qy}aG5J|;5kbu*WfDolKaR3-spM77 zM@R6u@nPxZgkd;N;)g;FfGW93HYL;}rW9POXbrByo1Aqj$1JwkhWG-Bm;|^?P@l_+ zOYR7)Xoa``!;XVqQY*jF+FHz`onSIFxQu@<79w%_?!xK0^YaLfRG$eXDXmV>cucEv zGaIn$l3)6*U@hlbF+pKQro7Ua4aEa=g=h6O$C^ zxPNw#C-p^-G)4z&ot29T^wYp}1QEez+? zHFPWRKoYpi?jceZFIfJvG()+&3|O3im2#qM6kg!z*g8QN!aRfH!K2c_)1V_6F4BsV z86Y9jY@?WDVX*SPTz7{7UL1=Sf&wNu0%Z)dPS(d6ylAO9NBP1G?sIRvUkux}qvVql z=fEV;aX^2NF#SG;9eOrd&-X&f|d-5 zKteSn#PeC2@xz1gY9OH}lx5JGFF0H-aHXmg**2F%@y4Mnz!V^QE%$AGr+MmvBrRL@ zrJ`zY;@J7?PEc!T!wvuFjMQ*rlEJ8Im*D)t6h%iGSTBGX6$URWA(%o!d+yd(`Dy8Z z*7egsm(?cCrJP+AX{V*M3T}E>S7@g68wbt5{H77X=WTgVL4{4p;S72Y zYYZYjX#z-#zD<5Ju|lTgMMo)-T+9iJH?ASk$A=X6L#PIm8^-^=`Q2^;TeT`eH)-o( z4b;DWyMqP=(^}9vfpX{8pwu>48kj0&#pG$rpxZ{rB%LX>KE+Jfa}k_Z>BZJZ{SeC* z{{;6wL9`HBQ#*4cO!n#0C)y3e-OqR2c=^sZ!6`M^cyMRKhYs)vXb5f?6Kx zV8X`-TY_hlW-%vnICZ?|#p0n~`tun&UW`D}y)JXSB39{c!$qkj^89Q~-|eG-P>|gv z*TE@py@A*n$%JP$g0Q7?!<7cy|D+XjM50H1?GztXjly_so+2$)NPxgQPf{R?c6i%Zdmn)O zsG?9M~B%L1Q|%$56A|{pH6GAM&^8C5X3LRu4L7|W>89&7M5hZsgduUpB8P0n3nbqO|4>W zPHsKm)0vK1Q0%borXPlzO9R+-IO4ihXk;AO+jf0D1Q*0Z+Dt{J$!KEjhASI9@~VIR z8|uzzVDSIBG@BJG@38Tr%ed#g^#kw?jrDzYECfHN^1V>jS+TKTkgrYxcnwV}ed zqe%lb25Tzx#IxZ(jSm2zO8cb@BVt{;)F;ZEdjZPMI>}BfQy^+P|32tnBmdhj^EybM zvoKP&FMj2B=@ZmQBz(reZ_5AQgwHkJO9H6Nz5nH!1$F{Q39Lf1t8b%Tt!c22VnD(enQjPzB8spcNj)bMsTh zb5Yjoz90Dtef=qMF#ChpS$&Q=1M$@+Zz1(USlVyYLcdM4!&Dz}>+)3T(X*mQ z8-4x=)9jkbEz1|3qDb~Fe2~sx;Z0li--cE{6K&P`RH1)xS=f`p9}CxOo1v zH02^)qKcrNO>GaE@LQkRmm-93YX<%g`v1S+FW!n}Yx7jyANl!DYui`1E?b`t7qhG< z>%RXHRqnFP)iG`vY>Ii_gQ3uzMJpaOxn2lje<43HkPj*OX|MD3b2X0CHPZz>pF;zT z<{>HUtUiI-RJ2^Y$^Nj%SzOaE4EjE!;QHLtIrr$x+RbCwyVDrvZFp?0+R4^`Zy(X_ z1ExmHE*N-)xBRbRP38j+&*?i!;Z1fayU#LP+aSk+N5UVVoT2O4)LZ~*qqGbOHPXT@hh zRg@KGMtJ(l09_0#c=uDKsOnpErWu(gg|N-Dv9DD3U|;INjNc0NAlt?UDga2=VK~y0 zaH6L(xBL2Z1m@$M?|Cvrco#7025WN%S=@)`=RpR0aPN{I))J7e{U7NdJ5>Mh3v`$t zaOS;_C6=h1zR(anNB!?0@$qy;JP5y#g@J|IcG90u{XywgqIKHaWGiGMm5wd)HM^vv zSnK_XmyWz`@#Y7VOy(Ofp(Lnue4=WzNmU;B`+Y=OnNkvG-HQeOP$ z-ELO4OS~bK`b2uXTQ${B{3(1NI*U*rRxXpw61vC z`iM!5j;et6mHS(dTLAtD^FTVg5KCdW@=ij{yuKKI4Tp?*+c2v@ zkXq~GWMi@{lAyX%fZIv59Cj)&-_C;3uNbh82=A=?Zzp{)&NTozVzNm&TH&|jNN@cF zpoNA3QcUC;K<$UbSPG~O!H9y?_0cH!_3D?u+>rw9$$;YAWeH$9i>m)DntS6_$(vok z;ud;>M%8}~Q3WvJ2S$qz-tMjN13InU6$_9hDF*wbrWmG11O41BvhS~{JaDGXa$|lb zB@z?oAZ!jOnl{e=(2_G7vLQ)hCk-^w7FB->gPTdWW|uade^}Cm$&%nZUKrNi8M0$CwB3akh~{qyh-~qo zY8?hz&^y#soeE4^EU8ADdqrW9qW2s#)bXpK=u^MH1<3vl<*8}eRWGp@9PM#Gf%+P* zAN>MoXseTwm6dd&0;0weRAQP^*zd6sA#D$zW6+EdZ>zTl=t zk)}e1Q)QGcQ{#l1iiqT4_hNqL(U{%C~brKQ_ z+!1PJ-2Ac?Rd+}i%=fKR|M?=GNA4;6K2%+wr-Di^Qj;fNv z<$;owQPWED`Fn7CndAK5vw&yZZkk(p_y}P%qh&byeY6bE1w5+dz>tTE3MPMMmlT#0 z(5f+bM-Z=rgp-;S!r9P}nlkg{$HX_bd0s*v1j{sME+@CS?~qnjPJT$kN6aIH&-7g^ zgIrkmV4z_)^8t6y<8SRXJgvrnwGf6QRk0E$t#6leC7v)4qFfqAsLLy0=1{ueS4baLvI|>X>uQuFQ&O z!)0}6W|7J&>n(Q*11ik08MTQLycR~M7T-6XV1ngCbWd30UKnMaoMbGtGoQNN0hTvh z<4Q6hYR5=Zlwlm0Y#A_1)Zsrz;F7MNr;0w{M%#wK{_3&3w}R0+lMC$b9f^4Bm}E2G z%6*Q5wX?~}r5ZWW$Z)~_Dh??PMzRqQAs;-I82ZXPXF0oRo{*(xHaG)js?NfF4uc(n z4VLU*JV)z_rRJoLeGYTUIHX5so9Q{LpX}@$@n#t7mIKxkhdPp49~qNb`+X;I-37_a z+{|6B5u$b=@6$piVbz~WqLx^vDbY(WUxn@sejE5z*|}_ ztPmi(tj$D?O&&5TFM1sDugWU!>;UYEC3~RdA<@LJlG1Y<#aoyFwk9&hS$p2#ZaEGL z+;O;*GvsJt0*YG_tkcXx=U*|A*SCu5LT1WH`Mjz9zMo(c$=EHa?X*hI`gPhM2Yrrx6uo*e%|S)6(oWY^>|MW$9gaPRcbSW{-i^cHqPgA4eB4Jl4v!vz*#UjJMeKl(DEt$4Pe(CKDU!V8QLITc)sUnl`(bJ& zUK*TS6#>b0K7#g+;KGSjSUNDT@_Sf?3y5u<-w-3S1TvjKk}@m1D`qBudIOe@p?c)U zv{h(QjLstT8+SDjsn^`Rvild}<*=R76=Q z43l>3#fgWQpx9b>oA^5Bco}{HJ~VeS-)|pC!2K!m-iqk<;3oX{ZtKod8>?9kaLrQv{ua`g>ShoJM(9mQRY2h&-An~TKWS9EO zvM7^zxNn8Y1`F8|tnrwpcSI*w9?mF1%VbESEAgfT9w-nt*3xk9$|yB_=L}6Bi<|PM zMqdPI3QJIh6NN8m7Q3wM77*P>7FU>?6LG4tzhy(MBBLbd>t|fxqp)%nGg??Xgc%#ci!#ZJ^AdbF^s9H3 z7|E-SOm4JkwwskS39J|5gHSob9`nM2J;-%Jl;PP&^|Fo%QGXm9eRPWAV{{!`7V9t` zr!_5-p-MqmXb8?j#h`}&jkcqU)9|}1cNK&(m%jk>jPm~zW;C~W9n6Y8l+_m(t@ZZu z3f~VHU!S;rGd{0vr3M{ZLh+~U13%g(2xKNxszL4CPB|MDNA>xYQoZUif7#jbxdTx%?+%-6BtQ!!#)`bQLiROf_!PdB;NQLHs7;7t(8I zfBU1L|H}LFrO%N1Df;)zmtHHtd{fyE_lXR+Hv>vfAHg&zegxB?U@|^*g=XyB#O&8a zw+Nx4;S(+ix9{0@mPa=18y~MkQ6SA{WSJpn5Z-m)9a_Zv%_s&ci1b>yOjC_Ft7SV-XA@uBwDv* z56GSv+jMF!T6RlquIOBYuSPpz9w6ImF}`Gbdo7^yXK)Fp;`RHK=uW(Ggt*y3JzLZb zSP2;vSq=ei-Ku{ji+vC%*8znn9Zl*#s3g|?5!x(ncvF{ zFN6~$NOAY}xh*QR8wL4RGp_pL)lXV34MKxc1AaZTb}55#xt&lSz+nsS-4_-8C{_r=fsRBuZe)TqQvuzS0wI0%W+_cqb}TZ zb-rB-%(DEdO%h4>|A_NSp-x%MzTlWtD_P&pAYk~LB;Sm(v#)mKS;79=hEYZ$adFur z{)#SK+>X6fPmt78QC3>j%MUPLb-`$j#ZJg>>Nn1^wFkzSFac+EeRLD!cH!N#U{nSa zJ8Z3iNqp8>J0qvUn@F08WQH8vSWimXsmuNKnZ4zZy@}GWHv`a5d=to1rxBGH1y?X} zF(OgvUCSnpMs%Y13Ow>fpewoI=+5)#N*>M5&0sv}uCcn#%;q<&lo()P*@-u~a|vp_ z<6F(b3^&W>eyP6|z`XgDv2weW7j+owif;CNlu|-8-ck`_q$xtvsvK+C3bS;sEC(>=UAlSRDq|T8*ZQo%x`NSBGa_YW$7F>&G)@Sac0)N_Lm@ITp;{MKuFA_~=x_9B~=n9O6Wj!5T)@hxjGWLZ+FohOm5 z7}`@VU$q|`#%BPWA>z@XxKx|j%bG|z8eUsF#(O3dd2A7IvhXs%I^u z6{CYDxK{1+lYlR5d%}8eu!DWDesM1|cZ4*W$-Q39$+LM*SM$ooXhxhKU~aC&S~UewGke_xeat`zvF;x5s84wIxEj8;Vyi(Akj> zKzW73Q|1bPP1TFZ@1VUnbTNQao6bG6H3M9o@J|Li*B^z$2w3Glwjrt}+^UuZs6X>0 z=UE>cPG{tS+Y^QcXTpD65YyfQ7nsQ4V~4cRv`efEo25g=HFywjY?dd*>tO!0ah@f) zu3vc_igwOb-pkBM<)i+z4A-Yi9}jM<1KDY&L4KbGwg{-1{J^QJofSUptE$UIkSg+>{#hq`OBrNlVW&j1sLD+E5%e8(9Xw4071*t-l9YwZmm+J|`WN*{Iq zRXwY$j2{8{AP@l9_0umhr=i<3jQ!}c_9k(;_(63IPe+YQC;ZcVFKASiJmBV~fR6*& zjnTx3+O7c)+FL$^%*PO0jH^dI@pO2oqL(oS_L+UhXvoIcS57;fyw`1jk=&ec-l0#W zn-`0cbe>XHc0R-y3xOiWCfQS`ZQy5y+lBvdzULW*K)!?CB*saV>%vwW!^t_{=eh6ub5A6} z3}5cAPKW9;yqR1?M5;|%+3@BPu=~>DAy5r*N6O4@WfgPxPg9x$4lQ^Iw4QtrjQ)x; zwaPXQ(o_Rfg*Yfo=}(XX^*IanQxixyL*O0f>X{#~p5@1>b_uuU>B!$KAKyABUml|Pu%Q!a`8&qe7OGJDH;!<#CSz;$l!z}8^KI4}9A z$=f=Wf9ptzbli;a6_KDalkMtzX6S)Q(}SMctpRJVxavCve3Ft1vHcj-rjd!pOqf@) zKx~|{GBOW>G|$tnONBiN503CwHC#|(Mn}(K7oKX*sW*-(@&$VIQWz6!QX~SHSOaaW z(C7)>X;T7>p9Zk@_*MJJP!TAH$K9Z(BEgL{QH*(oau9jL7z9w&RB?J#RPV*JLrD4o zpd0LYRDfe)-nIcobg}d&#-B#PBr6hNoWlx9)wmpZ)5J4bO8@AvShb$!237j7Tv-W0 zq=G`|hMC4P+k0v5852>K*5q~(I~WkqbbaNy`mUAxPP&!Vl&O&Ou*_>7hEpH$(Hw;O z1G!$)Gmyiuh!ZoS#yK9$Gd}^gZf0v=MIvXv+n!29MpB{km#iX;vv&or(bqw9wQJHm zixl4JNO!ts8>7o|Bo}EyXY)iY`zNQd3@l>$6j($QKv8)X-a4Qxk86NwwM>Eq}B%e83aeA8= zpH_1+AV8$fk>txfi`^FoSZ+h#6KHHMB~;5!`<7T`0|pkfvx;0)UOu?l$*|*fJ}HS$N=HuG zJO2GsEqp$}V9|VB8;GHTF*dZL zGe`<4@R?u1Z^CMaQ3Qc)&n&Av_r%kE^wKft^#K4bY%SWa&2K%35dc${r)k~+syiS~ zpr4X+_KJJ{>)(yAUME4dT!7K=8z>2V-ILP<$ zIF<&#v3xzWjc#22@h72?)~(oN*~`~upX!n{2G$X+HtSdo3y@pEd)A*TZ?8w zQUv5+qM#&f@y1(}Ltbhl97y8YTp{ky*L5vfzo^^hYCiRQYLg8^Cz0B8uyjLy@giS( zG@(v@XO4O3(>{A|UbWeG;d^`XYYU{?4gQywQxg2&qfGhHf3ardsr=G8E9%23%irl* zS@9x~+2sB3b7k-?$ZW1zfLSOAojDTvZ0NVy@J0YG=#k}J-z8JUvzZA`KAxT%vL=(( zCd{9krvWO+Gza>Z*y2OFG($5C_*SPo00X(Yd)~RMC}6$p;ZLigW-=Vl3SK&@?KwKR zxx|&^T-Fs**qsJZN%)H5g0IedPU7)if=O5mxLntbl|^sOT!%q)VJBGO0B#{aE!{w{ zuCE|o{rsOdb$%6bvCgAL@(49@-_u7M^Kf6+p!&^r#H%xOb3bPdYXiayx>fMtC&Pow zt{U5RKb?AiJ4`(BtoZwq51LOyW)r|5@$k}7z`BBT*HX{+{eCBK&OrvtVfzRIf~?K{ zo)3AmPcle%uh6E!t2UJCTBBB}NBz>0&!-#?{U6%+%j|s30eD`)yxFu`K?6i!a>Zws--4US!-p8Z1L+l$ z02X_b1vXZMPb1Zq5fqo63$e@jK#ibjm#tS5>4Q|{mNEE|)p?f=gc&dZo+eI30B)7+ zcT9<(VMKPS(3O1<{zt&J&4xl%uwb}~U?WY8a0}MPw@;@tc6ZI8)$RQ)XJr3^VfHP& z8_+cG3kd+;?byvYSSiXjFp0!Os$@7DgaS*)*hzSfzd0FNwLY(ML!bPr zZbJRtmb{Ml8aCI=6vwNStOFFiJO>kPF0t$2rY*{vhtd|OvjrDEX;_j0#=OY!7&o-4 zLdS?6=NoGQO;dGG3?@hJ>jT0aigYr@W%STPm!kZiqmZoxS8`4|2-ssk^Xocb5&&NC zAad<@#yhza4Jt)0hnCjjXmko9wm-nybV#QK+-^SA@=`EcfG{KIt2n%{ybVN4(aOE> z%xV#@0lUb=H+0q8GBBB`b&LzKE28wo;ndU^-M*=0W=wNWFW7H$7s0Fx3{+l6c?g-4 zQ!%5haXZx-IN?KN7DAeWEG@=XAS+Q2{lUTkKmJJk!5kbiNAlHk6gt6a_@DFV~6Z$;0Al+VpHRB!!-W=;Y@l^JZX|a&!QP&OBx1wIO8<% z9M!;ISa6gFT$3#}Ow047RlaEe?}Q$rbhtDCngz5N0WDv+#*SQtf``ny>;zx7G)wr*6T5{3&k$5gOVn#jvj87nv&eG3-#HlV~e% zn(du9W0T}=j_RE;_wEH^R<#Aa1xG;+Q4BHPJj-3S9;R`fad{g#FbW7*RY_}(N}x&$ zd^Q=W;%frH)41XO)2)89Q|o}Ft5x&!&cyg7R-jBXVoQ5U1$90yyVLA-nEP;JhR(}M zggb3-)hz~FBt1G)@$kW61D?d5d)S8?Z~+Ymrh~b?vcU`!b9NTOwuOgk-_gP_)h5_f zC!@c11DTPb5tL*nMbH0TJnIeIV1 z-jGf~Js~dpkKM2gDh-lLI)JOqw+$1ge4p{ByTfFY6q?*nJ1Qr%Z#E3O4inFQjZouhjvCz%Zup zX;_rZiZ&f&5)2R==6r}~OP=_&!^#J4nPf15%vk#_Xs1@b<5^NbII1XZpPOtKUo|A{ z2L?JLS};5Q)0s)|zYVDGWoLAWOSqoV58=tO)6_OH@kt}=e3CM;h>2;WwImB=EAZ>l zQR&FrZB_n}Ba$l7R{O-SXBmu4EqCBz%gop7Yno2l`@^RZL2}Ocr}9Bbe)a@*aiSD^ zJ`4P~c|1m#6c=Zd0Y#Zftoop^lD?-w8@Q_gsvm5sm3x6}EIvqpmCCoK@l=}%iLX7P z4rr5IsOtxdZex08ik7%F5OT&OkT)zaG0NWa;=)UZ&l+=&RtLx6(maH3lA-LQ6Z8Wt zD5n1PM|b~Km}5p2y=t?#QqhGoqwV6VSDm~8)tXP~Pb64*P$(I6U2q0uX7)aw*e&)k zAUWna*aD`5-~`@svIcD1vHsiUp*s^wR^I{oq#!9cQvsCcFbdG~Fcvdz2Mh9wg=PsN zJWc510!_~Y?j|Y_Y+ytHj2uz73xmhj`2%jqvJr(;jOZa)Vc2EtrXgX&)v|d9sB0>> zxsCqJsD>VC177+;Nn^Ag)-7x(Onb&XhxQq;gBYxCIV62Gv9pUIinliWvupgcgY&pBBkepgK2VkN|jY;^* z3D274Y2z)(kMos&qP3ZzgK{d>)^Mn4?0igl%h+|dH zWkbzRxRJi`OjhFwK`~i*DkHi5qCMRB=zdaJN5N)0BBTg)b=^>n8qaim#+1tQj%paY zE!gE*!q>vO7nzB-Z_C4Oivog$Y1(_~cyKNyASefE`E3)7h`6ekQp8uXlL|f6wTNg+ zy@~4CTL?Pp3c7L&c``)wgab-K`tMJNhS(Z67%K(TuAR^tRBFS7yXJaDd5p+;f|mYQ z#zv+I7s{$$DxJDR`xm*my~-@NqJs3S%Kb7IG}>xxxGz)R^T)wdnYYn|FC741iv+d; z=`^q)OEj-B+6$;URIv?ActQHQxh^Q+hyqUE2KK7GfKP^MFV(%R#Nz=MkcAZ3TBp*E zOO6l_>U3Nb23?HqoaQD*yeD0Ni*hM&)f(U?io(Q<`7~^WQE5E&;jB4a^iyRDb}^Vi zT2i@94~DY*v{bgW0YacN<0D$r)awtvvNZl%C_qKvJO9*<3SLge4|jL;f{_(p6AKxW zF+ol@-_yVvwE(7b2N8>jQr0kt4KUU`>{$rYqw^$0RHLsv85TfB_``Dx7NBHtv=0tB zwGL6S8|N1&fceWEKn2^F$km2$g`qzw1rwgo<811PxJu@Rw=N%m)3%~SytShOY_Nhv zTjQ&WF(h;w0MP>{1$9qppiy+-#$@OmAXex3cr)0GBNX#b8xp~x54TcTIOUDI3V80% zLnvzuX{Mc|lt@84U?$W;@I|E@?>Y?dCo#?`o(F4mam9BWnJZYzPoLZ&LIe(%!!g5e zFeT<-0*M}D9~n14?3Yk8z{#gwJ0+>PTSNw<=5;E~j zNh;xiN1Is?9vIJqvw19ZYNdh#5K3e-AOt^^^oBw~EGC?+ucTSiW#hSp(4)&+@K~OS z#H{*1RRZv=7_;~lCyo0g-Dp@mGuG&hjnH2=V|Xj~0eFT@7TUdGZKF1}nAcG?JioG^ z9|}8ZaB`n?SHzSMe_afv>fzvIwxYaYcu5m_^f=V40yw-e{Y*ZtfCgQjicpn%%Y_ek zf%{;2#6Q}#@jPTyFCRvF0}<`jJ*HS{>s>aDzVe-2i#d7_T)D@chy*7KG2kqywKn zAsz7j`zcYUM)qUh-g#2(0pDd+oMl1br7QM+@3V3* zz))&t)+~x!vA19!DWrn6kt(|UYv4lco6>@P-Z5@yhZS8mA9aF0NTr-zOnEw0cOAMe zk`kw1^5zM0k2LQaCsHpvJ|F$W{i^Ti<%^5P*v_4++KDLWde<9KYkWiO3?!IzLgkyl z^JRJy1M2%fQh$Eq$vYs7cKyWplB9I(eqm_2x5)o5;YBv+oFcJU{(H@b1FuQe_#E+% z(7(TM_|3_{l3-#=75=c{YVfUukYe_Va`&~?*o%vgdtdUVSMo1>{rP&~r%PU~4#=%& zVb?AqZD3qkQ6V}=T6?2>4x*vCl_CKt)AjtY&vnVE^|AgNwKuAGPnNail()mqTYICr zU$bJD)mVD;Y+!`U`8;t%7ZC-+l_K#QokFeidEREK$b$$4q-R=5N4&}PhgUuugzR&H zCp(4WLL&8N9#{6v^g5}1{rpXnA**j&-u9q{dS4#ihVw0U^J zr`A%wrj7_*2shK0t(K2VPZ7u8_+~%WiU_l{g3s7k2x-1%U-;gc3&4AE0KhLegu`;c z$_cwRxQocgsuaVG(~sw`IGm8vBDPW)y9W615v9m+FHks6O^lwXM0g)caTE<=+i8o+q<1Cp;R9vnk$otX9=3k_DzZC6%hA-v+^>VOnzE`_4g9_j!l z%Hb!b_*~WJ3Iq_XBO}y|vd34`L z^52&A>sdqbUZq5#$CE=oJ31~NAz=#Zs>Vlj_@sw z38ym|i&z4Bpks|SukxQJdLb(`Lv^6Qem&}gjmR(4*Ox#zKRK9LMiu;<){?2w>m+b<_>eA=7wlx-S6O<1vsAqod$}=$(EZEOSzy;^Cs(o=>%obS@M5f^_#hT+^rK18mT9cdyc-v=mahj!$RIJ7n(#GJ=tm2M zb+v~vFBpbxj1|bAo_IRU3}9d+&ZOWcnaL#<0IAX*3;Y!pC{nUR~>lz?DQSp>qN6up$vVswW{n-ZH=#Q|gDqTURBFR76eqC-Be zGKJs_;B~kP0mx4tV`$~+9Z(v>gFP%CPSHf}<=c3k%DsSLdW5HPVKrl7f3;kVqBp*U|5hz@{?V?)jDwCn0l)fc?ucjC`f{K zN6!W8MC09*0FG$c>TC$SitCPLz?OQiOn$0#2Vu+s{6OqZDcKAeZ;!FI_ktozME&h^&d&xk%*62WHsZQ`3t+y)BSBdaE8bip z)WO~b@SXBeWqEFzv8R#x4XXOYFb|V%r4cZ6JFO5paeWpMiKAkL% zyi@UOct@WOL)dz%0S5C0sYH}j>7N7%(Kv(d@`4T#9bqDA_t8sdbiMUDMp$TSC4Us% zv`w#LhR?zc+^1GfXkB%)4d979Lnt`1ic$R-eJ?99_?Q#qP9E5-lXZw-DhYOBIlbq0 z5eE2FNZW3kuK|&Z{S_mbn8*;JEE~kGaVAzqb-mWlOMdv{;KgEjN|irtT2ht3bm%Bk zlJ?5<`K*gARtF$3#>%}V;ciCeSxIe+bsK!g=8J2@nNX=zj5X~7?dGrzA_b+;r=>hs z#4GoopXyC>@%~7z+$Nvx@72yIk>cHp~7T-if_1|sJ5Wp zHho8BXa)d|nUMa)oT(7u!_cN9CgC==3~4GULwWFJb_28)KJlw1DxpIi${bA2v@+L} z5mOGaPDsXXg}E_4g}5(*DwI;!5)!=mSlof}RQ7Ta+J37{1MS z*blZ%C{8K#70q)N?4qp$Z;A&j6c|TV=itvXaacl(6LsdH+CRx?!Juarsv$^gB!`@b z$rZR-9=)~MyGT^eB^GmbkZESwHIAubyYj->$t$*nlOl|t)J^QXhwYOg_ zy+gkcrg#vru%r8@p9^6JE@3V`Xn~l)#FsCZI_S|i2xAVov8V<~WyV5VM?r3iYCYhn zTVtuk;vZOWaYdDWgtk)Q;Ulfu*j=+2_5lqN$viFNyd(w>ni&Tkt-mL$ z0gz_#aBxPR@(Mc^9Kr%ZY-EJFX;C9(in{gVE=^ZDJd@vTm-tUc!c_Yg3nX+t$`cdD{7652qto}B^g=_DGPaJ1rXoB;kEF)+f7 zg@-Z1<6S5pKK+qml$~LpGBN+5v8mVFh+k1ZdT_<;Xs?_#fya95pkNcQi%qjinl%OE zj~Ygbh!YbtI@hyV?x}FdWsW<_LCZ*$e}fh(;>YkN_8^)$^#t@$FkUqk5%w|w8-e@? zUT^J{6M!b5Zl%PBi9tO9aUo)mKuR*LGZ9&;{?&V4VG@d-6g@*gacPiQF{}>l?{4C zT%?E9n{C$7qao&hX0&3QAUy(uSsHY7N+La#%v!NmPS~Z*=q)w@oIrTYA`fvwa#fH7 zE+G>S3LA#M2vlK9Km5fd^ z8v4oIV-NN?idFA)!8jSlfc~$}Fi1Tx#D|^RsIs?cP(UcHTq^0KWh@ZXW#D)Sz}yQZ z=s_G}%}Vq@5!Pv3K!J5|gfqYWpdcYI3T~B!pnnU_2;S)YO#)Z{m>e8lUGr_)m({ zCkSTIPv8%b|8Ie`Z~LGAE08YnKx`LzAhyqe8v2_+8UO<6GmF;(#CCS^Gv2Rkfl{GO zSN;5gyuy8B8=sJ3>wIH;s<$RUnA0}~B-0k(>ZzB1^*KGcbiIFY4{moH~%lmCjn)bGvy*Nq#`3vZDECxBiU zg2rhkt$nYyzX)0=>&>L=Qo_0H;k!Lp#Pd1Xr1ie0&6mN$1r1Q34! zJTpZ-IW1?f?`SqwbiG&E4y??n?75!vFk*}WDLux)l|PzcVR8RD4C|o<=(>=qV7}|9 z`mP;13E^0IHcu|c`g#A@bpHTcZ~YvaN;%hp zuHn9%#L(sBmWgs4B0|u~-5z0)xBuB9emPSp4sQ~DLptyl)=DsG#4%FeOFE>r4i@vN z%|0yPNR1<${}5>;F-O*cILIB&Xh&Qwn@P62!dB+9+P4X_ZX>K}ZN8bfKlzI9dC`FVb81IO0bsl<) z=&rOxLIF1Cfp6)%1!6x)Pw4KL;)y{a0UC_WjCQvu`}!UT zZmtINBN5yzV+Kx1FrN}8(Yo5-`s+G+IPKekJE&-yjtI6E)5Y3M@S(`3MpLop<4kiY z@;ReJW`ELw-XZ`SGP_|8l;){`jiX8!qyzWnX5dXcz(izs9BK%m0%80@0=#B!0oDTb z5#|o%1r0c*xxbz5c{Dh`jDt4JN{;t(OF-ErERm<-sXC)59pFGG%`CL4WHsEK05ZYd ze>=8o(oy~>!qHGtRENq!>HFoXi!k)hNg&mg>>pD)LVs=$`yx(*+!)m!8<3%Gpi;M- zwy}<2hy*uaLbeQTQF-#QZJBizwzDM;8l7P6*apq>U3#qLAOS-zMMNHkd(?c3XlLib zZsfKE5_L1Bl}a|XV<89qnpSO_;*IrHq?;>8x;oId-|O~<1{!;_6cPQmVH<#9oPjiR z)qAw*s{M(*N;fLff1&yd?@oExPa7`mB$OM$nSyj8Kn>Dm?8?0$e1PYbaUl^NCS}_Y z;XKg~>TJ2i0Nw)61Yd(`itCAaTFOrwDQb6`2@y>kfl>tLNc$dEs9XGIQei*$pCOXt zee~$4oQ?}Jo%mu=hFvM$b{$0tFFmN@u*b zWn0eztp_3NP+>X|`Gk)>uYDyS8Cc&a-aSQv#$xOuN z5&#q<59bg`Y9FdlS5p>_3vxhBu(vVyl6A!cX4vKBkEb_2@d$iLGw* zLkfVF%Aiol<1$BiIOnC5?EI;|@?ud>`Gc-amw6u7K}}ckp(Rwsw6{o`|AME40u|_( zCH+$ni3VBxuEC+Y>)_S^BMK9Qsky&#IC8}rw>%V1wN8t}J`;g^rvVDb=ET_)06OZY zz*ruiPVDtH@1zk`HBz)mN);4%jT_m0ERZ#=t^3Xb4wY}K1mEf{a7k|OlPp1&+xeNU7YA@}TI;qVqobbI83 zp)#@7O}4^DLI_uH(v2%FMc%ghegpkAT6i&@L@v9&=VRHwT;)pzCdtL&2K=uED2r_= zFqcP(F(8Yp*TS#>n+sF3 zNrpvRi6bW3oa-jU8#A^hjT@8#;N{%^h6&Sq!B`NXQNU)Qi?WGI{*4(0*{Aa11zF22 zI{kbSxZLTiQ=mH7n*`Imzx9ed#@JNje z)g&}l@yQ0pM^?F|{d5K;`TTBN6%ej&LM^mx9LOYuOAQfFf*rn}wP%Oc>Vkc}a zUB)Sf=cyW)EfZqd(6aRs;y(8~H{8NFuLrj|0qV);9RNFC--T@b)Txp(=$bstg(pNV zPkZkHbRPcBrIdhF2%{`W7=ApS zy&RfVx~PN&+RfY}L#CK`Z=sImT&!Igl~k;(<_y7%W4h9&j(w|>oCBt-F4$OG=M3c^ z#~V7-ZvMH!rnu*(is1u8VbKDNJV8s-8Td@e2@%><=hJ1MgT{?Kglx!z&fP4QV!Wfh zASy@s!aR3&qF-NnLxo|E={y)>&0VH*{y(YKZw546W{0T31#m0v2-YkZtMtzs!~R(e zGq$rsCXn>hSPSuv2}$J(k%Z6OguSHB$NTNO!Q(@0*?VgWpjb?T1{RP1mO6pKc4sF9 zMoYOdj_xr?1!fS1L(mmXE^aQC4V0f23ZUq?8zvA;QRfB8QA99TM&BfwLw!q3;)1ll zK179I11@WR%w;rgCF5+xgBl)O(ROGio(NYcS0%Wi186{z69!Y5Lf^Y+SR>Exgl$VY z!Tms+3W)a>)WyU0-$CGI*l!?kbHg!r5kX|;8}yy?P`7E;+%s$T+mbxn7l=NniH;%F z72CWFLexc0jZJG;@+7)Pk=sP|J}#)unr{Bi83qwoMPsxzThwxYE)U_D6=&dlSa`dj zhmmeisqm*kX2O|S^|9Up3-ch!o3|DqlqnBv9g?}Nsmh6or1+d)za8WfJDKDFwEH%?`8J* zATa1!Nmjx74a8d)JU2$ou3uzbuwKhZYeZOzxxQ7o1R_a1ty))NC=a3viA`#0x1vd#klY>3AAzucF2_BZ4KuX@SZn*Bjb* z2sG}QZ~&HQti_>PY!U5tJ{4f<8Cl552@GkSa_Ez<2t->I|NgO1yY@a*Al3B-Ic)_G zF2eDZ$GW3DU+NN{-fYIMNCgK(eDvacKDw#91E9Gh*sZvL)oEiGk0$_mfUr|zLDUBD z6&nE#S0KiCGJv#q%`f-${%?2ZRTxa)fJdHUtp{I3@;c|Knw|GvwEUb0jq4Tg2ZD?H z%q2kK2EY^=;`^sXe|(R$3FJ{O>A*WgeP{IN1RMA2VN%TJo^+_|9Us5crNLZM?cX2! zzFry-ywBIi$br=43DQcR`9S3GucWsYRz-yoHn_uHA$u3zkp42|6Vbds_`nP5$j9P0 zzVFHi`MgwdXz7A|(mMuADo^^}Oxndeb$R9>;6L|@Z#=Qww=Bs&k-cJthC~O?ZgY!&)Xb3;C1e6O#7p>L!}VbL z!X^san)CQ|TH3-Z>oR=46Kp<-Uw^#oCKK~!-)dU*0&T%?i`mRN+PwTlwjhVJg?0C6 zg=mztwwcNDjV@?ug-of0#q_x(PiubR1ZlQGv>h`x1rRNonx4N;h7@wO z&Sh}Ox1s}lXH0cRGpU{I;u?ABG@?# z>6`9<++1MTl7 z?5aglrLVygW4mk}yjrXLTycnDerw7`~t{gdqCYO$e_^RI}Q{kCBfHwWq zR~vzF!67+_^70|>ilblEV|~q7S53pS1J|jSZxj(wkGkW- zV9AezhE=gzA%OaH0*#joAuM%c?}0eo zVMrjl0Rex28kO~YFd)m0pFqDV9E7c*&!rA%c?5|x(zBwzdBV!y1l9*Gh;fILJK}aE zg%nc9%GdGuNQFtBYak!;(mER-!kY}}%4#r7%aor0O92LD<{mwf59~ITD@xuPI7Nl! zs7-l0_eE6ruo!Iw=j$jUOU=UA0=otI47@+Q6UC~f1v*WvT!LBDUufS2_Aa>|ZE6Eo zTtz#Ia?cP>Mleu!m;Z9s_fjX`^u+i3Mw~n3S4)8Z(|Rf=1A=!ePKpB7!=@h!?bLrN zgJ2kARfJI2cRrm(tfPsH$>#Hb!GRkz%gaPLRaj7ra<>AAggQ4VZUT^hX4}nMEr$ZS zQz45B0+e7ec;1 z_&=Hv?o5O_RtpA5!70JU2{ecW9gL^p+2&bFGzn(udpy_~{8oHk-C7fYoq6O~p6GnO zLv^XI=x3>7k0@Nn3x0;DN? zZv0ayu3U87~@6;dD#x38d=&No>UAfnjN0|@K zxFOgvCw0skVg0bXryQ)0?os~MGsgYkw(6;XvH*7xWY6DZ9hswFf8`?1!Q=eQx4HrmEKh z@h`JjvseZi^c0xl;tY&1g%w+hOR#1GyjJ**c~<{;Gn?o)ePS&P1*{ZtI>G`|8FHs} z2hqKmOg}#fhUenmD-*CY0qBgfJkoi<0m^$ArP`)dRD4T2qP2nKr2qopxIP8(*FoyZ zfc_gk;=Mn^5p@I%i%yI^PD!VCox+S}6Ypbh1ubrQu%h8>O1l4g@MHry7oSSZfz&KfmeSZ$u6=Wh#1p}jW=l3v zg&w|hI@FZJ9`XX6Qz%G?^MUNRd%@~C9+b%Nru?k-d?tZ;0d=-0l=gH) z&NcG+kE}#ZiVbfOX+5p{&V4PA7&i`~n`4X!wI|K6>|3dfKLMg^CRIQ!lSFSmtw*$vZ zAv;yljo+Nc1P-9yO0hjhEmzhM2lj@Z3&m>x^=3RsOeLb<1egRom4a7yiF#GU{=@zX zY`ZoV@LVxVmhw+Z$n zq+m_3f~D%qWR*HNT_=I(;RxYrd-%S=g#K&JV<-=t>=3XrfVB9<^#GBz;=>fbb%Js%FZLNcx!(1&HKsY5?51CBR z9|17gP?Uk&k}zbLo~b7rFz$V3`qYP&`xJI7%7wy|&m)ZtFFJD<(}6Y30xtz>K=VxI zOquT`oXdvKNq5hMgk{0w$?mG+`4tgjMtYJxDJVsOa74sP_l~iz94i)I-I$?T&fDG! zi?NGNOKx#Ps=t-pa@QN9K;CEdEJ(|I|p=$TL> zq~JQ>XnqLyN(J*`x1{Ru1k(OBnyhiV=$YMA`1cIWJ0_!(xhJ7f5JH&PQ()EkpgskZ zMyI^;frh?^V9@|J7ouiCdDZFTuuUj60j&LC5P(s7s>9Wrsq^W0Q=~d#Bu{7{AK6?& z0jvEu2dKVDVrCQ**x2A2zaS1z`cK_rH%L+*+W(ik7QRv5#!&WY8Z$&a(q1t2PeydZ zG{PSq!CQ#^?E{5r4LLHLF44kC_Skx9*ETaVeU=y8S7xjhB+?}L^8?6>I&+l|Z5q#+ zEAIWsE)e|f4xXO`I~pFt?FXCZmvb6yWFQvogBeLXl@xT0(51kqdY<@t;V@jzM79ua zl0?N%Svc*u2nO^#sF^OEItBROo(rXuIaIEu#^xlP$@Cnhpc4vVcZ zXkjn{RKBaM8(PS~S5#)l!F3exW%O{z?3#NMu__x8-Zp_9!CC<7E>LvzNo7vUsI2=T z%CGnV07RS)#zz)Lc~H_!xW&>Z8W>=I1kn;_V@Dbd68N)K><@816R?8KV#O^jF{jh8 zW=5PJO@RdgEHKzC*-@HKG_6378W~XTFxtR*YTOJXN13N8w(hoB1FdgjOS3kv;EQ=; zZiDR`nNw@y6-PlGxGNv<5g)$J^(N+bL`*Gc4A8rFOin_nV=SdTZ+PAg4>( zZy?s58rXZNS4N4^7xi%jE(umdTh&n-^P~5vNA}ymdH=DsNc8ZDRsJwN`8^*p%Fux)xG(t#Ay%1scub^w-%5`%ojsBq? zrfweeO3cxfDgOGpKtSQXAvGR6);b!P{Hg8R={yA(g{_0dCf)It);c@@l?p+5KKsCq2t`- z;FSyRqc8UJjEy1nb0wu8ygXO#<~N3f`-)h)|NeVjxcXM_?80U9^Vi`&{p}<(b7> zKKBYB0;aDALf_}R5G{qi&-gh~^p}1yetxUL&pYXNX|u`i5-VVd-;FK4KHJp3jU4}% z%VKAJ903dOdoNp^5b)0O^%?Dt1AGEDf?RAhZm!7N`fE^BT3|#li560_sjw@u$Q;p` z7E%)ICG(H^%fYh;9OQj7yQS=ea6=IBBNo9CA_>~CQBN68acmeZC)^-5q#pRFZ?Mw$=%aKt-^$x8#`(aI_z-umj> zw-0Ud7RPPoZQmMdXnVIk{!qJjoFsv?He8%_P5eG7I$v;yh--Gd-$lB#ljXzmy;{KE z&I$AgJuDs}T-XIG6) zF$#ybmKqn=TJde7QSF`?9BBOpYo?~+i$UjojI`vyF@9o0BkJFQd6$||5_eR6>WnCm zYJPw$YUt-&dyzTV`r}5$+a+3#LLFm}??&0Q`AsFtznIa+5wsNs*qCd`j~JUxRE74Q z8}_>n0?QWlvW+?T&3Bi#Df%vXszOzY6Z0!}iNPw8xF%&>NBbLCs!KhR3Bo?Z+gOsj zjrM_PGquaJi)uvKu{nj5Ib(ByHL_A(-1HUq(2}XtIxnK7l2fF1QcbWuFz%F-vy>O1 zDDgXMC%y>C9J^%0q2K5L*~7S7{mqYSETcD~pKiK$U&?&`tO6skBFVZ-ihj-qA$Ze= ztG_~d_qA!6NK*G}hV5x8tBW_I-C#wmDgZPM^bX=VsA$MHW(@5a=uySO^8u1^wfXd@ z*kwT!u(!oQ>t^q#4WsJUW*1iO0{dhlXGMev6@+ru9L*(mL;1?{Me}cVisdI8GTup=J&6zDAp^Oyo_P4hX|9-scp9 zon`&}!H3e^h1W2@vzX<@~X}Y7g24{mQ0Z0Z&2cDR>Ibr}jehdc|HX z5k4&CZ1GqNJGN(D^l&l=Tr7HSDo9dmU26!CaK{<{*ZLAT{Zwd8+jgsEZxgD~Q zP(W}376)%i6@f3f{Mj-V#3^9TNCIyRVQGgRo^a&__0)=}>?qngcHnOjo?`r#jp&@! z)hzNr9M6N0}(O!5fP8ie0E;-P)Ks22bxrF_d)V%cs{UM90vMd%sl4tgBc z(vyjxYwOED-bw=p$4mztA=&Xh83aFmtoMAJ+Od25pI&Qyn;opDYi~N2d3ilu>*sab za(w9pSI;eRXyX;5w4%=K~D7)Cp(Tj~bHxCe{ zM00J!)y`nM_{@VF$RAxtv2XGv5%)1ZR$$PeV_5q`u$gTQ)y7flHH#t>(RN$47e`;e z5I;UfYcq~EUP^TqK6wf{Tw&5!{Yhh4HsjAu4>-2|WGs1%v9~*pXmGI9pX@s5@!`U+ zq!J8S3%`fwU~x+tkd3q)tSuvz@#k(G!W*Lkc2Ew=W61>mFQ)J)-cne%j;Dt|Ob<>f zUEPT|at`fl*<9UivZDTkgqILP)#m}hqlj3k+uLcPQP%1>qA0*@W$Ym{iO7$v{zRJW zibE)}F!pH0a5}S(LyvnN)Vb*qKU^!vTBP*oQo}?)LtzzH4Qh)q_AFzMRZ+FSR1OO6 zFs&Sv^SZT^c^98zq^vv$FWtatYq$g5fEPh6uSlJItA{ISFGv84hI_RB1g7^Wc=YI0 zGO@vw)tyL7G5x}t7TkaGzsNjGtwrIo@ASY%F-x@fHNqOl!a&86?!#I5CxW@_yYFsb zL`JT@ZL_!M)9A}eEtnKnG~8t@81}0+cYMqsjMQad%I?TNk|iz^wYl#P zc^XVRC}|79k6vltbWj`%2acPnvr|Pewyy41a1N`qBadg&9G!yY992}yvgB2} zrW6u{W6?eQaT4iq!(`4GH9X#?9((xjK5Q$%eJ_h6jWDQ5@K#v|Jz=yU8E@t3)VW}+ z<8mDIi29bOhC#tPEU$7kaThH^@13+?Va;V-`6_J(vFNP%gvu3k(1s^hMsNiW-yen* zvZ6ys83QOfucL+)^&5IsL`emykJmBrV;poByXDYGh#P9W)9Zc6IVjKgUftr1jC>?( zOnHZ*VQev!iGVd*`8);0^HxlorM}KHi75q^%0G9)vM6ez_L{ydPw@e>rruI}eXCP< z#ao2ce#JXXd~&a_%xCSALn6Xbnd+=GKM8&`R-Mf_ISy`Apk7e`J8x2+6J;E519XYY z7y|uizD(LaIi$5g11Ch^AU`wl(~X@6k*$Va=hSQsYlm1;<>Xq$r;n%|ma4}`Y!CY< z^x~@Aj7*F-*nWGfzVpFFUK>#14dqXn7Wp6YzbH3ITYhxpS91+x5(?Bod^OJ_mMsRjb+S$jd zS>`9O--gN$IOwJKodY!fxIP`>2tg$sXS%*m!#dJIj-E) zHxNV`fT)k*%p6(!+qcSFIPO0G; zs8D`lfWxTPnT~Tju}dhkG&ymvBomZx=U^@BJmzr&Pl|Ao*+Jih+spr4(!hvH+iFyN zaJX3b@d-QD_5gSr1L#ft1UeHQTK$SmSZ9OfwAr8+TWj0G(+Gd6yZP!6czFO5bWYEJ zr5PBS1_kggoeb4{E6JyKK{niKXN^Kc74BnY%5$7pI1%c{&{iY#=48M!Vohc@c6GMl z3X8m}0Qo8lZDyLWX059P-W0J;SCPb!oN*5*&ry>`bYmlUTci^zOb7a&F&@^{o|z!S z_fk{-thp4wX{za<%GE?cr1%xHLwQas-h6(h*j)KcJ3;tzA~zFcL^lJ3PwG53y6T04 zrBgK!!eK@hi&QX9TPFK@2OdSc3%_;aN}dQ-d6MiiEiul*Ti@&sbx%NvA9s+kx3QW< z=G=j5`ivaYNN$b+&WmcmxwL^gp^hO=n}>itXUNh~ZXd+z6i;;Y z=3Ky~F3&vd-2g+P;lE<)PC&H0hrtF>qzC1mGbGN{~jJRE3H;))Zn*qJP zUa&Ia^6vxYiaRh05?0)Mix$5|cyVFiTSL@zf-qSQBj3^|-iua*EP7$la|zEZe%5bo z2n>UZLKBuOUPFq$Hb;7a6mv4c&u^R0r4^51>?5gek$hiOlm0ywu>Q;0W$TBQKY8DG z+56mOZA3==usQHqZs5=fpOt4z12(cjDgxR?ym#QoqE%7mTfe^X=};(>1Ew!H%u)6k z->3>r-J5pwovA}U_DQc5rK-3kRyNU8HaSyTezyI5#`}v~3J9!Z9t?hqn!fwk)tvez z>3R3!=ieax!FjEPK?<+)+YCn8O^z#Xfo0Z@ev+8{Ht~b~f8gzYImzeo(S_=Z93O7H z{{cNG;33-{5JmBi(4MuHKDS@|T<|$Y5ZbkW#5JCp4Z&PY}{bk(1;MI!cU7;2F#5IUfs;6O7{#MvN}f*%;W4;w<=BldZ2$S6Xsz z^nG9LP7~v;h2SwQofz1N@dP9PYY1e48j&Btvb)_ zZ-LS19=UeogR##1@rxN?_#03Tcc6?p<&YGJWP?2_;Mveh?)DA~U^Hq}JccbQh>=6f zR;%T#McU=-&3&I1I#)(1RMHcGCxpI+xRnuZbI-YL&X7VaL05zX-YHXMgz4tu??Wo6 zKo@eA#iMN26_6%h={*O*F?SJs?b+i;U|>GD)j5jaZ|HA2BSRzq{SA(?>v1)G{zmWN zjTuUEG3GOMN4s(Y@N7k7-DMc< z)`mC#$;v1);d(uH&v3E)&B z9@NOlG&Zg|r|Mh6$G77SvK4EZ)Jua3QO+(Z((Gm+i+JJLFb%0*W6jaqEd>kPNw4Fr ztkMHArMGj3tUXHjDg$c)+YU2@`Tk>Ndpj7YAztd4AZ{KcK#PtGn1>3f{N(Ip@bpfI zoo%Mtbt*;j#D49C|H<&@WqEcqVQ1RYvu+- z=D;{k*szN$;^7%A6~0F>ZHC?6T>qE#4c0u-vTb?9#=7oTMlvM2@|B-*RU6N1U9?}f z5FKh@CQp5{6D%m_3e^W}`eH_;XsEOU@a${DlfYdhK{>2~iV=YGDFg`(UQU|37fQav zx25Gb?la2HJ#%mElO=wYwE)+@t zO2>`R=;bFy;o!uT3wq9}x#b$TETPlY(Ulveq%w(4S2+Kg;A_R5flEKP=PArm$JMlA zoO2=(xtWnpKPC?=##?iGF2iAS&^iLvM+bg(E){*t)YkH_9V@j0;*bYL4&*W(_CFNJ zLg{;l!qi06!HuRkKswiB+}t$c>utT~B^#lN6_&DseKvDZ_@iS6&9`O>w4vIe>Lu=451SfYRB;A6C#}ZB$=7Xopm%kYA>gm!IO>^ zVk}R)w87oiW5`?{*`2){;$)D(+zO_vCVgEBh zV2aQdP*FDX1=$H1Ov2{-{UzD8u1%c)woPbv-Yf(*H6q)Y_3m z5Y6H#s8qr|m?jrtjx*G!^b5)ZpGPTy45nHjtlhh4jaKsg@OA|+GY{CWlq zxl_byjk{pd@%_-lo>pP)>6{l^HH~l0MKVrYC$4fgu7dNoq0@7<9_&Oy-0E%`ystho zNeBwl?swJp_e#DZ#7V``v`|BNm`#TaA17_^z48Tp`R1q=-&ves?e_~)s25Q({{r>R zNIYFRX6YW7q|TA~o!zV&c`oBs^_lH>)(Tf`V;78<} zO4<{c#v&c{&3G(&22s5VvaVfk(|tD@jy~`hoCMoJ*T^xKXr@lcCg*PViS3n_!|`GY ziK7?A!xs@v*J~7H%3@pTzS>#}sEkIEg? zg*-eRG}mf=J(DR&XY+D>#vC{2}B!3>+<9 z#eK4MCvwRRws|V$IGxTfE+DR?(qBt0MEkIYE}UDCa$jNG%lwH8e>G;M2!XAa(|4jrAa)P+WxBA3Wu+<72MOZ_+cO?(O?VnOGJrl`i(0VMkO z{FBQM%|BVQIF9LK(P~|eu|6S9HJnhoZVX+cu8eZm=S&|b<{;$@#$+w_-}r;i*HU*r zw&UZxHuzL@ij#M}4Iji%8n@jz(-L^{Hpy?P3uSA3J}TCfzMhA59>kK3j=std0R1tj zF4!2jPjY#A6Qk@TS6Q0Ep@!KMuk)F5)=coUP|1^IN%6LM4P5OJGYC?eK!w1OIitl1S6%d zj>&LVo=tV!0s)}()(Fo-Y9IZ2wC-uPf$wi_=7t*k>H?iApU*)C3@~2^+8IKL6wD5~ zv-k+}@G;?`F^+QX`OYG@(ul9$Hf>~!+{Tisck--o3o;j{4W-NuH?2vn!c0!lyNlq{A>-)aGCW zNEZ(B{I0Y4Y#4htfjrL*Emm&tXmfwV7)g?|Afk~XWjGp95zWDIW6YHduwnZ!FEkw& z?83rK^A_qp^|kTBS@WHET4;XuyCcvb*-v`dNcI;4FaPC#+8@MBmIcJ|X+Il(zGqN5 z5U^XVd)YwzW@M%;{^>k@^&ArL*4eJ}D(bluwL|#^ILf^R5t8q3Yl_C=a1F%DGqzfY z>&*Avc`jMuAKHds(w;b`p4(CNYuxyYt?g5!_@o?>BK!;CwM;5QN{VN2+%TI}ooDAD zm$waMQd85Y#E$V$$WCzh~}5O znnf?L9@nw{y3uq0pFRE)!Cbr;!(=o5v+n<^D^71c|6g74QBM{I%%v#R+z(EW-;%{X zpD%jU!=JTo(*xG;KU?&|Ba1iqtoxl;n2(V43~T=z|MGcq(R%})9zK5>_xZfZ+bh@K zr*&57bJe>XfQv&jURQ+Pfh-?1U8%HJQ1(sh$Y=BuD5MR7?iY1%deAf8D zGvL<3i#NiVx77ple%C~HVf!y0sU&zyNe8DZ>`ez@a-EO%aHt#rNr>n!WB0jHhi9r)IQeapB{Oq|c*yl9o;h? zqQUdeEL~)G=m&hHs^vL%ZOzX|`nFB@)#v#BtI2n_`5#u!NSyE86p=qWX#Z``r>^|8 z;mfE1e&7d9zWdFC&#usH-Z|hKTK(xKiy4G%w+9tP#oqj%4^$bG#MkA0HFU-Efs5BgEwy zvXo0+KgbHBCrf`ESlQcndy$BGKf(KLxldh6$Yvy8!!I3#vH|Q}?rn4vCb5FMU9HPV^Z*$r zN#O{2Bro$`kn_vmx_+J=I`|-smTZY2>M;nuiIW0ITHav=^f$w$LMa@ubjdh;0+8E` zb<{V^GJBe6gn~HL`JvG5dV>VOIwE)mZmA`E}W| z%|wgxu-E5lq@ab;%p!sI(1nEV${#g;tI?_@k}nT1!a2j33+D;R(D>STOZT}v2Jmm` zIG$$;z5P&ZJ69Wy>5YN=4&cU8FqJPB&Uewmxs)uuGhdraN>5{)n@c4(@7YGOa6plK z@^iz%jX3;IQz9d389K0T5ryYq>r2$cZ5S6}KUqHJ>w1vL5Xxc8q95fe2n zhk*qy;DsdO+|LhVhkO4BRo0DQrzKe~&X#6(v8p7Yy6fCF#&e9TM?BUH`9{Yax-9ik(BfZjJfw_QH4rS7J;)y0F#ZOIP7o1tz zHMA~;i7wT(^lLZ=lBOwISPj6AlE3Tlwyx-q?iya#pvHioEn}4-CG-CdGbBa>!aPLhy8xlu#B~#b*xz*cm01hRkbQ|~VgEn@@=>oXGF1V(d|BT37uuSQg6PIqp@N2(U7K?}GN`&lStpq9b{y1%sx^-gEAR zd&AIKzDMcaICr1BBLW8+cW4|OhZ;VL10B2M2H&^EHGbx-L{et1@&XOoZn;KzkFnb? z?)#0F$e+c6*{m$9*35pJSBYv3W$9~udWIo^P>L~m{;w@PHIbUmsx2nE;SRHKW}`i) zvqWyxRvx8Xf?F#O#1xeHjtvH$#h+hbJGD7~&S|)jF^5|M4ejD&U{NiNbTgA!0oBkw7F`7zm_MR~ND~yULzQwCAXB?X!ut%8T;rpT*ADP%eU#T*h9HwLlBcmqw{zv47I!bno{Q{96>?v ze-K%C;Nf1EMlf8L?sE#N>Z|uVZssaR|LGV8&9QK-PB|5v zeKAajSfP0Oe+pq>&^skH%~WQ`Z6zBQ-Vq&GuWsU0)r@?YkQr>+KlVwVO%O1>Ci3gL z#L=I}POaKK2aB_fiCZveNL+bwnM}J4(=I%LFg@(G6#q4s%w0HLPfczHWvkn!sD{`ynX0L!;sq7-BV$*8unSjiJM$9PJrGzQ z?08lj$TnLj$&6{cM&w=)1BQ(YA_WgP1&jq+i>{l~I#hwq3_QHDVlIIAgX%dWvM}WMsc(y4o2AV zoJzZKq^Vx2=UJ4XwzVU*r)1ppwCHD`X!@!<`z==88%|~Ajni|tx$^5n+oKXmw9}Rz zD1^B_MNIQ|xnkEJ{O%tK(g;M@ci$yIb1Ie z#j47z+ojt8ND$A{^%h1bpVuifq}y9zFgX|J#>Q*V48>>0o6d84ipH7JJBFxJdZ#@d z?WxA>yLVqXNj36&9*eum52GfZ?npIW>Ylk?`cz+0arbIuKaS=(;wg!|g{zp!bC&KO zSAwt;r)$z~l+%)=OQbKRdKs@4&18~F`L;S3NlcPdhy^WsxJ@kcf}OBX-To;oD=5U^KGI#Uiit5 zD5{pW*-p~iH22g=HtO5FUBr6oN;1IgCx_mOiRV1s#XUBn&-{OPSD<|-9;=1KxcLiN5de;WgiG`?Y&1jge~gLM zzdUu?L`Y9vqU#qNr2&VHl7A0_iwsC9LYepMS+sZyR6vM;tVNz4-Y(B|A20TL%(3{f zhDA?!uk`oX>>Oup_I~sAd7n2uqtH?5{ZSL^FOe)+UX|TT=EH4UblY>?X3sU}S?hjU z`t@eNuN!TC(OTdAT1=Gl_zU{~JNTPtPx=b|)&>7h^~*w+F1fkbBQRVz2 z6OVrOUpS5*f`M)HhuQvr+xqXbCvepnIEqG{+a8Oc|AvK$>-lc{oC~KhsZZYz6XNy zklc-Anmt}av&Waylut_VlS!?H)rLEJk5E_6pg@nP?0m?ji0o~y$Lb`E!(24_DISu?c6z6Y0bgVl!rf5%Fec@Zgq0LM2z7dmJ5RU zPQ9wP`PUm=-!br4*~@LeBvQe3L#sd75=Fk?2;#-{-v_Rg-Qwr6k8Zuo zRkyd6F^?kN00s|GcPj565!Z;kjjWzg|CEB8%xmdkHp&6`OzG(~Uk zV8RB8jFJCgASP)J2kP)FWSe_9%H;pa_+0v;b&TY#`*$>08+vViqw&`dsaP)zqR0dsc)=wd=!ZWjx_U~qDl~<8#qiF?BnKAi&64L@!JLnAzhXFl z-=%`F$VkfL#t$!9NM_u_1*IL4gD$<97sf~E1g)O-v!;HY|F#$)zMX;mPRXZLe+F-a zARApe%zq*6r3a1}xW|6&m8{l(5R9X8T?_1Yfc__ysQ`wo7L!TUt0G@))gz$Vs@-!(0rEz10YWf=C2c9p(yxXThDUnD zFuQZJ(!4m@tpZV!(=a^R#UU=m&Z6Xd*ITg#U|#-r|F`TAZ<3Nk-{z)SsV-{Ra4z93 zFRy~;h$)$h_gA~7_uC#qMkkiOW>!1Od}Cwjr)*N*?)g~iTD}}7=#hq{r)^YwNBMwY z&~Lq>hpYzbzCaJCC@$QBhkP5<8i)Y&!`J*x`P1oU9Qbi96mRP+Nm@8)Z*w2jVFrSx z!O=a$=8xUQcaMcdb4ZBBK5m>Yq?hCYqdHIQx)o1dX_C!oBaVQge-l-D4nrN zs=F_!lz3b5_9?D+*3RTp-zIqPH{WaKF)$lsc%!lA-$ZjA%Z1F(j#*E46@{V(??xR= z{k(8RsGND|Cbr}OaMP+8yrD{GLW=H31FQmtEfY$VSq+KG?eeTyTHMGPDaP&~PjS}Q z(b1gT&m%m?&`cwlZ2o|U5hO0H?wB;o#EY_Fzs%G{e^QTPq`CLQBk4;rstLmO~m?jldC{C=8WO`t!03kx% z*eNG4Qyw0;1FLpt1D2bETr!q(wz(Z0?pH<0iG{ZrAPaK=x4r45!B)@(d*+F;Ta}EX zSE6A@mpfh6$YCl8UPM}#ONO51NM&gNdjgbUqsw`}*EZF?Dk0Cxtl+4oDD8an$Ze{7 z8lC2X7bF|wsju24`n~9dQ_||}p>mg& z#{h>lWYHZnAywgmh!`@A-n4~=IKH2|P5hEd>t0U*!4G7nVoN4JOnI$tdj^m9{m`{e zPITr4Dv=3GvTH}kfYUinK1=S-c;jG*HJmP%>Vbf;6H#7v>$T33n1z+0>^bHJBPF8- zOwk&MK+~AG_ORXhD)+4B6qYyBS+26B5BI{&yRrZWi)-)0A~3dYTB zbZ;`&To>k;8C+-KagQa*kP}Gecf6;WS6YlPfWp?RfUQ?-+D$hIp~TR%)Z`~*7;vk0 zi(%pPLZC*$a+9iKEwzgl%t!@W2Jm|GKbqn*ZcXtG6v92u^2+epAZ5Ma6TZcVTcPwldHJUe<>71jn~u8{f|iG`;1vDt5B-0zc)s!c zLdYU-ug|wicCmIp_Sav7HoWn&&kh@FOT;T1Uz&TLwNCKj&)#7}d)NuA)K@Z1AjNp^ zxT#~!RL#8f%ktH2^s^R)*&U;GMT z5Yxxz{dOz`9qdycMOAIb^JTwGt~lCY$ZFUpO1R*%#6>SI{>o%8Y}8nn_RfAA7~?*NA_5@=|NSWvYqo z2wIUkRvGmyi`loOPZfWVH}#xsa(5Cb41@l?JK)J;%`YS5pja)i zhM4^z9^n>3E*tF5S-#eNP%05~yUuTk!O@AaXda@(m%7f!1+wGZ&+kLDIKT8pIT1R-##{ICFNVwNOl7^|1i-2*a$>F8(H6iT0fkk zpQG!s=MG;EVWR77AN}pD2vDIzgRLWypj|Qk4C~@UcT+4OZv_eN;W@voeF$Va*u=lB zj`Ub~2-Pap@S^RxNC?ZvwdMAqTJ`U({k?)e1ZrxMFJ}IJw2jvfm8LH7bR5Bov2l0K z);%#x&%2z}q-VRaSN5_#x8UN>_}((f_#$fHPx?=C07TvLp7a^Xv-yeSWh;4f08%CS zje$zNj_v?7k)k`2>tufbZQ7w~{HFSP zC+xf^bq(e36C5vGKw<>G%@Vc1&Edz#KZg%`^hU>3ve8ma#4!Dy$*IH+IUF7AG17B9 zD3qGdS>1ajbYLxT2b0>h`b+wcop3802_ksg)EjEa%Q*212LM@}A}=RlYZ?x=7KGj* zzXyqx1S+xPvbE2Tfq|_90B%A>eS>D@eA{!lly
    L$w8@hs=oHAXIxv$vrivD$0F z*<$vH8aJSuniT6GV#tH9cib#l5;I|OsB^6sL$8vO?z>&q>!dU-*nWA9C4zdifb$4A zpxk5H6iAi~2G9t;&6WtV@iuu$2+D-Mj*?<;Q9#!VJ2<}46Mn0Q>Ba#ab=&Zu!P2&B z=joKr(ox;Iu~$@??y6P5IGa#7M=9{h$!yZW8%H2!zz~;Y0eN3KbI&>}#%sHH8-E`H zOABh{4fRDzZtLK`d7qZRm9mpZHEEnQ_gG?D@0Hysgn+Pez2rc@B_0=Ku==G&kP`w1P+i$qAt+Svd;Iy9Ez0;C$_r6>3jTCj`77#NeS^7$sWg06_BOx)4 z028yzOcd)OK+CUj{b%y#fr=14OG%jRah{<>i|<8nqU7rlDl314$G3J?+X^WmMoI*I zXd!c~ly{zs8HKGEmIMVUP^GM$K}Vk+KI{?&?wF#NUU1{~3DKRlNRRYvU8gec8pt9j z-eZD)*q_5-DsJgHNAq5TVyb1NT~gGUJlKL)EFPjVS1S#1xDeg*tjahKbRQv0Mm$Sb8$(x&(gEpKLOI7{v*xW{-V znv#D$xK6{|I76e#JpMHl15R=F=YJu|ZMnkl=Z7SsKD*fAQ+}*siZ9@_zH2o-pH0mzTkr0fBm_f@rx?d;E%Ht_>0Dz6V^aZ!QVUbDDP3Ok9yb#WQOx!l z!8+NrgY$h%TZIc(x$Nyrm48ox*`Vl4db5$f5~(aND;l@=O0GUloJqdjyYng`OVA8O zi2JywKB1P##fJ`zUfos15LIbx@Bz133)8g{0jc7 zwNZyXQl#Z}^gkhDfF4v4Goax|{vR%7fb=BMV9ak$8kG_ed#= zbJy|b$-6@$-^gHBb7>Nfl5WQAKB{}8Jy=BsZ*2`ckWUzV=;q z^+EfD8B%2)ynG_Ds)sWt0yIhq0ab||#001;sA{qr@AZbL2zl)XKielaG8$qXaYfeO zK&kZzPp44CKZDq5l{&plTIQQIiQ0Hqv_eDTIyVpqjjGCoAu{TqaENisjW|8$|&1{DF>F{R8?fm$6? zG$N>%w#+MLMCC3l?3f#Ebn9Un78q%J2mh5pGto4l0_y@)ZY-rPZN zvJ`Z!8&_3to6V(znf??U?;EC4ux5Z%D`!@D1>GETvj2g(OXSsAda{qV@vL)K%HR)z zJ$GcP`*(Ep}>MxmTt(dcP3?~uh9TgY1 z*1g=t%$6e9Z=Y87aBhiOGG~d6>Rh#OiZKy5sKz^U zvFJOM*S(dO%nO_9;QS#fWxnKqiQ?}Ix7LUuz-7-&bq|ivn+o8_&)t$uH>fH;S9MUg z(FPM>uWmt8aB0BR)a zPe1JUl4Q)KR{zBe!x^K}3*$hwQXw&f9VpXcs@xL;oOh<~2h}Z|9V0qdlU;SFHtHUecK>FXf=@e`k|=n`jD1p{aE6iK=sf#i0zcVMN?f~gUz@woWKb;} z1a+yH5*Np8Dx8ab&|p0UQzrBYRWO81d4<&^z74^(c4T@`)S&k=F;D;4p^4h1>iB=p zmy5G86?$VT?En8A0)MvzkebKmwk+P@z3z9YpY#ZxeV-NPvme35)vSXD9{7B;7U9Kg z*5EVVUTd}9p9{foaKmcIAHDo`PAm`j&n?f+ z@jZCtw}3~Y{`fTOO3H%ofyk8=F%!!n8=VCd_1SX&!eVA*6#TT|qwuwt19S2wR&X*t z`qSV3^rh!p+`#C|-d=CK@P1U|k!#^ccE!~uu-3(VA0DG)t;;yngcMw?PRIJ_6?66e zk6*2L;61$c$Q?aGpK*N;{2rXfKd%gx9%t#$ksQr>=_8yIv#K+F{6p2uqAb)?wciWv zVD(e`t+jQ1n@ZGd=9GBLZ8|88wD$E%KHIdo;QYju|HnGFj8K9=6Z9pqylq5#p_y3Pnz5nx>KfT*;#$C$ zakMnls(N9dlzNkpW!WD~>uI$wA10fR&Yexh&f2*agh{jOu>|i*vwdwi zHfab)D#F(cqu-TS_C0hhZOpX#&mG)7`rEZ# z<3+TG^5VV~X{uY_T7Metqj!^{OFf_MrUkH=vrNN~K+V0PzXT2`{`ehHLrM+}{Y7@q z=cN7<htbVCuVVJtoe84`4jU1%E;RKZCz{_M1;1rbXz) zagI%v{ZVl5+mXS}_(NVsBpzHEBV&LqcdE^qed1j5it{@0#Vsp*lF!mUMe#)2b*_IN z%GR6YZyG+v-bs^7HnC{A*TNg+5`17o`q6_Y81lJ<-6)pv&t#K=(ShZw z@s&F)P&Ff+TlobO84KyGV3yo@pe?QlHKX;`h}mW=7LTS}U1t^!$#X!{3ZhWM9@|s0 zH3ugSxL0ZooZDJ@qNgeW;r6#&=TkDP7B93I!k3*a;x9#qhtoT&*w%m@fz361|XS|!?eKP zmBOrx`TNr4D|Tmx+JA6c538;v;K-S6;+^YoLpHhl?kvE0sO&zM+m@YgmSQZ$$-{QK zpzJ%TE7t~pRKEfT&S~0pw$Ds163u*@yL5w#_xjP^9W)_HNGn^Tn2^ zK`>5AOw4ljtG#K|5tD-~K3PpjQraAxfRjBI&DsJi6jXpQrsSj%!%D=JgQw7-XTmwO zpGP(1WHIR94p|3v^lcrMHKrudN#xXe69Ik4i>QPs=GaYT-KH;v@U*c}jqLr`^tB&fnQv9o;uHI{UO3zkx+s z@lG!ggPo7EA@x_kjCBzkQs%b7Y&Q#&3Z>>l+fwUAHR)wC4i)+ZJNyt@xJn zf^FH3?_DEwoVBmzT2_nfdrKI>%8 zU`@>a%hbiOi!a#CSy-J6O$^%2dFA#w$F%xKyYrVEGyK@3q<;#>JKzbMPN|6Lyy?k{ zVSgT4f0IC+-+g@<1BuXCU7Z3c{qk(P`j|tLGk_LE1x?lGF$*Qm(kwI8&sTPHM))gV zhuvD%CkQN2yPG+XU`ls&mpd2`zJq&q$ds~gLhH^?O9atOOdZhV!Pi_4>A$z|xhQC5 zw(uz^^P3JaUCaAG`G;U*_dEJr6g0Kp`}NM3w6}PMmpTvwtN1X)mAF(=D3tV+9O-kc zt_wBi)OCM6Pkm+tGNuXk-6ZDwzE*LbFKUH( zI1xW@X&--8+gxtX6_Ap?ouoh0ptx!8C~~6A&1wd82|3IrMoB60?RR5Qi24*Pvs%*= zu^VD)O{?C^;&*X!)H%g1+Fa1JiYl#n*(E1Zf?}XJp=u)^a}3zMva4uV;nR{-Afk$K zMxG^@TGRVI{Aqh#*cZCBe0C^dVK+VN)56=Af(?--TkcrMY`HU~Y~Gntqx$qZ)oo#> z9DMukM_t2>cIKIzxLoe;DegLB!N)B+wQ3)eNwi5Tt|i{)?lUvPtE%TiW$bOeKTrlPU_2ZUfla$ATKcI-O+0WMU(un@Y{CVc!zz= z!6VnZ?CP0_+>Tkfs^@W- zq>kC@Ue!>lmky8C?b6J0mL~t(U!T}{&Lri&s#?Ag{`ydht>+9F)b900dc@#&Zpo_V zs?E`M(0Up&d=tZ;altz3zL^WU&MI_P+}&u*bQ=wElVsl?P_S)^mkJZ{*6!fGaLZcB zQHdR2mBw>ZZ4-7lgheYk#q0(jY!&p=60%-fOa`<yQ535QOH)RlDPucSl4Zo6*aZx*Zy=ct0YW zgEx}hHWYE0Z`8zVb|<_BY|YR+Y-?htMsGIWZHlY%a5-Wtn?|(;4+k)T&Vu*)K#>?X z@*fFDN81QD(0MACxZ(`aC`$o00+U^@KR;B!n6Al&N!a{fAmXsWgsr>&a5a7uyO@_5 z;iiKZz~03Kr)(fDv$r)z#)q<7qN189OLS7_g55C0n+pwe5zFaTpP1vaf{(7?Ks8Y z*KJUvO+a5@h;<+)Aa2#V@?ZIH_r?y0kFcG35{sW_=r;e3HZn3K`5zhbuaF^G9&apK zwaCxYD}lAdd(#`b;i*3@%%}=2xlTkZ(X}0&C?? z{}C9~WncZvcR1WXl#Ml1`~IyZuICl&w^l9+=^JqD=w_|_7t zjyHE5Gn$6+*FBUkWnTe-YJK*@@aH&DzN`Ee$^8yFwaffY+4eize0K+>FC18`T^?G= z%%ZRV^xj)*FAD>+Z~1eKTaK0svbK5q4F>fr-8=u#zU!0t#tZvS>^l{ikhwB1E`hZn zXLoq!KUn*>oeEWXhvmMdW9<*mUWt_7*5l74`L329U#VLbpgi&myzLfg<`V;J;*~|I z2&SZjnpMZ|E83$Dy!VP{b?!U+u}{%Cea18MFL^-2RJ{XQ;&j2Tsfxm;A1Fs|cKTv( z_7DVeC2`?4(AHzU^51XzEW78YjC<85e@`@bKQp_WST<*r4Xyv<;G5dfUir~A0^;h? z04^axi)PM&TgHi0{j0^Ob$v6gnmbMe*_Ln;-8}X$m!~ z%HV;q|IOn188|4hacFNXCExh-aG5~)yf!&g_vUy3xMr#3@*L}Ik65djzCi^l6}K-UQx^rn-J%UHy6rv z^G+c=M-b6sg$SM()R@7;x9X7$=Hxqqz&mf#J0HZp!0yj?Y&>nzg6(N4kq zR?L%M%G7gSX=WIo9IrvJKKBpX6*Tf!c}zk``BibAxJ>vqd6oE1-)$^w0G*B8O(}Q*C`|KBDZ4 zodfGL2zhlCS{A4)i9^|h$1eEJG6^dZIzw*^qLF(`MrS#O0jq=*a}h4@uknXkSw2N} z4ZXDNdUJkKcF#E}ppcFlWP$eEV~P@WH(Fzk=W9r@ zGzvqcQP*?sbP=-gnWR{bBW01JfDp}>EJ<<1*4)?yU()kG6RLPpvK^7qRLZM$SG3{N zTAb2n(GqS!vB;Jb@`-#hNLWbKr@=%y1QvdGqq&8x;oLCz82`*Imz{E@a8FOzgVbnFTF0&+NiXSF@fQ4Lk zC#NYwQ4;qs1pSQHu63xVFy?JpyBV!W6tYV#&$MKcmZcA`E5hp zu_+f5mP8WK!r#S^*ZVo6qq00^#ZJ~|Kg{~=%CL7zvB!}jt-y#ZA*CA-U6!c`$&qnT z?^*=WDJTZbz-Kb&)?5(QIACp?aL5ih?x`qgXDC==rOuZWG5m!+uD@Nv-6Udhu1+<&AZQ6{Zx$>F2%p|88V zKM~$<&0E15#WMa9IPsA1m|(#28uJ=2D*eU#Y$fX%_*HNcQZFv(&2G_6!0P2@d$ zd4gFWm|^1rQQlI(Ju5}jz=bQ2A6eJ}>mR&P%xMp<M`=SO(^2Z+?j2%^)0m2O&2HTUIHHvFpjBRg<`PwLYg-F1EKvXn za-wDE2Lo@=Iswsgzzyg@|4#uGGZu`Au!C+AKuK;gD)_rCGn@mFY0V$3X{RC687d|w zX-Tw!ZrEB&1~Bz>({|&$CTHBzoSSgFvt+A@JX6SMyCyEaEw_I=Q4X*Flp^;ev+_sn z#S!_&Lh*22Se9GqLn5dNKht4lZpK5Q51Zplr4dX;faMbIGdwoqp6U~Ui<>u1Eb6C) zO2sRdN&3XCVv=@RI7>3zCgXM(o>K?c%GGb`KW6I?s_mG(lS;l95=vfH)clb>Ol~}F ztRcR}5{9njy*URNT2UEREukeAIi8ZQbbaXVG9o0&IU@gkDS?G>Z=d18P-DT7R-VN` z_&dgW&dnP*S()-*gufhmylog+%B1l_{-W3_KbNDXyA(=#jsl**hY3mp1T<=Ozor7X z{%dD^x7q`hA25X%A=%tCWBk3FO=iixzOjlgix!O9aB#1rg4sHyE`@1;CD&{-I;$Ty z>#6nVF=AZ7wtIJBFA5^+>%(QGQw#vhjhatw`JU6VN zyfhiOIIC%qS<}Hg=D>+l(}G<=(QPCXB~-)7?5^d?0vB2Dh%NJl?-^yT8H#>%h-h`Z z7`bl6PT2fw-3%D>_T~LHbQ>t&EauQSj#u91nKJVCKYqC96JQZ=0AB)W@P8 z!W_d?v16_gC6ym{U?LK++rQfPXG-Lhx+YG+dO6Px4B<7k<&5|7qtx0d?n^lmRM`(1 zxS?cone1)!iQF7opX`V&eXSJ_fn7zp(m?o*^1ke7K%&;JBUUE(RBHHa!6bQ8+qOKQ zMSe16VYyJn59`OzeF3w0RFnVf(-v-6b`0~WPVvrFy>w$?m>Z_ix}#&fbA95CY%uPu zSaZVnaljs@sCkc#Drjc=iqso7Oq>LKi>RgNR)nd-)!s)*5Z33JsUFYix8r*y5>k3H zvE}?|flJV>%WW>RnV;C}1Jr8QT*S54FY+ce}F9^J!f z(~eOR0rLqLmw5{q)z?sCHAkVCp|118aNsFbb$c~n-s=&C9M@sztpb4wqz+~2iJ|eq zDz6y)WTdU2=vs`-#Yr^5*x?1lkt8l&W3H7I(oIGAEoGx32_cP6L-4l^{`2ru)n8j0 zLo905PuC?oW^Pl*G73lm<039l)-c-Cl=}QUmUK+ZDDo!NK{UbcsCb-&Ov&!dtI0oK+JG1c6#I&KuB_dxgha&#CCVp_q%2&Hb}jX@gv3TQ zO|)=+ABo}Zqk95<-t`jSJq611(hN<9BkkU=N?HHd&8;yySY0WhZYXGMKr?o?im|p5 zdD~pho^yN5^chF)4>GT&hapt;RRY}MTBb*MoY&8(uB zs$6Y;-7(=v*W32&vlaAMmt4Yne5$$eR_);HP0mS5dQZE~%r=?-r^t+(ZozJ^xYsy< zVyL7DT)RwvYe6-2yRxM?m1^e?!QMk(W=yAeiD=lyu5+f9q09m)1zs)`94THEFsV=` z3yZN1<1ET(g>Ub+F_Ap8B2T-usN{~R!n%;2Ql=K`tP9u*XXxReQU}YCpwvCc9L+Ac z7+Ne)XPSTN`~cTDRk|kKm3+TfDkksj)eOESm3Qo~}!X~un5zm@6O!q>%) z&4rNnM^T`y*CWlpMGr7>Hs^$EkE6m`bp1bgogxg18!#x+i0Z)Vch!cin&!~)#r=PFi0DZ?N2tp6!@{Kq5Nj~rwx?? z7+fppip$Ncb>*KXc!$d`T+U%t%B#Pf^B&w7P?hNuzHEIC zlZ=I-NrWip_;lv$Rb95-J_*}9;tqMnJ}%gJR^$e0oSw5evhqVccwJA6Y964t?eMsB zpOgsJRxfeX(pU2xHA`LXwI1r+&V9JzsgAC}DV2Cw^?e6uF`It$?fm-c&x4O0C9eMC z8Er6@=7={go6xQJG~1AMI&W}djCe$LviI5xXnAZN2;i<65&MR3)j#)p`Lf-6;%LV1 zI!0W(afw?gD}P-M|EtXY*nsz;_LeBrwAw0mCVPLBT^_d0v3#xi!p>;$N!8`_5M2M2 zy&2kNYu)ef5*bt1+BoHW{*~&SroeydHlHoZrmh0IXBnz#@J>$N=aL`CfJtAiDhFyc z$NAVobD#EtH4om~0!$NZa1^GDN9M_Ix!&Q)g@f^G7g9t} z(ipx8y;i_<64gmf0LB_nWrJTLvcl)+YGx)Mv|rMrR}& zqsKunXO2znr4rq1R}z~KjK^S@b9Y0*zA3mMuymd)0;#Vpg;_Ty^7j^CUsm$H0*T?I zyxVnJKo@E5nQ@H z`Q=e6b<9FG#4?l?IyKq=T7|o^5**C#5_jz*o}N%#)W*@H{if}Ch>6U}z&#!0Fg2#> z1)QhR)gVgGCZ*MtE_J)I=UnW#D}TZP*ex9Trha?Juz~V)f~vOao~C3KBAYGf6`unp zVJcY6dF16@dA9ax*oqOw(GX1v+00=0DJ&#_qfl&qYab2F-@VH|=BRyYdcid2qv<>DH-fE-av$-k2 zcy#NAHQI2zlL+YpD^Wi)_2FpMEoBQK>EMOrwAAPlM*cs(-UO?{w)2@TAY6v@f|L^;{uHV&^iT$((X}ccN*7^Qt+uhKT zE<+zS{&(4(_q0#Mm14cHbCRbNthKCD#AR=*tM1GF&Y68sB|i&laQYaKOZzMDBBP*N z!AT$aN18s7k~mZqFgQuDNpESZ?fl7odq!E4^j`iMzi;FA0zjZ z;BesjAB;D8uREnVG{|_jq_#&09o4X^4 zWgnmk;gd%p-R*38f)0!CSAm#^3Q%e%A{dm5uM8i>(j+aHJCNT8Q%lAoVI3aFel!A< z4BrxL6^4adiB~4iL+TGi|G(iM(Esnkzi=pMsr5o-@3Yrq=d$GRvrMci)*4G4Z@U~c zR+A-Hk0S^xy(ywVH7jU)83pK%frQ?oUw0st%2e@==USHH9c$k<3{QefmL}2Hs1q5~ z_lqrSL`xbn8!qX$n{&jh7P{QB^cP`0Lu=E*(lUT3Ey%bI0KMqlU?BN#_qu4JrUmm1_zQ(OZAg>6W zdkcM7GLvdVn@UGn*o63r(%cnNEA_3P$TjgDkse~8Fy3O6oUH@7QB#1FK*f=dVME@T zrx%H-%oytkWM9=xWO8d6obA>KN1hCXE!!ZhS_Z{L4t!%zI8%msocW zf;yM;d4n<2)FP$|rhtU1NIE(pbv5Nz(3|nR< zB*F96bhi-nOM9h7C|s%PzS22>P{TX;30^e-h_d7T$K%puDEnv?JkS>SAMl?>Rf%v* z_-Azo({gQ`|TkO@$Ff3`gj1|ju10NNppN{|F(Eo3%v7P}17KwV_cNq0DyV~KW{gnuK%G_-l}oE-RQHZi zVD@1Ur0|}tbgVFdZI>n=gzTT9SMt@o+71LM&Wmx`Lrl<+GBQ8lA|i(ZuulwlcUV}k z1oX{sWeR>o00m{nHst>Z_e0g#2Y!42WZG2K{DNC?SggCGZ}8eIEZCyzzd&g)mQICb z2c`0(dDCLYPX6$dOsvE;RT$f#L|;r}&S5smz87;2H|K{_um!BR!dbn5g+D<6%!$5M z62kCO{L@Vuo3`)|XQ(2D?<0jNK3K%zKxf7PNUo}kI8opbgfaeY(ZrVC9xn9=Vh9R; z>=42aRX){Y@nYgirBYEE>9@#Bj4P4;kmIT3zzREZ1idXBLhdKR9xg=)fy8i!U~*Q^I-)74Aoks0%2o3MldBqOub>S?Q#_Nh!#_=ZlxkD8YCy7 zUO4ZH?3JiAl}a@pX!>+XuTae1neoT#BX(RKEMTjq>Jvpc?6pmN$nk_ogqS7&)O4a0 zy&WY-P@|}+;tzBA%Zre<*=ler%`NB@VslOg5J(+WEqM_PV_#SnWdnn&LLRv78GNKO z>(nFw&^g17dCaPBF*NsZMtTcZlBbgO7kxAFN#YrkFkUdfcox1>W}$*3>wRt?0`KG^ zCbuerTXAflmb$}Lm%hlZiIh=ma%LA@nYBqqR#P9egM(?(40--97m^Z7-MOeZ(a{D?#W`BYq(s>yhrqf` zIwk?*R#VI65I`(I92MeASn22iMF!*?dYMOcRsS@!VOjOpS_4ysQ0~nJ8#bJc-GpFF z$)N6?0HSQHLjH8c_8TbuZ@Cn_%|$&WRZXm#+|Jovlr_Go_3{WBD;%P4iHZ~RC^4C0 z77ePIF*X5c#e=Xf!7f8sdO`I|C}!e`z(BNuX434KYcDK17op8)z8<)WofJ~{n2hFr zmYP4`r23!QM@R^%$*eClZ81ghpZ}?|jaZ7(1)iTT|6lSYVU>oi#wvfDHo@8%@8pf! zMA(k=@+TM*yu$G2gy?6QUtj_zhJ9U%2TE%T{1ILMTfp3K`~Of{b#c1zH);R{=qHU8 zvzq!E#@As)HPo^^v_#WV%aDk*KiYc|ED3ni1-#dWkJ?){;&u=MlsXimjt)hub1$Lq z)2Pm7{MAA{e$od|Hi02^2cF^vv3;(ITj5`ZU-lXTuvMEdZVbTI55#02>&yk)>_Sb# zLYQqqX31gQ1^MXIVcmqtL;QI0A=Q~b;-v>PE(|IR@MrLAJaz0BFIqSgh(5~1c>KvR z!r?RWlkb#xnp)XR?)yjCOlj}3`)&~brXFA2t?!i-xIj8Lra$Iunw!KlQ3b6^bNicO zoK|Y<0n_S(ir=gYVUn>;;2QrZzj1f-1J^@1hI@HCnV`*eXB{b`z2Jrtt535oZe(9( zYq9Z`tehEwdsx|9d~^)`W4iWLX6f4+d>(JP&oYbI@zPzCWN)A&t5?nea1o=G4DNEANm7 znXvAZC7f)g$y${{EQl<2k zvD)}2N4s{edA+shk=dgFxIIt4{wej=hVPL_a|ORr(e-~7?Wm!w*?dF9EOHx>;N5Er zUZzu4aJ8?-h3CDTFZ?x3n>>WM4Mkja2(#r{quFN}7^t4|arXc~Ov@^Ce0J8&-a#Jq z0w9FFxF*0;F~mo?4g=@YBh{5{anX}CRBT26A-yPfF(#;Qlt_z{U*ykv|B1ZRzD>;K zw-$~IXr>85>Pc#Y?B>3=1BrWH+ysVYg!EV~`CsCD zMeMhf4mMOPv75lzc{Lop+s796Re{nK%i%&Ab2w<#Zeh&uk74@VKHtZ|&ao0@aMEfv9mAUk$86SE(x#uxiLIPb+( zQ>iYcCSbx4b`*(yP9Zvs&eA=%k>C>MW9rZTG*oMz1=)XqNRD5?=)BDS#&4pLD-+Y= za({TbK<(MpMUJ&|c*!^e@a3uEu@YF?g&3MidogklWb-g#({zs%wvs6WwpkL`PoGbK z{=#sGf+w7ZxPiFZ9%>&X^0v#&zyes_f^#ZTG9NLUNQ!5$=AH-!wK#74Mk%t2ni+@S zlHk98JCy&2L#LQKDSnvgB&vqs=#u%4j%Rg)MPnZ>=|jK(=&f93Q}5x0!)VPb@z)(K zk9~&4RmpL!^>GEh6fB`rcz_Gt&hT^hhgS-f+4e#>8kp_|L_DdNZtOA1r|wUzw#^*d z+tWtb*4WgaV~_%!ZPkMStAGtDaLZ{PMF$ntDIjwy>yK!0)DYz0T!ZAGUd1d(oDKcY zn*UhL8X0QCII--02yvVeeKq0|fZ!4aX(mlyG^?V@5O$+IIDUrdh$ z5o4Ov{tkRPr^a$^CMIs2xUW+Bq6nC7BSoV1;Ey_s=Qa8#uFERE5o_@?M);`Li z*<(4V`~y6HP?4uZoT|ueb+dBn<&mHR^AdnM08JdgIhFJY@OuU+;a%he^~?)%Zc6q_X0}@1OYM=_({e#$xIssmas0pe&1qzi^L*b+g z^pFy*s0lHf2Ib5C==2 zXt4>5&Oc3w_jR^X!cNVtrz+9%SvW!|OWgyon`W7dTa^JLE(VVHn6S`H3Kn4Od|r}6 zm08pRcC3f#bG~PLowT><+&^8Qq=EWgPgMespELl>e-POffKu*x?wejO0dZ;M4@AAB zXWrI%g;a8$jEJK$fK^>j2^a%c;ZL_PKk4k=lO>q-O z4*_?gmEQqHLJ|zlbcb1N$bh9C3>gD3dI={ZV4n~**@p7Pcz@cPx9PuG=a=zIAA%mE zT8NPP&GwS?+e)K52f%#|4tLM9A*aB8@=18E6Tmnzd}K{qaRPP<_m2kKuDS{mTfd9$ zhhP8~`1LOJnyWi8Wsv-%xbd3E|?jT4bdYWN_f)PGswcL3~XfBX7%2ujgmN zum(oZY<=Z;LXRplHgB

    0+76VaLyq(zl>O2l!>_@ko7sR3aJ)gr7h89(4PmK`82{o;*=}n8icW+8CS4<)E6G7;YiQ3eza>i3^c5`QjhfNJuM{qDmSJ&f&pKT zO^7QJVe?Yo0H3dL8UzD^&Sfdt1qwNnUFy*RAC>VYa7zzD=k;P<`vZ7O&Zokij#|1` z>D+MB{gu?J6K;Nz!JhS#EYd;QY$eM1Q2e+vyp18ivV7=BnM)D^7%pMi38A1^i1t%Z zjGr7{<^f=Wic}cgtfH_dGs2w!=^wn-mq#UzG?3RY(fYjbAZB}QB_&~npCBAX0ibDW zlAZmur(QarGLEu=hyr_xJYdZh+zM(?;}L4FP=pHpIll}fh=WpVJ5LR_3%LT6Rnxjs zDVOE_;wT$|Hqyp6QfDSOL^{w~P8tM2DS+IsY56$N#6~{VAUxHidSVkXoq<0A(A8=z zO&8Y~gBgr7g`{}teBfc-Opwna><5aqqrfZ6eVmfj#ORbuB3XafU79Lu-uoAhFo#is6m)oj9d zZYazSp!Dn-7S!ab5wMSYE$61_a}o7@;)emr;yY9Q!(lE^E6js$$yqoumedK43J6@m z(0zXbWm7USG7x1ts6+Iy8!Y6DgSp>kf>TI221Th+vP8)*@Vs2SNQEumq@eOH6$9JD zjnHj?Ed|Srs+k!)54oPE#=PRL;H-q0qn0tm zA2$-L)$zwKKv(oq>xz+TUGb_jzAy|&u)zIXO}JD3N#AB+!?b~or(prXz=N@Djg$RH zV+&7HjyA!Hxy;H5d(n1jxADfu;LI9iF(ue`igo^uSzQ`8Dh@3kHo+S?=n%V{PlOkdfw0{N@vtu&d&ItKbD!GLt8M(4PLg#Yo1d{H_243fZ6T-ZyT*IThIoG zaihk$(4T?#eu_L*5^*98WQq6F&@aw(==h6_n%{J_&EhTn#TG5o5SDB?0JZi!Bn^Lc zlET*^H!E1cJF=`V6bk2XkbnWDz_p<9UlRD}4}9g%Lsj&@GGIa9=qH!FSpHfeI80M5Le zS5$qUDf{A5vpK3!G-Wsy0-j|%V&SA-TRhxNfUZUkCcaE647v>;_ZLk@uJQ<(DtR9R z2VlzN1cn8#tKBl;1}TbnkAm+S5)_vARsfIYfN z)>^>Uzk`S$1&4|mn<|KLV(80w3}MK~w3n|yx&+Y^eW30*e8dg}ES|!iJ-f`TAAJNC zU{zd8w$CcCPIB1YcFCEsEjh``opo@i4~O`jG&M&EZXltU%LU`4EL~3eg*hWt^ot+; zGrZO2yEstY20|&5XB=*NAcF1(+@-^R)EkT0uV}md<|wTTL((Gw)G`uvQ0gV+w=@1A zwhg{peII_mj1`6cE!Lr;J@Y}1CRB;{{7&>iG4b~nxi>;CQo`PPB_fxe;CZH}W2>1mlSa@u(%pF+v zv(h=>!8RWqTsWZOVz`z<#rU|A^fV27z`{{ypnfs)YzWXvM{c`|(aT|#O{-xZVo^j@ zLKRYf?<>*2!gcf-P+Zdol*1E0s8Xf(cq_}q)g6M9v9*6r#AbJmh-!gASY0Eg)70-V zhk_kfGQ{)@xuxewv=QnV~Yfv-i+OioJ zAuSsVmaG2*_yP_@Jq#IhVg{Dc9Pb2>1IV=UMu5SHS%vRf9=3?9pNOhTgijFdKuVX5 z1-MnIF#iGFf;w2hOiiV^!6|QKZldyCQv!D@@*uU~+VF?gk61+`lcbLwSY&WqW-qfE zD&5z|1SDYsRQMHMlZBZd1L5o^7wjGGdB*PAT*}8pI&O|$jcpnnzAmItio7MkHdh9? zhqV?n!cpcZs}HymM`f=<+7G)&^spzpw4jG@52NEIg!-B`NB0=`PB^mPoV|qmp z#P*JkE&ei5abGwK-X_>y@?yHP-$^S=G#mO+!@;Q7t?Ks6Ja}K!4hCx(zaT14@ll&HMvNttnf=$p4FthG1&A>u$vg+5Kwh*Sa=Xue5tY3V8PhVF* z>4jJ^r^@C^)7%U_5+oA&P)nYxZ0xYMx~`@=a6=SWAS9S?Xf`&PSM*JY>p*O#s=i=e z*q5s})u>Ed=*xcQ$1YS&y2{_a{YqQ~$Sm!Pi}1~*VQ>(|pS-D0W&6Td)+FTwqyiYJQPEt32&tWU%SzN2D62zlED=`(jG6A~16KkC zV_7)NmO~94XQI?S5l|LWz!hx@96JvNS*|~f?~7x~oTsI*lLU^*1(;Ck<>0GLDic4D zPw+|PE0wY*qFu#6&~)}itAL-8Ge4!@{$QOjHz=SN5|wk(+dXlj7d((z+0m_eG#OG+ z+Ey2p5kvo8Ky{X4_+&9_)>YN?jRd3Kk0UH~!6=vJ%v%>)4>M09#sZosI^NB6ERDfN zdaz%~;fjoyXuVwG5D}X!35IX9r*K}f_fIv(=+3t-IlqKe59*F7p*JA52oJejh$Oui zv0Rv{(b?cq5Bh)c*$Q5cR62)p`f9#EPaU{E@8$xI2|+Zi6D2zf5qIhwV$%s1I#+>~ zhhWJGL9SjWwrGHfU&=K*wy+n@fj}}*dxOz(RsBDgEEQJ_G!1Zs8EuqNuyh9`7JcIO@h+v#Bi`eI`d08D zO~!7Za5`@ag3TSoP2orm<6pTv&^B3uF=AjFp&Xo{%L79!gz9{5u3i*G`e3Eld|5dr zombWJlbqG~62iEQhk3VoeR=GKV{nBsj|}~& zOr)NygR3iwmWNN6hI}R!xgw0x0o?*)0D%7g6#j+lzAAk8B(o1dB2gLC!=cN}q`|Zw zAo!QWG~FEoQW_@gzz5DZq1x=2nw?GhE+i#^cm=*KLCWk=?ab7cA0p3X4a8ABgaBAR zKU5!kr5Y5*p{dIIynuY@*ouTWWn>{}kCD0Gok_@3m5A)=PQqbYU~)UVkxJ|vEOn=U zs?9}0659yePa^uoh{f6*Cai>d8K${HkbExAQREw8PND#Z7@VK5<+(ahG#!u(67W$& z3#fY-+YMPYILVvEIHBe0k5-wxTM1mab4AB9X||b@XSrqWb4*VKbFk*d!VDNknUJW6 z1gsJ#1=Q{&1~kv6!d)y_`o3^c2=7G<1>UxXPX(lu*$ffW9!x;O#Zk!uI&@r7+k3Eq zjWTO68$L6iErS6ms-aU{9wVfsR4LHg)2U(}yH{QEU>bQgCN#rB|Zv7-@S5t1w0vI55n=f?&SRt&V+ zoX3mBBg^(qO^aMkAe>>o=m8I$ z!4CXlDt6Q4-@we(urGa$ZTH0Vqd@84VxTTHHH&h5h-s6?6^PjWT(9|sRBj6?jgbb6 zAjqizQFg3BF!BWS$a%~>FNIUnBwE}(6xw`c@&nD}*!)fRwgLO<k zy_fo*k{+xd8g6%y_TF@V1ltSMW&PRlyr`qv2@uhhIYTR(>VG_{0?-2gf{$Yl z*7~6q7%!>2Kd|89CEb6Zss{j`qpANR!4lXx2eh1km zd(Z`P3O`^1%HNh{R@bJr>$~*17TQ21)aPOB>+Zh@0x0Eh2*a7~Ui-_K`LTkJ_~$+* z9!Yda$48O)(I=t(EY~cIXz4+*}JwK*v7c$@VUeq@ej5K!{ zfQz^nzHjMxtj?^7o6@-@3Nj-@_Uy%Un`B`QjAHPW^CDge?)$A;*-X2~HDDf=pdV^! zyx)l5y}7WEuj1~2Wht1*xv!f$ClQU9=j{({|DyeU{fpJ_X3N_|EWoke6fK$h^Y8cN z5s1$0ogouMgbNb3Gk-GYh*&qw=;A8*&`|!xVU*DUniaywSKy>zc;7?E(+TeUO8pNl zIfE7UkF_aHn=AC`khR-eiLWwP69+e5EJ;#@?_Mz3oiRlD0GQ_t-@NDlrK3!7?9V`a z0G%i^mKJjsd+f3yOY%?Z?y%Na2-YE4mh&v2XMPsCBp;{`-W?CV6ICSH7MX^(+7>t4 z&xr^iJaBIjhaERZq16%nsLt3IgEt1?IQB(H&11{Z-WR1kN9GIWKO7vE5KomzCL11$ zxq+?X2aWyHj-twbfb~Jju{k>xsP@{0LnqlhUz9tlo!5`vuK-&Y3|L%P!~4!^W1Hky zW$Q=}pnhzURnCh#5jz@rBJNbM7aU((GLs_?&hxM;FYL=)VHGf!X9Z#oI|<9<-Qj;6 zYW`*#7CpJv^bG!o2Y>xFeE-rnV(zQ5NS`>7qV58M2ky&Qi#TXnh-%0$v@;Yo6`$H+ zvSjfsN*|Z;yQ6?Y(9v=^S(F3vWk@9NwS5#ADSC@%waMT&PIcnFrY*C`fZ!muL&M_G z%gi#I_}y6GeXjO!64Wp9Nksqop69)G+39gju_MyMT1pCw-Q{3WiE(Zt%k4wPAo>?#>7JJm1cw0xb4qPWar)OG0f zHOT}ZQ`krqj32K~@f`601NTq!ifn!62+v_*SxOa#q@lR>w+{4$!`}yPE`Uy|G1AaW z1z*7v#>dnnWI|LuI%9GCpO&ohF1T%1W29E#XvCya{>=@349;J8XBl*G{$`5D=NzK? zFFQSIBVDL3(E{sUb@w=|{SpgQ1G95QKg~7wU(68WCt>qu^aqo~gvDCyQIXjxQP(hn zE|pM}PlYi_%_qmrUwrEEnw`4250zMzfyN-n@dRfu$^tazuy`pwUTMH11P`|U#L~Gl z)XEr~$a_WWTDD;dfns=rHL<1cBd~vvgGCM7Kjf2n-4>y7JuS=`2qC8yucyy~^Qz}L z6Sk@Y1F$-tiA#o=ejGLsNlH2Naw`iJiP_H_!C#$8ssHU!(QmzA_l0K|t5&oN)Q)xb zQK{kw^)gy?SNa^nges9~D%3J^P!aPet>_bf4jxT)BJUS z%H+3XZj8XH(wH>+S-|0ywUDGc>m;y6W=apvr}J{Hc)eaAy~2aN8!&jX+8jZ*bY3FI z%He^!pE+0Djud}LiFnlxg2&DiA}04qss9ia#gDrRYkYnarOOd|?(u9-;h3@}>W@o$ z7O7IJP-qJ3@4X{*TwmX1u!jeR(P`witgM;TA?6&7X8UY}BeH-Z2*D2SEeBMtok%(M zYJn-h0~2tOnMkq{J07Ck}F79%)0hNw{+rn&r_bQ%;vBqH}z?_cLL;prCG#ImkMZcG=8 zuY3~O$U>15F%9g$SYTejhBc&I?MQ_#oe7LdQoqVA1(Y}{7Kag{7ij`KolD^m)`I2-oRwJ_ny4RIen9cJ#MKeky^V!dLGmerN$# zX}_p(3VGk|lr+Jo36Ro_jzhP^+Ed^Hq$2bx`Qee5gSmPRDp7gR)FOJS0kxe?o#9ro z(DI-~s{dn7SU2`Tm)9gV=H>SxZ81_c)@Sw<6>hH}!w>Q8k|$H65C&v$T_wb5&G#(BcFR4Y(Y2)$2=gAA7 zKA}}z>2M~u2SiFnuf^g7^<k#;);SAn|{Y=AW zHD5u}DghRV#d%NXAYcWBeN0)EeswP=>g8=JW;Q|tdeo!%%ism$e zac$vjCJgKx5Ni5KPkrd{5bJzj;xHbM^k9@aB3C`_{m7>ZEA|1H> zT;A(cW~|~MI5)1Cl@Ofc+29NtN;pf(=adDVFqMzTVx`)RutC2c9|=w~Y{6BG-i7PP z7xtZ;zUE8Q^TlWQz814WyUfW{ml}6SDoQ!nQcM0$iPbmCpsmjV^GbqinGVEYr3C(* z^FfN_OmM4>$90O90o+r?MuLIWPwQyDcCkrR0lWR|@bqZ#tPAowQL5x1SG5^T(W0+9 zky~hV{=Y+j&VeFQuZjie(o==^XVsal>Ku_{EsuAvS!7aUas zY|31msn{9|R$uYsJL|@j^m~oHM?(P74y1oE$37ynRzS;AF=wz|3M~7W`zj`h>#O|TCU8AT+?hnI$+P)}b+;Eaza}-*2IdGUnMg-sP4g$PSc+Gz1 z9KywKas)W<5aOeXrtOP>e*e4|_8N$mu8_y>tF8;EpfLmKK@?c!m zSb8!cvdsP09?KyrKtUEeMI0X*y*T6*THzo>IFuojfr(8`m>rfL5Yd4O&kOm3f^gt} zOyqe8=g_y1QRL9U$rFNke$?w!nA_C7s@UlX{OusuEL?`_b*2Xb}?Lbkps`P9|X!m6wv0xWo^)K6oubEabalm<|= zVgEEc*ZaHGAsNgxe6dlP%RuV5nEkq+>O#Txy0Exn!1#o)mvM&*`_6Kq1Qfi?Q9Kco z-EEr|1OvX%5qn+)lk}&056-K9!DZl}LT%0giH4`XleAs#0s@zC5EitrC%~{dIj`Bb zd#CE@lJ_*F%6YtZtMZkI9V{dpTHPqPsT_W zB2V2-9a13ULp+3|3dUZhcq1myb1UvkxrM6E+a4M35w5F{ANviM9N76kqLHwK3Rvb96e&8{SQ>pSvln4A zCTes2RIqm$f1=1IH?<0(Z!atoOZ5SyS}+AE2?|;sB}dyl&#SO}I(NpHhnb0CP6rSY zN33|4(R#`;ftWn<{={&gv8NE`)C^UrdoUM}87X6y=My`rS*}1l1llVrGh#Gvo*8yf zkq+ZC&nI6sG$b!|=f|W=RMI>7i}Jye*^W5HC=Xlmu3aym5rqW*?A`)acV#77nE|~r z10itx8&`-c71Rn{YCp=tzJ}J-ivBZ$PlPCMMh<>1dyI_%97Z%xJZ=KhGw+5E+wAzl zMD3Adz0~~KswmM%H<1XV?k?`98Md6rKz#sA${*d1P?IiUS{Qos_{J&tVJO2w~ zB@=YkXq>?N*e}fJ3O;M`x?t0o3Op#7u7$w9WkLM~)JW8-9KdcMAv^H&o2Eobykxjt^tE&gE zT&kh@1!k}Pr!r&~r?JFRJq2t1Z*~v(U61Hk0!6kO?|$enyt_sm8D!Qde2gumF(rh; zpFZgn60Y8d7ZOA$^qMxX7}Ym(g>ksjkdaU`38Ws6#VS1hahHi_CIe{pRUgenU7Kj> zGq_Eg#^4_E=adF!_cH0{{<210da zy1tj!{2qU<{zTJ^z6aI#$4Ij?J{Cc%GLzP0fldG4KK;w0IB>x@cg&RJ@WV$k7S;S= z^-qh>ak?iKxLK?7Nb5+Zow@5z<1PQl-jQw5g?IN+84$eUa@O_Y^90!@p@gekg+-VC z8o{E0pMg!n9nvGIiATbnycX!?8ko#xU9`y@uvZ!kz!1jCVL{K;KnnI%rV8WaS7}XP z#RCJMPZWF`+rGNzs8%`~W2TG2oCJv3DY2M1(xP14(f%OKwlwSTA3ZubA`YgYz7{P~ z5gmDEx43Xpglo!cXPVOh>|=IA)Z-&zn2;EAi^r(#Nxg>|ThT|+^xrqmt7H`)`^1k< zRNmSxAb25_++e21NENs@>8R^%#993CzyVE)iUwJz_AkD$-bOlIsx+oUvp(DmZW_6imFj4B)5w`oRhtos_M%l!gd z`3GS%Plt>Nlq^f~hn13$3F`Ch{ zqmI`UOO3*6Dw|0oU_cHLz_8MlKOZ%gDTE7YR&wqGbiwq>?Ghvk379q;5(c$G;Evnm zdhOX4!oXjV;J$$-FqNRJVYG@#`&&%d?Sh1)M!Y_qH3II5DtPnj8r32CjDhc8N@a6`-(1*C7n=bDdkiP!dD zC^Ji_1IUi^ljc&z2xY2qA^6o|RHx$KesaolChQ$s-igzilBCLW-EnS=0Q}ZThE%Q)E3Y~hMOU4e)_vPw40+xlFin(PMpg?huwy#tD8BOo74W^ke zQIypQPaT#bxo5r%v({t;mQtg$x24q<2{BJJnloMOt3f`n$_3lxp!fb;8fA0glafEkgUS!w%HAR zaHbTvzO7fU3bVhHMV`+D+c%Tus&*o`X6=ShbOJ=~M#4bBoDI&6kBR72Wd;|i7N)7j zM+NPSPm1J{r~iV5TG&W)+h}8LuoZt zm5_*K0FzqTmKV>)pf^3ggu_u`{45Oo)STD#!7!PiW2AUTD(G7mkLX3Pi?kQzY+yxm zm08R_isoxsf5+KJiY$Lh>o;^5lmU2LxhNA=^+_jqIlL4VoCZWysS3>vk7|x1FW9NR zu>J{_Zk?v>^N$prXaHPba6bYklN$7tc*#^_+vS;J)S^qLjH|z4K_Flr%RpERjLs4H zAHz3do=}gGC6Mwc=K;`-;P*$N+en$w`|NzW*ZctJNW*^Tl4n!fE;E2@6g3b@-8X)u z;53HJWwMa(8;7)-IIjfA4P?VV&I{fRfYU1JdcIKQZ zSo7o@q)b6U4?@d+07E=Y77M|eB-R(hXp>M~ZXjebSdW%{fP#dQ(xxm;B?6n|a zgD+F+>W$$#FcpDolgWfzHCWA>Necj&0mb`~f6kC}0jk;eYdX$3J^9axNGl8uGdtl( z@Z0}=BEkU#a0NlnNyEuo!v*TDb-m$u6yQtH)7hwpzZmd8q(QQVhRz@GpTGLwXCa?9 z>?~wC^MALKt=CwA?bpGKWvXQe#w<&MIUI|&X<2?6#k*@CfK$cJQ7tc>t9Da5R~fh- zLLczn_xIp$VjJ3x(*{;_!(PLgDx9`+h2htRR*R?qB8(&$*kEw|Fm1z-b;p!1KZ5&x za|kBz2jca^sv(N|V3L>&7?q@png(BY>7G2Q6-0%1z~}aR;7q>0{mDwB++L+=bOgU# zS?B^Dtpxnx8hODL9sdk-9h!Qp4jUNtR{j2zQj~ewdEls%s{%tZ-{Nzdf(7w;^Wqn%qeD{rTjJs};DH zd8SUpu9IEG-aYpvH>7lkDoL(8NSI0>1@`3kJ{ZRz2;nUs)ggz}Y#24LI7^r2FJnbD zM{^C#n3A4c4Bbs_Ic^@x=~XUe0)QNQJlx6Q1bf2Bytot$LkWAeE@X3n05@4Mk#GX^wFK?V?*!rpn#_R3b`(3|UfzY#He%hEl0RY~xy=z(1_JD`?84N{GRB?8(;)_C15FjUNE5g82{FUZCQT-+z|s9} zlB4=L4qywHxqV3DJpkuc_Yp0)uQN9)VLLU^d>M0JM8MWpJ#mLl)6YR1x?hCvLzz45 zuKoI^$G%^rGi@&GE(jc2F ze@l9Drc=zQ6WxVSQ!&Dr%eIm&Xj1?NL(#VyljT~K7_4d9+x8>yuol=PE1t>jCg>x_ z^70uWnAQHDvW{XABOEdcUZx=dA5ni>IN~ks>r9&MK1plx$ z9CmZ|MMg^<h`1Y)Wh@qmQTBERAAVc;-#YPv>hQ(X}3f^sAm^dP$^#WqXfpTIPVNXbP$Z>)x z*k^T(F0i_B#2X1cIlA*i-N3l^aXi}-rG%qdVBCF8)T?whUX1p7u4 z9O8l&fR8E5ZQ>Ou7O)$>y0LYr)c3iKe0Dx z{-kkFL#tXt`+&wVh4vR@-5`zQx)wyeL_MpkxM)JKZ0X(ux;A*7hhNSn>f#@I>o(}E zAshN@_~@DumvtLvQ9#2q%96SMX^>^5ul0ni)UMOL0KaFnRpa4ie4Q@KTX!iwMQeMO ziT%7zyTMX2EfkH5PTn%k7M94jXU433Sc>-iRArC-=5JYV_L@O|q2^ zE?~8jE&+d2JDY9tT%U2~qsh9TEUahwzjcWuBlj}U$C^vSf|LZ-G;UL z4RNilMxpoGfwh+UCjxZvq2zU&tbQ^Kda-1ciG*x)a&_n0KZrWB_&o28H5$j)+k}}9 ztX^EIZ?yEy=FOb7(y#^XQR~mimdbT+))v+nqigbr28qU`CF>*gjPx07ldg56{_C!i zjZ8F+mt4W)ju^%tqHi~}y;fv9L!7L!*=Ar$!j&y|F?rN$_RPoD23JP5xTrOaD;!XID?|iIZk#X7^Zf#j4YD{bBdN1eW4D}AL++|7}GCpl2dTRRhPrG<)3?3Vn z6W1c!ZhG&!V6@ul(`MU!SvWJqgmTyQ#qAZV?1PQt!Zv9Tu6nQS-13?KemDKSU#^P0 zw0+e|z2)nvT2_|(X{)tAcss6ioiW@Nw#mfY2XASxe~sPOR8KnLScPuEo=F?Wbw+cH z0}tHx+w4OPyib4jai8s)&D$u;-OdoCo7Q_9w)uXxcEw7gEtPSR>MRe2T-#q` zYH+vMakIlaA|YUhtNZp{>#{V6ZBDv6Hm2u{&v={b8D{Bz?oYHj@U`!uQJhxR_Fa}f zZ_K1V2bUGC+C+3&N;F(!`>l7tUcXH)k>pKlwaT<(mRlLEK7b=z`sM6L{otV-s$XEU zYlE45qq&bC*~YBOv8KlQwYkfI--8WHx-`Bcp0&~+(pvt=TT{zna8umb!)y=jJ!v-d z)h<5AMz*ELm~HpQy>WbsiwZOTT2GU#v1-Lr<8SWTo&VbOsm<3;>+&}0ZgYKg)pSYt zp!WK5lEeCqJI(ciPug~^dlTp%X8g!(fNT=K!goam$sxl*W3^tQfu$^CeTRU$$X{T?-FxTF5_vIJX+rJ1q@rQ1BkIA+#!Y;;{ z7F*d^SYB9{zn8c5gUML%Nmu7hzTRttO^XjFF^?cGw)0Frg`N7_uEclW^KI5K!8^U3 z8Kz--zxCL^duPUW>zH#!-i`?eZ|GkmTiL9wPIC>6eMOQTyT9AZ+_Lyv(vGWL+qQe# z84*jolk2oEeP-k6vV7xe<4xb3@e6he*{tt)d8zlIBWJrKH8$+|%|eTAn-)wZ+WulV z>AgHvuVnpepJOIDOIKb_^PG1LyLaTY?@cn!<(i$DWwGA19oAhopIh%cyV@Ffb_I4d z7S`vs1#ep7oo(tT^za<>*t&g%FOF=eNxt&YG$i|V*fw)tja`QO=?44$wO_H*=`$j0 z4!6?sdd`|2oVVEz0{_`~VeV&4wnsyN=`Si6VllB7Qu1XDrJio*1RvJF#Ma#aUY~Qc)aWBb6 z%(ZM>Kn-bc#Jr`K)%Ti+x5o6f2`9d#U4`LX73Qs-Nkpl!iN@D zEZfjUT)B0v^%-l;3KQ>jE_(h;!#!|9AA@|)m{q8^?ptfTt|?jXvDUo}sQ_48Qb{e= zW<6PI>=V{zu%nbD|sE@nt`-JhYWb)Dy7oF7s+bGZcx*ly9iMW10ro<@3 zpu$>NHe7>`s@zOEQuk%b=Kf;c6PwW9#^+(nG#lcV9=qYcpB*u{b0gjI^VsZS%foBm zS2R2|p0iobv_88;OLuKRpg!A%yJN9NKb8<$Va>%y*{oP+bZE)HUaw^bNj6$KA5+j> zdD|}0Enk(%h--pBt1~$fgIjV}!+Lp0+2)9eJJ;B0!lviMbw^Bz-Wyli{~kd)9eUE_ zH}+9-yZ@ahkSD%0O**)h?Uwdz;58ch0 zI&|#sFKm0moSQ$hF9YHyHpb=LwG{wczwT#?Rxjy+p7cr^e@{>e&1o-ulE(vAaX-0 zFWQUXglDtkkN-_M7IL_S=%o7VOYbdSP`RMJ(%-_jCH`!4<2&yyT9$`3w6nt360|Je zygD@h`4RVd58r4_tpJ_V7ah!3*i7PdWt(Zg1m5+Yp0>Uw$$ybB^RulP`R_ENJsK3^ ze$N&2-qE@m)kmkuUsL{dHsJ1yq_szk$*za>th)K66#!PG`Cw z1>tKlem8frbtHG%n0;4clWDT~?j^?Gl^WvQwPZc#&o60B6CHP)ylfNkAnf~ln{Q;r zoAlc(*D&6*G3+ka*F3<5d zPx1}W!Buog=c#c0P|yE7d4@D_K3BZj`ZTWfCU4?;&cA&fYsd%Zmi~32^~Kd3Y4O6=M|!_&q~D@msQ%MP7^A#pC&HYw_I?Gf^Cth{NTrj3+EE&!5?_y60mU zk)hqI4?n(qM{dybgou*qiuC>K$-@8UA@-^Q?Ie26I$0FP24fZpx!z)*l zhrccEGA5tjcG*92bMOnYVVXuA=QvR_CD8HSHGau}$;V-hhb3CYj;Tgt6>H0glQuUD z?LXbSVR)<3L_%pzvfNuULow|q=2+mW++$^T;#OB|wXvP_wtQ?^KoZ*>wzVNrt%sH@ zy>O$Bx|6+qyT!E48cP=oZx@Ge47CCr^H$c-G(6|O(If8C+5fe--Zq@iety`22U{-s z8+}c@_JUUT^>)PDB{E=`yyBMj%{_?~d%Hyq)LX;PFB6M{&rKHH{q<-^JI z_q5QeiRId-8IuV$`i^TmYw*%TQ9U->$S%JuVeE{)%iw+V`^+Xa`nl95n0NA+w^7Z$ zl@1uzaMe&`q|v&*9CF6al#s6kZDa9zLVVh96!4Go2-YgzODQ{#>P}& zf!zA+v%HtldfoZ1FCO1FUuDbL>Amj!dW(kD zwtq#`_+$MqSG%s`47JZGU)02ydy%g#!(FW@4n5OA_BgY=>nj5B(*6@p-qvmSDqPCx zTCL55&F6l$?Dn?wHY&!gBENXPK0N8;0sMh&=1DR5n`c~$%%Z%lvk$-76p@AV;VVAc zIFN1sZCm6Ml|j~i6MB;M8I!o#4%hN$UCRr*%81$~vW0ELgRg!s+P-Q*XIs$D|A(#j z4r}V}8@LfdKp75(T0u|)s0^)JKoJBi+loK|fmT5j1X>jkSyq;VQIUYwgjgXUWLYJN z0!gg0gyh5`fihDEQbtGxLj)4?eh+<~_kI3&?|<%_>+01zIXUP2zVq{G2q`qpvN`W{ zD0N-mjt;G-#hzS^Gjo-5SwHISechO5w9fZQ;o+sl7i@PL8ie3}bTU}up>fAzTt{$* z6J*Le`%M&1K)blrq|)N+;II5WmiVmVyM6zfXa1w*h0~4u&TeW~*n1Ra=(KJUxU=4_ zy6s|c>G`N>rI$wSB~wqkp_Qu6Ri{^H7rzPl%G`i|^u=Rck63Sg3(E%U?Y5CLjdcy5+E`t9t`J;g48clZWvuS4Z3R zI`|!Jx-`>G#_LMxGQ;&HCSMujcF~+)g@{a7p7<+v*XS1QC426892RPSIx@X#gWsmI zj*#-**KM{tRP|dVCS);ts7I|o7}N=(joy|T7CTVOxWR^{A^BTvADmw?UA4i(qYJae z#X3>AeT5=lquPAH#`mz5y-l`-?~$Xsmj0yh_Iy3d@p-ayzH*b6(M;v--!Ph$7T=}& zki&c@j=%1F;q$C-wOZ?h?YgeJE7Y1M^9;Lg?Di}*puouxy4A!rd*^j^bL(|Dtv;8n z8{+J`UHUHXH;?Q%x>zHPZRvFMj>!_&oF(*8o9BUgKee{s#mb$z=d%41FSsg-JmtNt)`z0nu4O5&(? zviQ<&wJSqWAJi`1E|1i9ZxhwIW~(=6>ul_&o9-*sc=7eg53b}#WgmY1=k;HeyZn#m zoPS}d&!P?(`7pb22EP=mBURX??u49@Gj=%3vgNm|dROYSZXQfN8pZR_suZnr@AsH7 zOh0)>e8|+tL*wIm{9XsIP2HS_XWlN|y=9AzMYqe@_|M*F-tgBPe)s3U*uQNr_iI8QT#Q z_nVK)y5Ih>iK?~6R(hE1^XdC;o%@p!+|#$CG6ANv=E+z4aG1WYTs_vAns2t*>6QE4 z8gtLd<>c%ao7~5_0zF!hL`2UjKKXIogi*ndlDvWzhyF^BUt}Fr9rxl5F*&afM&gbZ z8gHC(fQI1Ea_l>jYNYKdtF0diF7M;KRmZbFQJPyMB%O#J;5`dDdf7Z>wJyINrqh zu8#P5%Rys|w#Skant`dbRY~iIQ$C-r6%{>Oz!a^s*ca#c<7ia=!Ay&#@ACH#%v>1! zQfxh~BbaRa)|gWqs@Oc_c(ruZo}CNZzc{`w_S7{P*kYx2(iRtvi+M#RCCegT`Ymf7?1I2&D z?~_A(xNp}#^*`t_=aC*>G0S7Qd+Da=eVe`c>ok?6|McWGc>0b)N&mLKHLiH6MN0DF zhxb(xZSnUD3K9C3VvX7@XK~iYziK9$QnpgwM zQKK&H#(_uYHxCgCy?S?~N!@23o|v}!=C>{TcDZf1W3F@2gZ*Y z=?wnE${DwLh}#o&Dr%`tw|AG3UPzVc?og}qE5mL~pUywyv5RJEqaVFW-R7i?(TU{= z6LhZ?Yrc;t-t)y^z1<~md`0JhfAk`cuH1L6Fx6{MIX1X&m$P8q@gI#0mZ;XQE&tbI zW|OtZW5u-BCZp{ZI>rNr?UQvL-%RP6d40RYqO4Jf{5}(a`DwWtX0TSzo5nbito>YY-g9|HaYWChs@w`R0mCl&&%B zn_~Zeel~DZZ!TVUIdzAD6)v*a#+R^h^}qKpKAjPlHZC1d|2CYs{;QkIT5}UOH+h~h z+O*Pin{A_Tb;eI&e`$+4Ev~#?8tZ-F>89p5(_DxdR!F+@JaoJb2x_Z~b9b$K9~ASq z5w4GP^>MD1g|*NFqZ#vhO>U=}I$;IGvnEsbo?>>fEGx7*M}%MT3rK=_8<3$Zhc@!Gd*Lpb(ha&A}&We8i%c3y3AtNks0$J zcH^y;SI+vcKGn(M?!p%@jeeuscNDjMaPOU^myWn<*hW}Ay&XgK_%899K~wlu9aRty)@*!#R}UN^4_8oYu=dLInDpx^8n}kH+lq>;UlF{Cjsl!| zks-#6Oc5dMO70B8c zKk(keKypQ(gE?>gFaMp_QWMXgmf1YtHOeMpH2(gebMyA`pC&q)xi&|P&#&4ZzdLqM zIiM?;b;Hv|m1^{a465oUFm1;#7-3Cd& z9y5FIsbly!OmuH7RPRKXcK)v z-~Ac(Vw0x6k@M*@f=jkGR>r9`n?zkdFWVp&FU`Z-O(RR652o|o zy4kjOU1!%EEOGTJ-BcOxo!@zTgI*33XPoeZy2ne}5>1W9&F(MEc98BI%S(x}C~VPJ zj&5d~+=+at->P@t=$o6SJD8EVb_&OPKY3bqJMCivi`jzz=BhTZefwX~$e8O#O zM;oUb8lz-`-TB@jrlz}fC&z7msnflwZ|7-neATk=41#<-Kkg0ODYINS?_vC0f3pFp z_|1nU$i~mv2gdyvV)c%uE4FsGpL}rBPpi{4*lmB7le+a+wao+A|+w91d|9aRaS~4a!c;UYLnC4=$CSd9ABeC8Q#9huS zZl7q`(XK1_-R@bFPM%R<=iVd7_B;wP{8mR?T>AHV?dw0|(%Z~`{mrNAyL)>BE4_B> z-n6a8dacvMoUpbkDG7-*Q@{Vc+qQ3GSO1c8V*LqQqoLAYjs#*HD=w7gU9iAT>GyhA z-*6wXvM63Py-aLP-X1nHoMF8X7hHO;3MyZbB~b}hkL2l|brD=!Mb(R28Xfn^ez+Lx zjFqoKjWAEL%>u6WxETGAXR6)3cXe_9ZqtLm2Ken-xg}ctpHoNgGdG?qI@s{p|MvQm z?r(9+3LPv;qSme+yZy}(<2~-V^iwv)t`t+ATHEnGXFT=fU%z*8`1SNVpJ1<4hflSh zd53g1+aI5d+qIG-+j`CD3rOLL9SA2aH|H?be*m zKVNvtL;tCMB*QRc`?&*h3z~P}g{+3J68Z6(WWR&!ciWVjCsb;eM1%#5^P4AM8zmsS zojun-s;hFiSGDQ+r2jjjVa5eIPUjXeyza-B#PGu32^8M@cQ}vVikr_qd3Ua+qQeT; z@b^z;_gOh1yNazWThHp78Sb(A`1FMne5TCM%K&ZabCPoRG0F*_?+(NUws zEz$2o_U7xZ?F^`JKeu()`;w$7zkD~fsT@|@`W+7gzPb7H*IQcf0|Q#mjgl{uKT-#J zE_^7vxj9UyIr7#zUctB9FVzcQZs`v3IM^CYnGh~bpTC@S;bVWXIffNy_aXAcjT?Vf zx*M6s|CHuEERHR6u&w(Md(>mY1CHPT_Rj7zI1|Hw_*)W=fI_(Y>)3cs9d^y;NsZN)i9D>SF>k^>fzhCC#zFq!Ml0K zrHR&!_l>fC+?Shj;8CR~R)&3Y+3xg~O-~)|2XgicnDNr}9;ek~<@(>1R<6n+j^{0D zqi=wu3Lk&%&as`+a%;D5Efg2NxePg3s^PWvCo=oW_+SIw;=^}!+7FW}SFZEZEHw{^ zyY}Oa+j7^lP3i3X1Zc?UtW`VXVW)=q%2wlt{1bnzErChKi?}y?{3C}oHFH`^cj{MG z>KPS!TC5hDeHE*#=aJI5^Q+d69^W7t!K-%vot0DcadP-JS*=L7ovEwmZ-!I*XTES32tAjL8r%) zfghR&crN!2mG9n0nB|rp%Y9d@@wfHux_716N_Fk*dqmQL(aUa=ju6~Njrn42do0az zW2JLmlJB>_wXWtP4@Z9)*>rfu72n6YS5{Lmo_TOi@13o8#L+a{>g^guR`*{u z@Ouw&uB2ucEU!ovsoy%)b;ZO_=bG=s_*9=&p1BndE2@eoj(`r8^E*H=nTI5F4 zPR_!^U6qBYp4OMWFshE(;H~+j(zzr(>B09^1Ch9IJ>stYrVM@PY?MG=znZYb!oX_f zSqojg@3pV5c#b_XHWRv^n9sJ`GsQBnxV}zv_*3nZOBa-GA?LX38a>?=*UtLhc&o$Q zOieR;Ve6_|f5KyvJEnT?d)u}7OMhn=tf9Nv>V5a7|CPaqHI00=r1m{`nl4TqHF~7) z6XD@7X}oSUw15h@x;f6{WZ-3hHny2=x)_F@X$Z3 z8N2CP+taxtBq0vFBjy=3UCC^&u}!EisL;CyYG8TO8VVj;@N`Ec>c4 zB;Qp3(A!cZGA%#DYRwgii6Q+<=ti^RCFWgL*0*1C15C}`b3g2yDqfMjaoMijb!oei zqtHcuYdyre`U}TXrTs**k5Xo2EZ1^-7n&3AV`e*s7u9 zz3j-1KR(7hT7PlB_OqF2)xz}77UvMX0RBscEM>AwRcFXIz(#7E zWJP;WkW~k`IwsA&m-@D8e`kO0ooz|JwVMZIj1P4G@GAWqd*a(c`ICcxet%H?&+k3{ zw)TGiF7DW^znKI3+x~ElvuJfbnkL((QEkEcQ9sG!>!bRwjX0||yfaLAb$Z#LhwTJG ze~HI-{5sb9_+p(_1Kf(cxNWCLotzC)+uy9nuCiFs;$e{S&vw^RFKqRSk*$;4JuD}` zy>|TTJN$csx`KOZ3--l2g8X{lU7L#S=j`e>mEr6Q*Lk`kk-yAqFsaqL))o#;TAIU{ z;HD$%jb4Y?ng-f=dFX$=L{@CP)w5CO)@S{%SMB(7(~3XKXL43rEZFIo`E~zs%)0Kt zVd(!^J&m5){)YGWU!J-fadB4qCbqgs&G$wo8odny#v9XEHtyP7hBcoI zB#K4tHN#s|1rBF>4(iNQ!qW2`__!q{r)Gd-KNc^|)8QzS#xk68p2Khhg?qm4wv=#y zH}A+N69wui0Gq?}_wf+E{K5#LwJ;>e5Ua|&+~|!$YF#-KKMo+rpk99EY$Ned(hz9H zws8|^T6e_FHJ))oTJ`7U7X5tHnWql}qit1Pma$4BIy6M#1jR`!801Ony z@#zhTuxJ_AoXyT01P=hZqIR)Rk;xRjjNrn84`?f>|7|vYWaT>|8iT2K4x!@pI8?1t zI+N${xJPrQpI|f`53{g?cLxTMHrlD4jgV#0hr932%ey>J@PIyV-yjcTw*H%#A>=9- zTJhsR5}59t`B{Q0Y!Di;?=v}`v)YM1gx@Hfr8lO^;G?m+UVxb_NQzKKf>851=<_}w zQ6&GrOZ1t*U|SCNbl?B4=n17|>t?GN0z!M`wV5U6niyl8+FA|uMbVQDDthw#mxkS` z73iUjiNpBdHSgTe(zaQ#+pbFc5D&ViX(N~fGw^A#)NYsbfRxozA;5sUSYWBc7Cln&E zQh&Oj8Q9wQ@{vwVzGYFfX!%-cHZ@$grXl}1FpB~tIW=Guyhm0CGNTfR`>{T|o{hJp z+AioNdTWYdO4qYQJxH{3lIAq>CA6zcm5zFmdH`6D=a=4<7yf(p4FZFI^bMA`I&S^K z`hb7Ke|&0l!~21*B}Cz}`K>+TwKaxm^@KL6DLJ1{2U{>w<21{un;j^4W(S5R7Q-S* zNaa%7ShRZ|_*IXo12n9&oX$B%W2L~yPNj0%>8$f}nni)IqsXP&u zaVhCM7*X7HnYXu*K18>DQ}3<-U2WFq+H)zj@Zn*Xaz2JTk@v?`cT=UeCLCxgCDIhKn9n|gMf4^Ty4~NUk%-g_W@nO&! zca_J+|8NZcHz+b>fUin})qinnM9eqaWs#|$$fr6HlULn z+;VCGUTe2-+p_;Xku$ut7@gs3DH$Rd_lv>evoJLD%jdW@I$<#7i>pvTdqNc8Q+oyr z(N$|lex*cs{gVKO*DLYu9BO|mhlv8TVNV|6#Py@vro@G{-8@x%*lHI}(I3@=v~93k zQ#%9m)xF#W3al=QLh0K8ZWe&<$_L>x#fvHc2iPVC_~cM?mKV)eeLhB(uMj7I__bT= zC~7EFNHdCFWbt7BusTB7%@2%+C00DQGe0z1xo>) zDUEUVVXK`u=pLvO{)YtaNohJTS>Su{7O!#ZRagn89smCnQ+eEw?pe6><3Kk9WH}8V zhE%H%egllIJH8v#4+U3n*JdJ8&Es|q3?+sGgeBK4ArpwdWE;-Ru;3o;F}OPKAr!ch zuqOz6;ipUV*VeO2PWcWaz0yJ0iCgVBrer++JcQo>Chj0<$;q;CRNTdlV}lq)4m*}% zmovLw^3DN9W*#=>%G4((<=KBsjdrOff%PIs=`ro?YwjVn4Z^avUxl>jO#VJ1{~=L@ z~aJXBG{_9EGA>e%}&Uh3IfTn~!ju0&_XsDoxI*`i=Ak=%QeA zAs!q&qJj?vJLuUzrQ*B5lLqXT6Ch4$aLQcBQg*X0JX5mMlIPo~6a2l+!?2kzX?(tb z)aBWybFS!QDn#ZIA(cEq=)O3V<2H*9`i8gU4>doG2j$|UaZb5?k|nTjTdDwb$3%5E zu(f?zxqKtAodF2w#BApTOsOGOB4YJip> z>olQG>YiN~hp^{7EL2QN6~!~Bl~;#{U~|1+(9{7RE()(MM1t(>G1|ObQ{^x-mp6u# zyTk+o6(4vuUNX1=U}bczFe->n6NH_Bt(EDf>ZxEM73J-Q!XQ0BhC0TL_t(-|axTIU zDW~N39+dPfZ_Vl>5}f7nfE6E%lc=Yr7km$@8^$sCb1<+q=Q3O*_-T1!0i~M)S24b) zNRd!yi|6py4&3Ea#{f?w_<$}zIKzrZ_4$ZU!T|{Y*Uk^+y3Jnp5>itHhOir*DNf4O z=@(XPONJl_{Y0sN!Y&crMM6lhFJX;Zd00Hk5S#Wf!WUim1)C+e3rr;aEd{gyU-fk9 zgvPj1R5}IdO3>a>!hxSOH`J6BQC63^5R0Oz6JYP(r*J{WAwN*Xh(xNenf8+xkZPa= zP-8PTmPo9Hd~#3phc@Qq6d0-`lWRy^Ijm3w4UP14Ak@AD1bCDM6vx=keh_+5w`m5_O&!cs_#-`Kq}ESUdugaCJRPnBX~d$Jtx>AROq67XU*T z%wJH{jl@r4EY4nX@wL?cv=gG!JY2EY6>w%|d~JPAHxYdP#VJ(vvuTPf^XfsT9;p@uww z$!j97x4QjSHQT2+Z*_vaA+z=C!_!4Cq|~U zi2SCvE_b9MsjcR*Dcq{@_e$bfxll<;B^}}D6A=`lK~vUJ|Xizoz<@FAk7zb}np!7utpbPOr@T3N0v~0{!5$z>HW*LM5nM44>jV)eh zIzAND7z!%cu_BWb@LuG>E#bmLmtlc!Aggig&TwdqTQsQyCL^fY2Xui>KO9RAa(16Li^=Ga}&kHhN9SQt_>zUx^7ngxPjO4XmIGmlSu#tlWKi>W#1 zi4i?2r5IHxKybIXVbA7Q!Da^rcsDdBF;`eU$ySbag13j!M|kR)-rKaAH?Jf*`}Y#J za5|V_F|*}g^N>=*9?-)z$n$g(q6B0q>bzJWIRim&KM?f(TY<{f5szMk_Y%0khC^{z zWdIO>6)4qUe|K|(DB~Fr*4ds#3P4DME3E8w0_u~R0h(OHqF^ff4}8`ApoT_;emXc1 z?BHrQAZk1XpZ)=$gHx?c5y&4#8d#*%@C<%6@X#Jz$mrTQ*74z!eFVI|+Y!SHNeFFz zM#zld!Cw6oztS@xp5?6)w!+7V97Z>N!iAOS3rfinQ2Y%Y<*=GcJ{ShE$^x(=;ROKe z&%j2VP-i6HofDyIGdL976g?u?iyDW;II*}tPj@)6Kouq_RM0ctw?9Oxs_hc^Cj(jO zBa%E_@euRi@4{c~C3Ts}_I^ULe4d#roda*uqGKIJDU#5YyK%yfNK~>;w92U4IZVsJ z4$ZWf!DjvS41)bx2Fba*255P=TT_G-QmFC%uqR_f_;^WfnAyEM5<}m5Fi-OWYAkQo zCJ!sgyV?K%NDBluW$h60s*1Bnr~`}|937N&JTmjESb5z9RzQm5Cl*8%MF zh;Zdxsza$;XmjaE|7Ws2>D=1(W|EV*R@XvIf1Jdv^9x!ITXA{V>i^K|R9bCX*Yiby zCMsHX>K+40U=Ia0FoORF&Ap@v(n7!~YZYTz8-e95!e0M&N>gzIn~%|i zxw5?FW^)hz)m1;H|34dG#!jmp(?mtqCjU8jcE4 zgxhK<$6Gxh|`NdOBdqk>9-WPBnY4>BfXwR@$uv1r=iusD-Ok zs{X`U`D46~%$ELafhi$tUBQy|d(}JbxNkKPFvQiacdpnuT8mTcRNjRM8j-uh1_QO-jae*8zWyWZ=#u?{g;z# z?@)a;?$R{4AYs~w|Ml?&!c$Aar3w-SV_rafPHgwWINu{)l3`s>m;XG7x!zET?Zy^0 zlt?@EO$P0%u^j!iCDPPEeUmt{CUDBdaWp#!DMUQw5(sC-l3Z%Yk=dXg`PR{$p4@x7op8 zp2%)aIqNUfar#0`q>BEXC$uq5>+<<*lLy|y$I7aq+m|6xEM?w0Uv###j+rE21T$}i z!%i6MPB3H$bspqSY|lOZ@5l7bdP-TnZ}iuu)*THub_`49MZ?)GmIxTW@E5vso^I#@ zB(1rO9)vLlQtxWXg4qo6iR`YDqW)hW_4+ZOtYz|G7aFoLd4iCh3Yks%aQn_DNR2X8 zh^m$9yIny!NIBo4GO*dBD9iUkr1P?AI12y64>N{qFQ8tA z+ff!(t|@6OH|&aQdr062p8FLHzYsMGYS<*7vI&B5+6Z6s*)gpz<$#LXGgL6R7J#!j zVdK3vdqHiqL-`91kxi6N!TMTr){`b{*fhHzM9YHD9V*z_+q_}awo-$mh0loPoFl=0 z8@uH|^4#*Z9IjG?0v^Gxif$EVw&a(g%nvw$#*CZ*Je#6~kUm3%OB0UfS@gjP?;x_e zB^&eBk;+Lf{&OYTbK<6)_UioES}s5q2Wtm(#3kwh5mEL06GH2c{2GLh?H2)Uax3U5 zl8J<?1B6AK1B*_$1%Gll1 z4_ZMk3wEv-C5_qVGw=d$uBfT;Kah`r+H)^|fx956D@s}zg&&J%@F7*;+zoDE#W?6M zh1IiSL?a#Y3x{bz4KL3gQgCsDnNwjw+e11_7-C zZfXhMX@cZTnnJG0=a!rJ2ubKV8-%yC{u#fxyNhW6!YnMTw0NW(g6Q=C&m>iz_(M#29s2E8S*A2`-;xscbhmZ zpeR9rNyBDP%bJwW5X_{4SsNHymsgFN>o0X}=m5HRilBPdE}?xcyi#jxkgzHop$-w( zCkzjtuysP}5};(-(ejU-xV)J!Z=DUtBNb9Kb#4{O$2h`DCQ8O?$?qdu<%kJa58_e4 zn5s2DEEIB8C^HPA;D|Q~DUj>){PJf9Wm4E7&qPb?U8*tq&bneLAm}G0d`vo^8Ju$(NQ8>DUuJ>XsiqU(Z>P&aX2 z*>rZAuTA{$Du4?XxxQJXcCKTjCz9k0)ZA-RPz8~`DI_llZ;i_Z)DR*du@+P1Y+VT~ zJkEdvv#&+EjR7!5czZ_+Uz31k=gYK8n@mMd{hl@H88Tpsg*IhZdmT~8;nA-K5kw{| zye`aha{f3mH|dlqQ=}--t@=Ir3s75soHd@kv^yBlq(H`*S;oo}kFkhGeX0d^?)bLx za_7jpOH68)xh!Ge1|8+#kxHh@SiIMWl-?N20D1$IMcN@%ozK&>T9krQC41nO17!}I zPxZquM);D$_`T2QLr^YQB(se-XDi0TooZqE9jbN|%I941n72CkV&S})R44g&Lskw53zh~@x$)xi@( zg@X%i)Ki-ZG;LE~Z$x?Ddj<<4&|V6Nzh&LvQSzgG6Abtw8c}l^uoD1Lmd}>kOVLt$ zsVI{IAZ;d!8-wo?mAy56D-`WAp>8=(`%Ip$aaD|GY!g!d^RJK}_(9%Bp9ci@RQS*Y zlyQNjr8o*aaScT@A4;uA^)Y1zDUu79>~dng$sf~%sG(#OptX&*35Z$glYry^R5)`M z@@{xM(if=Qo;v-tlkn#hz^X=%>23r!f@4MlDiK4AG)-Av0ri$SG4N~;)cdM4Smjyv z>`W-t3Mk>^La5rz_q-ecSoSzP>W5_Yg$l+@9_$RhNgbb9FL1 z_|$F0p@PxW1Tcps@#5wW2r3&URbXoFKuL_g(8g&{En^88N#m>CfE-!;CWGKy4dRX< zsi1<<1ptOnFuKK~oU!ICDf%Qs4oUM%NC`^leo1;$G@FbzcE-cIXQ95+$?+^z2TmK3 zzVP_|fb|R!7tJF$ze&k9t$tF{G8Goi@0e*?9WTPSQD9jaNhoJm&q?y!k^$Oo-+-^f z3rvaa0`d$OVMxl|`^UAe1G;Tnw9r3@I}J=PAY(B=ED{u#&jS(#{X{Luepn?Z!xQLs zvFUCJ<9m3ui=Qv&r{ox^kd|HpW|w#fn?PH{lK}k%H33gb8$V=D2QG}vL7^yw&DW@* zH|Cgl%0fxbY*wyYhZqdew8vD-$g05M#{%-P`Q}H`A)-C+1h8e$x^FjrdP@Vsj;2rmDK~u-@*1b#-wodAL*Fk7ezja2%+9NhPXMq6P&62ekZhWT zM9Hm_OTcpu?>{dh>IKWgZj*3@OnK!%j8tH_g@g5k-1VaIeo;nKRt;2dh^t-b5GSYe z*v@PrH_jJMwz>YMtZm8g;y^zQ{@hilP^0d$2@R$lL~!!ZqH25)T)Lo^b~-yOH2{3l zECS96D!(IA#m6?^ph>awP><&^5_qQ@yUYjf4&GqE_lymig}bI5@Qu-e$U~8|B(5qlm}Y zwdp-ag7&vjRUiyNjZzCxJo6e6RHTk0_tN^y9VB1^kV9GYze+C@_`9J=+_Y+NNMOeh zE%M*fi0qM4C&y&|LqcLp=1yZ_3R~v=CUQrv(;9k zqFv|`Zdzn*n@W}}J%-(m)xLq$}|1$<(t@m~L zl;+D$-Hm2gvSzs6+R4qI^wy59@G^7)JlYR|tM@1V`LBLYt&w9D1{2u{pX!JdX9!Vw z%w=^~-)CR2WC*4YvY0m&#uF9}q;uDD;5PlQxWwZfxr^Cf=s@b1&i#^qDyKhjc z06?}#-&WKEeOsXvk81e^VF_BGa?-cD_2_@-+jJ}J=c@US=S5nz7P?tvX!`%p{=Yfe z{~uU>PWQ-ImnPx(+ytXu*C|%HIt>MJlK{kh#f(scJ9}z2`>SxHyollZ+jSo%X+%?# zsn(@Cw$>(nO-+lZnO8HeU^WqHc-loTjClh!79~H>Uq~=f4D(LSd^UB9br`%r$r_$| zRPjfub)vDOxT?-cX8KV=+X7jYoVKvcABbeoD+W4WqVi+&RVdp+hwb4pcSRTvOmi}n z%Cy)rgoB-*)sOrplQt=J+sKyDuZRzCz5mcWXTq=99NjR)$Id0Z5$*WMS6?Uq{upK7 zUmetECJ*@4$j~(r=aEE!)G#?GB}8G3pklg_5XUL%;8zScl2e%&XD%zQpL-GoR|B3B zeKvU~nR+$c^v1Jj(D8A+?r>^H(>WGb_SsY>;l%9^GxlYoGZX$2%{fL}sw6ol?RND_7nYokjy zAwhBcz~neYvnC^GsE~(HY)`fSF423^{Y^Rt{$*bLigl!&aTrtngAM^D$zAXOzPKHF@~l;E8}jGrK)OU@A@J5iP~{ zhHYc~g>){zWQP4?ilDN+x!fxXJm-q$$Dil4^5dFYr37c%XT7zO)}POcbWIXk@@YV; zi%4zyd8^1I82*$j3Rs)2j0ZS&!=>RwpwzedrmM5Zv){nsP4Y#tZ;%u6`upF@fB)D} znjc>S8CpNBL}ya!*d9wqtdsdPaWKCsRV-u-A^R`;3u}0UC>{fWsQ$ti!LkwL3xffT zQ9RWW;HWc6M2AKJl>p%F4z9%;_>f9LOINv&)(PEjq=MlO4yRIpKpHtl^p|{!<*fhB za6gKsyoeT~9a^&47HRYt2q9>0v(n3%ty!En_&ZcU2ip{vtCXrAuP>ObO$YZMz;40) zXVwvzqg^9j7-@%7+LrsiDUK?jQ+2Tj|KXd&-)C9{fl1k>{=BLpca{**mKeft z&_AAp!z6?O^~rE=O(m+`ojZao;a46<9X=2#kS$Xh`dYFwgK8an#R&B>4;2?4X!@BG zpHdIuXD7`1j6nQr%M~ z5X$<>qhe9Lt9>ky17^C!qIs_2qeajK843J6QB7y?=ew3O)`%shJ~ zTpg(+|Dh~uVr`ie@`E5$0PRIf4yW(7Zzy+;6BZLQq|j(t^x!}fsxGMq#v2BKURUk4 zJ|YaQXbf6riZb{WHJ*;WveaCiGPI8;;a8N61w;n(P%5X7kUk2dQ!rc*(Nhb}l0`xE z0y_!KL*$(HoqNaYE~G_gYX_ka#(tv9wD5dHYL4khCWBu+C|bx~=VxL<%r@znk3%Ra zUFJ30csbiUIcP^~UT`fflxUw2fkcK1ZVmTmdo~Pr`ejP|Ne)z=C!sdc133V=O}GTGk5Kyg)IMgme&SSt zwcUtpWA&b*g`V*02eIiz(8*C;9qkxyO--L^qx^;Ic{cIL{3D-Iy%VbBp#?O~WIJ$% ziKG`COy8uBUlEHp zbOb>v+?(r9&`~BER2s&ic81EEG6F0!Whn7ep7T=zj1kns+tNOwwnTj{-XWVuQou6@ zUz#S?Tu6}TtCEv`)%^6~&+vfKmQ!0}VHiVDIWOi{UydLWzfF&A$aPa#4*mdHVjPRX z9DjmPg+xI`PfR9qEsh%Vxa#`(yUjVBSfBnpil-Kyr)xF__`9TDu%e)S-F`vE2x@Cf z&DP=ht`81NZOM9u4in60>kvbsv%5&HZ_NsUNt}j00X2dQ{RXH`LbbB;a?sZFg%njd zcyY_Pko9>Ss*#LyzQg%d;&6QvDAwfE z1VRiPDxi&cTBHRrN%pFFNVk;T0@|}4i?jg1B;zx}+*CoKd3ublTrsmiL1)ZNXmpYx zNsWPW2e*)6f=p3yu7X9n6kH`I@n|HM@MsW7&bQo8?-!E$T5B?R95#gQ@T((aq_rW2hcKAvO)$@| zqI4NK_H-i*yD*CsQBq6JtpBbTav0(n4)Rl1atK-S%d)sk=w*i4i;7g@{>lo8zndz8 zf`-XXpn@&}JeV@)d^oK@H91}KYHE^SiYa#s`U2EPE(~5% zjRtC*MHhkwksOch13lQY8&9c6&~=0pI1HjZC2dK?wS z=7ddNw9E_&sZpEVcMotis1fCT&^A- z3Wu_AA#2vBF%~U1j|Em`v zFj7sQ9_dNw1yxC1ir)->@7M$(df+aCZI~`MJdYO^h8rfIcd#b zq7hP=yg552vA4CbU068@T^m}^f+TxuXE^dXL%F*1v9X_7%qE-ebPQa_m>yu%sP1#?~2I4EmQ?|aXc7E^( z>&w{#5Y)=<^>~gEyN(eeNe%sNRVjpU9#u#wAvkiR6N06uvfY?-kA?J(GLl0ONU9yg z<$@FP<}P`!aJ!TZb6AVDWxAa#kZ)Xb(b!Rx1cLVO{^^RKr$7(-6A_*x6Z`X_L#ST7~&93*M_1`-cPbgnb?`SZZ5?IkrjZcY}z%{NHS8k7wK8s0R4Af-8Wn z265BMhcI0F22*W1hd-XFrOZgz^Q7pGWP};zfxKvL^h=bYYxUI}$QB=eFm&Ti^I~*`)nUV=K$Z_<~rtGv@cLi@oSX*)qR6XbcCme5C@upnS<=?GR zGGS;(RH7-zzaY2rZ+G5B)N3KzTUYc77xqU%R^_zkXb~EKKlt4s#Tr_9x7($*}kZd@a~-5`<<@ ziOMgl<)QUqn8}pEt=Yv(1gT6pp9Uwiv^jeVS|UcSl&~{Y<@$(3b&Ea8y>VW(guowFNBQX9#xWQLRQxyR zdsS9{WN|WX^jzj7Kz}pQiUa54t`l=D&#ciJBt+TLB$&L(bZ9SvusoojZ!@moQ;v5F zs_7XQnpGrd(y+RB&qxW(k%csP|0}hiFCdPZD1Ak5?1R<|ZAQ>Rz+68VD~ya1R7g6t zilm72?4bTya5xQhHP#vKuZ@9sp6O`j$E|T)F3~mS0$kz35TBkz@z&vq(T3saczR;| zHSk7IYr0Cb#nRjt`=RXuZD}ewxkO#5WaYiwQ|T%TD&>=u2xc)f5B!irL3bFCYD$I> zZslh~x42O72K}a#mV0zs3cC%UMgP5ASUJjahi zVbnW;PfdXsJ*+5iPlY7LTVt4c+S(ZFB}W5 zbc_;_HZv++CVL81O3?y{WZ&d3$T`2-ABa``BMT-uM>`Q+Ae`+Z_{4w=Y2INQ!7yNU zVWg&`+&O-KJ7Zh7d2$lr^sECV*Nq6W$%ESl%2N>Pvk9gZ8;K#)xK|osnq?cwKA7$2 z3Fa~MEe@BH=|0VA!F+lRjHS!K;Utmp=^~I~&4I@na>lCr2&zDFRn<=} zCb-?%KaJA(j4(=xW`k2KqL~Tx#~~cg=+krm6h3vdG0)VWROdBlgJ>p44;9SqX`_U9 znLmbaoRsVK%o?0$y~ycQUV%nQj!sY~)_GnKDpj^Tnv#GCNg<3pMuuepNCxpRVH*N9 z`h*@{Y$ow=H7=#LujJ%Gurz_WM@S8$xX;cFZ_0#c3g#$Xn4N$)9SodMKPc;( zRZa@4pd2X2U)4@lD6SrlB5r0UY=dx||J(QkTvayVsF?c%go;YL!3fBz>vG*hlO057 zCp`Z)Nk}}D87=skF#~F9xN;y5I{~_3PiQ2B@+g@eN?H8MR8| zvb$gOr0{Fw1K=&2+WIr4%N6SKP&{^!6+*|d_2+7+HfQn>s)`$iKKwJoZ)Y3pqLZUr zPS(TfUh_N2m4sA;N}C#Kix`||<&r6!JI=v;YAP?+4a!=SEq(&SS;4QAbAm7_a;PjJ z7BJ}`P(j~3kmS{-H{~z()P$>g#+y%EgaSor*Gtbm@eEuFR}Ax1Kw2H^h5Z@EbnZ^; zQC#6e`SLJy5WKGUsV!F@fR8wQv}l z?dMmXofoz$VBk7P&kV{I`!l?nbAyHBQfN{f15MNX5UbcJx@RXx%%`QwUtzlS<{YoPO8->H_WB2{AB=>)%B$_^IYFJnJmx%u_ zi2HogQBw^FrO;%zOF{WqZl$I@RxrbIh39A`?OmA&+iBz-R$Yt)VCN?@?wNsfz z+QO*1;cw*4D_6UW!Hm}5ox8S?P+N@bh{9-+xH|-Z@Ya_#TvZ}>g$jC!3)M>sX8j8l zLA%G9d&1t29%tqx-n=oc`dNMD4Vl{EEDhy?x(8Z$zqNp|!Z^T4R-HorLd(xS5ldJM zpni_~FMulk`wSG{x6YX`X}X43Ww^ellA_mmc3$6UP}gzyEN9n_O#f8BOB zdvFYwP;HpU^S8n94!ymyxS~@s5vrkce&gz2cOe9O{Kq(wQyrAs?JnS@XEElt3EQ#G zL#0w0CMvA#XW$}DSNB@`YD!V7B>SR44*+MNPyfTTNN^nYyg=lgONuAQ;zb{rYF zwov$`nXtdV>mS%CVC)cNmp9%@=2t)y-51uPgb!dN?+tprIb-uMzp0roUdVS@%wvUA zu$71tn$uv~O_qw^;1JsNf?}1@kkot`ov5~jwG!9a+J@+V@-|50X0-QQGZm$^B?x|} zpfv8m?%Y41k}XRWJo+zmBCVIm2?v6Rg5_i=VE(?5`}W*(haZOPKYkKCnkWyW$w>!- zc!(Bl(r4%w<-s=UL5rqWMQt0P?-)yZ{ky!Si&@TQ&s`8QqWEQJM|kH2Ro2#=1w2>A zndU6K8Q02ZH@9;*3miYF7egWL7*w?bx$!Y5l)E*XjZU!+wG`ONEK=ZY%s{Ifapf*# zncHjxEH;k3fm9M5GJu+Egg7JTxm{R+3VQqW_{0+R%#jy4(}LlDQ*h~mO@Q7fQ`18T)(Dgq-2^tPg-h}^1>B1`Gpw)!5vc&9)gbYiPR>M+! zm#Ji_ATYHeg%Hw$Aq`~tKi{->?mfSM&#C8ND~9BK-|zE$)}Y)(X^EF6X+ui^D68fB z;{&qwJFE(rbPtRbv^e(wgpsD{EG9F&xy~k=#ShBOIA4iVQ4y643*$I>f+yBd%T_2C z6t1w}$y7g|=wlsSZ?XW%4=a* zBwTeA)DTONPnFHyl5*~+?mO6fp@YNM$$Ky=r4rm3x}fQFw)Tr{pDts08bqYHU44_Q z7-wBggj-ln0K9#uQ!~=vF%YU-kMR=z*uq^v!aIivXUV_+BjI&1{eFZjV<4s$ZQ{Go z>g^r)%bX=H%hpj`U6$>oto5WU=Tr9naeR1q9HB&qJO%+TVI~PwwO-Rhv0EztC&KiOrG{O<=->Mm(do$Jd3(nX57z~7kjHbqPa1DY7x~RU z<~YxgYQnWx@=nj|o!HW@;v-~W6J;g7`4im#IAv!wSnHz(34al#Yyf`^CjX79t8juq zp|1e?Z$UBG>bv>e34?tB@AX@YVqkW<#}6(F@t^bdw`uPQoE2svE+4?O5Dp*CkN>}E zfimCC`?}jo>LRM=b!s$q?ODq1$I#bx_&Rkjb%V#&yJ%M6!#}Q3P=9>`zQwcuJ1fxq zJz-W@HtptY0;9aK%4I%$=+SdrUA#T#cwBdx=L$OQl`ko;UvqhT?wl1K%U*Sla(|z) z$|c&(^|Ht6N%uD&d;ASbD}p^d{yWB_dCH@V5A9%7_ZeTGzy7k$JA8hn-21=116mv0 zyj%|WmJ6WQ==iVRQP}~gEJ)d4^v_FN6iI{P4!72Km1|6Zn?vJyh3nda)E(BeO%v=T zg)xqqRyD$1=CI2xfV-SJF~0OOlcNZzdi(mWo53!(cKpT4e&sl2@jk~jEhXd~d*u)m zW$&|9|LUdg6|Bepw^x3u1m$-BSLa=vpY>18yQF(^WIHxDUHqxB zP|{u|{hYESN7Vjh)8{WKQEkn8;KQ=PzmWgG4SsQZHXz;6O1+fRw5Q(wTCY!#X+jvP z@!f7~sPlx4UoKi3o(-U9{oFO#D|3gSFH8eu;Y3FXc$^EIz&yx%qphtRgJ#F((b)z2 zUBzi22?P2b&ReS)SuReD^jz<<&7AHQt_{8?{R>>x*c~hg13<&gQkeKOoavX(&*^S> z`_CM#zHB9?&`yUZ>SC0w|Av#=fFyIDOdGIUwbpyX6qlxWrsS}=C^l( z)M;AbkWzP|9^9sAZf4h#XPpQ`UWwD#hpW)>o+Wu{f^c@4wZ5NDwaUZUH{<>2DVm_i*7! z(qie+jZX=DB6`RMW^wI()nDbHomu-oHel#O*1BJksXpVr-4t67hJpgcFCeSS)hvq0 zBELG0z*Bi9>OWJe&P#NN9lJV5q=~U4W-^wGCZGN&JVkHogHNQwF{Y5I&gZvYwFm%% zZwFj=wx>0#MJ3|z>2!dgGCd*q+GAnmzcMTF`uk)cEZYh-5~TAnRdeQ z$B7cDPtm0?M4%4i-g+H?*Tzz>cK8j&>DKQH?L~IWbSb}w@Jt*g#m6$Ra1ds5>?G@0 z>M=s{oo@MV8`v^iNKBZ|f?yluF~T7!Tp7lJ za;xqZapXSE8yK#x56$WpX|ea74d0x*$;phn1MnD{%SWmnLlJ9vIu> z4)1D_iJw)Zx0TM10}Zzw6qfl=3Im>N82Dv0b85L&<0!1Z&5PGL5?fSfX&9F_P4GYr zdEq1Cl@Yk`VKHZple}ytK{0IY9V};)BH2c~!68`dvqlQ}-n*G6(r{indo^25h*EN} zm0J;}MZV_X7mz#%@Sl+r$28BcY=!9P&pNHhacIJ&pYp5~~*_>h^Aos4DG zgHlfQTt4S0F6d{>T{F}|cnD}}J-g%MwuB`(XYfiJ2x@5X{84NiAzDb@B%UlMc5!6* zwj+wO_4f$@jN@!^3MS!sttxC&UL1f9V5I#3U+<`Ckp_Wba`rYBI4R6()xnu{3$-hA zQw)O-q#yyYX;l~anhi=_>kf;gS(dY(cQUuop}L>}cw%O(dF0ukz?xHNA1nP9%nPR+ zFRJJ0dm1mbVEk}1=2lG#vqCG$Lxv)<>w5Nt_Ja&PXYLX8`=I-zs?!HQZv`&+h$g^D zcQB$4O5xesh%X^ztZ;K5u+2I}u^yg9XQyAzX_ULNy;BDrs!&wQ4U4BWuY<80l@DXW z>M^8do$a`g3Z$^%PZA z#cANg2O8Dr%7p$&qk#}k8Oj{3t>AlZX88|{3Yp`lmGH)X`cq|9u$~ac=V0GYw7>Z7 zfM(Dp>tk(k#49HPb#~B|vrA%Z6a9ev(~*RAV}ti>2fqjRPa7sS8K?0hAndQLMk{~6 zsvKw$9^lVVp@xj`I4&Mt6d?oG$&4Rp^__&eu+3cg5k19;n}MO8?%e8&PfHHZ0Jo}9 z12|6zIVWJ-9DqZTGeo%S*qo@uY3w~6FqnFytxOU-LI{HM)B!_>7%_%1ur-)+8C2Oc zagp|=s%tHA%s^ZlmX~`q10I?O#ecF`)B7kNhZDu@XMdK;gCIB-)8U(67_EzZhy)pc{Hb_#uo0+MFoI&4&3EZ_&y?bC{)LIWWl z(IC9Gj;fD8nQJp}pQh}FrtSfIC!TatE{mCPUq!!Hfs6+o2V$nVOoX$Qd4lM)!2` z{D7iSMmq)JJesk6zPkppSlg@j1r`@5Yr^UJvzs13#g*g~8m1G-dc7_cUPLscLP%*7 z@na`*XXRZb2V1$@ot2zUYTw9?(!m4BXzmhZ8AkrZ$%w0Yb6`yEFiv9P`}S|MC(J#M zo{=IsdiW3GcV;K74fZr6{#vVP>u!1xowp~sqIfo>nwp(iB4%gAer(V+L!nJv}zC;Dnf@#(4A!J546 zJ)Q%SN>}^r0Bp}?4u!G2=e?2cp_xW-v!+dG_k}vxyPozFcMJkU$Dem+jxUz=L5h>~ z>BYAD#XEMJ^DvQQjnObRnPhl|4<&R9#-IOK{Y@MRuHWp`=8LmE?6s=~sNs#bxesSR8(GnX~$L9(tLxm=h(d0E?z_n{#?KET`#>Vsmrc;dI=~|AqS>> zwAA!E51(HsJ9m3rikz%p_#w4y6?I_&bU~wYB7yNES6qYY_noAt#G|+k&P2Ht zIL*WY^_*r7idN~rz5bs{9@gGY8>6zmL7e%$A6%TAdDrbb!92}-w?$mlTFOhJU2bE` zue}3x74GgLuN#ZLBujm&4SzL<=0-!lLkxk59&25zXG90w-V`4&B-cyeW zKEY}ilVae@MLQ0IVT!U0_Y+a0X(0EdTpCoS*HO!87_@OOZX4(*(R(i+z$E%bk@ghG zlURCq05*!?e0xeq38Fo7fPjI1Yn}a-(ZHgKglGiq3RauSEi^;vw;2Ujt+qChvX-H9 z2wykV@nK44x8T#2E$wT+SsU?8t_a5(uc%b&RinTCR(zSj<{s222zuBQ;jZi!-dF;A zP1ZnQ;g?TuD1Wk)VR_PZ6r@(h8y0gnB)8LU;o=B9O#)4f1n5&Q4Rw~#&QZVL4;mcr z#Tl_=gWlU8#{~LCo5u=NCCsIV21V;xz=|mkjdzyx{d>d5gWtxkEH)C7jO;zG16kwl zdWcbLAn&Qm9NEf}2P5r_wepwCMb1-|6dM4x&Q?MnVBb|Mv+?q;gy3Zs>HZxlk+nXX zs#ZSEVRp3kw{{#Nxr*cf*2l1lB9&kT>m6y>8Jx-s^*MD*y;t-8Flg=)EzA{DO~!Wi zBH0#P#DzDW@yr788{iryYh$6R8cZz*7c7=_6s@-T2tf+0^NVl*k(LzjLfL*&0)c9d zS(r!y=?)-~MiO>F3{L&+YEXvLfwmPK1a|~Xzsk6c7rq%_x~8Ehp%mCL9aY5P`#Arw zp-a>F#r9|N-u7<{_lA2Uzv03JkGJhi)yxoPk?F>GJslsZCrGz*pvMm6=$PFf1q|WB z!kP=`rUow4ayU^vmA^gi9C6^E^~}Oww^kHA3}msHfK)P%GC3~HM-&lRw@>fG1NX-P zXA(_Ev3h1v3|d24gNuyo)DM}Pl!f7h2&kcnyl}M&Ol%VpG_SQ*SdF%Z+wAA3*xCOv z*^$Dh6QFID6@QyETIs4Fu?16Nf*Tf&cOn%L`Cb)MC2ecQ-47~3<1_@C>wfjNQJ@L3 zEx3T!j@PQex_G~A-i^)#DFpNMLhbE(z8{?FHTR9LsR zPP}s>TQg0s!g+%w{fDK<8!E%*8;GxG%+?1Z&_#@I=z8Aq04DOR45n)67(oTQj%VtK zI)+!6hYLm+2bB;G7*0Qil{_krDO~5ah`qF_G|SdUHdW%>5kqIS-1g5&MYirsqG=1k z<$^3CN^u_GI_o%ixwKnlFaf z`kPX@dST6$#4fXP3;mevQ#PS}GuZ^0KRiQ8%;{{v{lg^e0A>e=-9!B8OkvITX+klH zECixs@G7fApk`YHdz+0AYLI_?zv?q*T`D0=;RomIMObY-xX^G%!kgQL_6sI(kB3jSw}A)1K#GoF4P%d*O12I$ zNnt@>Nz4iJFv;s_KN)K7p+~_YZp5U<`|@2ma2=o64;o2e13DRJ@`&#<2E3QUd}%QG z{2sM}*IJ$Lr$EbF7$K1pwP#y{a`{^@zHCFwOkWoxOuiFVb~%vMTrgb=4B# zu@4vZ=a$5b%pN8sx$N+IYe!9dDw!N&Eb&720Y%ARCc`V<=^wbwK|ACuCdfrAJ6Y@4 zs_~5gm&j&KaIOgq0j&oE>B^2W-2~96*^q20N`n4r;1YHNDNuj{VfqH2k$Pw*KVc>% zV(`!9{nQhNi|m`)+p57j2H|~QE1OCR?5Geol_dkff}TAwP&@%}7*o84V>X_7-qoTU zQmoKUi?c_A23>*V z97$XDUQe?#geN7lSd&ofC3zfU9Z+f>Iuy|2Ym?(*kxpY7P*z_aI<9MYEUnwFZ?h6Y zHYA!F+igEXY85C*v4-pvE&C`h1fICd+p69JlYyfZ8z`rJ2}TTL1QxFdhgtQ&6VZ;I zj%6@`)*?YtKRb#;Lg1DI8SlYnC-vRj3eM$z?$R_de5Q~`2JTy;_5kVFZ=~yS_H2@|9|22>r_v-B`%8sslL>eB9BnY2B}9Bbx9=^npvAD zC3CL-FTBnMDjp@E4F6wvy(A97>oCLtyzc4d352{0q@#Qe@hG5Vbn$(~$*WwOvkcKH zOPmzSGGO5S+;+aC?1kVHVBpVsFcAZvO6gk;;JS@+=>w|!&wQ_APScy`-@N_TB)L=d zzNJj~sX4!R@703c>!2%TVkQtr3fkWBC7-|lM%BjdG~OO}CC6=#d+Om`bneL_1;=>g zMk zXH!v1X434wM#_Q6C-1>n_SxS*bdF_3yIv12**i*^q44*4?Hu~RQuC7MWhfl*yDVB7 z5?0_#-#K&gT>AA_UqNL2535&{1>Cl+JgxU-*pGZA_+svRZePv|zR*B*|LLaN&3P== zE7i}w|DuOCx_N%{UJ*9E#@>h+?z6JNjE#2RG zuz4n?=6&9YV3eM@0)zC-IEi;=WV&;K&8^mDgf;+~>;$2;W7nx>46_`N66 zle>Z9Chkp3cgWo@DfVLb4pqN^=s#0`(>~rTQ zG>>Dr8^2He$pPlm%a*ItSc516d+QeF3RB~ezS6bbkyYCEcLpy*Mgq9;8y+j_p3BN= zuH#}u1m1j(5+%dggrwd?-3i1dAkdK5yQaXMDewv?yrF9W31d7Y7h+#KBZI2iv-SlX zG9eCNWj;+R3^gVM({1QY*V*SFcS$oYIXD*M5P%xcVH+$}!X8h9v+{QiK+AcjFpm{X z6XPy}-Mp?q&Q8vqkR^k|H{XxI`E8vQgPes)<~;aWAP}Vhf^k0?$Vs@vIf)C}bZPCJ zX0>tP%EU(U&TuCcVm7000QZJ}HF=b{14*U*B&SbqBaU^1aL+FAXKU9DTNPFfa&oB7 z>zuJ%on?o|{I)}uW`RI%77=N!2LC^w~_+ZElCH?9RmAzn=@Av>r@h~V+8G_nV4%JpN`1L?++;1 z>5wczih5i97+YhV#QZR9{bvU8Iza!0$l={l(ylndhJc;~gr4L2p<$4VWPAG?@Y-Qy z=SWsv9aV$ssH0f)a%HY9x&2g&2Iexm2h_pSbpXbPWbbe|_frw$biybM3!tV=g^gOF zXs;BEmv~C)X{0-xZOTvoU8&A^gf|v47LN)Y;{{CiKdSX-x+R606KI1n(+mmcy1!-pd75Iz5?jrd~Gg zZWcUsZ?pDD4zVLe;cM(;9P;k5HCPy%;8#PA*3n29=_T#D73wVQo|YaYIb?5<0x*+O z)qWYw@Yb4+(#IaxjpR`-vJ@tihQuQ49t6k1(VL7lBsrZ2;(DYene8mu2Wo#&ARShD zajG0S4GfwNa2$=DOT#7UgQ>&uNRme~ayUv?*`K5N{s7D2ty5;qQ z6diI%fT+IJYcajjeZk^|n`auvsdDDhxnAq-YTsR;q4&Bxw&WiOkBa<-={pmYJ8{|V zT}owid}(s6!tAy_@&!O&L1(?Uw{M8|neFg?); zu>s>4Z51CIEB$6o#g&qa$9C?SuNeTjtD_K-1R5&m81qQ%(-%zyfw>+Ay65ASqbu^i z2`zhW2!;)RKY7QTM^F`KAZr9viHn!iW8y37O3*2C%%!J?^VQP|%|xDAyVpQA_TXt# zon7x^mAdtKV>KqkzAo6i0)1T-2}UB)!`*kX0fEkzS${nE(q)zUA()@@ZrvFtsyIrZ%hiTf<`; z{L~}_)3^>LPG3F{LEO=`C=cY5!sJTw5pxfxJyS45P!B6R8Kb!RC)&y1hTk5kNtg5x zq8ojs9|Ul24PKr=kN!@aqx#Lf9%J_dB2A3~z1$PxUXuIup;Hi_L5h$=oMa<8@4BuE z$pT&$__ERZyaiU6g%OGZpg#d>85FLi>7y-eL#4|>qv}x5fO36mJOnA~iTx$tgb(N} z5Qim%pyq;tC**F+I@~qh(#`vAMg5ZRzL~fTu?Ym$-p6Jit)4inS(l8xRNNT*qVtF9 zN~$+8m-n{%Q3A`)P5?*)p+u0^tlPvK$M57T)$bdpAq9)Ti-lj9oAKL|_|3#z^0nhK z#Yy)H#TGd)3lpw}Y0?h=0{{OZ{NnZkWO#YR*G^Ugg6BaXisFb+W^QMhG8X6psj5iy zcwD!cO{vDewSyHv0i z-hSde*t`Bb@PwpJ?7`jQ^YB8;5bMbPL@j8)YovoFu-8InMs0x>Qj#HxBeU}gsJ@s9 z%q`7C<~00IyM30!h%ZI-21FR8!buKar6Jk{6N-8R4rLLwqTb-#0tjwwGr@b$_=MZ2 z&7b1$ac%3z`EjFJMF4I69##aL!GHnhj{;1jtH$`pvaFx62{+joqThaE6^}W$SEsic)kDB6ORB zzzX08Q+;^K%E`2lQ1N{fraO%b&ddbnN?_eQJG!x*zYg%Yz#>Nyk`hg;AMmpan>Aum z_!1Zda+Gm;J^P=pE}Ct?>Y6V)5-U9&5bP$_4SLe$)vj%)9DMpeNw=A`q+}L*PoIzR zX^^F857)DfT9NBIT>a+I6V6uAiMG;HngsTC!8lQOwhC{&j|ER!2>)3dfK|>lD)tyz zhmwm7;47JCg{2_`4&H`?hq{W7#|IYC`vIZbWN@zs&MG8aqXN_Q7*d)SfJnkXM*1^@Vb+#sqh5xI%2d5uEcom>; znX2Mhwf8Ei@Alt)t>`EuYD2SIH@2S$1?C*-=)s{0(O(l;i6pPJ%+eB(_Cs9vMKkQU#S0Td^&Iu$O)m~%s?@it$Dmp^`$HF9Gw^9 zbE_;818^^J%id=#xt9?PIdyY5(t5VxJ+SojWoIu@9vlR zMT(uNx~!;yQ*B`7`wyIh^SP6{heK?@nvwCuVY9Qh>E)&0Hd*OoMvdArG>q~3N4g?4 zxge8VM{qSpSqV@DfSgJEzYRAsVyqnU-f9P#TmW}wGg-SI?Y})_Z3Yd!qV|k-5O9+0 zbjSj0{v9A=Z*zv-W3@UyNJrvtm58-FECq;{o_TzV9*?x-1N+<)orM{Zs-l!uNA5Xz z)11bsjGNo0Ny^xLQg~9jWs@H4&QCDzh;r&hSLzVBZv}e?FAw$O_d?q6^nzPBM@}Be zF+g@wJ4AP(N2Q2CO$A_J*m>9DwQ?Ewh_YLQ^Nog%&njV&9@Fl$6c|fBp*huch2Xc? zebrJxgIk%szY-k&sSsQ-SUE>+9>(rI!4@aQ=P*2n`_Ioe{=g2D%UMxLBQR9iWlg2~ zOe3J~hp_b%iI9l~??$MtMl1)!bqbKZ%@R@=Zz=X>>%14stSZyF$jU}QhxmQ6NNpiJ z&Eot&f@3GYaJF*tAUb{wHBqFIEvatQMC`9$nJ<{`D2mhXaDX&Zl+4}lD)^l_Q+)>c z9%ch$XnD z*)mNv=r-2Sj>^+#Yt~H;+R5V?SS<$^$nQfq&?psRCxm9yG$DdGw;Xaws*eQur=0^f z^P~EgOJ5AKqV#7MMVg$im{XLT-hRrM5LjfAA!Dkcrl=o0{!C!~Qq28bpP?ro_#?zj zkdcBztKqh?zrD*qu$Xi~&6eJD;4wdXChuSZG?9?&7@G}IVr^<;jm!`liVH`;hhvP`+eE7esr2XPP_3+5$YAtb{)L2s|n3H)Y{~f1{gt2E!K?k~dqX@!BMS z=yYKy#S0wqv!v9T3hRVYz1S>SzMeL)~w474NS0`&h;eBzmp!349u1}bdG+g!rNC=-Z!Z>Z1t}S0bsQn?>R`sXEL#*v`8X?jgDi=$wtSI1g z?+hr;Nn}@hb2Te058alLqA_bT##2r)V)|up)%3_SPAW5H85z~0C)h3K*c4trHA^bs zsgyqGpPXmVuN|sAgs;+7v&)za%=(-@ynl1J^z$>%1+2y*2gKQwc z&*{)%Y~{#Oh&snotkFRV<-{HKY+vb?6TF~fR`~FeOLj<*M%mpb_Hc>E5_&@6N* zthWITo>0N9hd!HZP;apdZE8?9L-I1Xn1&Kldqkf=Ts_#$j@y^BewGMp{Nk$FA2V~* zw`k1-r4^=NB(;!0@>m)?_XLn1aB14$C|D<`*)DZ2Q>>HU$>T&-6O|i6EyT?>AuGJs znY<|?L_Ps&2txtPl0V&kGO_-T9F{D0k?7#NEy|a_IZl~?w@6@|*2f=?QKFz=;N2fH zt6axgWfSUiTtL%Lz$L5WJBsuvfCBxe{YL6=ILt87jr3k)RolG_o;7FqQT1J_P2A?`Q_BD|6|@+81zS#&glO|3~zMYMS|Qvl-CSE20cKO z`=?icob{f!+|70V%Q*|Lxh?ag%yV4?E){B2&99WGK$mpr*m245@OaIAFFG&-r#$jp zOn?lssTK6f$pryk{;joC_nR-h7w`PVZ*%NxcYGtVFTJ|T<&B_SjyXKaE4_CAH7DEy zldL|e9{ZQrMtwp(oEcZk7y7phzv=oh7?sEQt2}Jk6cN{JxA7n5^>f}|Kkt=)!4T%N zYm7VVy(``;A_Xm>LB1bf`%B~x;Vny8H)njycl$9+!P}@`Ifb)EqihHUalb7j^A$)k7<%b;GIS=!5*jv<-I2Z1I`F-#bnR%2L+R8FkLya( z_Fef-XHBtTbGUwALiDSI)jC2nv|RoTcFK_lA9hRM3x3k_9$G7fox$JT$DW;3uL?7h z6o>d}_!-Pa2VCuuKUZOQDg$n)caEOweO~yMrD1Y-xM*e%DfrTqfBI!vmiz){y7HxQ z{3Uf~!$d|3_H!$>48w0jxLX`3yuB80vd$GP@NdN(uj_q;=xk~0IDcTtAy7c_Ky2!W zGbpO_%J&lkOkTv(!d^nm2g7+^2faNre=G+gryvneQc$AcO7mNPCt`Vd@vsTtV^^)x z&dDz39CaohvK4~0XfG7sdUXp{Zl2qAcV_)hFZqvfNdqQSoFqIFj}6`!o9q^KFX4di zms}u-3w=FN{NgU{`FbWPS%dgw^7wvL6|9GAArbvQc}Fi0%Wf=CNhiR1uS z@m^r}Kwqe9ChHTNKZXQpCaY8>`-A9aB_S3ey&IZj!J=Vm1>$Ni1k1#LM6y(+n`wVF zzMZ9Pf=SW@&jKT$S_K-b4oYeG{XL(2#NIkW&!~I|MWOuUx4hVxLV`?9_;gO#oU`ZXlHAvcS=H)u`6xoY8&?;74Bj5r&N*6^*@Kt8sL zejLGOT-GqEwdiN8;Hkj@1?*t&(hU8|Vlm{3)^B_uUMCEdt;|=@%^l}a@-*mN56{=wJ7NOOO=9hhoFb}V(1CpFd^&u@JRO^A@&{|N%d!x4^4T5n}WP~ z>x%YGHvivl%igS;6pcd^MNM-x(1zO*wS@m5@fB?){ze87#IAX8ERtAf#J|czSQ^G_ zBqGfdR*yLEAPDLZoy^(jTMo9top$)pnPae#JG>M zEw+(SV2VUQU(WP1VouNzvE7c>rPSc*QCBcP z>huVV1XFk~683a53`olh3QQ0arkR^Ni+W402~Oo^KvGq`Q}@XDCXrX+CTI`Xv-SO+G(D5K!$@cza0hkKx8IZ*Tn;{ zg1{6COXEQSh^Eaok-c!Kiknpzv`|;WA0$O_V-R`*lH2_d%*;PGMczTul%AV!Wldmp z;UrRL^0L5WJxvPwq@^buvn<~cM>vTwXQH$z1wz(kTj~_j7zK1?6Hrt zw;y;SUZ1xgs~aRPt%sN%$Yo4Ja_I{hNRI~5SIx>v2zDM$06bM|s!R2a957-+$!^#v zK*}yX@NNqTzlDNC_}bWcVHn~@Qeax*?k~4E=y?F9gZ5Z6h>64yA~wKL&C@@UZpet& zLcVgKzG@uvzAc zO52p&3TeD{P#nS~np*jh9_ix{A}zjmCKa|9ndWV|U!)=vu2Zy`!TQ93;3l*B8%UMS z6&On9Yg08Lvk5Rt**h+@z1;7b4Y#E-RFkiilsW8>T3nP52@Hla=PQfESUq~_HEKg z^47qup4ri3M7=ja29AMWEtU(sI;@P3c_7k+0-yuXp9P6BWjcsOnaM+0!|1Mo0i0Q3 znNi9apFGwR`{PmG8CbNzb?rzTN?>@T=Mk?vQeSZN@SPU@C^3EpXn?ebb37LOT50W! zC6BXVW2^6nOY%_3j$s9?6_X=GodK5NJ}A~;gZ69RA>zByaVf0|_6xI8kZ5`S%;>y@ z>ex=&=l2kN*sezteRr7!fkhCIDv@q{&5*+A#ZQ3wWtS{9*&C}3Q%vkmw!M$x z5jOGk8l<&U>6Xi9GPSX~WVkZ!7uRQ!x7ZnUt11&-G;F6yT%^*jCaR#21F~^MPtlxJ zvZ9~2aJ$5YOxQtmL7%200mbscf~-dJ?CFjYD6vrJ;lDrTX66d|Ixf&1V|9^*16Qpu z+6xVRpdw1kv`a>;O~=k0Bi#)>-SwYB1oJdjS1>|Q#vYL`b)12Vf=%6b1~qR^gHtcH zrg^5XbRHc7gOw1%c0|sIHCe_hKOW2(?t9e9Pa46Oj!bp%&7f2;;FnD~Rgp*(Usw~x zMVkIpPWW*|vDZWmwV;S0^+O4YI|Oy>am`-OOlt=l))1i7IZ?`e`OT)}PR0mu>?VzY z6Qwzo$atcxuN^o6+o*;OtIQ@iW^*uVD_jR@=_GfwP;ga5i--HAPqXZiW4bg(Pq3rH zn4%zNpZo_}A?gzzEtZm*pf>6*rihzeSnEvofr1UwpH04RWK}yP)+F;&CAgW=2HT zO*zwDp*EE7e`pA+3)ARV=8HE%AYR0i8MOaoRemhnpY1gS;T{6W#Dii3KK~EJb%8|# zvd1<6ZYtfK6aGDdn;?B&?-;MyG6~qFyy?>$VD}$;s@^(U znT#bEv(|hOnOd*NQvUmLE+&+>eL7uzUOqY8os`(3?j-O#`?6b}4)%BRe`2N5AgL}f zp(I8hY8?5o5?_+7*iuK4gBWMjhS$;mIs za2hq;hz}VE&NWXHflV{Q8wkD( z6Q9=a^HvTTC{r^#EcE@j2vXu4=k%Nl38S6ezkl>7n*y(*6i7=Sup#!}k&cVlr0pBJ z?nzGph-<6hKGDO3q0HAM$UYCIVP0%7vP?Bg2#72b_mCGM-J;f^@4+vH!KqUha$g$- zOYMy9>CQ*!Dv)u)Ps#s4WMCp0?ok!*uJ(%o(2riAEb&SKkk_mOgabfLhemWb64}*J z(;?*3Tb=c=5TxN4fXPvL0`gHzQb1G-V+qfcLKJmEKqsxNG<&9xkX%9L$u&6+Z z*JaO~-Kf-n8As%dmDZ@(x-`fKiv|TY^sjMFLjU1V=LH_L;r-s~gqmI*IscoTta5Ro zz-s6=_J?j{o|`j78TIJ|BK?TVpRT)8=DEG%@>;a(vT4fNYo(pITPnYyvo|GVut)tY#3n^TWQIBKp(I6oCTH_Ru{MzdN{i;d#2$T2X7|Mdh@4x1` z;MYaJim2NcMd*8jFYf)tH@|*SgkaIz2VJhc^ThEdcgiabvwnWr)OB@s-)L&!3frRN zHjh0`)=hied;a{yH4wJG=YQ$mju=Z<*P?@~+-h@R(8|hge}< ze!AY9r#U&V)!P|rB`JvOUHU?hxd0$M2ZHcM-J&1FM_a!)g8Tyrq^)7DQ>LhW1v90pYQlMs z@Y@N%lJ*lH1Kp(q>*VuaL??;cT%W}=1sD%<8Ztl_rg?$iS*yALAv{4|$&QNK$mGa*jf>w&z3x>6!tOQ!V?i`Kp@iLYA-n zs<~wLcpN^LqdUKD3UfCBty$K0nJne*9V`bZNE{Mc*UxGAi36{!x#78V2pg%RUV21+ zeS`bFdLPtAqiE@lBDo|~n(Q3}y8g)76tx_(1jFJ3^EDx&N#rwuiXJGkis5yY=*pMSW~hJEdDxoXE&MT1sm1R$RbT=Rq3Y_j z5FY%uajFI$825>I>#TNXE3KPH4CMTCH3t%tCu^D$ZH3yMgOHR*ERmU^;uTQm9(LHH zLhSQt{NiII@_>F2{D^Wtcw+L%uOa0&R^%NZ)}W|>G6jmcs)xdhL=aROJ3bRl*KF^F z6Rq$+DKd3{KOy0o{{O|FuDf`;fh9c9&6m1j9mSLSXA$LXN|cmx$YZCLvh{(-ssHhX z&!f7y%=d?HG5&vHC{D}8{|MJSoWeD?{|MK7To(P0a1BMbJB4fOkYj-22abX3zj|c3 zWm7Y5yHf+=smz^I9?ad;S?_=$1Vd5FZc`UTsC^bM`emKp=2xUK-a-mxc|8yWDBC{L z$PCO4&Tnv~1XaHWt#hq>l!UZ@B1X$CT>Y~fWfd50Y*3PM4kFu^0}n3 z-0*bj2W!n$%Z!)@GPiZ-7r+>!A0h?LJAIJ&y5NtP_K}va@1*?rOkSUZ3D>R?+!kZK z?_hJYWtw*~&S3729o^z3;(eIJpEL1vh|unRD)K80)2yTT-EGqm!u43gP`g`y`}{t+ z+jXgSxLj66iH0i??fK2x)90vViGcQdRK&x{C)-hP^7e=HT#a`CELW|Zafi?9G{xg{ zOVc08vql%ij%lF9G+9*=BHua$mIAot=(DPlb19J54re)#B$^=wfJ9d#v_z>={Ii&q^tdS6^g2>8` zbq{6-1};KKw_p;hm9i>E*5S1<9T51#pDEEPjAvDZP)m)zMRLHqmkfV1wL*dd!a5mT zpCAb0o#HQ8mF&A6;FN--fHWoZO<7zpeIQx$a5oG>Fd__VH?}zXnIGrcAde#%5GGq; zbL1#MKToFl<6rF_t@c!Afs;L}^vlu;SKE_hpKx-I}@inPMmv0G$6GzCaHuF$LTIclMk;jtFzo$exzc= zfys}COSntYT0!}kh>}Q6n_BV|nX~cmA%MeoxR>6H#-q403(YoL{7nm1+7BnxFhr@E zX-j)Vbn*-228V1Jb0*H~!+*LC>gS&Jhc;B^g^D85`qkR7n&0aGHl^D(Em;35y>~c9 ztls&E6O|0E*p0=v`=Q*izhk+jY(6xc8)4MktNaL%T_jh8hqIZe(~kN80x4`q%}97% zza-!V3`dWVg%a9&pCU_T0i?@7bFfj~#W2l8J0zLFjZ|306Vpbw1EY`_FpcwHg4R(I znpfF zf^!Sk)NDt-A8@SitB!`s*~PIJ%xgza1pY9u-9yc6gEPJAXPzc8_*014cId{+x%ED{@Id3yQx@ z)Ra52b+Zk(hYwa*=n#{mH`(a6h6;Nd(R4b*k<5x16%qp9r5P{u225m_E?u%Oej4kI zAl%Z@2g}FIcXK!}CtKl21A~JYt_CLIFE!&|SIP^OJ;ZB$hv2@0QVRU{Z za9ax5$TC8ZC|I~zf+G4*t~Vi|@q%;3vdWK0r!*V#BKeT#-LE?T7{-S}csS&vJog%i zp3{Wsb=CQYZ2w9HrW)EjMI%GNRMWG1?pcZ3kMl4tkHFoN03%bL${^R9@|sEvf+<** zW=o<+?CSv4!ES#?2*_baUT`RE0pNLAgLeXMiMsrfk^f>r0K|6Y0iyuY&x5Gyu?qgCSsJItX_lC z-60cxtLEMItY(@lVW&04sD8jrf|6#9|k;1ubK3K(1@`YEYS!o=z6%91h}YotOo= zP@sfqIDja^)ACLlcVYRgoZ(N>^DZ`Qs3w8&(6tI>rxU^bpc7AWq7v-H%|SdlG)>WP z5UBTd_A1k0S52srF`vF0b_b{nH+ftapzvo_RJ-qH1>_FytB0o(B-gW7<|^p3;2IG? z=#_vFS|OsQ)eH`B&K}n>Gbic<8z31$zxLtQL_S2CaH0edjBB+Mm|(CC;~Iq{oC8nb zvguJiP-m5i;_cy*k*;pM0Ms-XNSM1TEGYxY zU!C405S4x0dAAyM0||^D6q>A8Y&H1Z)JorifrpJ8?<+X>j_bQvNDG|)I`fmC+j6NrYX0xRsXb;X;+r&b*v}O zwuZ{7RHvXYK|0n=QKo3m<=n6^<3Br*ef;UyiKOC7B?XcMjN1m%u^* z8k#Tur^_?_=JpPPe_B?-H9eBiS~JVJ4(sPRm&wiSA=W>7XzkP7D+jd1p@~PFW2xXu z6Y)ef|2zxFp>(T}^>(^=?noovFiu1nbda>$K_4XV?&Cu31+xNV#KLU*o&~2Ckk2_K74ogaMbLH?$q}UU}cXrwPf4_dViy?5X|DfBL|l zi(?*lwt=uX&?9yEw$5SK1<{kPAxBsFxNWf3yEl8U@AZy@q$KY+3Pg&r`c-1a>@yWhP)V)M|QEYkG4#>c$>3EpW-AwCe2*RL#No4ov^^KuH6t0m* zG>BrXV#Fx|d}dF9bUr94;Eb1kTVMB^$5qFSI1_e4NDtBv;n20%ew-lO&K&E$^Y@kC z2~~IhYpDFkxGG$N;}QqloysYEZhktjxSP7~onF#}HEvW_?I#5`g?0~;E2gWiPGSKsl)xQg88OEfvDF;(eHpvGo5kxc;q@ds6sTc6rIX3c$ zkW{l{w&bzi%${cda{|!7O6=~jP;p$ADE3&m_-Hj276e}@?F;sKe4zw6^1%f`GR~H2 zIJjpe>s#W19mRO{nuVKng~IiGr&;d88q>l^X&}ICBz9M{MccZr4L$jG z7x!i-mwubH2ZnYK-Z=`hK9CtX@d)4iAW*{xJ1L z)KSgy^hdZ*td;Bhzoi|XcKrPi&KLAOy#j(9aaccI-_{W=RM5^A;^K43ki6hgA?wgi zBD^1(7+`1vC{?bII%@@LRE*sVBMRW7-?uO_Ti|SAEbbL-Xo0?`zcztHo^En>ZC==F zDrg3qqzob}2IEA7GwQ`qU{+#1q(3?S%rynU`Z(MS=o2 zdiHt(BLMl7N~1e-x6Y9*hfxKn6G-<`MT>CWQzOe$G=+)$o>z2SVn>0fnR_FaD0GO&ft4_HeRJ4(Sb%tVk3fWFYGf z&iL?P+y%M*n5^8D|crP8?kyI+4O2k7!L(gKii+-l>(Y1!=jBauQpca}27ONq9aKRuYX(YttMG z!XqPtphL{W9XqIRPo>yvqQ27vkPe0JeF@~3zdXcR7CeM+dqPy?W^`Q0Ql3|4^cRl- zRUBsXSzblfA|zRvnQceoJL;`%&kWN%u{~Ual;P<5g~)Q#Or%y``iZVJxY+0{HN}LY z{UGN0|0sLYuqMy^?H?ftwxV`XtSv587I&wcmPIKRrA38~3KS7Ct)f)~W>jEU;}XCH zq*Wp=39AzQ12j6f1w*@S!PK$gl9er-hx0n)OiA=~r4VrP!u^Bn&-{}=T*Kx^rp z`@XO1`kv?cIqBI2|1cha^P3zdQO}E*AZKrHJ80}PKGuG){)sw7D#p&nsLswa{kR%` zqw^cbA0QDA==L7~^EJ*s*3m!qO~y7OCbpHUZyQJ1M`QXy?Y2yim0h5zXBjwaOTp#Y zfmco>fJ+#J10_+p|G92(0`dg*(g`7ogoBK*$+jdIO;v5BpPP{L+D3?Mv)%xYf!Pft zR);~cggvP!z_!b(12i)~8supcXl0g+BJ1=(Hg_p+0*}rB14?2eA)eNkAG1$z1Hu!y zi46k~{_Tg|K3`E@e+Voqyi?4(Pn5%rV1PW6K5hUD`!%gN>;x*70WX46*3c4(Q0iFK zfePhjO247={W1sIn894n-Jli#AO%^3`}ergE!szoX<_DpY(hL30RdzX!7i+G;LS)Y{M1;EVZIvO3g4?ps$M~s==Se=Z#q9*RJbQ#Pzr+ zy9iLs|zpa_cRUXzQchHB`rn`%P`ik0x}AEgiZyk%3LL=PLeJwau{ZNE_clS z0qsVk<%?s`YXZ4pGwTca*_QIf@ruHo15jO!T?Y1Pr}|AIV}^ay;B=US4sVfHFRUXf zb>m|YiGgNHUO4jAli~+r_IVjGqv&jI=b?*;dF^Hm*2RY}3660R!7m?8OMpLN z)2(<4BZU+FUf%&6%BU2>p*EAOJPxi+TY{`KOUelV2*PwNFbkf0n+Z`&HbfAh%Md_N zBE@c0gxP#Sm9X_eOe%a$-v#bqd+UWpT}nZzVNNhnmBBzO;)g3 z2Su3Ddt_By+0}nPVeb;2RHk!)LpN_<0w-|no=Qoe$&!NfYGSU_^x$obfd)_i6LirA z@c+y6`k}E9E=!8@h2F*-f^41%-iW#Drb8X3=Y)a6Q+1<3wI`;n>9)N5d4PKd5+You z-ui?_D_AAU*BaFFofy>r6=1)+NK;`XRKvho3X zQ1#XVG?b7n36|)K=MVyDruLCu9p9h1K~-uI$xk1MhdiCD!B ziL`#yP0Q0-$5W*x)ib~pFs>JV|K2Yog})sJ_0eqaA>vv4c6ws@&Zw*;+pl1m6?AG> zcB+`m(#(O4yqOp%f1d@%(@gf5J~@(DW+@M1!B*T@NaaG~csPH-Qi&MKbnVcyg^)_U z#j1{`G2p;0; zC*=V{B9L(taT&|h8Vc6AY2_F@ATF_PGsi@3+6>(WL6&VgC1{4mx0^nHg8hpl)&w4? z^`g_E?k%l(|kb&t{fdSQ;LS@ zD8Qr7S8W0fGUG{AE!5%&4NZ#im|^r@87#UK6A*~iI5@3KqRb!|grnhwvI)BU%uRRLsR*u=vS+j}bd?-g= z_xsPXeF5MzlTNUjbs8l`SCnr{n9k-V3ZVCbd{TZ?rLwlIjffs!Dnt^8;;^&{zkQ${ zk2kd*>y?w0x$C5aXky8)QXM-ckGVH>=!ZvG(?A~C{H0;ZfsrxYyHhjbPXpuqzEY4p zmb2X!7n{b@A{J=Uu_~c6IsxCXpSdwlbEEkZ2`Ln5iP<;~7T^8it5)Gm{;3q%Rfkdu z67!6uf3?gw#@zvCc}IXn|Ng$TO>H3t{reL@qd3+CKd!9rswL23sy&T%b(7*xQ{vi+ zhkAy741`fCyid2M-D+k)$*qwTKXT)^16@XUDAxkI+}$Iomv&B2F#)CM zDvi3YYyQ&Qy5AQ(gYC{2R9X~3WEYRKyjQON{(u)fYz{TSZ;fA+_r-oFc~)_ap_K{8 z=&BQ6Ty#ltI6j{HF6AZLaO6^&-$(X6A*j-J?@_3<)lt~<;ehyx&z_(D-aGha_~?Y| zslTmTLp2?=M88ZE+W?Fl;V}d!!(3u<;z3Fj$MbUSeve@Ok{o3SN}i^kTlHn$kE@Gi z%h-#U0Rg7e?)b&Uvb(!O@1At4O1)P7-rc<01KzyUs=SXYF7vZF-ARziq(C%+^GgV7zg z=4d#pPcs7S^C6Mj-{+byfN|mM`f5Y#f#TMSa5hYtB!v=0Y#F*d0c~4bao45ok}ZDc zzH~Z$1%%)4)oRKp=H8H+flY<|F;keT`*@zulQ4t=)UN^rFO*wS)dx@1fxQ?H0uioJM)9Qk=e|tLUgXG+%hnBz_GtaW>TX;WWf{{%znsCo{X(qs;#53CP z92R802Jp%^NMRhaE^fLVhDXTWJLR zxq}MGlWD6agisdf1poV-Pjj}h#lv|S6~adres6(N_7YSd3=9+K!%FNp z@okymIsbnpMjM&ozTF+mPHeR25Q3#0l5QRTtnae%pJvgD>?F26;|4D3ko=&(gK@1A zB7TPJ53W6A@9$TP+<=P$gXGfRxyZm}mo}v!16NDVS`8pl>h_4k{W8pbvq&?5zP(0ybw&DY5fJH7M`Y<7!ANhRs=HeB*(Q^m zZz1`H87!~Mzg?QL>PAcO+23FR3P{B+b4Z4279|w>?d>9~dL?AWt(2HpVEe> zApjI{q%#|@Tw8{V5a7GA2ep{OnBLOTt_PYJA6PHVU^N6nLK6H>EtCRMDM$s8EyVnX zBw7SG*Nx2=jNLwrC|GC)W8PEIou#m&E?tJ?%-VFa8u|v;8{{VAoglh={jm?gN(7rNU z$n6lVIzkAIZ?~O)LeypNCXS$T0z5Cq0=EjX%Hb6dG4e_+`B<)7=nUD(3s-4tmwgVC z+1>obA3>Qd48Vy>-u`!0wi}or&MzlT0b!cBL&4KddkZeuCkV4joc>C1g&jo5VGAxC zj?#gG#ylm?D0XP*;0Nx9LGv@EQiMsGe<`{YF@sCevD)cD=+sKtum2LRrVRD#p!(f= z`8-z3GmsS)r`ubN`toJH;O-Mk!us{hdFv7Kw)C(WO$4eKri6uSR4B3t#v!Q}c!%=m zogP^nlmuQ%o=>J_pg=0~$(ikGF6e?;v!#a{94Sc7l!CEtN|^I~9*D_lf6Q4ky7qT$ z8>}oAA(X3{Mv5Itx)Q6ycssZaY8F&DwTvJ|_b`7sf$?>)TsVw?g>6~g`URoI7~|G* zy)_Yf@a!-~oXRYl>?9-|gH0D76PJ1+isCH&67%1Iq%RPh#VXlpbf|_hQI|isy-&6hBTO zTLdmBSuU$6HS}X+d#5<5RatAnbLMWCde5o`<@T8 z|9xhRZ)gw=wrlD21PD1IU2p!*K?iU=SdJDsWRgw+IkYTW3VTwV#~KhTPTQLwY>y-+ z0B46Vm?#A*Z(~NN76p$WhacZJ2f*Bwz%qUJ0n`d7fqFQ80(3pWu~>M=T1}ur?3n7?SGpp>Rpkk;{P9PpXP+^Q^NNC zMqU1~o45OZzB`NS?w-EL{lO#*@~D^MUVJaqW!@?4!ZlYYOC58*e3!D$ew^|(<<*_w zf1v%0X22FIyJ~(rbsspjLRb1OI`EWw>*9p#D_ekpe}?i6-(#=wi$50Z6})O%5Urg* zqwu_L?^+lXb6|GS`PaQaf8zGla>WPhA z-hUYmn*BInFt~f?3kNC7wlUU*zF?z-9Nf;>cG>{^h*0iM7X0|IsPi_np*J_v)a`cib0Hjle;rG^S#^DZZ~k~3(h8pus= zcEfFiiPV`hGS1A|)=Ka;!wexev0_tS^T725MCE_}OhOSNt|?{wdnOWTG1;Mo!!>$#}2^bB0lM4Ic)NjEPHUtH*r zkoWeC*4`PtnK4@PU@Y~Wv08xO+{Y`%{{dx$VL-FhHJ`0EQKqQZ5DhK9Eq5G~BAkB< z1M>fKo*Mw(xQq1v-_Uzs{FZmKKtNq*jLa-V0rXt_7}!-|JtgI7A#P`!(ZZna*~ zW0g6_NLo@1PKjTxJ{*bd&xLBzxcI8WKwkdkc5@GJ zT@2xpdJ{=Julfyr9mF|gyw6>vgW0T`FkTPp6j58cf=%-Hd8Yo0PBea1;6yAgAb5WC zvFg7_f%%nAccacb*9#rF=CUfI-``X-M9UT zuHn6=4VXXu>qc$|wNapiLC83BAQc?!8W5?}k5nhnxucQ1w+}{XaJX-n0!F?RVN<||4aua2`us;3!}jx* ztwnZQJkcR7cp(LDW0!&Ux<3d}C*~h9|t{Z!xXyy!;ol^4hn8tK&>L#xq(mzAdBm`}gyArsitd%x`agcvQ1G8N7fq z+Eu`Yt2tnN+64y4@FBb^bFlaoWx5zjgt}mBx()$V6lA;6d?8`+4j})4ksQjecGUqKElLyJoWC83=34HD;X54~$4C*_czG4u zWjX)?frmy8rX^68L+;4-$BZkizn&R{;S%W|F(XeH&V%e~JQx1V3`aHzfhF4raEtew z@mFVv)JbLWYm?0lGhpmE9*0#Js3pB6vJ^DU7UR4_FwF-3A)03EY!qbh09GN#iw+Ki zoB^ZiaM>n6nSt(MMAPhK=9|*4y{-&!FtWmv&&R-65Xusal>Ng)t71ljOhUC)H2`S@ z1v*Q>;TU}5;uH&3Uk6Y{JyxyHu$pdgy2`b0+$Y-f@afW(1I$mdGJp+1-~y|W;5`@^ z`Zt)dIuwUZ@XdAEt)(1Tt7ovv-P6^W1eYw~x$Z=mn8nl#ac9_w>K@9#rnyN9$f%9){`=vwA7 zGf`idO@{(4l~uFSmRh(|<*;&gM8j3i+&pD}B017HidEG?K_ne)qIksD-_b zXf_)NpA|T%gsdsplu3GEDg!eqds5?2U7hcF_*xRK*yXSrPy>BRj`R1qSFLsfIF{HY zX5|$?KcQwnlK^XIg7nrw$LEG z`~LIv?kiIif0x|3!<0~J2spO0zj6tsxnH98@o~ZsaM8){)hnl@^m99$S6KJ07{=d`*24oQJIy|VpFtB ze)7BY&kJaeQ2mSFrFHM9U%R7TMPQYEw+J`Y*1iCa`ojkStJ`Z{XIEmp6}S4-gBcAQ z7wR>oQ@6;Pd!;=mG43fKpq2hS!)j$WpG|_I;u|BVTci=^Ow%rvmyL9Rd57dcXJS8m zpQN8a}NeWt7qmwar0l~36}&{`yS zznKSmrh(QU5A0t!B@#SHk~{l20Z<5dAd)AJn!iGhRxI12^w}jr$we(J&tN+pP3B?7 z0fs{~S+MyrAOmbR%1I%MQJx?Mx1?Pj7ayUU$;e+K^oSY!K7;t8@kn__GgeA1myv zy4+hjd3=3+P+4<(XZ@amRGb@4xkLis6O~`R`2C-o`icK{Q-956p37VswT?2^&DWbo zrTHFrn@b7xp}kDoE2gZa?9F#C`p>3*@h~{0Pr-vd`M)>yO*xfx_)&LPJanwL6q=_ zSn5o8>I;QVXE>xWral3MbW$3 zeb)U!;GWn!uXT7IBfVNKhEp~);wz?TdpBX9jZs3N>yH-Nh%Zf}z#atryYLiM{~7Vf zfH>>|K}K@{c;%h7de3kna9Xl#p8ZRn_m+1P!Ulk8SC8fUykl`dn7%O`<~LWZQhRd2 zBbcu>B$>!+XO_3)_)W61uhkY)&@S}*ZXWfS+f{fM_-R)?K`#N!O?7yt;I|${=YxJ~ zx_W%z7Un8^r(N>)>q4w1d_~QIpBymH5&}6R7CQpfm@@3xAP4YrM~o-2bHFvoC60{Y zcTwXM#3~MvcWV~{6kIr?P399 znLbviIzD~XIuM5ovS7pplai{&&SpVfd(CIQ-#vWio5_%rbsWwXLQwo* zcG;ZIRXZAtDC-CEBm|}Z@}fz1bt13y(d^rpYcA0aNyzJ*50^w@AskFFW)!g#qcpJL zXZKuOaSNOj{r@mmJ z#^h*WQ|TWAJBuB6~yxt zU_CJI54W{M&lH@ReE8RAQ>%_m_sz?QE$z$)dZ-rZNZvV<+#{ZuyY2TwsXX5 z6LAR-3dAz9iEo?(GahfEE(1DZmL`EiQ&D21EaZnO3sBd}+pyfYbbbsvIa zz5}f@zf0E$q9IkJq*o*O zyfk{|+9V74#A<)u=c$PvJgg^eNlr-GX82obcbSzY=0C!vd#Dbyf#iaEKVDz(UHYur zf&Me6#$Y|P+$VTFX6uEQ12y^nwvn7(5yNp#Y9ne`ioVkArFZH z(5b+pAif?NC1sP@5F6;zyfZ_lKbYYL?2aSR@IxGp$h>KQ>@M1on*|mPR5JS??|Ld$ z#oGEHj{#n2u$*N}TQ9^5LgAPIA}J>ozA+6pRwMJ2yrXY_mI(%puzrhs0U|MOL`oa# z9I(g&P(TA9?@xx>hemAm1zj0n1`q&0Sd$1AiVnr!k|CFx#DM#&<-@U2tTh+zMW^5Z zy*SegSM`>e$I?85H8X9U0yN(QuV^jc%({7~e3<olD*j6>E8eO zxeXH&ad1Q5XUiuu(q)B07)!FmzD5ueCzC;^4-CeHh@*yl8+z8a_ms7|*t*R_X`cR0 zM>XmWV14V4GQ0MvDoYbw?_}h#4z>38Yt@fxr&BXwwgUHET1GEm(RGoo&kwnzcmj5u z#%vhA6VeAH@b%(~FSEw1w$pTbT|uM0sbJo2)D{3U`YA3lE#E7oM(E4mA2f}Qm9cn3 zR=h$DIQ)CKQZI)WT}B6i5=3(3^v|z_8!JY>*Ht1+ z9&?o@LpmP=^?SJ3(Ezhju8{-Md$i5#!mo=jrDHRi2T{l z;l23OP~0wS2H^5!&cipXSL!fpj3xgca{3Kd$3Pqy|LJm;z3?_C+U~19%+<;&_ zDhA%di59l^ewIg=@_t=`UY86nwVv^%CrpW8X1~V{3C?aS8k0*`KPBphV9`Bo#4GqS z?BM+X=7IMYUFxxB*5)8*Mi%c(GP1T$!cUq<$3%Re^U9g>fbEb1keo0w=Vb_YI;3Ll zqy7eHGY9v?fDso6)o=}wdq@-J7;xG-l5mlt*g6YY$K8XhYa?5(+A|nV)uYOhSNi=r z$2aLu@U4e`fh#KlAv+VRY1qWD{%T5u*XL-#PLnPg7nvuCC2S&@u7CDMJ^om1CF-KV zD9#EXm@OVgIU1|yax+Q9Qp0= zf~DJ93VD!b6qAxZ#5a)QRcfJEY~FfI5(SpAyhhFi1w8jz;PQx(?lc=taO|(530)v2 z&V~J|^zffYYATFT7r4RBd+NWn_LS#jtYt5ull7lyEaRNTYf-KJS1w@1Uh4k#s_8i& zEu(%ibqOT(mF|=mt6UPOp;so|{SQzsg;CujyW9*^HOT700?M@rU_tMvQTrO^kFWWC z{!+oK$6=g!(2WMrsp*}+dC+;w=}I+t9`{qca|BQu@$1-zC%oNreb3jH%vtVp(C3PV zzlUni(_D3AtlBZ)B3#qu_}Z27Qk|8%g}Uyxy=wazT4a@tf7lsE44W;jhazG(#CpC| z^|YpEu)_EfB&WYw!9HOCqj`8Q4{zX(i)xE4CdDMq?%4w9wz76`$+Z{d&H zUreuDKC;(!mhUQAqDwh%a`Ib-$BP|B|1+tvHrR*fY)sixWM@PX9p~`sGpTKkb&p_I z{Z$+d4%lt5tA6ZrOHGLq5kBu6Y})o=)t$EUCZA zdq4>4*~(G!?gYC{fp7Vi^N7Q4+zcb@@*G|+rxA^;xjFmBTP{QazP4=Ch}yp;K%H4} zNL4y2-M=Wv)LZ<0I2ik7qIE-npD`Ki?rPujQBIK+P|bjOvmZ4`9{1e&^_k`e|M+LC z#9D{t#D8o9Bz9xGIX(~|Kdg39iSOg1_&2lPKE=QAcXl;lHGIQ8&FKl%@uYM(%xVC?feA(& zD)bZ2>L8Pn|J|uu(u9W5+gm>+sUwr5c$D*D3+s9bH=aY3AB!+4399fOTY3+h84K*j zT_LG;swe-%3a(Cnu^%w)9jrS;*rm+t7`OgkvQC+_(_UW+W2Z~iEew(T#u=1FQBB;C z@8!gK2F1e&9#)_J)VjTVbcqf2IjC;}Qr0QcfToG#eVy44#!vWL!*^OiYim0X35v9S za5831YphX#`ZDUVZEPIh~b1?Uaf)sM=%Vin4-~wHD9a~J?F6%6Qm=s4;W`ipL8S~pN>`pAHm+eEu zFFbCOvE(ZSVnBiS5iOWw&BbR%JcD|mD{*+!D=5$jC$ki=@Fi=%Hv-dD2VTOm@VxqX z1ZD0@$u~)_IxIqzDJbYO^c2|-L>bwEDh08`cBj>m3K#g>|0!~XwN?zBQIqs?{DsDN z{Z}?SjC*w}ciW;1b`1{{&R;i(f4(*w-@zhytlk2TR8&O_HIjFl&LGA=LUc@G-=f+C zvZ@!Ml```0@gchjnnaxJ-3P!8uB!_(&#FLPKO@Mgw}4+Ah+iW{6Z2Gran#M2!R+fd zaz7l@lu}_^e#$gzXIgv9#>73`9h-VF|6x)6#V!R_BR2q3Dk8B+H4 zLt}MnnW8*v{}av*={;qNsT}8v+uk{yY-{09xnF~QI>;1IT*FgLEfm35jfi`$RyZqh zVaWxVA}F1KB!8#g0#@WFMFR@0^dkants>gzKBi3|;hKvUV zZrlzU!~_eQd@$KAc9M$Z3A;`AXWD+;pMW(zNZQ|DoY*cQHLH6d4A7yuHKEdeYz)i> zpch^Z@kq)3C-`+|!6Zjfk{oQ7*4vy~D@u=mA;Rn*C`4VO9Oa(YJ$ z64_v|p;=kx*rp4o$0Cjvfbu~6iIHp*AJ0}1TR_b0^y`4q30@3})*-&%b7 z2`h=;qIz4LShTEMqOqXc!HQq=50{PH{>q zgg_h$c|goMvgNZVI5LCriq{uA_;|=}#rZKA3Hd(aWNiuuuJTOzUxRf+oDXCjO`qRr z%}{l=G1qeoB=F>vXDbQ?3OsQFq`omkG6LnuIKAYfY)AkgH*s8RF~5_jiUa4r1>PJ1 zHoNwb6O9X^CMVFrJs$(1(ndR^6eh-o>Nqn4V|r+&vlx*yR+pX;kczu8;GF1}@C*A* z03Ii?z~euw;3lfUL8W*H6AZ-G6_zPs@rlcXBZY|@z)&bp_L4qHHc-cq&Ho_J1N47a z7&t@z{~`S1HU(&DfJ!a#&vS4COvAv=9b0N~|y@>=P+i(MU-eVJ-yN8H+YO$vSa< zrzRDi@eylz$aVFb9OEcilQUQ+P!Ir(%C+N_hWo8oWvwj7xUEgy^@JO!80GjuU8MSY z(HRuY=Z53~8%!R(V1;f34ne7+jZyF~HEMy?oQjFW&JdNhI@@@L4mfQ1h!vP<3OM!l z6H;h$0XEreFFV9|=uVM++&)TVXXM$3o`dQMz97#aFFd4Z7rmFT8gG64umfE7tcmfB zJt`p7OJMeJa0m?T=yORf_JC^H4|cN(Xw&|{&re2Zu+qtf>4qi2SyI9)-g&bz*Cp`$ zGKJ7&I0^1Eqnvd(MYhY)paKK-87J(k?z%E(1b#GV?wiP)afc@*jWKjDeF;2&*IVgh zDFdVcYnsd0s?2jzWx81ZGCM7jjtUz=^cSoLh2-w#i4v7o7y*>AU9 zy!X^^dp1^S-q2b!*2*f&P6A3Pc`eOZ$SWK$;JdZ_O9t8`_#+x(wi~LJyfv3uy5C@5*`x zTrD}rLXlp|5b-3BItUQ(E_21p1|2!JHfYR!Lde^2`&hY`Y z)!p?jByp*3l$WS3^T2lf{CxPj6P*m8tgoE9tNXH5Q%Pr?zgFw`Z~ z-Fx&V~5O>(STGIpG%8g`qBg3*Xm{L2Jh6$*+qr>7Bi!E-|YUwztqhZYzgpw zG2Z6$vGv{A4;*iWTYV@i*W2ELbJX-aEhX`w{VnRHf$*VbN|Dg|)`5jfgU4i7GrxJY z&O^p*%#MOWwG-AC$GnXBf`~;yQ8L?n0Hnky3Iqu4qRFz&kN0Mv;DeFxn)_rw;9U6j z7rS>kY;rIxLdROQ)S6yB_}Vui76twlu7KMpUnp6H`cfy|vwG&#L*!PcYoq z#I43=-@#}ag+9Q%m2jm)3yweJa|MYjxPAKZL)wpGW<8n5{%9#AhSn!u#NHw#V^x(; zFy4`>s_b7B7kHKaBrghx%l8Qh<>V3)tnd<|6Dyawemx`9@E^A`-T}##qOI7s z(mxeJh}UbEKeH6O1ja83Qo!%(ymNqbCp`#_M9y3$sIdH#nLrVeM2~=Z!P$b5kpJC@ zeu$5Pg&8^ua_O-h$yUxbo00q1K{$s4dE!{dzhs$hoX-w6V(zn@ClT0V~=?-ht$%iPwY>_^HOKCp912H~>RTBD_-Zsv#EdBrQ~*-@FgbXIX(n74n1N zqE6HYkz3`a0M~XfA(VOeKvzI8Xfu_^Z7YToT8m(o4z{PtGI$sqGD66u`{u-eVq-xC zGpQt$=0Fn7IStGk8F%d|C`+}EyLH{5G87)AY)04Ov zXoIBttO*-{pMfQF2@!82>FMlC z678#nP7)?hJ3C)c4`iMHG*}dO^QV?QgIzwa`_CAQye+@W5Oy32LQWg}@}c+^(6ZWQ zPF%@h6)qI-Llf

    K%a#A96 zbBc+1(fQ%FHCxZd(N`Nb^3|%!y_4{Zl6{+3&~@ zU57Ir3~|~_NQ_0QJK`etPG7Op)~CEnZ+#t?E6ZMC<1>bSjNtdl^Yo8jqp4)o+OMYX z3U<%NV;>&D{!@@<=z>qfIU)ixR{kjC`$rPr*^!#!&0@- z+?mIpHcMha9;vevO{cTBJb&m*GxL@(CuQ|kl{iXrT@aql?dJr>g%E*z zOX8F#3#W3F40X5-IP4LNPqNgJH@kSJfn6$~|9pl_W?~w<(f{bKW)aLs&`$Dp|YEQ)$DtG2=8R z$B)%2{5o!y^B$Vu8b?(-PPqOq{#jj?oR~^qX?1l7LgGf5u?F+qM-q}t1BCM7$DE@> zFS%IpvB+_8jJO}M-%)OzC7%)V*g(=0>nihw-y#^*{Jq#y#iw#qqaN+y803z(bJQHVw3?podP{=#gklpU9ocTjff?t9MuXyf`Afx-38!MnVO2?QvyKnx@(| zmbzwZVovWlDc*-+P*Jg8iB8TS?r5ab@z6}mCZA3MhlmG^D;XisGazPT9bS!Gy3XjB z?EP-WaQ+nY)?cIYhP!xkl}qz)GK%r*OgxI3DC?^~mNz8Mu=2EYdc{;2PyBcmzz|<_)pCDYXHUH0uX-&)&uC=*h zpm%3fdpg()`c53Zoy8(VQkUb}gCV6f;6~dX$5}gFd)U*d%Tb5O*KXBEhfF8WO?&z| z=gR_kDS8Kx7IsU^!q-2rS8z^}?-)y9u1qXk6YU67H-BI~ZV~_SM$6D=J4`M4RYQ-9 zBW0pvPO%_1WeUdbxq@!NXvN;*47z1vmZj!-6W@mr;G86;fqZ_8fx@wJ>HXws4J&Yx zL=@wU;16$8hG0!Kemz^c=QU%;$u#`1!K^6#>nKPeR$4xY#wy78y|T?9IvPbWO-F%$ zn07g8R_9eQ4KlO(z=2f6Y8}m~Gx_kFG=*i-7sMY&=l`sG4BK(Htfi$aCw`o(?`J+! z3}u!2rLp3T5l2{+k|2U?-F>N$-xy9}U~6~e;v1cSbf|>;LESxI(AGp7ThFZCrb}S! z8az=d9QD$k?*MWiMs9b>U*}EvmlOB<8bQpU4jouBN8;ZWb=~EDyk_?ZCpV%Lcp7|U zc?VnH$U4uZrqc4z8?;{$k1lS-CnqcyLXCUssNua_8?K=+Myk>@yQe8edKAYTvpPSH zoO7Zof6iiRC4}Bc9>4fm>v3O&JsPz@+S&NFLf}gF*rs{*-t)KM7a=M6aP0gRrsun4 zCxm19bDg-g7<2F$_0&*~({Br3Ve8$lN<#-HpHH3Wv+u|eKbln%sJ6^9veT}bV-tK! zY3#iWNfa!p={fAfW{SRG#n6`)D&szIfZdDa>LZpqpUJspO8g5_S4Smgp=UdXr^$qV zA%n1Um~d1mR@O|O8JG*Ti5rRu;SeTNYN*07r-7Mwv-6@&pFHt{Mdv8|zxJI*1Qx9r z`Iau;M8G}w|6gh`;J>NGmpsAJMJtnhmijEu^x3#+QMli4U-o{*dyUVLFuygE-bZ~B ze7rNg|KD&aLW;}&h3~oS{~In1S6o3c#bfi{_*?Gte$2D@M77Tx*>2wd5L~KWf&MQq zet6MizE1?r_^(*BN{S5Y-jF3e5g&LRMaPeCbi_k`pafl-o%DamuN>K_Ll$4r;L>N% z^6LAvU&;Obx`1FJi#7bbI%;m+(O93ATJ%quYOzin+$^dFcYE_6Voo@Br|(+y;@j@% zpoe1K!)}z?72_LJ?+9Gl?6bo!?ySy7L^6jVFK6#01LnT$=Czyuz5Cf_pCvxpsj7gt z1CA=z_=xP(@;?M@Yp-8ezD=4k6PS^(w!9(mriBcZ&ENmwiAx)UiYXXOYi%n9gDcC_ ze>wGQaZh-5)rQTd=7S2oiXJ?k|GiJ3^vpN8qxXEG!*Z5Ud58VVGpStERt$m#b#fVD z-J1@Z;#Y5-3(dM8{$pj)8<3~nuT1ai2;Z5}cy;`_j^a@71FzvuXjIO*5|K?le1f*%z>ioT+3@Cv(wbJ6o#yJt1(lhX%HAn? zn>xzWV;^cPeYl|XjbYz%b;*$lG*w7_%X1Dn?rRKKUpx!gI{-BM%4(sy6>}xj-T#BT+C7*4iUh#6Duy&UMA>Ijy_GB!M5J*TaRM=!j?n;Nw=8|nM z`F;~45a8sxJ4@Ni>#iIZ`&?{3E*2l3rAbD$RWpgW(=X@G}-;q5)HzM!fX6QaY(-doS5grGO%BBKSnYRBMLG3F`ruZKG3}7xP zz`?oiFd&G()Tq_By+`$1 z3@Xv(DC_NK0)H8m22L)IH8RSB1?CIe>R!y@>c7S571qufcBhp$_Qnx-O_5={xBQVR zx;}weIpK2|yE$JIL^UnZ)j zy(EW*ZTewaPUOrnNz1iJYsXq~x6|}=pFEX7hGjQC;c6GQv)*YI(((J&{Y!rnSr%t; z7_xG>tCpHpsojCWAg}cMt?4BnC|;munRjpSN+vBNoXIF`9d!NSspacO*mZkJm{eWn zNRqAIni&O`Pf$Udv8yx*<)bLLrAC#p0A!4Il{COfW&p$(M{~tA#={|Gd#5mRj6qRw zv6bHrjCQ4Wf>d}9X|m8b`idS|Sknlbn>RgH8H!zNmPp-z+~~f1WG@>XcpMqT(UD=a zT0$&1jC2U0#v<5c80iGDz;;4-Cyh-#rR}=aQ8?H%+<+$+Q6A-?=Ueriv^;rZVZKUm z${5P)DN()x(YZIEM0^%ju3D%zz39Ep5gl?#$mw^ZT`ApkFm)5E2^+kpj=wXm13Gm3*p*pDV1?9MFhL3QyVT81Gxb;X9c zWKm23$(?9l=Xb`hJ*q`l?GRS(HA7#)Kb)efi5^P|Djc(P38;bb6;<);U4y$FMJn#f zrg%))X2EHdq4M<;vX&BA!Vsn|NsNxvNu+K4`f?=a=Y+C;Qx$b~ShwqEPLMV+{0G-H zj!T*sWhkOdEH#uM`TLZ*B-RKuR?*MCYv4KTuz>Qbb){f*Q?mrOCyLyg%qb>4U8E0$ z=Wvu76{+*W*}bIxm`qKLI1*dS{+bG=CL(UsA@v^Y|L-H~|3z#6gkOA?xe8 z%M({TYBSA%)fBtc5r^+|yuq?Qsjxw&wH0x7V>zl)i59+)!Or2`?I@NxWTP+JI!cxf z++;4xoHaZ3xE7sEtra&8oZZ)EF-In@NupU9T-t^y!O=< zn(MldpV5-4!eN~1`cV)d8;1wIzvV*coV{eRWJC}cky3r@1KD*(p4YZokmD{}TG?AM zR@`3o=qWq9bF^2;hrxZUtwRui?ylewWoA-3-Ap{$qt%aU2t*}E^~wY>WplOFoH77`VwggmSYS!H5=XzGCff20-cD9ILeL{vYDDM)*5*i7gYD9o z%wf#Ip2Xnn4s!zcN%oL5b=cG0DxA$$1{Zd=kiftmWU`#~p99tg5WAdTga`gYwjH@p zna+*VA*Q%W-}WeN&0}J^vGw{y-+&Mh$k1@MAy+%zm#@(D$=}pHK3aFn^6w`Vi%&R5 z1qZpBgPmg*^u=6fYB`no1*-{b-oy!J?f4G$A z-P$8LqH~(mRWU^#J)kC9=EQTcX}$-&y97G}(u#iaqQlM0yz4E|+osOqH}atj+as0b z7iL=M`pEX%eP`0)+7FdUwx5ZbH&wrxINws*7>)*&!PJu9P4Km7*&v;4v?e{Zs&WFm zQ8AsZhBM&+57Ze9r3V_xhl1rhVMi#y`)TPpZy8?0{TjHCazVg6*+upWrV7b2l3}hi0&x}v)@S&NV)6hiZpkA)rKm}*AmbG8 zt&Bi-&-oNn+)|SPyyeOzolWyY-|Q<|F#5Ks)%iS&hk^COml9OKVCeVNA&NQL{AMv0 zVc=Q}_KUl`^GzT}s%#f2p|XXQ-_|{bRi5OS6Cn+i!qJ?wmsb%V9Q6k0~pWnInV>Jj{A5?r^O?j zVw1V|qRpyH?UGRkE8Kc(F@6Wr(2zC9Z5124+>R{By;V<8la7y{cn?=`>j2Y`IVKS- zakgG(sBH1{Z}yt~4HV;cCXGWpQ zl=DtQbmz5qt1^f0{6JY5-&43Py4wK^oX;O-uGo0(CBgcZ;cWQ*lkCl>w3hw1-Yr7i zEFS4Ahw*W+h$CisY*Ui|C9U&sDvG->GcJBIelyWav6_aqfwgq5jAg!y;J2-c;hOUm zXBL9^N)6yYlh1cFQP?^vcR4zZ!{3Zh8~HN$Ww0HVqb9B#k|dn3@dvz$@YqI?D>$w2 zr?oB2i~c8al>UwBR=3j$R_+h~(hk6!BcCyI_fPd#{N2?|`?ZHh!U=uxE8X-cBhTc+ zchUYV8$x6aBO~YM0zeQ-e%460WLqSWs_rUXqo+9zzY*5X)e%k2qPg?K-cfz%gnFo( zgudI_os8T%G4zdgbNnS+VlVcGo+{Tu41V9^8Mz$npvBLSnBq5|2PyL=y;@d@~F(TYV6Eed?jXVZO?i#Pf1dCA{D!Yi}xo=>9ht^>a1pwE>1?uz)( z*MEz5ug|5nH-7d@99yB-4@a$x4K%C#UR(2rskor`ilTgk zLGL}+*LLOKK1qksyZQ91A)mTle|XVrPrc+m!o3=M+2;Y7yI}_(b*(9C9Z=139Fd%`w*Cx(F&^3@11991+kc3d_hbsrUE%Y?1oD{dZNG^OXQR5?u>Q6 zV_G|tN^})i&o#S`5hr7=YgyASM|&P<$h+Jo;A(9~?rzyP37zcf!^D-zHKxK_k!Jg$ zrI7oym0hHKinRHCp7=ejMi%|BmiDzQ>^D>xt5_upW{3YeZoKtwp$fU?G_Dr1zJcNW z!n}@BvFcyLk;fPbth~4d^jnvZYFV6oG*182l~M5+IHaeCzQl7)VL;C|sO2X{X~M7W zG?qOcCqrYafoVM0xZleHKb_lIQ>w*O;?^*YQhc43mfg`623q+eebVqxx3BJNOt@WA ziJEWnNO|^}BcWO{-%ws%*{z;Z>-P_tX+Jd4DEa~+X5(KWwI7`rRB-Z>T_qaz!Rz#s z&Ej<$x#Gjz&Ytq^Or6sAot%BN+@@4D5^glSU)#AlYUS3Z5$dUdo5~;?E_x@aNFmA$Z8&3sCfIx^pV9uf|y zx5rcbb|rzYqN~*+;w!gfydZBOPw=>ql%H_)RSM;SJY)A;*dSQc;3qbKR-VK4yp8?w z0*UG9L1}i)mvuA`xfeEb>@u5k!e;z<=ckU(t6}UPn->HErq|$v5!X8^{NtUjp~tRq zvV$bt5XhjGuw7GXW!x@mRkhP;uW z-yy^)T@x!yL_XOvq>(vTxw8pft}VA6b2#u@fNPSL85dA_mpJ>A;IDDS!lbM!tE*aS zC-{(CO}Cc1p0D)L8%Y+TW>Ych+Nnl|=-{wTwB2Gk$@r&a8Qz~l|H)vU=xpn=V--%^ zcV$n}t8cdWwmO(vT_-Ci9R_7rW?I(IV9xV|M|#hn#f+$29)|Eptdqnd_t8pX&bG3( zda^CTyLSuFi?ajWrKW@pcmk(oG4e)qO3snMSkWb0evUX=oa)X?%n_VY?KOC%rC~}; zVe8zfqDQ}=6%YL=lRrK#j@D4>3xm~_J(`8>!>{mLX+QfjeTr1w6LN9%b)+6$BQV|C zm`C~l^|M6;|8O z6lB*dy#i{^kq76s+>+$tCz>xgnx=7Wh;I+-rsW)e7|&x>KYfMeXH4{I?RP(p{$96X z6k@(^-u#F?G7_JI?(^fC@qbix=BZic^-Q$z={s?(DI~9tsomkuW2qBl$;Q^swNdac zqJg#(2b{fPR_-oF1-I2D*bu55IyLrd?FN(vB-Pp}fLRu-cXYT%=1lyc$-g)XP(z#c zIxPbxq&`Ah@(^tCMWM4D+Wh(PzR8i>xA?|SI4>lqnls7mmAQ>Z(Xnh@&RYS$$CAk+(kW;05f`h<#+p(%rHxr_z>~t5%GHKyxhw}RV$#5h zFVCWZ^$uVII1-@hv7a%88dJqPJw%f(0s2m&Zh_8?qu|(B@zB>Y1NTKGY@5?9U4k1R zo0ycEOZ5Y|;-<6tSnW%r+E4c@@38V6NOoscyo(b&l`LMdr|DXGP55wgS*r^_dNe{D zlez&2x>q3Z4JLJ~Tx~enq1hQ#I!<6kH-)c3wO^01O3U};m20K((7I@uB$RZ2mTu7A z2?5yZkHQM4m*zyb=@Tle^Va&wKUbFS{#W<;zy?E6!p*qf#zD-rVxO9&{&J^YkOS=>gK4NYp~v=_5>SyQ6gJ0it>`mk-&w z#=Te@^`1||Q8hS+T`NIXX$;JH)iCGHfoLo}6wC+ew{{Z5?f7I?E=9lESPok&NhaoK za;(7F`V`r0AuT)75a+vqEGl+1tXz_Vt-ekzz@m^2&K+(}HDfXrBw~09uKI!b!ik@> zO|l&?wS(yRE-&0e!%@tRcvSE zLj_zz5^$}&d%pk>zExE@n;5EFwau)`tA>)Fg2~y~6u)iQV=o6I5j1Y;KEaK-WJYd@ zE4R(*n8~uQ2Jqq09&(-=tKqKZlY`HZ4+nn7Tyxu-e{Y#l=buQf3D)qHUEZ_eaw^|$ z!t3pRjJ`5;RDE%XA8BeXJDd)Akds7)5kSv~co%qoDhb4j0~1WGc1IX{OdGJi(+vLr z(Hq=&0uiNN2{S2Sv{KIQ*{RJUD0W4}0C5)1^2E{V*E9*c-SHNhqT*n89z$kNwJ_Ljs*^PS>l0q zRQ7eSi`v1QQWhoOdO>2jt13N&5Che~id#mEcJWT-sj|O$-aNK8+=`@hn7e8wf0W@^ zx^Fat{jLG@HVe158dM-qi5pZFjbLdK`dOx}H4&L&!V1QXOigGHf>V*2#3!fp2YicE z;^@TCc%fH{`A)MKb@g*Z)kp;vsOV-Gybb~tP#$2tcOg9;c`^sEB z*I29-#5yy;^FUu4GTai21M6tGt>lV7p2_P}we&W`X>T7a@(_Z#TFar;+w}7l^%Fzj z7Ln~V159eAdiI1nG3!0>|KAgSxM->OZ@rf^`vm$dt?~v2wb^H{Uu2Nq3*MV3pC^1?4ff0S z*(Luk;&jnH#36U%`#kvnT^7Fh)GzzN zp1E)FGrK^fU3}lWerU3f*yR;|&nIBYH~J-ipXf!x4PIvuip=%hgQMTee*W+HmRJ7U z_o1aP-18GkftYXf2?(frD8#ccT>eb%nr#vQeLl0SJ-PSEzqhT4y0kc8Me4HyZ5vDM zLx|JFO>eg#eQk<8@rEOQ?moKyh@!!q?)vDu&&G4pC(e2cPEDRF4k8ov;;bbyMVV~jrota_ZS+#h2^DOuFXI3*ARLD}0-@Az5dD+*^9kTS4SG>^ahrrV;r%=Ft z%6ko1)q2wa-VFzw_Lb9tnGPDI=S4*z%mxl znY!|&xzC2aMkQ-L!I-VyHddqS8r)c5&7o!Ox&vOSk`2{ZY8h3?W*)rg*0=PO5N%lp z-{)D>0c4eZb=-N$J%<=Zdp^6!*wZKbu>Z67KiZP;qv+f+uBrn{1h(&FJ?N`Eql?j<{?p7MwBMyPNKS(WdB?Cw&2^D=FVuNtTHQDyU_x!F&M zl}C&E#e`P6k!+Jv)i3Vwcp|Z>S$9-L`l-X4UqoenA$ha^+Yi8*%Dy_7r+Ga)_vAS( zr=W)7mbj@(FBFOizA73XPE2(aj^#*VEZE3dh5d4lX&A7An0QJXje92{|DmLuG*>(F zAuk+A)<4yF5)eZDH=#?R!R8;tlj=w%213?pRma(SoHOfDo5`pSLzEsTzx}mlw(5O*Z8c48~)|Q zU0Rmwap|+Z_tFg&Q(OA3bOe>fz4nW-m!YoL42un8?-gmwmRdo57|0UVUQ_&j*v7~v zHC%18|D|Hmu+2igxl)6JjopsZL!LRfPN(48K+_p8W@dk?rivw8d|` zMY5^I;ehJIx;srI$J6$TR}@v=oZ|H3K8vKQ+PW*orPy+!EhH7zFv@S2BD@``a zH4+iyR);3vP#!!|dNeG;XGt1&|9Dqx*dXcmy`Hd7@i0QiIa-UMtTutvzBCz8+UF{2Y%I zppZ)kP8os)wf2^Pv2sq4NS-aw3kCrR(#`jRC4f9n7XC&9{yR6`(bdv?ctlM5O=R;v zj>%)T{&HLOb~oz0MkPBaySXMKMf-8gsxVq%Vd`A!;r;VwZoxjQ&fj~4m9`2sJsmd_ zr#iH9YZk4Em+z?f-$`%vy*FRl1fSz$86QDJ?g2@5}ZCO>^WdXSfa$K zV3oPS7veo5Ro#-|(Qbno*9y6eRi3N~)R)b3Ds*!|IwANS5>Dime52C2I|sgQyF`DRkuQRP*X&!Z_R4;dt*mJ6|&#j6s9Zw2W7_(a9Of`7bIltQ+W535Q|@| zY?Cbl@3d)}^+PR6k=@u_%1=20o`tzam4f77?sP}5;NX#-@)25P%wS$OcTjtVaGxJU z2@Z34f=q_^N)KQtzS0B#%M(Q_Zy=Yf@>b8VmuHC{f%Ed9Mfm55xe$Ju$H^*=&KB}p zNU8i>Th2KPIKakV6?aB9cL)5yaz{$hsA%1&jMp+( z5?w~Y|MK(muI9sPJ!%*19*?}#{jFek{KBZ@#eFxKNBcE(apRn{VGA2VEbHvn)5)S2 zNuiLPlQw!dr_BX$cocK1?8FT>uX;1m8C0MLilZs_`Bq&5qiDwgw+JOu!p)>4t1Ljj z$$80UMXZguTj34+f{Zss>CM}OMm8}l-p*1J*F;;x^6R<#I*)SU*qGbd=CUX^UUhKT z&<5j8(J>`d0c!3hvr5Xb#|$~n&oc=UPB51$jSVKhAcGB2+7s#YC;3sl=wc zbJC7AD4g`n2vp*)WimY_)e&Pke5;G5CU0DHqn=pTWgB!OBOr>I`_;AKnwQMFCJ$I) zqW~lM%3NkYD@eybrd(Se%QK=csDlI=!I<67>=Hhkm z;u9FD-SeXG9n78SdQZ0>OBtj@q7_9>1GpNFCI?+!OMWIMd1P+DRz5dB8FCIU(qSZ< z$OxQ*z+)%Rg43az<~NPWRbFGpdElvYD}DLIc)Gm@`+w3)i~avw_{HbJKj-7q+$e9( zX2o~#k~wveV#rcKB`Tm|?J$@9&f26dxi34-#r)W61rKMOI;~bSM@PYfr_7ys*s;mN z+-$9reBSXAG>lYe)UC-Ymh*Rzx3nWm%|fsWDwrZxJ$?zy(zZX{G1-8|m>hPcx)F?I?clL?4<2Q}gyiA^oy9XxlAIatiI zn}3T*Lw%ZUa3=_MW1pqY7h>n%$@E;z<32R01p(pX+~tOA%s*aN{833vqzN?ClFMAf z@(mClk&)J%BwQAfgx(jzPqf_mLFHNe0V~s_N40W0K7JR;r76g58$RF_?>UXRCD9(W z*>ElL#60ISH7kjZZ4t;*(OtKhC@Xl&em`ky;8_#SC-nBsZyu(VP4_~4Od%IL)#7sr z#k67yn^b4kc(W>zY}06ku5GY-Ns*=ga`la0QNR?{K30WEtl_ejcuuNOe##4X_ZR%_ zR+Q!TzhLkeN6vQip>eXTaoYLuPRy)kfWKx^iknAxdfi@}Ywz|}q&jQZ&#!a&*~Wsd z^EU8Dt%Q|rR$f!FlzM>sE=sEs=?fy6bPYF>SWFU*;8*P>J5>C6T1a7{#nGio1u~s< z@Yn~lp<;9kCI&CL^9fSVuCMZn|Lmg6Qryl_@rLn1WuzMb?PS!*w9TkL%(h9&Tjj7L zfkI)i$V*~}lUaqCWopy1x+~N?w>aVyxw}WwZ%OEu+$l$QO@0njCq`B8JZw(CV*V~S z%=T2oRTJ`5SkE~`1NjT_yKMPt{Wo)=GFCCxxXV55S;jy?E;`Gb{xE0!$FZ~dWk1YK zUvw)uMzL8kmo?T3+?G9^qY^jsggtW^!~5(5v~?f_V$@dmz4!AbRAoieII;G-R$;whhlOLfheL3yTpW9fmeLs(;4xwE69Zb!m8J7dZ1A-KM$c zvx&nWszvG;9D|B^NL9|MU2Z$Jk~0mUg+?X(C(*cQ7pBYY_|!hM2=G*_AeVSA!7#Z9 z(4F^DYl01=_d|k3fvBilb76k*ru!DJ-Q~4$Zt+G8lzzSu4|#38*XZ+*@18@xm+EHy z5Brv1M3!;L=bhM}eGM-!dB*orpf}~WJ>c}v@?h1AeI_b!@@9!JW(N&h&#zvykUocuiK!kxeE^7_>I(ne9cU*VpG*O7{& zLMH>x2kbqo^A$DQvu_1#oBnkE*vCofpPdL)OP45TMS@Og?!6`v+npVDV zxwm=Q%U56S>k9Jzws(Me+0Qq$sK4_=x}Rz17!2>sUlN%27JPOIX)AjH1XQK|T|A*= zLzhWk^w7IWnAN({u+q*|X8G&aL@B$|4+Ry*t0~uB!un7g$JLW-a`}+s3FB2UO?qCZ zUNx7_jQr!vNvyEp*4B77P;8i(ska%19($%^|3@DIr+(36|jo~BC*89C^Idwl+E7OLZ11b_1srv31AS#en@4+(;hlf_ z$C0vs%6o?&XFoB#+X-r1JZ_F1AIxr~FGu!Bs=3QgLq^oX0<5lhnrPY3nk#3t#Edlz ziz<5Y2)uBYb;3C@!N;m{BPYpu|0A5e&4Dpk4Za=6t9ukUxtcW^u?90 z+73f@9z%0>w!Y-1zPRMe6S_-ok`Cozm7uNqS~E{mkPR9TPkG&{JHpA1hlx~B!FNfr zMqYl7X6?{9idHsmPEvkfSYg*+!5n_@QC?)uSvS0_O<}!b2V@yyv_* z;kj0)t90pcyXYTs#G^Zp{8aXMfm`s`lfzvT@%xu!iWKgxT$-ZGY57Qn?gqq56&}U( zNOMLGE+04IY%CTvjvrKix+advf1Xy(jW?GGE$~;eM@n~(Ywu#qSi`Kj#{4efCMT8A z7o%}c&~#oHOYMHV@j55963aR)0@_hec+^e* z4`Xiv)#RPG{UZdhE$XyI9Xlvi5nEiCZdwJUTF{DBXGBPa0@J#UBJ$J<46>wE7TY4W zN~Bdn93en<7edOCq)S)|ckX+N1S$xbsUl?wY1v}P_Flg@^E}V{p7THFKc{ETl+!^P z?&bIWUf1=xRNCAQ0WhsS{4JSi9Q#7Z{sx)fGlEL25@vr zm>-p*#?t7Y=_f23t<+uVVx7FPY|FysV(LemqV#aOh(H>S;Kdx|gzOAsf+Odf*tFamV9GWH5Ac2Y?O(pZ z*GW@PL^0)>TRSEmUQKj7C_O5tsypLjO7rSoX%#mcbn*p+^T_O-E{&**2($@VFEotI6<_uiX@#gbrmL;dPDmSfW8B6dWC#9 zAq8ROos;@%ou)arPj+g>nP?X(jS(gzlyJAJpbQ8oZSD!kB6&F@5AWCHMj7@8o=aEY?Tv#- zMHi+ER11pt%^)3MMgstI7c3C8P2j!~`VO}=PBGmoeFxL* zyG#`h!By%c4S&-iP~E_JTcrX;Y@@iqXK$JM;Dqi(o3i=MWDWDhWM*-u;ucl0JDP03 zO1Eqz$tiPz*9ocr{u!xGTuQn=@l#F>t>B1i^L-Yrj>~8(T%d>{fS2k=UAl?SsP}Y7#mP!NGrjK>)4sIyhd#7Z~ zGdOSF;LrHkt+a1O?Ura?t(xt&W*~z>SJv_qrNm1*%??i?^ zv-#`>;t@8%?h;#Mi&o`+tBB;fvpETsor9ybe>JD%&E|+~t&$9oOYEgv;s)*C46YIv z$`4T0N^4}mLf(04wxv-g?anBx9SX+i)xDp)TrSaFiJrF4Wc1d0lE3Z!Y&(F#k}WsV3hkv+=g{X3*6$ z?SuM5FvW&B%U*)pT2kA>?MH0QxtXmkOlT>yM*H|{SQ3SuEla?+B ztp_5L?ZWNUrjIGsHP*ZAj#@N7o;tx{#})WUJ9l|T%Ly3HKI(o7tOi+aflm^iUutw7 ziRmhYHcFplM-l=9ff^7ZgJah2_|R-= zIBfIS`D7E%a2Y5@-F2$ZzrVtrjaLGNLe=7@8p3dv7%aYFq?IQf?~<00ajGhN@Iu~H z{U-eee)q1C9Bb1;He{4A*t@4w`aV}9q~O#a(&k~)D~%e^Rb(Kkw6$nSDf3CH z6?LCU&MsBWX8pnqgSvy+j@vbtf&mGJ6NdPcR+qw?!7&fN+LR;j3e}53e@={WI;Sv5 zZq%RRyPo$zT=PLEn?V&zh!REu4G2`@3Dlyo(Fd(ed=7x#Z)1^ZwErSU=aFP5A&1;< zSr|;DXmOV6Fcj2*9_HN>aI7DMJrOkkKdOew7og{>_1ZctU`U8^%Ly_Nj2LveqRG2d6<3WM z=L4OjyA3tngSslkOt13Goc-PFgi;U`&P)5OZ5O_EOqv+ci%eccT=UBVz0|Y8(fe%- zv0bES3b-NoJ&|8C{@`h1@xr;Uhd8apaD8Y3&y5`m!48uYhlg}(^=VR%@h*1v(1|m$ z+QFX_kcAyN@E>Ap3}nyWFho8(Yb61;;;;WJDe3!vE1({}9d2`3i(mA5afioiZZCTU zU-X#m73lSTg~xKw&6mAS&D#Dy{f^JTFx!LgJpX@-pE2UM{#*R~|42&C{$$p(o=c&2 z7JDuC+ET`1Z3%D-_j3QjJ#oVO_nv>+X!pJtKzcZbg2q_ahdg9nUw-B_XVqSx6^X^; zo~3gassHMU3QiwCRB-YUZJPPT!|$HnH*DdrT$TXBNf2c72-9kRAd|(P&hopPaNsVA ztB1>tYgfcva5~p~I`E8L`PWaU+`hPNaNgvwei!Jd`5cVd8E#><*B^JYqIs{NU~>uR`fy16ij&xQo0;_r_@l!6xS2+z`LyeQ^NC#GbN}0Q|0e z8ESCE-BS+nHp@(zyM)uP5(tws#8sp7wU^5O@y+Cq7o7S&P(k(fEIqa`GbhX~`m0)} zW-(Hdapw;$`CPSm;G*PvO7_B~9|pUYpZ>5j@(AsvZrARqyB9)J?vt?H-d|CA@%ERM z8!#d)F6|t)(1vq%)3pUJ(bdVik4q_Geab|Y|0BW5HeGdv*Ov8mFGX`vUO9_L;L{gH@Lh4fwkiL?J>}(Db=KQ$TfU-$`gI>|GWOPO~nX?L%F_?WXtp zOs&%2F19_q@oL_`3ihc`f7M$SRrhkELdHBx3ahQn1#J(ti~ zD4B|gG)!ftdP4@;*@7lxUl=-F6Cp}nnPrHh>oq&ab)=& zyU+r4I*Iq@emZ5SQI$N^ud5&@EF=pU`g+>%+(p2fBqpQ8C4S)s6(;cZ!VV7hFCql;Sv0EkdmJ zxJTh<%+>%to&dqk1S4YCHn7*5b9r%`I<`qC6uU|UaVhFGe7Ux6%yraP>x2ni*x-&1 z=AP`xC@*KORUGU8eBETp)0{GDcXIO~&VEY%*#ni)EYZo1Q}VGJ&q4lHc_UI6fx4PZbvp0zGW#@Lk>-jnZjExZgw~Oz{6nfHo2g80PT>(Ad2gN% zw#V@F5IWGE{2JHZ2W1!#urf@9;tBl{{hPVn3UHdoYN1vl60SBGuRLAXVwYiyI;z;b zUemPh9Y!U%UEK6xe4s{?@k~KYfnXRI%{XI!-V8V;k$kH32l}7^=M(N48bLFK={B8~ zmQmojf*1mcqgtCA31U83%D<)PY&=TD8yC}tcV;i~9c4>iR!00yO(d*`OouiV!_NmnE=SL>#A z6>0nVTcyOYPgn5J#shzJ=&)US8%a9p5D`s#n{$mZr~!bs%FT&J;~hD=H`Z<;eTz9H z!drjA(g4>&f}qd|$C@+!bx3FvY=PE~S_H0}Q_fA1C6Y`Va`-ZnG;tA70loiWNpAyc+h zbg=XJL3CCgOH3jD#k}%l<`ZR-TvxFVyFCH92hwyE4b$?~=_p?oA&(mcWieVr?IA>OL}bTPXo-}(qG3#x(m=@z2zaIWY%nK=mRY0Uc28H9erz~xWuCR=Fyf8S zB>P|iwT?376OZBDj97^Vfw5`9^(4gw=h_Qxse|9gkJIi`cp|~=UX|XxA7wL&!1wrD z3`dbO?JI%Vq^;@fEsfh0s)x(pEo<63L0zA)z&`7PlGK43sYLaM@npu&NLoG1IjK-TVlX*v5Z@U8{>%(kCVY z6_>;40A6ic;&j&)>=vtjG`}k{gtY+5)S6;Fh@_ zYZyFG44BP1Go9xx-P0}Ns^~rLoX1NzN*qtvI$|9YkW!PtR!>~(4Yn=q{EP{?Vb*|S zoar1K2^z`u{HgTs4Yzasak2t-Tu!}B*JLAz&@5eQ2-NPWYn#WwAvD6|VEd`>qSzU$4!?;EOs z4u-ZmA=vJeLZP|^Rz}Sy%HAmL;kpwwrbm4|Vuq(F{6H)OE4iJ+w zJ?D=NH?GJUbduH0$ohwij~^K_V1ccJ-b-7Oe!QiFCoii#31DTs*#6k5&hR zbZ}#pzX{8qbUZ9mH|t-mLz<@~lUU<%_#4>^?=jF+-45U5S?k5Fh-8o(gNbhn-(~>> z$;V^lW5z0pMSg^7ZdxpO?n-%VU3NqxMJwGXe zowbuyxaT*|eLLLPbNteO^z>@!c+xOWvS)mbztDGs?5Jt(2SdLro*$MI#A4}yz~mEL ze9*6cvc5H?5gq{tGtRD{V z_{>r4y{zL)w>$g}SJ`BZt=q#hD3-N{Bq#THrK3~iM=N#qMQ{G^$jEm${oy%CtG(%g ztk+L@&D66*cVK)y^(g+SnR(^W^CC39=hqJTmMvM6=zFGnIYz$H>pw03Xj$Kam=)Jh z%~%g}u5Yf0b?s9OeKj8L6=fbz6&8G)Tkt_1hb}mG=JU5$i=9u_RZ%+B#68nZ(cNKmoUh;0zhj}v5{Lo`u`;)|$ zjm}QdYjwwt8R=T#Bi44O^QwDoWI}dhG$2wAYu#906pY!?T&6k$a|inV{ysK1-DIb0 z?jBO9ds-zQtf0PK@X@vAfwmUv<{uHH9H6|1Q(CkWGo3q%JGa#(9l*^h7(aIo9$Ach zV3!AvS?+zNUs!PDoaF;rV$_|$?sqVs-;g&dTlQgd_68(Bk>A1O9L9wAH=3m zH}c~ic>rN6Fp@PRbNwOb*lR01BSE6JN>^hsZIGfdJkjxF)#kemyJ#8g+*a4VmlUv{ z$mp(Q#FN`?>B$A(B-vrO!?Gj;_R{!<3pR)J%*wlTrR=s=@u=wrf^)i+-{yU#&BPWRvo-?)ePaWw0ydG`rD;HOXm2O=?N!XMq5yaC>HX~Qll%|n z{sRd=o2UrnJl? zsw8ZtfZyDdV)V-4ZSQFuJT=z2Go)L`G6XWm%#>TUgO(uO7K8QJjIlqP2; z-%}q-J)T9tLHi1A-F<1fn$4-UG#G(3y@j?^&XM9)leBbGIvr7ETC}QA+9MZq#9VG$5U~@P0$_!~jaXlbK5Aq1t@@@H9YhIo3X}SXJPFbpF`gLk_iVzs zFf!M(F>2+=n+p3#lI$V4yH%2ARBZ2>TMxA?W`_Hevv<^EXGz~|nOMqy6D!vl^>|P8 zr?hBbqa2>1%-qF;A(iH$Kikn@;Iu08_Qr)2Yvd2;d#io>e7_uf4L5qxpT7LlT7l;i4GB9}@@h%rl( ze^S3Y+!mb;OWjb^@vMJ)@lGD&7CKSLNXbsZV?O3+e5m;_q4edti3crv^cWw+l7c0B z`MkgKPj>IV%R31y&EaVc?})12{Lxbv^w0A!(-!NOmN<=NhsTwW`)Ji+vjgXmL>(ss zj}*Ik6cn2ayQpf5I>$tmDOz9zfdwlU0nq}GAdH+JnvGEBz+S(19}f~ud7Zo_tEiH5bh0=%*Rs zu{)Iee$ZyY?lv2hR}?eGhpinj8iE|r_bG?$DpZG#Ggo;@DHsv~PimxUvvCcQj)jEg zD(h{6+5zqaV1{TSr4T%s-+q{#&l-3H0wgcpa!-WzaY!w(niCoL0&ffHJQYp1oNZSY z*@o-kNlY-|w?2bvnPjR|iSg0XPENSE7W+)tvpc41@(qx^vQNi#y%oP7fu~i?c}k=7 z2la<>gJo}MHnrp?>p1+B%+fFcPD#d=st=FUq^Y2t+Ogsq+3EWhz73?@JhYO)6}T68 z-MHHHs#F*vz{8ZgLSzlF2@(iV=l6=4QxVR1R|$wznLhFGyhAiCD346$J+C(4jg@Y> z^2P)$cz2LcMvLhZoHd6}`E18}b&RH0Pvw*ztnZP8wX%A}KXh>qv- zRpW9!EiazfN4zn(i6#+hGnsALSUkJM_!nqbY_p7srMV~Dz#JoA=qW)ZmlzRXjwbIL z?#?b78;5rdM_=sD8KA_2cH8|s`?;g0AUwRewdbN8<1{<|5LL}1owld#7I-)yIKIoz zdx|4QjI4V=_F@v$g9KH)XLkaNnEf29^E%ecB43&E_cFe zlx4;}IigftO0<-nAwEksuO+wdbl-NTIfs_iMUi58VudmD&jfMFa0b~b8_rO1h#c(n z9D!~i1RtKZUvV@As}HzbM0@Ryixs04U$pgjKh?a@WXH=gdP95H9`;v^@>a|FUX%RA zq=vE=&C~Sa!Qfz~l1l}~3*j<2)|XH`A&mte(@4sv0~@QLDEWL zT_rvuH6!mhZ?R*wkvBtpL@5`l5=kl{`_OlrvVfn)BT3p@d+xM-zhb9}qq!jM$eyNU zta)s-rhx9-&wq1O3jK*mbErzCd^FzBTsY-* z0cPob&8&^lu&G7?EdmqJF;mUX7~~6vRlu$aaEvt6TykXBuWvk!=}@x`bE&cBQX-Ln zpkUt_+GbNIw}FDB(1DUC@Zl9s$_>B=)!(4fVAuzH%z&G{$A$5FrtjK2%QN>#}AmDC~$ z>Ka)U>H5=C$fR0xKNOrST^6mV2pegTP=6k!-5h30ei37#c$%uV@#t#K7@hm_F@pnZ z=6J**tf(3;@mrhBTx1WMwMQfG(t_Rd7EM{TPit@>jLnWI+d9oeCTK|U2KV`1A%rA! z>6tV7HjG~$3P#{l){`%*Asf2}s)B8p!L#JQ`!&Mc+@5%d;cwypFFp?By$-j9tmPF? z%v$cbWjR8PUwD$9N6+oh_dW^m^!~){#~(dAfAyN*;b!#uvIJ3`Dz7=q!k?KxKIb(A zb~eYlF9>~h>-G6i`If-Kz#aoLYvoGLWcNEJvc*jO{z?p2#+wS#iPkX#7oC?TF zoHY4Hi;kZWcVcO=XI8i+_;1&6d~u!{n;%!d+kJT9_!8^l(ammOOssa!@*9r`C*_iB zVp-362Yg{K`Lox`-K@74+n~C6l`gsK&RV+iH!C}&PbX&{Ilf?vciY}hzR6I6@h;Cz?2-jZ!YiRYnL*^JUx5PedqRA z1oU3aD@30mJ7RO!UEU;dCTL7o7xJo@C>M?AZ6fVeG^?j>&1ts{YdP^ZCTl`fI zHFNK`N5v(LdGF}%owt=Rm8%jZju57@gu15i9+>pnQY*D9Wf}=UE(Y1{I;wK-yhZlf znyqa$f0_{ahKiEq{zhFTM2KjGeNKPlbwsLWa^hrbAzO-wO(JZRmp55n(nMds3$~v7(c^ z{>wUO*16HNdnX>gJNyL}>c_FS`#yEXfslNOx{gf{Riq#l?Yiq|kK76Ps`n@Bnd?Jd zg=-igaci#uRDCWoXTrvX8-U6=)3_k4pArI0g1Zw%_v`BVi`*mQ`u-W|^(Q<{H0}9I z=^->;!m~#TIEHg%!}*V-`I<-7Fa22TnF<1qlwCYKF>y?{cH**j98K21Cra*KY`^`r zd&_;vwMaE#r%DHLdJBouc^j-(!fx}vHfvgCU!XZsmYh-=l|I(@#mw7`tgi8>t?@@& zj;i&RPN1qv$arK(kYx$8F3xqTwsqjxiGXrCz)upPK>O~P%E4B zcf5@IrzeUvj#1 zF(QBg-=SW|<}G%Rt{NDwEtTBa&{`R+Uz({C)zbf(O3RC|q;U9e48X9rN%!}Zyy;u? zipNn$y1W$nD2X}}eN=lUdS;%#O4is(&M=A0wGsdQX83ypGaUR8XU2=p5|I==5j}t* zO9MZ10_e@rbZU7Jteq~B#ASEAxvThntIOoef1`xm1tg2$dh{_fvZed4u$oF*BT>G& zk)x}G7*NZ+ei_FZCrx=oLql8<()GM5KSD1eG>Job*K3>4e|T5&sx^xi8gZ5xm!9HD zws9H$8=FatB$>J{og+*E>01o%CmHad`9=CCYQPD)gIF7}2`G6Vvu7d>PgBA^Fm_C; zuJ7;Fqw|2IalmvpA$zxXNIDu<6fn7NqRf|M@I7_*k;lpBVBHyj}PwB9hiny zwwD%Tb8!cZWh@R{M_I@&D07$)`MB7>CJ=YJ{Y@!(;@zYulqny$vp(3BcLWj~Lw`@{ z*^R2`Woj21h!G1;<<#oaiVs-#ZU6V#TgOz76xl@5OB*x3`Ek3ayW-Kp^_kNLOD^9Z zxp#SXAHVItqTg*f8lA()4nJSBo{vtOlieAe%Pozxl$}9|9dvC$CMkfPd7F}{n`&>X z({a99G|EWRjORCCE6|Pi5j+CjA_Pd`OL7tedy2gYz4tRlN)|0#nIS_TM;jLBIY@g> ziNK`q9lRZe=5w^n%O-_5EY7GZ%TFTqWB^(Tn$pM^GbjkrJm_Q3H0C~$o~gSfmbOa2 zUq)Yps>lu5$;6^MqM)Hcs*~O!_FspDk*9)4GcNdRl!acfJO4}^{q+bk9Tw>cL~K?? z_ZLrq(de(CZVUpm?gIa!>xWF)z;$A^uf|Gj4=9}Cp0YQ0j=a{0su*ncwfUA)$w=!! z*_(`TCO#fy@=orNo`*S@k@ZXM9Cg|STOS9u)c)d|kw>UX^=;n#b_-@e2|tR>&0A(T z#?T(oSNqS`BWkChh1}s=x&Eg!^G^s!O9$xzv+LsDRp(Dmt5e%mjEswbV~S2Nz$T+A zmTmJlB*Hv95Q9+_f5=ACwiqO}Hqh^?Lc4~Eoa)L#=bqdxU-4Nz%2tWKs0z_vc27-d z$rJ3=JF%sfsrs>|m_nb9hM_9625C2VsZZSenfC@HV0O?RrrI7e*e33&DLs~x7h1Qz zb5A;~2u9o3bK_H&k;!5z`gt<}|B%_)*!%Oz##@ZNNADAJwH|0*q zU)9WHQ*!0DlL_0MiDp&Mri;C5Elo3z#b;uRo?Cx}Abt z0QG?N`~U3^^Kf^2dZYJK01{tiK7MB_iR zJl)(puj3mm`rq!b|I+>r?yy-e5UF4>3oQLv-e4^L&I4q9x4BO)^KgG^V%Gdm+?IMQ zpUs-%>m4{XG%FP9!6a*)TTFnL_a3*jc+c&{!=9lY+!}9Bw?mWORhPWIt2{^7_W8W@ z$&(k{p8E7H=iF&t!@ zFyJl?A9sJ|{0p9T0ZST^?Gw3uW^SZ--ma;+M)`tIW&3}RD_Vi#h~Ly{kpn(Xs-XNjh>-DXZwb(|4q7iV8I4?HS5(sPX=V9PmYCN??}44 zdcJBkf0&Tm_IUWMl}FgDYd4zm_|Vmzr`!*{P=DTHx(-f$6uiXF&RK_70T`hPI&kZR zuDm|I#ja`k}#HP}XD}pN{N_6GDm(Tasdwo)i_0M$a*2sB&Am5jdGjf+5z3Gf=3ym$3 z5O;ko_dPSbQ#tsfL19IK5bzP|=8vNO1BBb|gvr6;%t^`BaBVPE^SAcng|C*sTk}I= z7tdF;x>Yh7(DJ6`o2YjNzKcK)8~dTIl9NeQIu}0*RpNJ_Wi=4xn!)*2AK>mf8T%|zR$ z2k65?o3!glSM6zr5lz$UPbXp~k1PJJ-6?JBVLeTGP4N1^0RKvUk!;P6>vv)>kOW=Q zo~!(p*Uk%}T{D5i1!}68=#{G@f!2l*qG2_5Z*%=*s;P>`CBEQk_QA9;(zzs2;TmO{ z^5FMtuoFN>VAgP|<9FbnSrL`mC)(y5b}h3YZ6xU7|HqY;0Fyzs#WsES@>B@w9IAp= zajy)tN*Z;N8~2OPCt@Dhq^oxJ(od{Sq1oLjXV&?Tm-H@n1a*qj16d9YbzsAN<~# z-~I(kH@jbZJjt7Rdp`8ck7bFc{z-)0PxgI7sJNdU_#%+?g;#Hp&9k;+o|oJwDkRoA zH;Tp29`Xqd37YZ!yw4|0;hQBRbtt7jy?OP;6#;+ip7Hy($g+BV!u$f~A3j?17uPIb zIs2Z#r}_GG6-!?itURjun6>ye@1$Vv4pz9PBK(I+A1N!`P~oNkMTM)~KgUm&R^^6c zWP0(ae2$-Z7tbj~P^%9l*%cWL-O~=?3XVKJUoPHmllL~ARwctuz=(^t+h*#8BaW0! zXOC|Cr<3cR+TFm&iEG7hwR!o6v|H*QTB}#8?p%8dLN2b*mmUkb^_!#m-Y_yAvh-F7 z^nV|`$)W#$ga2YZR~lew{C#yHb#w9co_n>Y9`=3OK>V3CaL5byD?UrmdklGnuTe}6 z(84aSPvUCc48lH@@W1EyD{JozOwL<$l@g=NyRS_1UJTA|Fz}+Uqwig#V@q7^n|tTK zm5A;E(|TGgh2@&FNBc2`=K6m8tDLuqoXP0%0itOJcwG5;+c2jVisQ={98;PzFK=RW z)hdc5U4_SK>B_&yQ!9}ktu)8co?{>Of7XX2!d`#=n682o*Zo#Ji9(&1^<1VT=JWSa zYq;*Ny7YP#!0kk;{UaqaP_$FsXA7m zvzHQ~bKItn;l78&j#H2C4eTRZQJ|@s+U1TTG34|$I^kn?e`E7#*)ztZRbPg6UD@RG z({B&>3a3t(lEl>}reF|;Tl!u}t9aLcUP{?(UVbaM!rO{qY4ZZcsN4KQ^UmEz%ED4) z!_Fsd`2l}xW;fWH6H{f>t$jW(X<9aMBj>5HH6{H6csEr}HX5F=IDEVGP+AP`8LRb4i5txi4(XP`WI zLiuZ)ZP3!&Drs5X6rJGs@(#ecsnhw3t!BqBQQgydA?S|Uo^_-kq9o-ArEZmtc0PX) zVnw5_DyxJMu8A7>F#%~Nj;?$_mnIl5em{0VCzW=4Urf=xlM#%5xq1FM?esNbOAm%b zJpcr=(LWIIb$McI`)~V8xlGk{j++qhOJ^ zdr!un#v65{#9fV$lL~^1`ee=LDYi2L?A!aNpzH*h>8g~{O)6M0J@+a4i||sCl<1c# z#_Xksq7um!o$IwWqxt2!MqRlr4FDRu_l2&es$)=rh^t3e-cCk-JO2&y%se6NrhSBC z3R#2)Ph(ONUCwh2%5MZ?+2%+JZaJ&k<`kK-bwVy~wBf@z)ssWHCwUf-Q~e+Q-%~yM zvAmYXvKD%+9%8*5;I_*nQp$SY>qLdebFB0{)}jBL>gNMV@WgR^1Hb=$s!u;nfOn4> z1y6doxn3dT9!mpexqG?0EqvyQS-*3CZaRVmHo^R5Zi~IW*G7257xae?w?MD(OK=8x zZ&^0s9lzK++;fHJ*KXfNdtUf)%-KK*;=_|N}Mxi1So`$JGj3;d9BXo) zWIYRp%UxE$DQnj7G0!!rti2U>@4c*}T<_B?{>Dg~C!e*4)Mo|GY79TO;a_2IJwNV# zx={4OoF`7PYPU~^_Q<0PqURh^%vGH3^VvBx|9VcePiVx9?>T{A#FW?d3wJ%1DBt>N zd9|P5^y1;v1-Ysh{uX|t-$VJYSg>qv?P#2LYx1H}tuV6|$0*dNll|?iKgE`fd2=CQ%qf5*COsq#9pmm{ zU2=zCRKS^NCFukha-aN~_tC9zXFYM8hZ%XhVyoYK<)c&)pmGKr7O{4)ww?Lg0XRcX zKk8rJ-Gn(oabV>q>)v~K?zIovCEMCmeZN-f7w)1wvW?97m$lABQ)}hH=f0u@Uk3D* zeApQg{eh+OE5Vw1WAOtwj039>uoc%97fl!EHflLX8E(NMU2|`7NrU9CSw)Q0D_qIF zCdDc60>g$C=n{kIPW|)+1}2sMrfe=*Q5KJN4J~uI)$ zR>ba}YcP*Bokj5zxREuJsAx#Z|8~O^WG^Fg3ubkLSzYjL*98RUn}sy(*}-kSmA9g? zaH93rO9S;6)jD}L^R$H$jfGOP?G3s)!zZ=Ehm-^uz$dU$J+NGtaO)qucXaaUt{w4k zXsn8(1ewlvK`rO%zv}SX6{q^NZMyolS9m}AVqjOufo=N(`2=LF1jnr z6=Z9ylk);s^=oq{pr_2Bsa+9nlQm>(&q9h7X*R*~8*UppQ3mo}ZA+fC$SJn=F+y_a zHmzxsPnUGO-0V!mF7V;XZ?FAU`c^HtC|K=zvs3y%otdWP0)M`wjJA)gowq7cKg5UY zV_ciBsC|ytUbC$Ih)gZl>G*^~2r!9ao1&ff?aBH%i;&4Mrxh%Z^^E`tUM|2TVldTi z6GW{QbPvC($st8xgfmnd!AMtf#4flD(C>T00Tyb>tJ=HGX;u<$7#hMR;e@u{9Vz&x z?d-Qd7ddC-HtM30jvfpSqh!B5;MNW5q{*u&Vfq;JyxxK-xYb;S_XLMxsdeDs|J0C^Err8_`gTukJzn zfdOsZaQ`DmZ5heIv+z*C_em+8TB7?Pw_tPHbNFE{-7}@F`Z&YNzKbLTZ|i*=-=$Q? zsT}7o!|fQi>;P_`Y?E|#Egc)pi|9xPS!d=rCCI4UR~M?Q0B^=Zyfgd_Bm_c!y>|Qe z#f)6x!oO=jg5gOP4Gzj_bJJ;zR@OCGsB~@SvjMzOPLIO@l8s7cIX*O}%Jrck_=AbX ziuu6hkaaZj-A`q@o&2YYa zBf*7&XD5`^iVT(BJ1qdf+IaqZYjp=k@mc7u4Irsai10=d7H85WwBgKyLc9mRwg<#fbhK_>axX+z4xNi@K${kRL80a9urjgfYea*^B*?)2WfKRABIr-<>C2f@?)Za>n- zVVcnwPvON*a#1|qVVv*4o6m+dYg*&hqiD%nLvpi>bcARnO?lGEc@q34Oavm7sT+~@ zL)qYhF%k>6WB|w6Q@Zt-lAbar5HCOsN&ghDyvOy0s_RGl&fAbakQjU5GfPaq z{Odrd_LKCO!J3hEt%4YozrrD9$4%>YT7Dxf1C>gQWT&Nb=?K+VVAziB~z<@|%eeiQJ-J*z_yU5Vb-*6Pz^0b=tO#Ph4B?Qf9`}xgsgpn@T@c!kj`3y8sTL)(F}I9*}%+ndGhm6qt{3-4-+2=M=H1HqP#% zB>k`(9?6@nz^M;J>k0?WRBcX7smVt)mJuiCM<^OFU9>tI$1L(ykMpjFD{H z44!2aLA8_|sE3xb0=eXg`UP8$S%GZAX&=yc4xsNPkD>39>=Oh+r})B|5G^s(Z?nj2 zL6xsBvK;FgYB`%}y7W!pm%l~tnadUz&vxdF@U~3K&;ZVH4*WQ|zwm-WhMOE2a{kMd z51R|u(Z-R|!~3xR$v&M_TFV*Ci{PPiuBNLj#F(bw-K8srMjca#NR)(#(dA2iMy5Q; z(DmTHD~Sh=qGi(mA#8Uc_7xe~63pkMn8r;54Ae55xfcKXi1mMCpdhrnE&Ltk^rxr4 zn6>#oEH!j+50hsh*L5h3xS5E_A<9jNVa?;R?53y|}@rJy>}{_rLqB z%84AG(>nL-#2Md+qTd!obj|f!2nBybKGwT|! zyL+CC!rhQg0CfCORCZo)rgqNqDjF_!x5jn+9AI~T>^`r^?UK}K`SMjr?L#)9SHKH4 zJI(V7V(r=ZpRUrc=FcK?`TOqfcb4ae#IQtt9X{D8s`=UXxtYAXu?siy78Zz}@d-m~ zSJwKw%RK(T|7rPYQDDH)p-0JIpYm(#uAl$u`fK6&)dmmYKR*=Tzv$)p@;f5KOOgSg zIOGqHvKC*G*rng?WNp#N{eO@(Nl{d)l!_#4UyPr-=&Hb-c+fAGJqVI8nyw)Ia=WhzEXE#z;EhkJIFq$PMSWm^x|}fM zSvak#Ry8J9O?nn4YYrSGV3o$?jO_UvP)M11;NBN)vHMVDh&}AoN;o~WXMk&)YCxOj z$}G|&ZrKNWe=;MEM6Z;BytK>(-ztDqQTG8!Tj(^c`mC*-7E~oc+ga3FlSoTKSN<>u zG?8TbAE3|(M9DOZZS3A}iN9BrdYP6K`+wE-mJx8q%DdXn(kNaACFa6_w*m^# z^kVZW?srF8JwMQfWVYUrT%y-VlO*FUXW1FGT%rp#R}QYDZjwO^v40Y;05DmMo>hCx*=$%I z3_5X|Xl2HTU3)eaXF#BbZvj{}+zTFrwLqISS|dH4F|!7fTp>PU;jp9Go}c;Cm&dcT z`QlwqotP^2G^8*!{l&8;vs2pSH>u;Na!SM06UE=3%=j|=HZ4C$ZIs{UhwarsDjs1< zk3*PVfJpVE(N@*Uw%-hNxr2|i;(eRe&uHh}W zlaUYMoYizzIM+X^mywP5hBin`&nOmbsGmj^1x!>Z3k~QH1)dp(2-!Ij;jHgz%4^(a z5uHZ3R&d_d!0BzyL%)sF6}CN(UTw(7Y~f{h(RlM(^!0H~jrL!%x?1Ip)awthe!fi! z;c90*WpF0N7qv>3b@5Y>ZB6O?QXG8e-lsfp^~akF%?Pey(}_-&>#I0TOOgBBL}6bfoU*9U2MY=p;uv{pD(#B7ZMh zgs1EoW-(w$q&f1cWEEKtTd8N|L1;iU@!l{I5=^f) zR~Ul=*2}WhU8J{Gd)7I#_c+I%#@`YNaaC`uxL(3sII2%6@F{UU?_w^tW+BCwNo~B% z-}4zx=9#>$G1U5DN!j7llw3dVNKbA=3g1r*MKkVD|EF-w$k`V4@k~>$=OI9nq9pG7hxl7HL@5ZoP={X$%5LgwOF!-)x@IuR0t9CCOjYzC4v8#)I11IZ zveWX*@AHUD7>Cnrs7Q{hgSj8DHzIWy0V&RbC4E zw=$_4P+q1oWjASB7HQ-ql#Hw1Vk57VBQHKMIqVze3Z~%-b){fo7IvA3)h8^`@Y?q_ znaVR>K)5&=K65pn^cbv{MwGqk+hAj$TXMHFq24oQ<`%JrqgV|w-mWF0mPn(84=n!ckL?uYoLLRp||f8k%>{_k|y5VmBeW|%iV5MkAbSQSD4?G zgjoQ?;8{U;H?9V}OV!b~EM(|JqFa>kc$u5gz<9=TF(bTlpSlsOp(Xor_8huAqc2Wv904t7VJ?yZ+akMx|GULh?1o!5kz{qJ{v8R>i1=-kczSrjhMtmf zXEs^uG$_(tV5PSR#Y}!(8SVNb#PgLSAB59YH z=DY;FkJ%`R&Y0@9aN-2zRb7S7ZVM9dN}Xsj8NnFj|90XwmX9ePv>Nb3ugL6PHq~1s zEfM3An9OL(ou;K}UrF8@rKL7m)7eSyi)pJB40;p4EU)fSALb^;HjUwW6nrJ>lk zrW-`@w}8PG%h7u}?O@6QCK692Fwa6D<3tl%K+%%ZBt!`=6!~$b&AA_%Dttii{aLjz zVt8WU=XT*7{}TjWb-DBV$k#O4$<60^VDGRMwx-n6Sa!OEPtf>ds|YGid)81D;WXiK z3f~ALHg)O2r$Q*)BHb=9a0qVhH+2r>@9ey zWCm>4X&&hg3DNl~khmTLWG8K~%4Zd7aZGe8ew)l`$tHa?z%!_(-7JtUXx^KWc(Cy^Msn3 zNOP>qf!nvolknF^A}_m}*>RQEL;AX%<{11bFw*GF zz)EoqI=5&fb`LC9{LPHJ=A^Z3uhBqV8nc5Nof@Q}8cwO0Dt5yyog`s!Y?0D|+oIdT zy(Mi_J+m)|i91SM@>bnD{f#zuj+QH}x6u;)%)$-0YjzsQv(hTK_hF1~((>zC0~QeXM+x602&` zvqwhzmiI=(+JaSkMan=cS3aHl3}@<4=k}pCe3*yJdhef-z!|K9og|Gzu`i*B<$JiUB7 zJ!i+VR(rm_#^e1ptSGOicX%awEcZV3KTe)hq<(q$u1o*-&cERBH;X;qSUO4m95;7Z z!k0ej@rM_lcYB(s#aQ_-K)20{vzB@-eg*s-N`m z86Nf<-;0Ijo?m@S1nV_3Q4zNI?WWMD<%yv)|{=~i*&ZpxXHIq@YC>l+f3Q4 zn8DaCo0B~T!1A0cLyip(hOU^3+{!Z%3dVG5O)q`ve>4HF(=KqaCx3|5_AL>Q|32s% zQr)*-KOD$OnSCD&dE2|^M)G|gP0k&ZFDMY{=Y?*!92}GTa_WhG%>QZo zZB>9@2X{e1J#+5TqOS$CM_pxu@CPr?`sJ_Ji;pzkREY{Tw^@tR1a1SW`VQ8vl*X!# zuCG8Y?o<5*JHGhF<3p?;(=~q~c?@&o@fjb#T{WR(M~dR*A;0}qqm_Q^+J6-V{*-&4$`B7N z)>g@rTjWFwj=po+D{@bh;BHxFm)8Y2I0!3;60TBt6*iwS5+nyaH$ZY=6y39MD?3Fe z+a1732i&O&SK1#HY;(bV__*)0ia{; z|MVZ9(v`-IaTE)B@!^&9z+I{`?Fi*^wKVA+rVs`xX%{5^Fu-1Z4=fGM#;1-rby^@9dl zbc+^70S~5UBsS)$6lj$I7x%DFB@pMjlv)l5UDyVle8SxYB7iDR~3$P3yy5U6W~7*$qaP*!Ri1PJ_MA*6PcZ zjQ*}BqL03DU>PlBsl@E^;9JbcaMI@_?q{Tqfb|zctW-*3JQdWJFxQ@Re49JCW_U^u z_~y(gwKsV;Brn{9i&m|(&3hD&k;cL}Ae&Tnow*HZ9bq=vNn!#rPj~*w3Zip|<%|7L z3{%8K-r8Zix|YRqo11sJ{SSkGi!*)t$~5dsv?R4m_cpxMG6wx|M_b>XD(Cdgs-9bG z=1swiQ%1h7rBgFZ{(|hG&aVtw@`e4V)>wj!*&;uft3%bg7kG^Y9=EWGuWQt(0jSC} z6Vg-jZc|n$dSS~OwCD`TRX`ZtS8UJaq9|nh0IF6I$!US@)0h!YB+cKmYHOP^l|-ni zs*w+jHJ57#diN#tmM69aAtpajxKm(;-8{0Fs@k57YIeG^c9s#}A#y)m8HZtvRFopB zK7{iYtgNkBsmzyr0Q-X?eKWcJh0g}3_VNu>T@ws!X=O?|ka z0=EaGd-X<=sEjD6!S@;Uvau(jC}CL7W@DvO4e1HwB}JOx>38kTX<)Pr>i&>LuJZ6l zgGdyi_B}I~CkBIf7f3`_bg7c=+%3qPU9?Q5B1|0zS0jlh^ocLR8d&g+K5=$xLVM3S z<8V(b#fWm_%eq?yVKa&Pf_M62NUUYQN>}evi;GXNWjW zg62C-wmwQ6FStaAEL~^ub~R%v0>upr9EYqvVf#p`XY*19X1_|mPD^@{hr3m6jg5u- zqhr5HXSFMDfsE6m(cbxNphyiTo$fAOHQWbBSSk}cG2Zo8G_;D%xVeS?JGExjMByR6 z#P-saMO*TwsRkPp21)pk0!EpcrCa-lenzA$#NNwPiyQ?O7~>LfUrI*G7B_fOA7Da` zOQJ*0t!|>^o!OB~CUvTvl?*grv>XdAxCwTa8MBXcHa_2Fg1KKGs>M)5AUp{PsRbMC zeHFL%4I|e9RY^rk7%UBiQ<#R)q){{8bwLf@aFyjSiXw@3A9bY&Zc1C1Y?bw~Romlw z^F34AbXqiz>kFVrLu2L~D{4kecF7>e{*r6!sDu3Ovnw&I!z!c@X$wr(b{9Fn5JcyV5D z^X670ppmXaN#J(mOstqovAmmkw7e@gxm{gQVn&&U9%n&(i7QGgdnAspA0zpE)%`E5 zJ&i@F+{_36kQlwxc5(_O#fdIj zWZ8xX^?YQZ>qxd2yZlk}3#_lYd`+5}Iaf3J87r`S-S$Iyn1HD`u+eCGKnst~c7Y(P zc|8(5-ZHWSiyjudf<*DTsbuCuemyPInN?}V zrEVa5!%3s&>qugFpJ2pRVt`jatj?+1ZBZ*(30sDrO4cZ>+%MIc=Cb9i#0m-7w(jW7 zeA|7+VD-0SIm4IoBYUv!8YpE=b*&cbujTKWAQXjN!Fi6%$Vodyy{2h?(Au&>59@40 zbc%zw!-}G3A`l@&o5gutGP3e=4Qup*sjNiDcP*H=34UUYwLC4W%zXH6pY z%w0+n$fu(e=55_y<3OS!Kpi zQYwuJRo_sQ!WDoFh~KO$`?ikKnZt%@*NEBxF*RScE1`b98D zvn#@tM!HG~F%#zwpRP_DB`g6}qKGA_)v|Y@V^+K!hgGcjw*Q&9iGmZV1OA!1LDcom z?6PA6TRjnTBy^{*Y(_CsxdDuy*Exn~L|Kvthv3;!W2J>!4 zdc|VQVbW|KTZYrEIlGsxtjhDN+UkCvc+ttLaIJgvWVzxx>JAIl1?XpF=|Eo^0D58*ax z;hDRBTN*yD#L$NJC(g4Zt@|QIGjAu7vcR177~&QL)HL()yvLk=_c+IU6x(nsp%p6U7(X&-e#d!eI!^nF)Pk3T+s40Wc>i z$k7V70AQQ`IQQ5A1c8g2OV>}X|Hov5H{Jb~3o-V-=Uj5|xhscX*z-C#oqjacM#Ww@|V3b-D#uCM~?Mm8v*2%aD2KXfLb5OdnMFS5PQ%{-uLg4=#A_|rj;>4X~H z>t?OeI6fr|K3h*K2MzX#RXO4I5EfK6J?Euv+s?EkKU|&RvT^N^5#TIIrBi z@bsof{a!dkVTiDaXWKu_ozt0`9itk?iIY7wZB%t#d1Y$8jHhs4KslRWJD~$c=KV}< z-c0?#r`*M|$VgB z9C4Sl7rd`>yQH=N__$nw0&|t8sC66S>NkK!HCX9U0EV5So&hhDs zg40$UPR{q0-(DXl_b+Ja1CUO<*I7I`?xGtz65gUc&Na56+%Vh{jkS$pqxPaHEfuqb zw%8JBDELO++iN!__Q=5Nof1$^#IG|>ZKF4X`lM;BX^060dJI7bc~zS)8t>3!<=!!TUO7>PQWgsmB$U#RP2YWmt3i3Eoc z=?5(ekIAs{UlCIsXQJA!4l0^X#Z!LUlNiZ_6j)=}tXTPE1G(v_8T^AM&=yV}~)_*HrZz1chOJ}n+cpHF*(nW1V}?(pS1-iE^P9CJe( z4IW_=Ek%``>GY5?T`>jJZ)|b*cZ@%V3CS$wwI?zPVI>cr8I+?LnDz@s$L$w*!ljgB z8C9@Ab#VD%E7bBqnJ*(=yh9Ebn30$ia1TjS^mxH?=}_=n-QsgSG#h8YcJZF12Ys) zcBDNQt~gU1HB?G3ub1wM?q^>azJ)o);R46n5np9H?`?Ez$#C(G;Vs>f2eJg|S6CCb zxe1h6MHb<*#OI$79m24m3gv$P8?JW61v@MhU;y`mJDLlh29`OHhBLU6tlyS)JGZ}E z{K0c{dEgi#LzxYsL(EWjmT`g#uWvZHKliR6ad;5BUw)&^h)#+hHzv~W3R06`A8p*< z&n5@r|6RO&KRt4|_&-xj)?ju;(8MFTe`XZKEUfQkeP|IC`W)74)KMcOO3z9LAzln4 zMl&!#;H=i7UWZHP0c`3)=E9Q)>h5{A^s{pCfZPr1@S8}bp02GQ>elNJf?hjTanOp* zl#Njq1!*BwOKD1yF_<4N;@TPf_;j@ywUWt{a%h@POdq5_JSGpA;gZys8W8Q1(m?4 z!#^;my=ch*EI7I@4$qKoMUND)z~Kko{AL8qPnrr>3?+>T-X3DyngXK-FQPMX;D%ukXSH6sXab|`(U?4D!{5E&bm^mmp- zL}tUqa=`bT9*%>u?Qxqs0I=bXl82|?+BuY#5boHEAw0cb0N+WTUE7`mMPL-ioXjx$ z)Uei)ZtO4mI;I!ytwGzbjBPYNn&}qN(sjxUpX9bo)7N_93>T^*Cv&<*={jHN2743( z=gr69_Rg#D9tJT(ki6_h#mZ2=ZHHjSZD%Q6r2{yKmh#y`H@m~-U1|ze(ACj4f_jK& zyqj97nnR;25@N_zxU)DqPtH`I#_TYeGR9&P-Q1W2_hSs5@u+^$O$8#+mNEz4#t*-Q)VGCl@QbMPq z>kiF9`WehW*^*mV;tEc)?BQt1{4rccZZIymluHsM_xU?M;fHbimFEIy4zsmmp)HL? z2Y9HE%u20L;%Xiyg^2|?^k-As()o>;ZPn@f)#LN{j>x$K$1iCua?>Yq>4&_fTbo(J z8*WF>wAT)By#T;}@RQD=?Z8MRKMwcqK~@GyN8P7sUpF&iPsfMzh=(p;4|j7dBZRL< zYy&Bq!Hp$je75UHUf0r$mQ*|#nwr5+3{pzg%d?uJ8`&Lw3by<^Dx*14!27cR<*$Lt zt{d9CxFw+q>dRXEb5V{)JKj{1W2(yc7`qqW`+RoXmL}D#dEYLJku+6}At@E7cW^l_ zS`siCk40+iku4W3@^vi?-w4f>+cZVja&r|GYm{8G;$&oew*)1q5oPzzn}fME?tqp{ zr+Lps9Hu`PTj5yQ zjeG`we2LUns7bZDT=V^zGNv)ZMh=TX;jB3hYii@#E3Jv8fyO2Y|Ap8IrtW>>6o%8b zby%{82%U1~sk939G2PM-Z7f}B!d$8Y1ybDDbv6nXFoO}x2XLtB4AS14_ePI9wBnPX zo{eUMhM3!23=j*EAPD^zJL=<1)tr*=yY4OLmHZuxq1bT%WDrkik$rOAAcr_toP16wqp0pW7oXgyyx#-o;khfJ4f_$>AWYapi{4zT6{3g z_4^XH#TPl3nu)ymi`4%g3nwqS`p-sr`f!P(Cu?HUl;}m9-=-=~NXYG_uf>TF8m#@# zk+cKhvfVE6jY8ztQnL&>Qv>J$`QF)A1>TzM>ZITHeHTp$_V4Evo50kNT^;cc>pO36 zDsgVfd#7@fs$=d&)rru%FJm6Ou794mO1~{-nuoeBD_T3v!O`sF{EojgMK9&%?&_93 zEx1TY{JMfqbm%uYFV$)GHPMg9a3a;3FPctKb$Zc~{IY*P#>kU~duk}j1*|e;-*dcE z=p|R^>zti=$Qv^9SR_5W3L~(9r{wG67A}+8p18B`1zjQ|$I)-C>#)*FShGucO7uUx)1ID>WKb&HFAyC&JMJAb7mQ9hMzl!?*p z*3>S}Kh`)~STU*yGzh3jrHa-^VHW+{~NEfmUH zmNh3Mrh);GLdPhM!S(_IT7!B89p9F;zdc{RrAg07U=JCU8{5z3qBL$VE5pNPZrfI* zPQI`^)v7a!x2(`+3T@b|x-Z~rD0&tOIc?IO<@JU@eI#sQ!5 z-tzZ?qJAy>=U{o}=gjS~WU9uRtn<2sj9vRDM}zrGgIUr3_A7w-Fce?t7G`AX8p@{m zFux+*iOQs9sU2t6?68E|`>j85cDRUl8$u9i5w%9~|eVgR@+geM+$4fsS+WvF*wa>S8d^P=_`yF@R zp(S^z#~$iyx^zDq8P}v#fsa~SZa4-6_fc9j#)S)$3*IHU3B!bP3%-@3jI5EVDlb!q zP#vUGl9H7;5|RkIATLSPIdpVb7stGhz#zvD$ib?CtrBc$%G=M>0VCU{#NQMKoJoLA zZADucuEMz)r^XA1C(eFT-(DcqSPXgaR610H3m`VVEd}0KEO~D7+lfxw)==x$*28pO z6Mj}L-;p^K@1NE91SRyou8+kgCzN_dO~K+Q9!z8_Z($uO$B$UNb^@)JcYtZ(y^R@T zm6~|-7l-DO57i4GaxNaP{>FOHlo z-#R5LJZZu_&q$`m+%G8U&I7TS+TeTcMLCPT;()@_XL1``Ctn=W)(F=YT&;OYh;S|r znd{466(QUpY}Wb~Ad)OinR%(h(avZsI5CZuXuFgjb`aW8%V6Qx>1b~= z(aDVyu;K=WmUNq`)3x<<)L@3=GWX0+{dD~-4cl4m5nX&kVGDQNr#o(V3u(#m-q%f8 zcEKw=rb^B5k=te#*UNsGPGNk=PufLeq{@-%O&81)>8aZ71B!;igh>e_S-L8_yeU!e!-TPX+bwI;{WhyY z2d}AQzTE!l#$rGW36%VP|z?r(` zZ|kY$fsqa+-r6qQSScfcLjW>GnCFfCiYr4%kwOk~DA^H$oJJIre!D%P4z~CdSWk7b z{JZ9f{F{eH_0H;3ZIJ}s#ysd+r}#9?JCJ%Ado1aTs*a4>#l_}{^7kyt?z%2kcpsq4 ziNbK59p9p{<1)Xa^wrua{;M%2`js&iZ~ZLJNh#=|gg*j4^MlqTXc zF_LuB%3v7(JnYxu?*kAW`ECF2O-ulsRW@~8;^*-!1m&Twdh(I?MGw>BT3YyY`q`Za zU0u&V)9i9~jdeO7-e_4|*7U&NjHR@^N4{o=-Xlnjz^TVH4#V%9lE~CZ>t_sucU!OG zhV7&7x(K352&~Z6;wZp$iMb3}E^A(AK??k@GZiSeC54!?T?K0+Q!-JeBwgz$#2ju= zZpMxReU30`${%6`zy({%U|S&FZgSROG=dj46CGM1)U~)WMbQAv9I_rnmBfM5_pYdl z78uv*+uk!3dC(=kK@+?q@Tw*7S%q#cjuK^}ommTQu;e=5LByb{YqRPZvCok?nih}1 zO57+24iao`YHq9uyVLBSLz0HK%l0~B$5!MKU3bww28k`9Y%-)dN<(aD(qV`s5FW(W z8PUK*7j+B1!C3yQ^~Jd z&a>sjrwgCu!;uRnV0`mWJe(_YZiXo$gFIg5Y1qpQD1n&dM@ z-l;Q(l_~t~{k;+PJjFZ1gBAaXkGA37J}wB+rt;q_ljC+iH3Zb0m6puJ^Iu&TNFU${ zf)XHE|7I$E{^TSjvUYqm1&hoaT6+$W=PH;VR=YG5AwJNOdGGLJd|}VUh?b-UZ3due z0qA%Gsc4J6bLph~l4UL%w{x7ebz&?2-yHwNx`MCE(1^9MpZc@8RAw?h5Wrh3u{ZCf z+|q_D|CYD4Dhp@tHfHcpCmf67kf!aVQOG~P(vhy?zgpW^u^^UUT$sw+02VvMR8`&3 z6kFFysyq2XfsRllzV@{%4$G`vXAU*{bHy7di4uDJsH*py0kXit9sXo3Jcd8b6S7Oi z*RtL|*Ho{|A5?%kk{8-_M&%$-)1n!`mgHO($ztY9z3tz(`2YG*4+}}>&kZ5jcNt0T zRNG`|bY|~*WRg#&$p+8oWy8pUMYenjcJ(3QhKH|&JLl&KlMf$nv zhCQ*lcP~m6FpNu*bdv+;+ob3nJ*-Gg7PE`+_DP>`Sev^pN?V!6lLqFTT2FHWBjZ7w z&kHV@o?!iQ9q>Ws-Gnhl`)%~-;!t$rW|9imQJkpi4sPaut-2JZRJ>QgFNm&%JI(Ob zyw!IvUmTbi9@*#E5xl_S*I#@0?M8;fG2?{Qi~_-%St!H3Z$(nIDu!zN~>* z@_B`Vtp!oH{Uc0_V^bt;G*J=8%Kt|%?d{BOLK@l#^N#S~ZaV~1l7=ognWfg+=TMt% z9vCfgwPA+r>%X_VEEqnt0r-#BCRs+byrJ$m8}io)@I0#@d7c5R#A_*O2GAz?Eq3{V zJXSHWPEAXCv9++1*QF7eKSi4an!nsXr=<_w$V%L z$NyXB;z<&P3$P+wi8@fuYG0R)E}O#L*H63q2D!g^U_K|){lw{qoXdc(CA;rjHR1k@ zlyjXk9^rm9)J^Eh^;j)mJ+*Lkq^IJKuC9N*{Y0);cr>6uVo#qs4GxC}XV2mlcOUwm zTa3!ihQ;AFSOIg@d!6Sh4eK{X1Gf?GveSaK{b*3oWZLSP)Xcck^Su9g;48@1R{eit zk$z52ZqMR(LgxxeAWAHj6}yoM7ga<6nFOo-okY`X7S zKJ~i=Z>?V7WD&a7yGygf7p0j!-xPBMfw>+byJx+M{g#w!y$mDEL-u){(-wdSas9O) zp80b5wNc-X9(w(azkbDBbl`*L<^O#0`Lpx>iB+TX@{^xzdUATpI$x(XoBs9s3*%dw zUNXdRyk9b}*<`SC!o1u!PyHg@tZ=&&2$m8z=mrFIf>HuS4*5*a&pej5{l&0ktLQ1z zh|OK&cnCCJJIWqa<#^qT+}z~j!t>2cJb4;7vOAMr`iQs2c3C1LPdmS~yg4~%?l(vT zB7By*QPI53K15Yl?$A?|h>tZ9+b#J+!1sH}98F!j=c{~2rt?P^j`y#s2Uj@PMz>HR zeUoOl`o%7L+^+6xPhZ1&ps(m3y1b|*`5DMWo*Z91G43)*mA^aT&(LkK|IS$Fr7-e1 zH(rZW8i$*l+l4-s|H#cO8S@nNwdVj{E9(Pm6*=d)j$9&TXD}k^=BA`$Bb`4gAEy7i z^xzu{1_o-_>!4|{Bv|{=0Ef= z5B0pm(qA=pod}_|+)}!(*~q%484v&jsG!{M-ukaQA-vt7qlfzLZ?e2-y7xDE;0e9< z_1k4QvM$h{P*;a@u|^t5if{`ZAbkgTh?MX-{QMLs|+RAbUd zCQ-gLM6XdP7W#~m7f~#hYgWwpMXO?#yk?jCo@v9#nmz`SO5cQw5iMJC!j!=cQ%>TS z7fo|=xD{t6vHAUctmqrHk@*So zZ&$uJSgJh?btIb+r43Q$rVXroh^}2T+;xJgNea{!o7lx8xo!3__e;u7QO4EwGJ*|d zg>qs+E2ZSRMIyjUr4dVZ;DeS8KZKl;t?62;+U~x&Ro2He0AX%RTBqsI)*)>Nb@RX+ zUMQe+&Wv5MjHUpOjU(KU9s2o>sQkyrB+Offl37_l6%>!^YXif&Xw>}0%E^Iyf7dlK zGK*$a&QWSksNO)!dHkTze##>@-%q@rR@Ay3R#No4@S_2gApz-xmB$RTHG#yU$;u}h zDN%!`PxGUXRW6>QYobZJDLEV4D0%EY=hTjK*^$0n?r*b4f}l`6tl4Q#QiuBcq&3=c%%xWXjq$7SX^|N+`Sy zIn&G!8-BRr(YB@?+nOy+Sy)x8Np-{F(fm{L>CS>H+?%pNR<<9WD#N67+%*T~j#)%% zEt!W}(~|C<*oq}XDcCHbwLxc_qNCFc<=c7c`hs2Yx?bvb4+#!79fi?e zJ0cw<6H40efHQ@574Y6mXpTzGjQcOjluSNBI4J!8e@{=B-yLxCbn|-MDbQ*CZ5LP0 z{M#-II7=_P9d`3_f3BC4@s$gq9+3pTGsns4F`$z;0sr>^y%zQ-a)A1ilgGvR(E+;f z|9yZyhZBgi&dYt}!x2I{dd<~6*(LoG_dUP3J=^PkaKxP#;J(Nu-2KwDr%y(Sn=3}} zO1$DVi~6?%o&Mc|YS<^IKG#k9|q9F{%;rG=rqWr z;p4tv$_rzY;_^Ba$GLNP$L9I}36KoyhM#zqPGcA56z7(3eC+R!{FC$iZQJ;doTol< z_q7_`PP+w+a^6^NnfNx>b^qUR)J^gJrJsL)hCk)}_tDQ*PydZ0Md|hI3F{)KQIEYb z3rCHof6X;EdxR$qEIvb+M`E0DSC?1L`KReN{@y<7-E-=kZ{gpZT}oVDES6LB_b(9wVo|PqYye0Q;Nr%#5%D*LTe2=*v=k{?6`^9nj zH^N2B=Cl{`D&)^&)`ZU?w@3N{A9laFv{`eh4nqP~$6)CR%CA{-RbKS@ygL zTJC7H$>_9lmvzhXd<(AqG<2q}gO(^eFO2Qgy_wtD{=Vkf44~xA>vV$`mEAQj4t$XJ z;e!ymu3!7wWE{=O)b6h9BRwKjLa0lmKDPKs8Gv~7m(!&%XuARx^F?q1Dux#=%S&kg zF!6%3A<`=(vi}kaE^F{J)*VaAU&Xt(F?q(fz#4ziCC2w$b*+MJNAd(uF|2HJKycHNyz&K&K%ag_xsCQz zJT<5W(iM!pD?WlLMtDfA*@fhy=#W2E386Wd&Iwj^4XecR*@{<`bi44h+sBzIanrb3 zQ9_=5)s)e!K2AJV7=MQzuBd%L%T6z!kq2)p*06N=T2K@&Cou?M7C~3#fRAvN3jySNhsXBA;e)_S5 zrooctaYR>p-!P2Du{S=GY?LtNM=H>G%_xj1%niIj)7k2^pna45EM$Y3*zx}CG|zQX zukcBuyrH+BzK)8SXqXr$9Gk|;zFKhPW*Xbi4Gu2QJ2K!!9;w3AOtG^4TrPUT=Nv7} zvqc`+arSgo!MB2lQs;z(yvw)7ZTS{6UDsx1Ul?L#N4eC?e9BE^pD6H7H|X|^A^)C` zr&%?5@7_6mW>NSs{6lw+@?KF$d2eQc3ME>mn!#N!h0dMqd{J}Vo!5Aw@~-Pd!Bc6mFBftqMjTu z7w)XJTPVqGp7O7VTkb${>c-4eOGT#ak1hA4U-jC(9T#RztMq@&#)~8lWrqLJ9)h@p z8XoyS`axdp!6am;Q`?LA%`?00X{o|5haz*D)I=A$eaWIz6}A~3f?ZBrIZ0YOm&h_| zef~EwNMSWoY=EI~epzVC{i?h9OKVScOQ=$(Zr|FL`^|UD-)nLZ_DvOu z!_8J!d^(C}UX#@E0K)i?1OgbKImxOzT&ehLL#axh2O93we*07;UtsjwP6RMi86Fw+ z%S^fj3mCKmJdAx{bsMs>H8)j26p#+A98nHv`y@5pk*|y?w&?W`6$}Z*cAa*z*fM53 zv)~ik&&I52s*2C|ipIOMk$Jgnkgd`f8VZzhEOX-Vp$@lMpiK?4Uw+4=1f|MOC8-;> z+-Hi0Z%B6?$S(UF1fFAAN;vQ5aG4SQ@XV#1%S*8V z7Y6(xVR627!<(<-cZE^?xlJ25u3%9fdi7A|>jw&=OCrx-(I zjkxu)3?3O!_v5~IF31ysvGVWng;~{C3-PL0H*>*`KBK~X*ka~D4NreSi@0|>?8~~Z zdj|Zt>VXThk`nd&W7)tDceGwIiX+>?UTC7645zw9FFcKQk%s3|KGV0F;&emS)5eV6 z2M>xER?h3GIn_SXNedtTsxlb9o9W}b?H+8smS|fMuA=Tm$L#QG4 z6*{l287&hglMsalDZ*8cih$;oGW_fvwb2z1 z65&Qlp=$0YP zx`SmTPX?U-rmMX@F@mbqb<7r}#Agbwnv!*|b7Mb!wVt{z^|^Kgm9(GT`=kX;DLmmm z#jS#e8Sy2pQ&gLZ-on=KWBY|XG2z~zz)Q#*B=B)Eo8u|o(s4$<;_2uWM;u%kQr9f= z;~`TJhf$rFmb9gvg@`~WkXoDr7fo@-iXwHC)`VY+95p$)CZ<+ASoTXoI(4HDK5|;} z=leqbG@4XY1d{zHM9Az{!;MAilSvRLEMV>;tn&evcbW>J%m%|JI%_7+`|Ro z6UX+`(jg{sB95wxm%WKRSyeV8A*jdL{CX0?KkYDDmy=~3S;O_xReOGOhXLFZiJPX{ zQ42seg}W_w<&b?sdpy$$f7P7klF6Q&e?qwjJ&@66O5C*hf^C2h0)n$kW%fb7m-u9N zjm5X9?x!DIXbM{g&KyI#Pw^>>aGGVNd6etWy6JnxxDtz!yTu?rPGR9ji+PQO;St== z*lSbF@~L=U{kjO=kvztCkglAC2{a40&M2yK?ZFF7-69`7^To-=_KVR=L#%CO{~{to zzbXlL&KYyZ8Bv=5nP~ER)rQ+6Q#Ch*x|AF&YsIzrqC~5zztnFh9&rOJ9>zB%dfTX> z;xk45No%9zCW}ryTPr11F(Q+aagD+VUwcyH&u43eW|g9F*4V~KEV`AsiB#>x##G^a z9xaw0$a&Ky``5-Kppjq;!JC5|MMk-*gut5wTQ4`R5Rfzg`BmvV{ieoOv(vnG;24)5 z^yfyVw!O;-if;g+$`%JGr}t(Ha!_%|sEQAvls3ET>+t0>tTPqrA)vXjo!F%^Eo^!Q!GS z1zrcNV1et(7_NSDkLS8LSd`&Fljx*gugy&;cLL|LHP4Q!E^;XeW~&AM;0)Q(OG`R_ z0yW=ip@cPOU^!5DN0!@OC@39>$2(7(EC}OAU$p4*gq5P1OLpDx%@r>)A}oPj=cF)f zQ!fkanc80aVd|%@!T46DE+AXyGZTwW_at0=a?|<2v+BG)Smt%3xwYj(3_DD2Npx3a z9Zcc_WQRdlB{={&v9Q;BAAwr{>tWv8nPci{6usu;=_Tu7`8GSQ!0GuX#WBgG;BS}bYKPv(!r(b0?kv(ulP%at=)zFJ# z9`RF>W)^S7_d*@9{4mlbRkg9?6$Us+UL<5`P^V0qxKJH2$RU?-dJfl)!Gf=QgqQ39 zaY>Sp`bX0P)+|?%bP1(6ad+{eIgYN^RP+vy)mMbYMlq5RX<>L20k`)6E|N@tuF4L! zbRgq6JmY>T_EN|m@^iqrhmPEAwk{sOG7DWCF-K$&P9u{5{dP?AQWNs%b+LXq(Tc9K zx;E{RP^4<@xR*?Gik6x_KOP}QyJ1q+0*Y9%B9-~yYocA{OHBxWV8tzVlN z#k8&8j!gTjcKyYN~R(#G`77!G^^O3 zD0^LA-D@?Yd1(`u6v1vz^ z%#Isrf5QjCBoxf_M&%?Id1qj0`p3Wotm71Yh2a}>iDj0pemU4w;H;c(J3TFH&&Bc< zamdGKSML_C|nAeG-{7U&R8Pi{v!+2)(kz%U8p(V*rK z7`7F(%6FMiW+}N1Z=^lT4x|@MSZx?-PD(H0zlbPm!>!Xc&4yTdDOcOd0+*LVMBa?N9M;^4__ z&!1vGKZz8Rc?0vV)cum4?uwbX#V!^2 zd`SIDrcA&K<=H7o$B%|JNgxSHr>Hv_!|cM*9@>=7zxVesSl{|gjq-^$DJ`8IZqMg! zWEyS<{jq$vW;U4 zfSgp~27)q~f$ioH`{?`FJO=9^mxxAVNjL&5ZHz01C`m>pbFEHq9oc9%8+x1t+-i0Xfm+dyEB)Q49G-xIHbW5vVTy_S{RR3`5Zg zL9abs3*{zjz``An!DoGolHU;cWlUA9$%|XoErzGz)G+&aGgkjUH^eIqK<*{vrguxn zb*mEbuQ_HMxTv+k@Pp>OsNuHb{mk{$R8_h%3HCq%iiyVA>RBMfmGZ9IIbXA(FCzYk7iv}=)itwBW_1y8q}WQk+qp8dfU^5I?_ELk;5%-AscA9 zios<9FWlMWY9_&k=egx!VtMUR@oedBUc=`zGFU%i`32!t8%qN8s9rPD%lOn49^2-( z?~$8FbO1%ex9CRjvw)=S`eZsDuN~czP*h%vf`|x9evRl~WPfWi$P!>g6Ebr`2x0~n zgOUGAg0R>$CWhBbCmseXV?0z1Sc{o(IZ;Bo@xK$}`%X@e=i()_1`{I*qW(`+0Lo@| z!o`e5t^1Qsk2`yzDqtnHwX2*!vwhspeWh#I0e8-7&dw{-?$5aI-sk>tsO#&fkG;UT zwAbMFY=ldI+ttWA4?lt1LcarxdP}Aj?p(C2xr&2sY_A;+zbttdz>baE0&@PtLz7QP z-Lc;VU2+O8HS;&!1^wgD?;z*vr!*&#_Cfzy5i0b%#t$S zAD*dLF0}f8Fy@ut;=1~M%#pq>&i*F1`6Dl+CqLnLI^g7!uV*-=ZRU8dOWPqly_o~M z(2k_E&Cry^$CsC+KCx(-Om|2xKE5#0YisUUZq%XVCx8rSBgg(hmm@hJ^Y2V>o{6u2 z`M_TVz6H^0^bMi}ApBU-P8J-s_4C%Q%NJ}scG{ocR&)jNYfvZk6(O75LA zr$n%8#MbXywBDerXdZa>Qufxdj?l(veCsa4XCb-1GgG@e+SduzYeO6sIt^jPc?z6@`GuUt(}OixW*;-ekQ_Qw9Kb` zs=V>!(ShPQJsjaOC!~qy>+edPM9GYJLh?I9z4YnyUSa=6ZtZ=c`=tbIo6UvKZcUYi z;*|v1d9~DQ$D~oZYTC@-v2_5PZP{}QT&i=`6*~mIy8%pZ+|feXGbh*@teuVQc+D5F zu^+DfMR_M5kc3^Y`C5%t&r%R;C{< z2rpBEeh`_?Bq9*hpUy}(#!{PYj3f`4ZOF@9ScizbZ2k5iCd%0@J~f224ZjwD`>g!z&>ZI;!&LPL_hp^s8*H2#b_D3RrvV2 zVY(KDWs1aZp@rIlwXJZPsx|6=!4-^G7@jdXt6g>0Wu-=3e7irLVMMS?9Oaf+mRwNOH1B1qPy6JswduVJEC{E%@~AV@?%gnuIv5H?#u^F zk0|4rikuBd0rIG7g8_X14E!-kpgK04hWk#mF@hE)(y@2jqViH{$%KSDo|9Mc%KPTF zP|IOB8}RjnP^81AR`7~F9)0%7bk&5;XT(5>#t4w5P5U)6!5H~OO=+8(0PiSTg%t`v zW(%XY`x%9t$(7tg{NQCd)g`*NR>Su!+EE2byPUy+j=APiS+ z)`s*ca*M$?QotJzT!&T#{wwM`IQ_^iTiJege=Y{3n1C}z6^SLPG&c{b(CZ6#*ucPS z{z>upVBje!Ih=EGC}Uh{k!rq;R(&3}{mX3)lWZHI@wq{E>NHLxIbK;Xqc-< zE)~q)`il2r>?TS)GEG&#+flzYl<`e1o7x~}F`N+>zGQj`{3kM1;f84=_F7!KBbOgm zQ)0o|8NW=$aD7^g-2?^z5bxAUW|xy2@~fz*3KdY*~O zKRKoyaJ3(1x0tL&^YugYv9j#34;Os(EERn)o{~1bsM{N9%712Q1mkM`Y_J%VQ;F^{ z2Gmg)LybdBtN}*Hhf#da8m{zTJn3HyQn;8QlWzbXi9kjPL++-^*1tcdU6STD|o<{fz~}G0`1=1dEt=Q>oqjfR!tEP{#bd~=~2IeBs2d^ zN#h-b4zUJ&L)5Y89-Qd?b|`AM$%>0v?xY5rqu#EwYa>xnt|YcZ?3%5%vXA9yR<0fU zFWbg3V(P{Z+KN7B=tSp#;xC1TM7nQ?svT<{zu$c_Ver#1tZ7>D_}luF>48J+=L$Vr z6_ME1*pC>pHLoFk4J-iZtXCEZdqc7$<1-oqfZ3QaE7FG!1!U?#E)ruKZp9TDZLX2} z@%y-X-7R}pVExC12f7wsQZ^%03c`5@N|r{?n8#g54p{zfjS{??d8g!YBhNCe)YGz4 zyxJcIzGH7FEBxZjTQo=XhpD<28v?5brhOiK7oS|XOE8J#XS_hZ-Y=N>s*J)pbqw=N zN|O<^Yiz?6+li*iN>#B$GrJJ(5LGFu5e~l)YZNVP=_PT0C`noPMn?B{6bwZ`d_o(I zV!Olmo&Rm^$-+0{#1@RQlsrRP;yh^^XJmZFX>9P*R|T}pni5KhVEH~5S2y_K!mN;0 zHB+(w>Y^!Ws5VcxYE-G&mo|*MKT{E$eY8torZ1eSXZ?+H*84w2$1{PM!Rn)g17T%k z8gSCi|7N9Jyb)vYHe5p2{{NdnE;}u7oA0uq8r`<@6`beXR$g{`+U;duw?wzV*Im5b zUU1v}&i}Mvx$eflK!X3Q`@en=^|NpOpKe%=2F3w9?Hv z+%0fE$FI^kY{u=<#X`j)Z?>JM<1 zxv#CZan^FSJMP5p`yF@UKbXr;)p7)$cbz>}28jOf02rAm=RJJ^QnxuyT`)Quj@I$s zkV*Hrd19xxXy0h`(o&&!Y}BF<>!G>hXLmfA1MtfA3qQ0x;Ul~4pQqmV%w*K!e8E2& z=aKzNjB~)TRq;%-sny=3ey6<4mE*rzHMLQtoZ#$kod&G2rR}(avr{!4>-w=?)_S~w z^SyOp{GwGeEv>0K*hN~}&qjeR`+ydo21Br>qd=^CG3PtD{#pf&IWzUx14??0lSz3&>?Mcr84lJO4ZHkTTG zFHB84H|e{tb$bgb(Ra(sscP*~lWP6%H!R++8!7;bxd)8p6;xZP$PSo zyWe>Lk9w9i6>mcsE^Y}9vM^Guku6Xo2$#F)8~K2&Rv{S|-@57fUf0`VEGS;|`TnXEY_-s6>{|BQBK;K1lBnEu<Ozx@=grU6J}8~nVr<{4 zNxhuU($1RLZpq8O0Ih$0rhKTU4#dWF74fQ)_A+z5_6^N2Vusi+-w&p}1@4#g@J3C&`21Eb zvz+t_q+8t;BqY>X%m`N`A{2B6$*U@nW|NvQ-B2w@>>6iK3aN7dq)2KdzWwZ@mlpv@ z+0sE*`Fi;FfU{Q6OxL5)8wHkven2FcEviAr9k8eMi<6kB=%IUgjlH{b(3<$2?pY>! zmNwdeO^z~ARa1=tr%ZIENNOt@r#2jgzW>1X*h9C0wyp~YJpFWSM(2l~#&}@p9JRES zCi0cBwOr&BLMI|M+j2v1Kg` zvX(*iHp&u_rLonqhNP2J_7=+#QL?mHYGSk)QU+rT9cIWfraEMsrL4zZClW#_9L#XP z#`pXEUBCPO>;9+fbh_%od_M2x^?W`bPvmYPHSABM6<>gmO{S2LuDie;+aE%A>XALW z1qJqc2w;i8#D?}5B}t`Uw(! z8@#b&zkSz>ozje5liPKc?}M-Uy8G%b5OudmJ0sCt&q1PqRxi+rywtm)&Sm6InCkWJBTaJE3keC`la<7DEqR*PK<9|zBgI5pV_K>|#Lh1F3 zS;Al{9#Fg>>|)|*N{^L$2@*EYP?`}pOcfAN?yh)v$>;X-V~DI$!OyNbH`58&^xC_B zW&MfXDwox(efLwwpX3Yz(#AjYPY9QIg(}S;v%KQZ5+#&E2w5-*^N&BvQ|dgz6v|}H zgYnk`H6ekaGw;5p{rarMC7MMhkjM%U0M)Z!@rp8C7xxH@HR6Y3fxJk9V)JEq!%PaZKcg)yq1g))fFO6;d zH^5Hb3NlGG^kbd0*CVy4yQ>4ssXBv9#8v!`cTS@jQJHN-G`qL@<9qP^EU{MnCi*aI zEMN=MF8*j9Z(Q6O#%`W9$SH*HqNRwyV3Do~-tmW`PH+Y{uH^_~HwXHxXFSn?L4+&itYtq40&cR_BRpkSzvp$1QQhhwi`ZYU5x>Ffp|#~S%{a45r))RYH=S2{Q)zD| zQvYPHAI%*RXqq7x9HnK8^6*uaxE8ZG!#o){XO^GWdNZ=Oc_xl6455A0$=o^OSpIny zw4IS%RS6Y6>Q_fHH!d*CiRI+*UR=vX-m#w16nn3wgm)C>N?#Ln;|k?80jf!C7k8Z; zZorjPfZqW#jJuR~(?`~1ETUY$#0^e%o)sFVy;`x0I7T`6*%sr{)I&UMHew<`6#JFV z@u0RQ;~jcdJbKbO*gg@FXS5`zrwe1BhHRt~Vs`k}k5bbTyO zmKD}k@$(7gpEmP9^Q_>wyqlK=20_@-s1b@`DJaqsdWQJo7Bc$HBLmDEwvKLz!o1f@;EG!Q|mcrfhWkS1ZO+*D^NnHE|PFj`Y#I~ zFov+pChy+x;i23a*Q47`?WvuRhl>iah;zvX^`pboU%+z{QWZpI};sSx~_@%e}S=Q`QQ zz5?iMVzrgu>)BZw$|U)2{Ors>Z@`5CO$X~KtD!LnE-`+d1*So@xG9JaTxXt~&pSf+ z1#xCuiCl|| zV_x6z%{w>Mk$)u7n@ws@wGHl{gqA%H<9WO%lf>E(p}f0At!1633O!AzW)j|I(`sRZ z<*{@j3|J>%jxTf<)0} z&xwEy$u)fiY=ZzFhI)>l(`j!O)^ELW%7`5KlDlQ5gYjGV)8_3gCUSJF?`6yn=E1){ zQmhSOctq=9^tk*VGxs()zZ=0erq4US^XqNl$0GOz1V#BID9CLHxk#jdkB~NUTM5!z zL(p09tTw_%K%o48K5jlMK0aY{_{#>H=XPx+J}`F6wv62VPkZgAcS&u7=jY}Z*~N#D zTHhi?5R?`|A6rAB`Lwza{79>5L01g4#tJ!ELI|h;nb&l2Rl=Mq8777`?Ul5wl!#74b7egQc2>jECzl zSBzNEL*w-^!rA_pjQLF1R+zwYVJ-^NQcSPux{ya`Y$61Xz_Hf9>3vBDA26HrpQ4SX z-&oL+KHo+Js}WoDneD`d`W{BbAG`m;3^Pk;yxEpHl--7nW=zMl6+5XjImV@iAcHma zgqIBu-&=$c@&cof!Y=*6en!oiaujr!XU6M}v540MLArxI=uQlym>{9F%zB3B!D=>% z8KWGbMPAM$hDoeP$2aiy9v%RjxA)krG(eTAbH{DT$#=ILT?9tP0GrsA!|%5NsiEV* zW8(cj1{y;A2e8gR3buO|ut{Mot@er@{nvMDvmwKY_yygaRfUxHTotTJOJVH3Vy=9W-k;tHb>z*N*S zUQmE@AAFoqb^(905^VM`C?Ymohvg4Q-EllYd5uZb;f)E|-_qW=_C0$J6OilBrBmXS z4d&$YP}0suM6I=ze`6l}F;0#Km(UUedv%gkTvBh`YnRhTtY(xid9psQ53Epbj1J#D z1$lp6AcWI^Y~K`l`~r&ljP|j#b$Qx3@Hb#3~T-dE@K;^w+!){D1xE97cuvX7z8%R12 z@+5)?W);yr9Y%0z^q`SUjz7rX6Pxhxuy+9~oo(f+=%=lPu!{`g4_k2O8GMiS%=668G^mE zns|@(>wPx<&>I>Ol3&vsK~1GWNdNQ%<5YW%)7S*wG{UgP1FQsSC(yaz-?y_O%y=m@eJuhH2XP*8~=W300Ef3j(PF#dEEKFXLFL$lIONupw7?zVT z)c5`E?xOipjH1bMjPYSqV979MHHwGj{t#Gs#f8;IllLtVEAU{0~fgV;{RB zkak|%aPn0?qXu~XKAtQ>cqOBp3l1Pc6@=AzaRYYI&y{4c*N_c`kfz0933^rLTjH_*{?LENfQY(mw)kP48$5?ObELm>6P_ z*x(DG>i7d`Qd}Xtzxl>e>--9D(u^|MSf*mxLu~0u5O`g3c;uM*-hID#2AR#ipO#zH zMBeNNY=SdxnVhi^&)&aQTzddf3De_{C;%D2>o?DUa_jYEA+Ru7ma$zDXb9@Ma}EG~fQqjxR+QNet9 zUZ9r;j6)k)<$X`VSND^W+KC5i+5#Eoc%%Ay!KF0Mim}ESk1SUTa zjvz1i!oLJkkc_f!UgmT;`SNjsZD<5cg@?S)W%&P5wV@dYy;+U34cc)2t7^lgdKaIvAab`h!azt9`%_4TPfySRaLc;c zLLta>3)js!HTt`dB7)S5go11=5s8fcC8og}0#-;=>GaB4+W(MfBca%L1q845p-lRJ zn@95#HRJQQAY)IfZ>(yiG(mz8a#D6FWIx-8ZC99lS9`cY{%?_}t_|-2q!wj8@;1^; zMhMLz1WF1W_ZP}D8aM_j_wV^klC z>_#NN&&Xt$5hN{T;-`5MHv#>(`hBImD5daUE1{jMvS|r-Zf}(CLJ&2IiciFQQwS^Y z?37tm3IvgDkjezIKzZsfq*X|T0HL58dRTKPs-V7kQKhFO%6;@&4d>)awcQtJjL;P4nG$Z zlTqj@gc8l%Q*1tuOu+Eoq=|n)q(XvuSKhF0H$%|t@u=x2gFiC2t^6Teo=KMnQ&_Lt zM;Gz{c^e0Gy_)P@HQ92FjAxxWaxoS;`)Ek3tY$4=oWhKt1BeN0Ic_z%{@DWQO@7Tc zcy(Z4R4PN6TXStZN)Yy^OYHQCQwUoKB{RD5BQ2UuUa zhuFoyH4x}27`;atYa{;9=)o$ye%A?_c;B(g81OhUUIblW6QN4e7yP1vR%}+`a(bn?a)^Z1Tpyg6;dnVr(a;s;YUL+i11L66=* zp84tP-g!X!k7p6JRUdL?lJVtCJSTteMBe_AS^U^9xbW*l7si*Sa^+MNb z1ese2R^>p(d0(*9lc@y&#{eH(#p(YhMQUsvN@UDe$rP8!|0P8{Yiz@N57b4l{`4^) zQ#*Ex6K6L)xaBw2@d5lfhX8FN7}0vRzd6 zBg2@cw*am=`%lZE2(ai4u}bS^uJ_!+1M~m$zbzFLoc@EuLp_dbF8V68FV!=%J^mh^ z1=bxbg2M$S8O}mQIhj`8@I_bH=R%@38wBR#&1r$GL9lVE(g98F1=uWTl$-GYwRYka z9fmCaJo_I{DB)e17mXS>f6m-ww7chMQUdqjzWV3_9^LsVyQ0fkAO`F-K%pouiU9)D z93W~IKxba-cw&{wxkYC&i18OVUuOZXJ+1kySLqgW0C{IdQ&h(g0Itg-0P`lYo!}WF-2p86K8wy^%AsS-jesOzbgk zgr6+cmp9_awcM(0?PXEljrh-TnIs;Aw0^nu))@YMggXTqI3aeq4CKgp_>a}vJS$cW ztGJ?(5z*HNyi_1{trQ%tOz36`E-o?Hbp~`wsyiHmSghi{>jsluZtT(o_KUjexwYEX zoc>iZJfzSd#ilx*Yg(vugC=a~x$=T}4xEK1pax>mcVyoLkK*Jsz2Q61EzJCwJ@77h z)<@%>7Zpqze$K*Pn^I(WipPAKD6Pwu6Gep?4@}@w79rF|ADjH=T4nFbOmHI9x-V7d z#uQt7I6k7h+(d`r_7eW+1tx8(apH#?m6KagU!E`98#vLHI|C*dmsv>S z^6cFOd8WCI@6=B9Et(9lqeJ?^+8F{*4W2j0uRRE#%xJ|`HVNjkA*s7ccxD**J5lve zvO&wR_MAS@n7{^Q;^14JGO_Fn4DwA)5^qIzwc~irCST5R$lCB5fumBxrjZ{G9TNu| zLt^@<#>9m-(qHI}QKfv#F3bc*xk&3rw#APY>AJL>G{NhO!s_ zL)Xu@DuJ;pz4=BZ%%%Ea#6xvSsPXe{zO@F|2>+2ypGN8cHRX!m+G4H%z!S)`v-w+> zBG#v<7H|aZW09w5`4_mnI@!j&!1yQtKtndzlU|d$I1Pj!uN8XeL=3Zdtu=pskm+kk zr~ikaPYx~sWoL|pG6|tK1w``V8*8lc$SLT2S;{#(IAei_44emo|Ta$$R0$ebNohDCO=G$ekm~I!sB`GbN2WmxSe!z z3eM+Nkn>$T$NKPr(}0#XVtvI$KCZjo0RyyU>#q-w^`1^2Vcr(vgs)TmFc9cL~WRCz9> z@Desp=HM#w?^}tXvdigSy&GP%EDmXg!|>;v&O1EE*_e!sg#ZR;(XyKLu{)5WMo6;v?L6O|L`XGA!kazkx>&XEBUjG4Gkp zzZ?UbW*pRN4-YVeR)4Z9Vb9R=XO}Je)}&3AeXEH%zTT%+QB|9kus8%eejHPlnsadW z8zVg4v*uI@87~Jj+^jKnpbJk+qBo^+R>0K8b3cG7c5{!+vntsfFSjPwMnoN;18;TS zlLuuYJcriVB35mD9Xy3ZFGy;wkA9&9^LlDGXZp~}cRCB~d0^A2?9UO18KA__4zo(5 z;_?ocfcwlJRz_V??d`}}R-?yy4g3qsb1Xqv{=;ecuL`CCIN{~V@(Xut`8WC<&NPvA zdx##m22qEnj0#s+D^IfzHdMog!#f6oH$I*hqK4*{D`iUFV9GaL?<~-87@m zp?`GPbHc2Wn$|Ti>`9%es=cby3;QS`dNk!atVmQI)qaiffXZu_pI|=8jo6qBo?tv# zgl-1%Rk%>`_+8;6M4sV=QSOCDr@%yIv4~*&7K-mHyebd2L3izSI=Ef?deh0l7cye{)ylLWE8|eq3>Dti0 zA)nyup<5&Z%5$AjGSLL^XMY-{ZfuSNr`#1*QQ6Q03)tQMO`PnrV}M2-V%LOoPt!w5 zRqM|>cnJOm_=H*tdRLa%g_|%Sxc>&?1Fm;4KRHZfpUrguFBusf+XSV?>`LH7HQ(%> zf$p|VPae;o|1I^3Pl=OgeZyvr1T^HVJ7;gp#bAj7RdQQ77P+{ zP!|$<>LHLt6xS#QCp4sLp+)r!Obv8>1SERJi5`^if&o&$+~v%p+>v5`mubY#m41_X zMlshs__i!_i^RCkabXX?U-M4FaC%GJWXeLs+Ym+S~2}X?mShOpj_u+5kee0Im2)seq>3g}PgR`nCFz&Cz(ewj)ARC)1(Xq4@*$?MQ1$ z%SQ@Qx#gQHRcZ?9-2cuSZpG9VXCx@z&2ZRGAhbG*E07kOy;miPy*+95;;Ot|wX(&t zTh6>POvp>ldW6^fb}+RR05NMJrn4zVpC4I0+Ujnz(d*1#>{pq|nV*@O3+sXHHEM$W zVl!%}&~c!j5ncC=Td&kstaa}WfkC+3QI5)Z(cQB13*#4yv4xAT|MN1P-O%_qv%h7& z&%Ugqjo7Y8=q%lX9?87WGhMcC_^wyr{V{L>hUJNbu(LOwHYY9NrSZ(xZlr^5e2*jU z8-N+~b3XI1g}L9d1=B}!KVxC~WDG=Ih!{O$e z1(c*RdT$SSNZ5q`ejEhL+~q%)Q;egV*jJbG%=)PL+iRgRy+!M>-xIiMLJ*J}T@U~; z0wPv5uS@g1<%(hQ-}43Cv&(%p@$<|QVk6axn?Utreapes_|cYGB^0Vvtq-&au}eze z&-|t(6b9KPKSyhWGQcieX`E;atT;gU$s$%kwWsf9i*DsNcA-1(D+Cwb z5W8@SCx_(cOjkE2bpfJl8n~?Go80AZ(Bm}XLvjy|_nRoNAouWWIL%1}NBA1yOizTL zC6}9!^4b7OHT?&k0F9ZypUNT{>f)_&jDJjZ8O4b_%8Y?wUhPmm6=()!4?8M;z*jk$ za+JDS4fC-J*<)4AbD-hmf|lM{$wOW}!?KBV9z?2PX}y|V*@)r;{<&8KoF7u2nWd?NomEQaw>!yWA7GHXlBSKij`D8TStTN@OAE*nt zEb38LPpYF1n_0NVOq=3OoLPltaZJ*G+5`@re#$7VW&Pbxlrw2ErFIORH`V|&LE zdg#}>S){T9^fI1PKCJor3MXx=D`Wthd?E93g0~G6i^Z}k>V8%& zi%8%1a*Z=s9rc;{dbrQ%apOCBH6_^}l-M#dH}&Yxs8J?KC%5tUcU|<(7UVT5ZIwGm zV-}vOVVChH;C-w@(=m4GjGXy2m-e00#3*&)z2)z2PVj(7Ajs5hHXCDKHL0V%Do4CwsFN=M8Buf)- z1xZ=LwGDil%_5vgxGOidG8tu~YrN?#ARd`ZUX4k2{W}( z*}U4`$?4=vwXBz0F7&*i!SDks3l75NR)4nJqBE!ScVxE{o)?H_XCo{R#Bo za9)E2(;KRB7-b$1-fi8od+MR?=9!m7 zItgl&&lXW(@l@N5X&pN6(S(Kp2zT_$ZHd+%$ocUt`yRn$)sY&#Sf9m77)pITMM>{3)lQk zc#>UUyu>WaInGOffU%7$NrcpDKZpTw*=NXIs$U{lWfQJS{#>vNka#O8RN4YypRScO zx2fk1^}Lx`%sR6+IY#D*QnZ$&Txdk>_m4~4Itf$uvE{xe$m)$H-1>z7YAu9ieOZKt z8BQJruAR_C`rQnlYU5D`XYJF0%tCTa&h@MrtQfs?MSy=X0yk>00lbP0={iC@G^?3)4pjzoRcRkOt&cj9E`aHWZH(@a} ziF-O_E7=vtzesCM!b88va(^7&vN9%fqj&jq+T{>8f)yO&O(JH#+!+DK!o)XV3&lj| zogI$oovwe z*m-XAjlNt^#449+BRgku`I`XVxG@&p5&m&Yl1x&UZ7qW85nZ(z&&y|2y2NJL8_*v%G~Pv==y z9=~35oxHT>mcG&(16e+DTtDmpjbD>5jm6`)U5Rzo2JKJ}>o+-!kWHgku6(=2N{2rN0H%okp#8Cj%i zcG>Y9c44h2+=RbCb)2jTcZsu?Nh$sLGKHfZ#cL>XTw#XB0(E#OHxl+ zX#7~o;953J+$x56tu37B1k&WkMwo9kRWZtbLWsJgn)c1m3toD{_*UU$LE7p0Pdy5;UJ_VDp0h77NfV)L!U_+QE|d`^iIjajxZzt-c$#n42KUNX!sDB( z%L66wPI~bC796OXUd}8EfgfD`X!0dP*h2goHUS#&xcA`rduy9-!sw01%YYX?cw{}P zw<2SM#A2LZSQ}NVE#tC?UQgLCR=JZcT{*qDx@FG9@*?oq&hE;lQ?HE1Oy*Ztu5WX3OBazJz)Fp#t7s$}XEJXBJ*1!ytjnCPKZfWx@<)OCMA$ z4(3^s++oFCBQv*kmuSS2c>kjZcnNE?FTdv*zpd}H<6NgQi2bYX87nX+EZrdXWWfYB z&+>YO$1wl(|Kso>gHUY-*Q34vZ-+i|o1>s8LdF$P$9g`a%$|B9Z@Bh)Bc_=WiS)Q$-w75Ft}mXP}Z zdMwWeFk~SaA?06pkSF-mgoJ#vg){|i@_!1M6!BdYx>Fz^IMFAN1s5z!A`PR^Z;sxcqyCYCw|F-yjRR>rkNEt}&#$MmTbyqM`Ep9k+019n z;qZqB^_sS@LlbgN&^4Jh|Ei&ubQnch<9GIy zAQs6t3Vxs*+0<#bLRGn2KYy1&;{!pg0j`i@leT+hCgGb|%xjnh4^7F^`0hi+a( zS#SS-O72e-h0o7O?bZ{t_FuwH1=Qn`Qn;P}Y#pQ6{M1`P>$oGWM6}QFe?am3Xc{?C zeucOSnZNolh%$v1*X@Lq;1ei;+JhFRXlZ?9p+us> zD+W$VWUCZnUFe469h6E#It`*kha7~%#`r?8sNY`h&OQ~WKsTPa zk3aXa-O>3kf$u1>q46YYs?^V(;k=`J#+9^-O+`==4nM_H z|F={|J{P^mMicesoG@y;(by>s#20<9axsG`{(P}az1w^$t%z4B>2)TNDmDi<%6tti zz7m4?ZS&k)DA`t+!F|jAg|)ET;?42cF7U71`TG;?7|klwLs6mN3@wc41@#|^&RXsh zpR|@0yyur3Yczbsr1$qP@V#Z&hFjjh2GBH#m{<-ay-qMPfM^S3=Qj-t>t(|zf z97Yg)Yo4ibJYVeUsa>|i%1?V1H7vfWT=zaj!ndBberT>X{_#BuEg`$)GP)+Q73+3; z+xhwm+Y<)ZgdKb@x9}sY4Nw}pw1tHllSS^aMV$E6+BI+QPQV-gjr|=Rt1bGBqS3Ex zguH|Mqv+$gtDlSQ22A-!lKGJ_S}6Sj9AAw3GCEOat2kzxv1|7Cb&K0t0%UcR*0V5W zqtPA=q6K?W=X3P?X#20@!4tvvZd(c~B#ZyP+gcojL$ny@pbdToJZcwqMSMxE(h~Uc zhql*=n*wM)xyiYEq%S@}Xr-58_bA#yM%6V?%iG&wpz>{h?YPNJl8k&9x-spE&8Y^?WrC`am6oSl+?YaWe07=Ct2M4B!B;(!X- zC~_nOhbY^LM4N^Mjb%||JhJVKCY852Dqf#dic2TD>}|w)V6N8tS-wB`QuZ;nVo~!F z0-LS*-X2q*$6%c=(N(^>GP`O}(@= z8u3|j6>o553mN?qe*C%Y$#a&A!-b+z?xNBdM>%;Jr_PeY`0KQvM^V;+vhQ>ynmfy%VpSrIPbj1& zOZURIBXdB1(x%H`_oVGRR~O|98?5wAnT)ohwr%N1|PT`@m?u1ePvG7*t#2GpN(;rn+`Ad>ScsT62*9Gl z+_};bmt3Q0qahJjs~f*a94RsrNBR>+$%e1@xLZs-e_G_`xBfm@qcu>VZL}MK@)Y88 z+b|CQCP+4Cim4Lzwx!dOyx1RQqDIGGkm(f~NA^pfmu)_Qa`JvOrhuy1Z6cNT<$c$z z#vFPTD@D8X7~T`9_LGv@@|`vbrM|yEt9Ywnd`(3l$feEc7Dn=)6e;J_M}9gZz(lQ$ z2xg|QW6hYlp-x7)KhDxewxCY`JcAdg%kISe@kr*c_(tod`=2GXw@|;o$ck*Q%}wH0Q_<9G;9GDr$a{3G>dg%gYyE(; zDe~I}uSe$otH0$NMmHj=A~m;to8*9%$o@m;Tr^Zgan~`5|LVyqt_R!qijQ_@a?XP)Yd6%UlhX4ZW66cQdOfwAdEyWgy0-YEX1** z@luE)nKK#<887c1G(uw!X&h%= zFAPdi#a_VSV$8hkbddT)d}q*$QN3fB8Dq-r+LTwZuf808C?9!_g}Yqqu8J+SvH8Oj z-jleS`b)ja^VltB`XY$HBEeJ1eHvT0s$(eE9c0@b`HL)^^yD>kOV#gbXxjBEh9*j< z+$6-aG{kQ2dxZIs`VUpP?!}E^!{@_2xbtagL7tT|&x3w`mI)A1<0`u)6;W*{>Zokg zF%+&y7^Pf?fzK`8rnPWz(Y?+y^@h_xs;Z3%!9k;O~>q#cnaYK0n;y-DRvYRJs@G zu<`XnRlVT-{=chj(b9bKgUWh>YlY=&x5Yg?ax{O`hHfQrM?v&^C*;?`@eP zSiis1rflz$|4Q>PR`976u8&)C1aS5cO5!&y{+dp2R*%c%P-N+%l*|Z64MWZN?agsaN{8TPs)J zp9#FE8=j=^&!_5;I~l9^GMV}UOEL01eftVl4C#jN>@H#sXi8%xj~-@PXJ1z)JMM|G zRGMMzMXM$9xeaXllpmFZ!r8HdFf#?X=^JgKUY#i(?gKn-&p?!Rj_Yy2Q4-1RFg4Q0wpq$GRn+UKi+jz zj3EE&*&5S>;3$zAoxS8H{VDs)a0c$(^XB(M-Z?pOL%Bz=_u?eJ%Gl!MPk0FJDav5F zka{aL?z>6pQ&Vm$^`mwEP$cWy@88i<7!qCVsVu|%rjEtcsT-)Qp|6gjw|X@0+%7#t zODok>WuISh5l)vg2@*YwjGUYk>zqUDY`z{}|Lr6;csKCqD5=7Poq-pZv=q-878INnE=uGFQa z+^gDFL5qpaG))%YO2O~Bl`R%5SFrT16Jr)Eaniwa7^S$~^uayr8i(_$-Y*k9Q{MTg zW#;#FqXk3Mh&iZ-%>t6BS3U+tsWpc+HmKTeQewLLda{x!Sy->@YVYfol|#;Rq6jJ% z<*W(&r^>ZYG`v1|18eYS=W%s$^!otB2lWTt!2^Y|?1oRXIFINvSlyr77-i=^i0#6r zD>~oMIC+A0=U{b}SyJZA!z@c*J)GG(KE-e+-BmdqcVpLRyS@H*vJy5h{{kWZ{ToH+ zk`B9-B9$uC5Z3DFEC+2N?KUQY*padG?YO>CQGhl+@`@h9K;dR(pWa1OGua3`i+r0) zjvyPd&7}yA-nM%_yo+*viIupzr_N44vUz<_Y}aJ4zP7%AUs{{R-(JIax(=y|rDz-9 zshzX6vBv6U&|OY+2&vi(_Dttt^flj5H9WE%4_-udzL#Cm&#FC%*2Ot#*GOv^?3Z@O z2sX;6;;$ZKK9(2IOVbW-jdFUsuilY1VufO#RTk9^XX4T2GT-x3lhRCrOhoUc1XDif zI@9gH_vLzbDV{oac}nBpgRWo4YK-b?s8=I>8Ve*IY(KN8vD`D*sH{Ge+qUOU&;2TK zT~h@_V9ExiFGYC=pSRNeHFbskS;Qu@lH7Ns4(#&Do6--}o_w;qnm6{Ue~cEDBT%wW zGuxT9BR>ykKYz33O^ApY^=}t_qb$J_L3O3^k5mw!4#nMKU@_{*I;73_*KLg4_Sk+# z+#e4D9_kG#bUspSY0-a`dPTjx+N$c_{Ot$rAHC9_qzuYkv@Y5eAf}@sZ2n)!BI zbBwUdj?<&rVa%&ZsKH=?<)!YlwrxAG!fi6@Z#PzM*T{1^kfM2aQIw&NM$Hn*!}M+} z;*qAK%~_n1kmTJxBg7WNwO1^P;kWcd=t0bxSQFj(7WDAgl@_!`f1^59=JWP#QrFgn zB0ruFZLw%aozNQU3HalI&I73&sYl}?#U1J9NeACLazB~29MVHxQzyqX6cR7$RK|Yz zjF^~4y_Ul4|KWLG!(^bSAG7!%V4T6sta-ibRpn#stoANhwA3-TUdET4MQz;34DRW) zratzRO*M zxPdvD#kq-^zbShkmvFB}3u{q;dX9Dz6O+?c9gSp6wDGnf)%UeUn821^9!dMp#{r!?D$=j`fHe*(pRe!p03(X$_)LPzQPtj zEfKV(UfZ;2Rm2Gv20hdrCO$4-PSKrBUyIy>`Zv7yXI#G0LZ%rF`LSs05eX-(I7WKq z((1w9<&aZ{f`sQT9G}`oQu_9Q!?*jqm~I#EtUQMLw6L|~!QWbD(ilE(6@LC5Ns3Ro z@6^71OyM+sx8k`MdXeG0@t``xc31)TI$nv4Hd6lUIOZ2|XY4N5 zmvVgf$&bVGv#$1)W2A6JYj#hT6P{uBriyeRoG)$hnYg8kJ5uoCY32x8^o6Hn!83aH zQ2{wbje1I!tL9#;$di3~qUA*@Mk*y(<3@py`^azdX4!ID+W+cApU_9U8Xz#p%d!Cv z%D3zEo;xW=5u_@s^LbLxC+`na^j6e!#m0}y4GSksggZ*%)W*J~-y9dj(w)xPD>AOe zH_pG8+iGtcij`K`8J~TsAlrCN;xxs^ME)Y&%MX4il=c#n)c+Y)tPy06e{A5_Sehm$ z{H9iCEu9*`>%JR!4Pqvo-(KPD(oEL!)si%P2cxOPGNqh6`r7`Xyh zAs?9viLHj}6D#|!-J6isZCCdBsvmb|8|(cATwsxnpoZBlC7JfK-n}FFr7Ty)&m-_@ zs{VQV-`$c11vPu@A}Hg37!;Hj2z z1rro~m5=I2Pvm4fiaK>k`N@d2BLkBpGb#1Bf09MF*}rS(oe7u5 z1SkhsUEJ*>z%QNAA)}b1Gq^`ivxoLPM76|3ExUn;i30xzUaEfu;||p zztB0qAX=mri>}Q0%Q-y~ojMR)HIXixb4*fB$LB5n5A?xK5z{AU&sV(i7Vva={n|#* zL9+F8P!c0RPS0?J&sQ*T(0uD(WslO$HB9i=oH2P(Q9e#JDx|mX<@Eo(C_8J8nA(m- z%_2OFWx8Z!u?W%PeMh&W%@VCutkj6H>H<3nq!DlMYnc|OXdC4PijRU)xT_AX#a`9!}+Gk|C?SkdN(0&GMxFi$b{(f zrSK5BRIEGKB1J3_CmEZ`mn|6b#L1lg^MssfwCob*=ZuW8qwoO>1s`OaX0e8WmdM#d z(gbr$s*~2@3ksYoq8MF#M}APHt2lDP>WPY>aakxHeN-+eTS*g+ z+FN=mvee{`o|QNBK}Z}p_RS}Ps5pP8>Z}n+u5! zXp8xx%SFU=50CFo%{U#4#5ZWmYgs zEjvEA3O3qYx#)#d7SKw)Ea;C{>a=S|?|oTMNBKnqYcK+YVvXheCRCl6Qv}ga)O}XFe+VbAg zbKNk;-d#@n9L1M0ACmOG2PBo&XoubV>$J-Pc6XQ9UKiczE_fIZ=tllQ33OEEN26Ta z-#A+0wG=RBn6SgQFUXuRLX7r?wN9oY(o@gYq&phychF3XuHU|(9I)@=;E1yFz1DXB zt{Z{H=G|yf%+9`{ln}>@9$~H*gK~4M+V|IqW>$jevmm(-hWr)^PEZ%rZ4SCkaCH>;bW1fr^UoC@m047P=0qYNWfg2Gl)B1F?CYz za{EZLXN_xL<)1jQ)7R?IVq1;0MGhQ_ak+}E9kD+0?$pS%qs8i_JS-o7w55nDI!QxW z?Q4|pkpqK--P=8%p|?0Z7krF3(YTZ(7lU5jsjpA@eNP*~`K+v%tY!2cbF&la8oMKQ zQ(&JK{N@(y%C~@Ta4?T0sC>Rg?YZO=egv~;$GKETRFq@Q72(rO@t9Xp@@hK-F2*N> z$#&%p;FjuKN{UeI;+yE}*KQFh3HGw}DlzDBRqKd$ci*x@&!pRr<=l9K;q%{e_N@{< zF=XP>&p~wad%r_B#@gFh^LEf3#lmhqR}QCA&e<(tk33W{#I9e`NK{D) z`5}J;k@oGmp?Plx0gGZw_#SXZBU_%TQqm46V@I*rIdetLqVv}zRM9RU-{`A?4}ytsE;mc1O5KW>+=nk3%(tSPJLed2giAzIDX z);<1S)%{opv2%*ouxjzh{G9akr4Meo>4WPP*h}e|vkILS1LNjZG8^cmBm))vfzRE! z&I)QSpUhq`_GFqKTlvNMb7aH|vwP>cLsJ8tV#t+S(_z48$;&Cj&ov zHegh$`JY;o0`IHI7deO>ahVU2S!vfD+TYTub6Ae@V9JP9o%y3cjC|mbhRH6Y{*|p0 z*&Dj|ZcS6ao_JVw@JrX+!}MN^ZrY)H*qtcN_uJ1Wdk}NtCi=V$*gm0L>7Q7HeVbjD zyO3P2&r#)lN{<{hiPxF}wHIrTsJ0C9O}xl|I|!^fFMYHuKcE zthQb0NWnl(xUW{($W*v^^&LrC4743VIIpjvNbMtSi;Ma&uc0SD|=g8EnV zLksilg14D^(>Twgf--1@YLnGMY1@}al$CSBJCZYT7D!~B(Wc<=lC;WpmA{D`_o)gNe{8g@RPFJPo<)myJBZa;$ab>WJL4kz!X z9>X}?k{VYeJK!g_Ph-D-kaDE!=MY5g!h-iwpDc$f^o{%Gd-~`FD4xZhdl^}K7|(jU zRakUOZ9wgaqUNQ2{Q-`Mi}LGOiIaRS7Uf;~U9al&hSA2OY9U!1^%u^j@fht4%%CXs zV%;S7g?{rPX?D9stGezznOzqjh!%Sa6w2_`FA6!N4|U@9p{rdbPT$$f3XwweH^_zb zj5&(l)@Q$JY+Al+BOkKeOx!i3Qb+)c`b%0~Ed?#Tvv^uPYhQL5rRi|an$n=BK->RA z*qetnb#8In2mx$G>3~>UaAZ(X>0q@AidfJJDyNJG5psGewH1L@1r9RAY69875lX+_EqQkl}s-`ce2``+vN{&@R`S7X)2ot^zW&sytu z-&b8f**3TP%j531eh8@$k=DrrUPydpBVAth$GzQkwJyD@f&$7iUOD^2o_{9HvfO>{ z<;0=Gner_aujCH#g&Tc8J=lMcbiQZq!|z}6|E(tf9j_2ghVbgr*@U{-pGbnR`~SUm zWKp;A#ZR|4Y1Us={?mN$Ka)jc!*A_dPf{-TkM;8p;j4aO`{V^K@qPaq=hS;|CRBXU{OQ{y{&2thOJuBjsC;JU zPM;4hG#|`*n4rlSd7-c=;5d?q{z=N(KZP&;_VrawWf50b)ht^b8yc|4*m|=St6d(R z7$Tu=;_rVPu4(~=VXys2j(BY#z%wR;=~ZV{w(Z>b+5va6a$v)& z4malNdR^SXC94OPOl|(`XhfR-hZ!BuQNyMlJbiN#&OXfp%eQPEEs7aiR=8|KpiiFi z%~o~$o0E$lLC=2?(fD^+a}$0bz5dmQ-+uTkgh>b<&jkdrYn;DJUfG zRhh?uvjGF750B=*>JcT%3&;bT(Ww^)JSupnJo89bML_tRD?V)T*_l(5P4W$&(v{0_dWSwO(}FB0p( z!|HH+J6>hWpYmw0T|D&tH*KH=O2^$sdigH}kNw$$aDv+ov~}(Q@Rc@KwcmkZZsOk7 zFJEcs=O?UgXht~lvp(gJsoSWh#z9JU6c>V5zc&`3X@oSJL}a^Rl7t9%FY;OQe=4umxcCP^#@lUcv}cgPebqrX*tzfWJOZ|7?n^hsFMh^5zIxhyt+!u$!iwmho-PX;U$L{w&BLDT zpTz;O4(AWANL5gA-n*oL;<0UQ!rzUXJJj&{r$tfbiD>84XH#3gJCXx`4{qKi7v9IV z!%GH8E1R4L14+*vbR6355pcjBmj+^Mj^$9xtb4aONQ6J0)a2(ZDLgaDlw`blZJ%(#cvi#Z<(Tge(3h_ zQM~Sw@*go;>LcUTLF?$V!wwkN9~Q(v`i3xk>IB2zXgn^9A8TS%RDrkO#N6XPf*W{p zvbOu+OVl4fTk(!|qU)H-Cl&-IG;5Nb)E-=@E8{HWxMX(EXtPD$=1Svy_5Icy7$Ad* zcR4>`eorH;v>CC!OKrG|#jCd^_{x{3kmldO^C=w0N&4$OM;;+Ark%Z< zov$HcAyGb@8$n$sK+<(8U^EbDEXTSljF1g3 zjaBVupspf(;8KHcvG7!H%l_fhE3en0oLTRvlZ#^kVgU%NB4Aj6|8GZx7TZun59^qze<3-u zmj|*!>mBdgjH5G!)!$n~v=~WBe}Q57_n$Ri7$)foJAh}ZS2xtRN+-ZKmE>sU?}6FT zLNT9H0$y*pypp}KqoUxAdCOL38=XI>f~Th|bLo4h>(#Ffc*O9Qhi}Rf|M-_4aL>?~ zZ+K3qWUrJ6_=R?UB#kz=kK21Fv+X&>JUcU@I_JWarpjhl1C{Q<{PPoze4zwwnS*g{ zQD_9#n3RgRcG%GtIhRu!yV3Ud6m>*%R=<4)}ga)}dSsXRC8H2RS&- zN!iNZnA^Ro4s9?Pu)CcYCl~lcIv9Y?r8)rmBeaV?OoFf!0cRXie#%Mqi7*YJ+?{!= z$O}qh{ANFJOr{Djz96WWt5cpQ*G1ceJQ>cd0kzmU0;^*z|1K-^(Ju z%kT7kl9|Yx&9cQYF7-66;h*p?q;WFO=+4mcFf~ilGi$g{zuuY z_e#Qtv(zsyjk-ZZSY}|9_{j3`yfpPa2%6-{2>8mIZNnPs$GpTtFkcAVpZLS>kd<~S z^gu7*s%+!H80{BVIL4;%`nPgVC~0joh-*sSwTRuh$aa|94bEkfA7ZSwb^$$1YwqRD zX#B6jTa&7<*>mfU%M=2flP5u$1+ukf?DiNROyWA`TUn4G$rqdkyfDx@{iF}PSLVXq zLJXEVkei$4mWRW`S{xf#m?DNr$+$pDi2>t`fS}7Rqf?yc=CTXLI7bZDPZ)uvemr4+ z+$X4UZJ&_goJW9-x8}k%GgkABnDV^@DI^yp7%RavB%uoM^UsFr=n)S!tFRd@ldc%hus_u8QB|wF&S9PL;NO1Kac!tL4~BC8h|__BY1vrPl8Tr zUnzVm#~>>`kKddgi#U|Bt<%&2iSY$~^G)&if{qJs2}uX=hC_MYqRzgcZ3jEbAl{-| z06>)VHP>Se6E?i1wHgugf4ThY=dxinDvQe2rBqqO2_J^SE!xZ3ENVIK7i2;9{J0t+& zoa&w!Je;6dHd#ScU*ex?Wt?Z91=j}=)!B`3rMoq9`^c@Cc6cx^@Rt}7iWu4heLiS{ zL9Je}fPoMpoV;B2H{xV)tLG(`dybgwBN=nPy_}%+;@eL6k8Rr6aYOklF(o?u3v-|I zF<#T#f+8Gn3%y+;9AZYqJ;c5lo1~?)JR(hi1``IQxnI#sx_SzfWvr!sxr(XD1+M_k zIVwYLIyVtR@HkAq!W5`!2nO}FL{6|i;-iw?j}}zGU7zOE9dQ)`2t9=x=Ppbp$wq)#-{6hhONL0oex_(kbP$0WQC=W1BtQftiAOjxJfHY6c1{bDb-;8Q} z_W}047~&C}{D~;DW3cCZEYM13>BMi&XUjh%lGE5W1Eg*< z7@HDoO(EGE+1yRRjcM1%&-*hJUx7{ed&LRsFJzs ze9?sBsZAn@m0;YVAv=9YVGbob(3`w?2*zmel{vZ9e+X9W^25 z=Q&8@QMPtH(h>)85kPqKoY#!2%g*xW(950oe*X?i7XbN zOL#K+TSr+d!g*4xr63jk-KbalWQc+aCr&(}e$2$E>Ftv@*P+T!BTVhSM^6G;O%d?5 zrF8C)kIAaMFqTKb=7jj2+1lsl00d$_`@e@1@h#Lq_&*eg4p*GQK6*rl3`;ak0k=;3 zq_h7oq*a#>WeV6zT1~w~*oSh{GV6Di?9!utW{ApS*xeA5uI_=L6Z^(AiapI5Ubb=w z=Ne@AHz@+I)fNJgkxY-6;P+fi;s#J05`=epf!tmH>G&}BR3YTF`H65fjV9%%8Ag@7o zWq0rwv^P*dtDVZL@2-^LR|aws{zei0%_Aa<%zLcw1W;^g`if{7${mKRMG4%RtZ9vJ zpLDx|c%EqqwyeE%Um_292t&-hM>(f}sHVK|fOW;(VMTEk&z5fl^VBYdjmABxg|rr6 zt#^kqedZowEs$-!upM9##QtN76g4*c1^WoST>|{(u!WfpAs8%{Ci5CDdsq&dmceTD*OHvnR+D zPoF?<0`ToUE&O2+LipxPD#{%tf>K3{w-kCNaic1oQ;`+s3yU%0qD17|_fHX^#cl^}&KB@xbJ z7q>M4(gvl~IJy|cl=snv=BJCJhwxNJnnvXv9-4_gXfPmtp6@SzOMD56_ zatkPod9@dkcGkwJfCF{^d@;%<6#c#^uoZS`WI=_kBlP+2|K)O@;n<=)`*(UfpvfM$ zh2&e~8B98~E5>&CoM)WEo&Gmhd#E=*>Sx!!_zmt)KkvPwL)$)d#lMe#*=>vGZ)dIi zGbfks9A1{e_Fkd#vi@Wu=Z|^4(?07t=}4M$Bz~_TV3uKLe`Wwj8&<(dt-hdn3RS;oS0(eJ!xS>|5+>=SWdmjx8+PT93=I z&b4Et11YvGW1d$CKm9rTTD#ZDbC3VXTNWtriI_^Ut(pMQ0qrh;+IT7UwPOK=y!^7e zf*ox+U$6L9 z@b&QX0N0-G7k|>%iOn^2jcxl=_T&|W#2X(Tj+Wsr6N9~+%P+Hj9=iBmptkD~UYPsn zGe?#A(PxEuUNu5cdN+OZ3fjvh=9`N~~nbOWol*?--7I%rJjy;j5Z&rR@AnEyc z&ilPWncC}%x{;mFCL(w0H=r%BuDBm#_U$6@)UWjN?gRvn{d6N0<=?@Y=bG_nG+SSA zj{9soq&;{7&`)Hf@fDock2ZFo$7emF0H!}()^Qj9URdL42&5fGc)%3MAuBJkyjKGk zdfwt2P6?rk4XRhuVC_bEJMF&V3gyL-2LTjHc9ok5sBIKO)1oo(d;tiW1Hc`-xcqx| zUei8NYq6XRfizPQb6=6V%1Q31v|04+{{N|u;9<3bp)>-x{Zlfc3Tj5&tP=W*r%x!I z+y&ri!|SM?*^tj;U}26ZrPswiQ^X$dTpbmp9yh;x-oyJ=?@_CUZ9 zAG_j|o5~b)Kw-ee6liW-aaeUweVBr8TR#Fx?IzK#G^L^F+TN$Apyx5_07o|*8Tw7B znu880O0wSomyQADF_2$HU|mqjpm0TyPtoK3L^=)Q4B-uNEYFGs&^GMOlow07dp^fO zyN3NdHVH~5-+izBjsM=f@;?iy|s`32;-KN;^a=KA*`kH&jEW> z^uQy4<9&FOmFn>)l57oofVivW7-$&RUDK# zZz}X40kxhiYW{{@EU^HjWR6o|=2-yv8GoHRWU({$4e%bhwinG*IGA{_Sx`M`V)XL< zyRQBhS;@6D2b=>!(G+J9-M8Z|!q$`w(LZQo^m0^d#5U@Z`x_XA5t(JtbsdC{^C3&p zhkhM9Qol=l23u(r?kOVf4zy871BC*%Tv|LWo z6w2Jos&vAMebVhLM+-=w(O-*lD;!W60n#1HHc}!mQVk{;-WrOr?KP7d^LAxn{M+ki zKgJ$Tk__#W^bb;);6lHHHs%*%U$-4XMl-r^m5PurONMYh`!3R`SKkk{w9|Sc5+TXn9#cA*f8KyG-qY7a;2iWYuUV+*FNZX?C&jqzL}h_DY*@ zUUPpC1UPbq6zAtpRNC4HX{Uwh!e%^6+Z0g%{$Ielwxk;?t_|-3JYWsNW=%|w^u(Ef z$dttc60r=Js_?MGnDuW6WN4$R9xc0zv=aZB#mAcx2nSd;PT)(Xq&?Jxu@&kRpMCaH z>Jm_uP|^)pBPhQMwWZa!4H~#hvo-e(nkX~n%|-uw!|iWB)1nqAvlG$HH~*LZ{2d_g zB-a3!H7+YYatrcYbI@ajTM%hu7U``mB!9O|mPaV5jJD`1k^M}9f0lcK=L85lr0xG_ z_H#<`Dr7&`fN69Q6zv1nfNIp`S?VhrTztUJ^!#(r61%p`z}W%g==vsCAJUp=_eh|< z$Gb#%xPIpO`ZOdpJyXX#Zv=aKejM$onDMOOdR%VsaJTq)9|BB!l(*m1hwi@nhJAJ} zH!%E5Us9`9|Jxr}?9T*UknaLE`+xEx9vyJod{GosEUGd_Il(GZlCD~mUt-BA9{+vH z|5Mdr*OV=T%NtyVUbBfQ+ej;&AKkuAf_&)NR*!%$?FA1#50xIZmIk>qS1;gN7xlcF zOnvNrXxjBx-*-0r_B!bn)b9^hnG@$Tyk<(qe7^`~txj4&vB0j3vMqr8aGl#K-p^KS z%&#HnKV%=Oe#?drep$fnlyR=QEW4n5qnx#pI&|W~kL5pC&<05U+iAS()ER)a-YDat zG*-LE<$)+x!`vHx60v*mC8B)+y}&`o;;|m9UbA2VehX zL4Lx26sTGx?(8z>*nK`T;+}(#_9l*CZrts?ywjj2<#Z30clEyX*mXu5v;5C9U7fMM&|MX$1F-LDe&>u%9)vnVvf z+ixz7-n?3b4d`W$ zyp_s7(~!iH*G!<;!`#3gF*=+d{^PZ70H-|HnBm* zIpz_GqRVSL!v$5YM3alGJU@cm+F0UV!-IMxUiF%}!nIKC?U#!K=@}jovQtLjJ`tx^ zEwMUaoTEEB=Xg;-XjD?>$8rjVL_ZA7gD71@h0k8xnXeHHxC=Zp*)j<-CpOHj`a1WPqDz8%9b(bd(=Y5Iv|J9o3V zZ}in_SOun$3nTLX7)wz830WpfBF`BBc&EMkX{(1yr*I?_Ph=UdKU0xoB%qx&?=eB@ zRZ!HKJ&_2o(HmW~0mx57!7qofGC2UqoOdi@L3g_a<=5m;h-$hGP$(B!14OnQp8jAC z1lTCbc1Wu4=fiLO{8!o=xv-kuPzAyPc-ntHt~{$vDFbHs2^D!B6=K?TanKgwP8=Fl z)#4%mmsR7!r=9;1qrx9h@(h@B`Y{o_WpJ1z-9T=~FI%Tg7G-aWm<0btgwPHqY@(}G z)K$d}Dkff*5C9HA{l3=y=5~pa`T&Y(q9SNHfv$t6Q(h!1v}XB~0T(W?-}=69dmm$) zrML&^rTIh1u9#7*Mc}+kKQZtS<4tSVq=3`BVCpUa=>Wh8)!N2-RB*Ru%Ws_z3+s?y z!3v_c-H!t!jtM?S5AA{xr*2OmEXVV8>j))|p^LhaD4chmVu3y$lsJV}JN1(Xyf?c< z21Kal#ko6lH2L&+i6V=j!g3GT6rgiG!>u#}@lb$?wdQf-?sA1eKyJrte;6#J?-7OO zI<7H$g}XzMw+J=&Bp@y9`HT-H?)D1a2O426E*MdNZPo*rN%nXkD*@*vV{{$L>MH_} z_GVO>i3-@@)=UJL7m)aBmaZP3uUe%Cxr~(m&q3Z&znl=9C0M>wK96$g{;A{hO4Lmc{WIRlb~%ig!5vuDS7ZrQRt~>_S7`JKu)f5rs$_|P7*+&bFVlo zSz&!|IBMoQq_(jKH9*Yk!<#jBhor3ITo%sHW=ITx=Z{v6fB|I^D7Hq7$3CpQFw~6{ zo-xd6lhd1x#X z1}=Y(gG3|QGw90&R`{$(OcTJuJ)=O8@g>0uzr1eR^A$Bo3kS1}uI?X?Eji*97;*He zN9!_i(n9qB+W$VVqC)=vCj3C!0gIF$nf%j~2XDal>GFO0cS&BgW1Cqagp@h)51-%R z{1dhb3JhcaNDM3%HX3~JsAb~99L#yOxhQ}6C{4TX8a{&z3cJldOMjp#fPp@+w_ueoOA7hhU_7s>t z^FD1(ypusY9uW)~hSlt}Fe%EsUAOBjlUb(^XYX}HT@TKPUZ}{la zyC1tsw-uHy=RcG;b5YAf;O;MshWe0R=G(L@BF#J&=+f=LTRvNDkvC>vV)N?tI<32IG> z0_TUQizT?BQ(kRbntaH04oZ!LMoUN2}&pBNN@AY%x;7=c)?s#cx?EO4&)nS%e+IZ_I&tcWE-xTNWX#Kp5|W^ z^ZzrNjx^Q^lJ5$tQ~8&5O&$aF!Xk~wVfb?IsC>Oh^lFTIgD^dP}T$gV^U)CI>d6H*xtr1;fG2CDdVMcgt5J>^9Z1Bvr!Qz@qja3P+@jhcb9hx zs%*S@R0NGD=R`mLe$}fa%#Pu_VC`0|BP5JZ$|l6Y~0ppXy?M;@G3hx}8Slld2oJWl!P zNEM=SzbX}+G(fM0t?2Wn{qE$L0p|Nc4%=2x!M(~2vmjjtg~1@1l0ss4oXJ)IES!cBkCfA z$08d1UC@6R$ISft4@$BbKCGgAQ(564y)c%nq5B9iOz;)4WMwAUryhMyAw#BNo3{u%1;8hj@sAjA4xDlPbTaYGK1bthdNw?mU)!x?uZ29jW(K!Q*wPi&_HqOdsUhd&*G=%N=49c?v7sIb8CThl8phtbctOs7M zf~bQK+K3J~ErUr%3x>+LZiQ1s6#Y#&2m0y8kch7%nvsB+Nw2TUa@1!@i}P)E%e1X7 z#fppOkzEf80i&j8o`^Al7wwK}?F@q&oi5Z75NBk04V-iHuOsk%65AbyKNfJ~BEXIB zHG*3VXlStZny};3`HYJ(%hshsKh-Kj_=yBQPV0DfESNHGs9slK)r1$#1zh;+I7?G> z9xw}as3;z)fY1;7q)1R{lPj`Op{&X&cMM;#QLK#JtgIquj`&)ne-`o<9CIcn(UxO& zA?k9@d2Mg1cHIxS;7Fn7Yloelc_uvr=fmlzsRk9+^r1Z|rSq%jG0A*s&E`U=+Ge2q zJ^gSJBOK-+CWHD%^0L)hV)2KUb<_Z6pJorvA_Sh8U`TYx)lnKd=_Vpa^HQKi1w$cy z`o|bMfHIz&UgadNEl?=+3#@FMKbQ@tT&scAkN{3n3)Uz4H=aC=xt0J^RWuFb019c@ zoE(mV|VZkz~LG8o_g{A&09MHialB9ttoTXSL%L;jx|sH8r%xfP%!H88(B_8cx=GWc6Yhccy$vj9Gb6l+__D%I>L^g4kzu zA>PCT*Hb<=xQqDO&?99#RJ{g_QkRtud%dm-#SGpw&sjyz8U{dnMt$T*3Mj*fqRj0b z#9W7xD&3lNib7ey&~^Z3oc5ifU(?mct9G5(8rCNe;x3^;uFhb*-HBwzgK@pih_{x7 z>Ps;`@B~*4r_!PY9i3p6dPwyS>_<-&%JT}H!#_+azEETt%iTxtTj|DegGJz}`tohf_Up-NNGSsQ2auuU@TBDqn(m3=Mt60H3T4gh(Sf^aV z=2T|8gAw@`hL7fH7fz!WGqSHm;E#U^NxikE2jQQy&m(NJagwq8eW*w|9{W8yzeLYh zt$U2~Mf|ep{JacYV4j|H=!dXX5==1TU>pLFnk2Cv*lHlN`MWj_lRXls1j+u_2Zwf@ z3>RqGzWWF(v_4n$hO7@4glOA?i;Y{9=a~!Ia$Jx%UZy_^=91we+9wL2zS-?MX+e>x zQYz3otvY~p>mMS5LZ0M9`%oo-mWgYM@h4=|#kd~8x$XVBFI1U0Ur++0C>e~*F^U4? zKPp5UIkNh_no{J{o-Fk16X1CV2>l=vx*1Ze5r;#<$eg#B5Wxu4uiJFW%3Z|8HM~9C zhc)O34xb6(7ZCm-V6Z2T1zehfC6)q3WWfMMp@wgPvsBUi4FhC+pvd7*!}p`T9S%|b zqt(JutbSF;*@p-}xb(lyk$$4IGWx^tI9_?3cWhKrR_8RJ0(x0Aj6vadY7{x@(uvyE z{2}Cq0jS}znNWo!R8u`=EPM9~lN{k|SL{>|Q8xi$INVrc*qH=CU!n~VM!fL^0fiV2TG3wOdY*eCiL`biWkbA2ti!nfvPEp}$bE%jI@ z#;;YaQG{7((IreEI$QXxBmMJ?SHmcsc}y63n1j+f-ZbC|(_ef5iGv5Q@{VBEdv%&f z-bPI75CS6lg+0(%)+0jASkSgS-*9zl*F|$mzy-Y#sP-8_v=l*<(@}~WNE4@E{eiy? zdq1oy6YBdVW0hvhNecW77ra;|b^a8cd0$Q?zyboX#uYgDIjp5G}Gt9^^1`Vd5&>M;MT~Jx$Ypn2e=?5 zgf$v)=H5`yv073`>)vNfmmSLeVX?i78Ui1_Nb8%DRD@5m1`*Lwc2G4>B3P2k3WQo2 zA~-W~73bWE*5rci zP+Ci4c=iB3o{qJoSi(e@V0Ker_UFB#&+ZSuac>}*=9Ozif6Nz`zeeU5>OV*;$;SnK zf{oLqk`x`18RAUFS(^yOJ0c)Jgn+nZX@MJ0k7N=}i6UkBrV{@rX|i0PfSP3Viz(+y z0*kGL6aaL0aAG1`rUCR0`!yjYjQTy(UMRP-9}V${Wx1nCF=KqRgCdkN_YFF_UdR?9 z0@ZcRVe4eMf0}k4x}yS`{}Fu}CSXe><+<7sdSrp6(^mBuyJ48dn=+CyApsx!K)~<7 z1tqD-F{#t05YLE!g)60Q3KjUJS`74k+SD%f=z{Z|aj}Ld?=3XJ5x#mN8cvJN4gF&P z&XXX52LR5K%Rx%DoUYFTR!xdI`A_2Mj$5-!^$GpcTv+8rm-e) zK{1%oVdMtP=%9O!Q=6024xDd=0)jmS{)IP*euXE&hcz*evsA{%z3E)Nez(m*&+OP` zWJYR}CFKTrI3PLk%fqEbD639Ff;pzEXQ0*~jR1oEFxP9Ho*7vrS{@;vXJmxJI3qk7 z1!;9qAS1|x3#2@RrxU!{CZF%QkT3@7z4!k97t^0M3W9_vHhf)2VJ@QCzSc9az5=^yLz32Dy~&&>%tc;{WXT<#{9RdDi5fZx9B z|E!7H688GL?ImWJiK8dstAtTzh)#gB9*UyN7K)<4!dv6AY%ytrkLQ{h4k?lp6-4q3 zIp~(};_ms6pMZsbVA}nIG0(wwJUzF%S0r(luHNY3{%w+b#k+rao#!lF4eW6b`BD*_ zMZ<|scKGt0>F>Jw{CRNwh2{GS4j8@4M1dDXM_>TJKI;b0*=TdvIfK_lPLam}r}gti zdy0<2coF4uV3AvqcN~)r(u-d?pT0nPuGu!X{;bEQaZ=nncGDTpLlJ+E_CDVM-v#r*k| ziYC9We5i^>^X6AlR`oD0xqotPeTCcgz}m?-zIu9k-Tvyao%E0sU%$rOS;2S%s-ujZ z*RS-?k`8p#8i6(0Q8PA2y4+E1gr|6JMgP&IeknJqr&7SE(82N;01Ds}nlLfAQ2Ss! zyZfW4-Rzdoz|VPy%cQ(Ri@CWoU6+NM zje>n&hu*U1c7C_@e(&vI&NFUs(EJVI^?iE?QwC|brr>M^@=ROkSNgXRck{X6UjECq z_YvM&{F!5%Q17CYky{-jmxgd*b!!%TOY50jyy1>G4^ZTHTWOujz8@rU15n|*i#8A@ zMrqubN5$bT8VA7L{nEIJWg_U?*@dcm>Q$*?D1<)n2``v%kP?F^YAZi9r(~N#O6)Mu zE((mna80Nn5zv{|6JU(oIQH#)rPG<4h6+V$X9p#r%0aPWYcPzY0Xy`61F3h>Tc+D1 z7sUTY`492t;t|Z(cvryCH*rGb9CAQC9v_CtUL@#=) z_#xyK&>gHtgpM-`8rB3z9pP7d{G@}v9Z^w4TEJ5*AF_L@$9VDGr zcEupZYu)dZQ)aqo@)6~O%wy#7D6`STj7+xdw>bzy^c6GLDPcy=SgLFe^F;Y~eYa>; zx9%Mtc26hku39G<5qY_|>n5l^CAX6&>3*m1W}d!IsTZT}EDNfu1Rb3ShMy5OFZ9f# z&%|(|oyK3x?0#S%Hh1q5SeM=7x=5@TlN-wkk~ zI*|jfiSpuv9ti+Ut{GF>^U|Oa`q=Q6xi_|Tg+1;AD`n?Jb^nn1Q3vZTicIFfkBafB?&h~}5 zhEnAPi`tPd#zk;|ca~)KeRS73ngR~g8fXFkjPtUJP}~Xc&^lqKzWXaRnxSKce~t+l zHq~lX9YZ3X54j4@7k~B z8yL$+O6yISN3!2`vZFq8qVK#{sZO;PdebTSWzl04Ub$Bd)+EKcZXd}+8;Zg}LCrW+ z(%1EU>Q*CRrJ1~AJ zQ8AwPkM3na^K=l~8w=k(Q}@NwL-;D<+@99e z-vM(4oy5EALa>F~0^#S4uSy-n8sZji3xt1~7gU@@NQ4eJp%e~}4NHZMr!%_7u(W^% zhI!%Lv7!%eBI`}tkhVty3Ss2$q7!t=6g%vWjs{&wk`d3kY$Z*0s!%?J->yDjvnZe`FvqL3 zjh|GMd&#aiEed#I>xaI6tw$0P>U7ji@+24^9~tefYnRx^9}Kl-uoO^emF(M;sFh58j&?gy7WHbD2Gf+Jl!~*va{?9#R!vDECrw(U$^PzLjm->W{o6yFi z3;H8CRMZ3%j>GjQGlFPSCqoe79QUv*ci!M8JCRvBoI_EUg3~3j(wSse^a!Q18zmY*(#Ghllk7Cn?oeMTDAkGsk+zIKzMe6a=$CS_yiDA)GptJlKG;;5MB zpJXkCf;a=cX@p-jZ@^y!uj`ext|)7wm!AT=X`J=ufI5mrOzdaOHd$56_h}uNU&q-% zN|IG|Z_`|0p&0)3Qeuw60rM2Z9EBAW7I1?m4V9f1A2|#Pr15HZsIv0=v#S@iJK_Ip zDy?W7!I0$8yds1zcpYihn^87v>Ms4W_a8s1J12tu=k?*woN#Bt=h?)b7YET1u^2k; zv1vUtumIdh!&k?6ZJ4uSjUzY+-iQZQ2+I0vQeSX{4SQSY+GwGB36uH)3<4Y$LG26% zk1DR*P8hCE6_$#$_lKb_B5#*5_G)0qU1|mIdTPB>)<63WcH)XdF6OTdc0*yDk&eD10mQ>V_9@D_;lgydklm7{Res8(NPSHyN&(Q>dKUb*?hbaX7l-> zMAA-%Hx^q~AjH}WM~W~4@eNmts}3yILTTe8HPq{@)&CZk&H=L?zL)V8Hg!P}{eo=k z9LzZpt~rpV)?9GY-o~2KPxSow1J2VqS_^(?9_EcyTsCp15q3Z2MBgF2JUTaBcZG%5 zM@FQ=vG{Ji^uaJ}cP+<|n;FfYZB6L9Fu!mvxH?FC5C0&B?Y&xG4%lO;**ck#ow6^q z@@%9*KuF-}kz122!G&A8E-qAU>HAJf-|UnVL-@w#bEYE)Y$hnF%(a848&W#u3XRrW zLd;xDCBa~99rLZE(gf7Crk7vx^Lq4hAbg_3*R_YoW!3hdCFKmRO;R?HQhF=@Hp15# z>AST;J>A})rhdmN1?guU-dHyeMj|QgccY=_&xDaOcl{A!@{_0m)$C80M`t!slow@0 zmA2N*OSi1pM;KMC^iKr?#3(*-G9VmC)OF&%)~~qyBd+5`FfswBXQ$;Pul1{GP8E!l z<2+noqc{hv94hDaR;h^|nRcRPvW90vc!?SH@0z6}y}AN(Gs>KT_Y`L>iyE~|G9u%k zXu>3CKWnT90g2tSCPP+!(u(@o%xL4tH1?ymec4JW#*Y)D4KniID0&0J%Y%>Jj;0Ng zi9VtM<3E7rrXpth_bCDxbvy|N(}c0y;)O+%|9j`Z`i7Jh#>qdvZg{lVG66kSS@klD z1xKyIRno`EkZtqg(OA17SZZ)=0YHW?x@{&U!l4^#cI*gW`Dm0~Ol%p{@ zloUag*~+ss_sUe}shlhr!`C?5jh%f>UpvRt<1>gL**yEHG)#fAi{~=nw{}jxl&nMe zYznSAU@wF@?LNn|499IXlti0KHfp`kcXdK>p|7N7O~fU0fDlgrjBH|3zlyxyZlCWesV45Tssz za@qKd$AK>wlMZ-(xNes8FMrQS502+$QpLt;_f-tf!+(vE$GIhL^F{DTz0^@)I0xzLp08j#3|{^0jX_f1W2*9ClTiI_X&ZhGJrH5hWG@|^LI zYaV>g(Tk#ff+9r2l>3&1L$0Rbvd_MC`CQy+u62Kbw9eW1=~L1h2OZVVlU@w*3>dK2 zZTAS~kPbE4YA(*Y$1Ox<7b%tR+ zzwZ5@X$jWkhV9T>ofyV%MLjJ6#>q(bs#s_vIEu zv~uvXD}rJ4!>k9oO4FY6H?`QE`pzUtXKZKZzEHg^b|2YINS+I4NMf#Cu6X~H z^uH|kE4f6qU)4S+ji2UvuO@?)%g9<(7{`AV1fAT4=k17jBhG)7SZs@G4P_VSZ@O@z z;ERXeiRYZ6I0#*lDXmO?1nTPcdh`~df+{J*+#Gj$dA9@b$d}H)x@x2kPJVo0cSV8r zow=3D4{&78e?F~z-$TSab=3D#dSS1CKAiuB$0rDXJ0grlQVO05cEX966T7;>TrcL7Qkpy= zuNVTEA)WH{9wJ8zv!}A|idHz@WOaeXl_Mfb+H{IyzWdvmDM%=<*y)^y{{M2Q9YO#9 z9{fNG>%Oon7U4iHbv$=|qI|6mPwO|sSWVs`_hBPVUL-3eitq8Dy|L?hKHWt0DsxdG zD@A42+9l=p@`dC~^i`2zgEr zx^_HVZ6Jd*H@-MkC(PRlZeV^XLqBiEh#nQzJc{*<5aStV~jS@CBoc z_DPCmhr$5m)(JSw6m*@3^SCuD6ycYEEXXJ*JDuMS_w|CH4GP*q=t-8~W7N}I8Gjhw zj5vS4y?Tl9ZhbhOTEB_4E2|gu*D%5^e2@z1>M$JjNWY=`qMd*3?LzhXhqy4Nkp8v^ zCiy9&xIi$2Hp&*-l-rZ#FawH7WLTqd7VIZfF9=xm4qKM3+-~z?dR{Ayz*dPDhR9hO z%ZdR3COp<2L^(-T-Dd?9!j{H0j<@^QoV+NTLP-;7VRV1tknSvBQVrD*eOK90!4X#o z*Ai1!xWE8v*I^3iy$Y?8j5(-+$^^LZIO1m6RpsqRaLN578VMTG;fV2eVXNb@P|D+SEZ3T8AIdn$`U zm%uG2K7+6sW&7va2cZs|Nn=SAUt(gsQPC%ZR!u1rYt5$+Z-$uKvH&Nd+C0KV;MY3R{Y5DJ4s_1QYtJn5uc-B!EGsfA^i zcvO)e{`v?V?JZ48g&f1W(H|H_(2SFlbdP(-!knN17V18STaI6YX6DJ$@tYc4&^9ml z&;X5vQ0P7rqlpGw@F;`2>>%q@A)+!8nb)GZrb5CEgYa1~%lDNmxCx$M8H>&O{;)wKiDb6<+Kv{)MOc&p|(wJ4(W$%y*{;axk zPMb=4e&{pa>Ig&#<6N9j3O$nyECj`TSrndk7edkd6geM+RG!Oq@(fq@OQR0Ix zD?FCDuixSldeCi;$70f9wnt2WTc*cbTRg)SMe+Y9k#YGD28l1gZ{NL8G~ejwLUM5d zKLjyIylBH;gT#?8Bv{NBx%(_~cYkH?-<9(h968bTwB6 zk;v9RmX$0IZ)cN8@&TCOBi4WRR6ya?$V0mywl}(wlqta%vNC!8qHM z^j+N-kPhQI8V%9A62!$OVt9$U=*V|RRkkE&Tcyp}weMG#yIG$&_~friFFH9NJs<@f zvI$P_^4OF|imR}TzFwF+uD?Qxzeq4c7M+UvKU0!eS`^tQ(F~zss2_sy+tZz}y8%X+2gg@GM z2j|eyMv{L%%4zEFSgl2rp%MIQfQ>rdhsIJ%w^q zfrVPtr10JSzqJO^^s+MHMQ0Y#a)+Hz$+ zD?(p0z3MfT_8fsom55|3W4x&6dY(Ak?!^kvw2_ZilhSVhQoGmO}~=S-r< zj@8S=5axir1n;9zsFA@o2&z(zZ$3Qw zyAVdTqxTZwvK~XkX65Bge@|;uU^ypjqj>WGZ&hkm1Y;jyBc`OvvSh(lx{xSSq}9Kj zmA9=E;=DxV@e(tqu>E8jetQhGg+~cBWg6{12g>G2(BE08n~!||)>DL6Jc=x~PU8Xz zBYJlTF3c`l#Z(+qrHtY~8bWa7nSy5Bb&zL@QdR2!Ye0*h8%2a{oE{!m+P!Y;U6fwG zPdz9{Nyz@){saoF;`-_M5-%f-Y%e|`Q zxndK_N&u+Mq`W|e-W)MV3MB5AuE(g@jJn&~bX68Z{k}TwTB*oZ7M;vs>`UKN1Zl3WJIuQ%D;^3Y zMjhHTWK`Hf@|O7-WIW>?OmIZJ&=&SdBR+c*!ads_P#BT?_Zi!T4P9UptVDYj!OSpe z5rk!6W!niq5%K?9+1!?Stcmw{-XmzhEs_)#@3O>W&q24BNryu`o_}xAKmHezaVHei zHuwYf{vQotU+HPlO{T zm^Pg7M*wZiCtTD*@;Z_TOr@WU;p~ZRZKB^@z22S6ct^V5HpLs8+RX)nT#5f8M$(Zh z0My}3?h#aeQAE|5b6&H2=yKx%-BEFg^x_le<*VCB0rti#H#~w9NQZi?Ro@9b0 z^xkXY_Cb=rn2{+yq9H{YCBG6AkE|szq^kOc*2X7IpTJwoMv3T$`RcMelFadFMb`0++O3=Q|>}~!EQZ%gh0w4WXIIJ2e zn9|W;N#n?sZwCxv-?2pIM|1n95x|4~JXQ|?gK*e2auwnSRI zOtOk@KM0V*074;5VRd^2CBh;1N&8vTJ)h4rAZ{)Q%*0k;|Dt7Th^VoPcPOBQF*Kxc zvi{)Wt#E!xVit!dGshbWr{%9VBaCnsH*MA^rcg{n^-%>)nKpKSF#pLM(O$2Hr>(pw zTjqFVUMX{qj7nNIe%R4JzOUV7iTiv z^ZQoyuBB6&7Q*c*5Uzi=kCYrZ)Io{1k&@JQ;~@4Cjmi{Gvd;x(=3urhyzs6v zHIqpw<@HHtzil%<;uSv_O-sh}3GUOGR~sKEP=b-HHuYGyQ2a&s$A9_Y5!w1Wjzt^o zBb}h*wI<4|)p)D3*-)Mr`fKJldD>MDm}Bvh9kQjLO83$tD^=6Fk9=20rH4ZLbka53 zv2=^$?udfSjdz?PA08g0t_mjG%iJ&`x<9f{hWwtEu0s|2=9`3P0a`hGE2yYKPT6FI z6#xyvW?fWrKkw*hHP+iQJA2LDD$QF0=T=H$)vmM|^-+^bq+YkPxS>|+i&k;Pgq)H} zl&ZousF64iA>K@Rm5tFnCP+R|bv>_OfnP$$S@he6W2h3`Tb>NF_e^1H@!zmXh8J9% zEegyZS5&@B33u6N@ac;erst2lhp|d`N4pt1vpfxjtOh>+4Rc{I;mLZb`&!a!-tIf! z{Aq{~32v4w?^DxWf*vZa&#ysr#opBUiO!3oAb%P};2aa}ssidu0p?o?<);OUpa9?)Y-^e*QTDL^Aq)4TggR!{ zrbGkS+VF=yd z>E%4x{XZ~h^-&T>URC>WkACl6hT>!=EQ#)!Oi>b@IbHpp$ViG~a_9*3J=aX+RVE(B zr&w891p`0~UX_EtDZ_N4Hl(*!}S2ea!A~dL?b++X&nCh${B)q zCb6IQ$p@zhFV{3FX7+LqAQKcg?R3q=p~@#s#XS|sJT*KaQYv6D3!!T`3^A#~+B3RH zXo0uHU4G4ble-kOuXeVBk$@6mmEC+Rey5A2*4(Z-X4q}DWbq@=A8pZRwX<6^<#_89 zS$gOK7QK#rYSi)IM@uU}fIU6ZPl@*>kpAMUXmQFYAvqmK2^)-xl8}P2>Ih|<=H-GR z?t47Z1U3!)iYZPLjk|;MRxd4QIUqE+w;+r_6@sO3$61%^^pYJ~C$2hJSzE3Qy6$dn z)8=s_Ow+op6G(sC5ZcYcJ2#TYh*QjOI6BTw$S&ALtz(4vltg1!8O!Zv_u3<``h~=0 z-F3LIJaDq-ww|Irk(}5jIhN-5Dc1URR)>C76n-AUrs7Crd;&FxE#mUrR8A#2-7EuI)eBD7cF=wdZ$iO)x zL^jbWqBc8=9ceAZBZ~uCM9Vt#+JlhcRCg$oOhi{#%6R2%C5DOtEI&xMUj2Wu@Z zi|*Sv_su={;Hiv`_FO<~uniI7gJ)Isu}{wAH^8=~vZ~yh)vV%^V=+&{V7a`-B#eF8cSHQ zYDy9muJd>42!XVxZti}3Uu5)?fy0HAxEU|#hAKdw%#5*D`LJ}H9$C1o4<8w*RaQM> zQ?|y70w_%}yd_(ueY`XK8DRo9?HJi^t4PrWPTVdRG9lvR_?E)9V{ZAd^Gukb9BVB| zSb5BjdJw{pk;pxnTH#V>9wTfvQPhM@xi#YT95ISKnc48}s;trKRrk8sZ$+Q`^* z`n!rmr*Y?H0v`kA&eN5w?r6PE0B{(HO;@#j1K0tjd{b4>Ahy+dL z9yCUizB!~yIm&2HI(*UyvT=B6{Wc?(_ z8*+4tu6%veuK9yeRyofGu%%4-U|xfotnhT;h>b^XLIhCFeLE%2&LKqj5S9iT|q9>Q(T}0TRX-{P1TB5PECsU{h7QT;n2b8B%_X zDwB;F$v8or8}Cqyn#o#|lDK?sB5QV2iS8>{iIl}IaIQ%uAvPd z3Y}!l04a@1A-4p>@~b3kwN@$@8-9>H3$0p3GVK=wUy;vJyne6ZCj!uQQTKSzXs#r>WWjK7R*Nkc=OqwvPX= zsdDil%z(p~0VAHqQ6Xu*$-og-dd>E^IO|z&kJ-ytGDhIv?quZ5NuQTj%?f#D_JT;C z)#ll&SIydo9BL#JMBN$c6M-2JS=0bzQD;eGz03aW?e*U?^Ot@4i`TW7g?`&SH+Y|V zZb!lVRdpC{1*ZAkF-vwRnafh{9N(J-Ygo&{wPNl107q87MYP*G^V9fFD+0c(dmf9M zg!mclVMLC5V+A{e=k3n=*-M(iM>HDCKQ+w~?RnQBpk6}+b+zNW%{t)i@#?CVJBq{FFQne$ zbe${fD25-n@r4kfDom6^%kqX%eAhn zTO8Ef&f^4!?YkGBkZ_+gj3jncX*_1;tS5AY~2+4#PJ&m865;y^#q z(J$}846J=S-hu}6=c~0}9E+wUIYccUSP#jX;4qFA@PiFhO={&#N`Y5dUdML>gp^_T zY@lnHor$vIWhHXsM$S8XdWoxXa8Es!Y}5qh#P^r3{va`+D1*9w7@0dm-jmt6+WclL zuOtUyq*b;TjU8xjM!FX%a$0PGffn=dH*|_PY2?O5%Mfq-80%Dxcj z_xF${A!_5QRL9U$KY$$*>0p9_Y%9DUvOy%+CH z{$%<3N8;;2Qj#8z9aIxhuRcZ0v6x8F&~fBRO?1QB5UPepHUy>Nvg@RkP~iKiO|1?H zz*v6cH{BB&(a;96?H8k^0ZPT0fQPzeWAXm)tH~Q-=EeKv0Uys4CTKbaP2U`E+b(&n zV`iIlgCr=wWuU#}t|*Yj9B39>={&)~-FAI{J9{{$HqzlHio&-e(p#m9;#&tqTGz~- z9?^Tn&+we$%G(C0O;j1mv5?&iR^!fee!=!FPutLv!o!isVFFdzmQ4cdI2q zdmH%fSWIJ8xtXsut8uo(!rJZ*Lc+#}^jwRPeexJ5+G|FwEyMjDG>hzVg-qib-+aNT zcRW@dY$NguQYlYaR<}?`ym5mN>2tW-B%?RDDbvQRGxJW-HHUuK{R;-2YE9M54R+)m zGqdcXsah+9=dB&zr6~W7g|{aowp!Tpq7PABdC|yBLS=;(nm~_=GzRR! z?}e!8kB?v}Ge-V>5^Kq|8B{G#nLcE%_@&lcZ-Je&WudDD7L<&80F$qGpH|rKa)zxZ;O*!s*TG#*?wCo3uA) z$Yz{*(pYjpZ>7XCJc|t&uw=BoIw6S?w?5%*Nv{r3V1AvzXVh$V!Xt6l>vIKmr`hxu zSa>o#nmqPeL@%~D3JE{qe!oLzAk<{1fo*3TuqUt>FtPupOp5kOw&)1Sa8!jya%@89 zS%9yTE%0wj6U$uLtT=lSe1KllXjQln;JUh5^p9`u!whC51!*iE!Bta(Kc^{4adIdV zASsSaRKbX@@8x+4?BvndB(es&#U2JN%MT5KmwM;`vst0OM4~UA`E-s}Ot_OhGFogN z4$NtQFb3o~f(l(_cjUY6V1_($!YAE=2kQ1@1}dT`KDn;yysLrX&D>E}d5oA)C(Y^y zmg`3Qr-q!zU3EPO$r`e%Q=JNaJfit2EgMZkT?KKpsIR)I&)kdirnT{nLF)3*M6uVv zG(x207r7Q-u7>TTctQZm+&I)!5b|aV4sv0%v8=*iMcO7shqB2xgK{t z$oV{iM)pzsP`(W*-OP2a(z$v^R#T}c)WnO(c2a_d{<>$9QusS$b~;_3L0nO=TIpJI z65@q7siuA$Q!M5=OG*qau@Ld_vD}#HyF)$rVj8dGR@fc=!(;NacuI_lo~oO<`&g_a$_)1(f2? zaRXFStA1f{Mya=aYSI5B@OO;GF7Q*3wV5N#yP5@$$$`aN4FkyPhrFnUAupMk;vx3N z1?C&3IY*O%SeZ)q(h3%p^qi4t?d>~NCs^ahF#jdv+fbEupvy{G94AKdHo*2I)h$`mT7_JT$X#!I@Zq4A93WT;f`<;c5ho)D>uTDR59H;SxW|IGUm}9LNI|r z+F~7fLJIC>J?^&NU?QqKIo~zOi-;N|7Yn9~OStVEH4QFpT;-(Tp3g;-+95eO-yfg` zy%Q7lH1krycyo*_-jXKcM(Biiga(`IP4rY%h#4IpOw=b5slX>xA9VFK~7`o;0!eH zsIh^+xAY?}o7hX%avCJ`iqmU|pN*uYmx{`19lHOBl8ot*yd&$HXoPxwE+}e;!v4dO zZadL1wUo%?oegHBS_Y2-+m?IgDhc2P6*066ID(PyyqA&hjzx@hu^Y?mBjZhQz*@k& zP=#2!XdCG@GWmH#;k03Qy6hjDa2n~yVWAJnR*=^+8|;mr-QnShFuYasg)xU6DQI!v zEF4s1WcE3y`ldVnj-m0$^sCHq9@GSDfE2@Q|8Uw=fExhH! zDlM}`9c--H1HX4Vy*yKzx!(g{m|A6$yPHOwta5t{Yp?RbnoKmpAzZ~W=%A?-e=7n< zl?53?a*~{djE-17+D2S+WFxMM<)wH}KTk3I-oFMwuN{CH{~niu9kXVyWgx~VwV5@? z!`n4y<)^c~o^#HAuEHBUpH()`fVXD_&GGPw33m+p#Q5wL*uD6ty|@>adp_g!*&L6B z^A`B-!l1Zv=d<&UPt8wQ1@`eX?|ty>>kPx_nfzHHb0zcHo-5b>>~(*5&PktrulOuH zZ1B8zY0~GS&nMUis$zVc@LjE)Sm2+zU|FQs!u#MqeTuMX95VSRym?-@c>K~p*%sL5mw9leac`gJPW9`L+IENDQ>|c@fhX5A&;L5u_s2HhiLTabue4qp z_Ws(~)~S^*{%m`_wL1Ao*WXh+-XFiW{N51ZJ+lJ?VqMw&J16}vKcJqKhrHMlzd_EY zrE4z{FA~@P)>Gd}OUY~RdoI63O4~n4b9hFbKxW+M!U@1#JU@|wM6t-Pm99U%U>W=$ zd5)!9h_Q~3zx#E`Kei4p2nN|PlDSbk0*dtY9Umh#YN;=g0?3jKUkg)Bq@ZQN4u}Iy zCAaUvCFOcdiwtFU7WoA7MY(gh<<%aks_R{J;Yfr0zm0la#_))GI`Q4(wGbFv5fJD#JE3QfhK6dV-Ma;B?TVYdY@lEnNk8IxUc_4!r zxdFQ@Pz4aSa37$hak<`Q33MGJ2QtQx9fb)*-RROSBk6>cx8m_Y2m3$+vH?xv^$&7) zz1!bWjQjLxVKT;|U3N>U8eg!P7I;W>qdGg3T#I&G6?3H1!2;Tk3Vd?#v%fw&_~Xc7 zvZ0r(e}Lt=lhVFz;n6MM5wo=F?)rCEJ67NLOq)uI66WuW%^~CM)mwk^oO^_DB-d+x z-iR>UA)>yIF1=6J^%YBNy`wB-cLgQI+HWUWH)i(v#76i2_K5NP!JPW!2`<5(fR5*kAmODD#5djFhhVf=m1E z>{q^j=K43!J!JoNkmOy%$vkRO-1;bo_Ck-0_|>3uy(R6pQd!)XY~YsF=}0gA^6TJM zUc1X`?3VWu(m4M*H!Gj6=Kv@Jc1KngadCSz)sV*v?WNRIo#!X`bN1lz*UWf)>4u?w znzqpevIKW~L&I=eC)+Tx;+?~5^0RT*9c$9wu6Rw|aLlmNnyh25TBn7$*pJJHX0MkK z7G$SQX_|8o^({_pKmK*mZlGaga3K-a{-|T~eSn;ZHY1Oy=c|9TkUkVYD=LAQ83y6# zWUP~yf?J_+c;P>dnmyC;06SuBVqQb82%+RWXsjfP5oo%NR&l9LKGk-dLtP!4^18st z+9V+YKv$$&8m`6qaX*u|!O|~!b8|cnXt#x={cP37OaP;8Xiqwhf9>{9vrq2N7>^x_ zF@;-%sB|#$cL4t4WeU#gS(1cs9gSQL=r4QQ@AF6>Q(Cy)ZUzBZ*nq<+N4L^yDc%_! z4`1&(*7-TrZRra3qQgm@WgC$f#%+f&c|+K?^CJ#4z`j_f#E_^4$2vYk9v+Ny0u==K z5O)>bohGCs+MTXUbw_R<>>kYO1cS|)lWx6$koMq54hb2drZ~#eIngco(EinTU;E@f zLGDH~-MFbWoW-5r-xyUl$xVq*gh~^pc^S9-V1}v6xVoWL^CYLMddREO)1)Xjn(VMj ziiKO@KgWoE&*y>+@1CS$A|?knTkXPCa%QYjym?oLnU?{oFgiA)a28hYI1B@srLz5u z8)T1M*nU=9JaeppO;{v94^TWV0$C#HOHK3_anj09(qOSH80wMyVm}+h;rYfxM-eAb zn};1ly*)dwI>ie8DXPD*d5F^P(z_~2VGr@b#m;m5JLJ_Qyu*n8eUbnc2$&!P3=70U zel>05zc~o=x!FEk|Hnr5QC1V(heKW(8XHi~N}y^+pKy1aWReU0x?8l{W-Oy54~DDe zg1BA6Z3;~)w_4)yHO!5*XR-21Z-D`z&s34i=)rSo%RNmQBJX#N zmz%|;luMXc<6E`+^r^I>hpN~!o~PJH=C3(!hC|JyKd9^_<$UviISxyZf;h#7jusNTRzUFWp%73kpey;-hMAe zJ%%7ae?4R3Z2SZGMMF+^-RvwY0pp6Xupp>SKvm?~7LsoKZ$vrN`7AXY;N2)N7Zg0rsX2E{Jr+ zx<9PYGL)qcP_7_L6g}`7KcPSGN~I3T|3C_jd1b}^CQ1@J-qvYqYb@0l^q-mEpAD#|WRE2=UvXLzADVcZ#{n5a z)f|M`IQa%4jX6YI8&bbJ-PI#|GSscFqGeT0-0i`ZRGw?_CVN*DQ${mE)+3DFKyg>* z^)~&SXQDol^TG_Rj2|ASCbNJPpW-!<>V5^Dps~1uGuiLX;sJ^Ouo0@kzX3`>k*PPj zDqeoT2(#NT3ngyNDA;XxiT`CRo`nVoxBcpm|F&Pf<)(4W@;&UiaMse8IcvOqFM?7W z;_Y?U6Ti3CJL+xkGhR#o`XBohoW$OKxOwgT-}bAu@gFkQV#eYHDx_PKU-|Q#l@+r+ zyggf!75OERaG@^tl6aq5vPD*73SeDaSq?hWHBZl+si6D<;col6 zIRTSN2$wD$QwDgYotxU}D%d@Hja7o^iaHV`j{f`#hwixNlDAxM`+Ejma_;?|=L>N@ z0TuRrvEJ+Udq(qoxW_(ws=EIWJok~|PZJ)$@woKLoEe)$Rp9e=Ucvl*f~AYD{o3AA z@nqZ5U7?00mjwQ?2YrhY8DEv?{!3SC8Qf#}j3M&yVs>)SD;ZsAYbg0?{mPdvzq+Wn zj#oCT=;yzc>h>4^;<@}vvFHf<7ZeSWC89N@b&1|(SJ>`4o?ORsi3?XLaX%L2<=a?N|^TCO0i!tv5uPLFfE zc743&f>jHWsCZw+Y-4<9Pfl0z!IW<4{h-S~|G;>wgg^P8{{vTUC!QIGhW%y+;nmXD zE$#lTh!7(p#y~G5BeKk(8;Zp9bjo7&Rlwr{6u>uq2=AzV>xs#oX`y=LOV7=*f@+l(%H#^Xk32 zT|D~Q+GwIS0k&PTCguF>AQTW%HG_o8hSIL-j_)vc07zVh1Am=%zQ2hOCN17-1bBoA z17wibP&8*Wm9Ca-)4VncVtG@!hkhm!yW%Iar&`kU8^-t%6_IwQ2p!z*VRA7NKN zRyo>vvx)spc70uhYx0ZNA2PZ;iywEH^q8c-CW5Myl7{$;2c1u4VxSQMOxg`UUs7`TS+UA-?6ISolt!|UD>J8G2>%Ruj;Z9m3U0tRr#)(aV|0i zd5gCcNSx0eSe|UCB*gm}O#`+zZD3A%Tl!GkZUh!O&kn{N+3H>|!bTE@?~v5ebyhDz z1m8Uf+el$9d_HZM+25?VFw)OrS96ai-I$7i#0EP3qV3e zl3$9spBJ&k99Yc!GTJx%sW}@#!N7dgwB~laB#roV!q* z33ZlNB#&9LRj+D0zTY?8C}G?e9r{0G>kTt&SWd=oNB>m1sxP7BB>7G8rzg&} zH1T#M*G2zEoo23>XEc?3YtlH2VaMX7-K+34@TTpIx{{K(s4$lC&yVd8S&1dhd zY|ogH)1Lg@n9zmuw}u@4b>Y@|J6h&PhHUdK>P1OW5OcWP|Nf`WqSnV>>9g~#N&jB&PhuOrgC2Q)U;oRR_rM)r?j_lHbdi`b4@{X}`*Otp zNV(_M+9=;0*>z}u;{SYPIXXZL-_KcJ0N#qIW^AXmD(_41$6J2#*l>1gzlRo$H?G3a z@stnE;CX*P`@D5o-G>UWl|Zw(b8GC4_Ma_n%XnQ5+qcX?b3O5s591!m|N8F4oxi>D z%FU4jw70rt@9(Kup`LToeV5UrCn<+(W(jxCqQ73&@104cyH-zE2t%~;Cg?ark%gaM97ku zZVOE(`h@kZq!@Wn3#Rm#H93|s%rmbw8)VKU_wa;31Y~V2lp1j4(vbZ#w<4T?6?Qyz z3$u5AD(NXY)qP{dty?cLM~ZYwVAp&Lz4i({$CRy&&<;`!-}Q(O=~MgkZ^wjN6I2ma z&9@_)2Q?sRuS4Y(A%Y#Yrjiuj^NwgGc_j5x6VVxmxrX>k0RAFV01L}s4lO~oo*8D) zDCV;Z0;&c}ubWRQuQvXw`{m0yLX__o5HdxyhtyOsHVgU1aaeL=Tim@jZQS$L25A;8 z%P4P_?z`Z0C`-RlVhNw!ORSx_!Sjt91m8ZO>O|M)v#$?c{O@;2X@6(=R8mE|s*mWn zN!QA3iPW62ZgH6*^GnSn+3+?Ewy(I_Mt&t|)_mQe6Wi>Be@ zX@NHo5qT^~XBrNTl;8Luq$Fl~u>*zCOXkaMb+l=kS@p2Nop zq45x>v$;>V*PKM3e# zR&@lt=vZpW?zCUPix2FRrJ*=dmczVw_B!5l+OcygCDf z3Gv}Vz5j@1Was^o$ia*ZKDNV%N5j%op zt~-INEB&^wxYz7HCfxR0N?bKrAv-xldkyw<3$4WF@8Iyl%ax5=?#Sc(dk_vX?s2u|o>$DRi>mKX~&vV@&20WAwf zyV$RYnEM?4m4LC9J2AI27rOT1y7-{{L}opK($%L1CHCsL;nUA2onU3lX_#;KdBtko z!I>v>i~?6q3sl@bbi9q5#8ugkZk)FhFFrU##L0rg;UJ{zB{r)?{W`jr7iTL_8Q`=~ z^@{2U%Y<&_No-E_47N zaSkTslZ?nXqw_N#;PAJT@nka*q9J!dEYmC$ScJkdP|<-#MlM06P93K9D5l$aeOX`D zOm?!TXE<}Ec$(Z5Rm_uxC>jNLYfrcy=^C-U9U#Q{se|9~R9QrWK82b)jy)azYty>a9LbsZr@-=RBE)fwX@%}egTNh;qXiZV-hKU2 z#zrx|nUuPzK159n9NFW?OeOQ=u&iNpzbAXWL#5m0DqzH(nBz=)BwoDccA9xuk2N}W z7^o6OPXA(dZ^iUb`E;pFcD&t~i5YUbg1$(fitj6VX5>K??IYRPPrNk1Q#i2@O@^&g zu7dS3Ilh{x#Ui3#&e@L4zihDc>p=A(VcgZ6rUV`^|V2PJn!&v7Ly`psRdW{hq19VCJ^FQ9Tb0M zx!smGNq=Y~Jgxnozv;i)b^dP`zlk9U?EhcHv^tAHKr)vJafoAFvmXS$0(qI-e3O@w z1pQPjVW>aJI+zk{jR#Xy!zKjkx-gtUUUQDkE>NDzSm-2o^H`D;wP42ZopIXc%ihf7%KAL|gz%E0NE>(R3GGr(010 zE1tsV)nuV+?mYEE!&iW2(KHeJWbDj|Vis!hl5v7pWuWz3tElMa>}!`Xq#qkt1$*p2 z)(WDS4Raee)zC`{h8iZ>b2nz|NO73kNKT9R6Oz>�PuY&$1i?l^^JQu9#G%rcK0k zrs0jh*LIM3t=tF-c5($jEFq6_-JpcAYWP0K@zEUA z_z#7RHkO_&7~n?K(d3a(b7kj+=}yiaCFCq*Qwu?HW?~J1uj>~I^UU5o^gq({)AGek ze3g+J3Gwz=W_Oz2QH+p)NWq@JPO<9)Y@;k%T?gB$FKmuwObO8!>_$VL_V(Bw7<|)# zc1nYd(URJ*nb*9ARi7v32mi@&i)q5I?%$5-iz9*Q`>dTy_V3Y>lAL`~f z3-BG|{qZItj^b6^=8sP3qo#%ie~IrSrjs0ppb9o={7()Q@8nDIXp4@YKL6L$G*u&B zVL_p->zPaVg6v23gAt4CVxnM@Z~nKUtx?Fi5s5-(4Pz_)ZxTCd;zj0Z~bqH zanr4z|5w6uC1gfape@{qE0dz z>+*Jdx^`#f&e9KdbFpcTWJg|>ct%8);+lJS*JaO7EK$2ly~|`fFW+6b%rNz2{Y=af z|JW~%&3A-)ZT--&^sVq;Bys;(x~0Z*!P%;^Zj*lzc5KC#x((qg}yzt{4C3qlVnTey&tYADj&7&osHJ#i0#!t^U_Id%* zrrA3;^C{83cH2Zy-mWI?sk{TU z2ftf5d6)AdacxOpY4{!e>$IgbCaY5aE~V!kP%k;3%l{hfWJA-2?|yCn%>XIDR(TA~ zvTF!_H1+kU_b1zo-?>RUUso(xHj>rhE|%@OFfoH-SqO}y{)e(jajIr_TEUtewZk(h zAm7C#O5=7}9df7V{^L0=IiyH!1};I?aoIHc+nC`pml|B6sfGa$^``UAOpYocEMB#Y z*DWQL_j*4L`El@J@J&*Dod1^~J>g@va^Jm995kThpfI&(o8i23bGP((fawM2$F(p& zCRCHd2E#ccb{{7tYgFRx3XuAgO>cYeG?8De4X%q*G7C-+D+poCf@QF*Ue%#^4a2Hq z$rg3(oI1V#kqlC_KM1c{^R3`+P)-Km5DxTK4V13#YcFcr=^B?6&_cu+oudqP7+5zM zNnc|Ey|S{FPNvU7Nfe&OiLlPnrp%7xT(eVa2Z>-o0qIqcI`A22;!GMVRHLl?Wd&|iW7yQFt@ z34(Xrbr0mQa*xu0^c8CSLrvB1P4Nj4f4hwB^{8WISUB~Wsq4%Q08dAK$`s^KIvS3` zvH!%@xT6STh`+JQnkQSZDkBq>Qp8n0I&Wdz6g4oAl2GSt#>OqBgc8kG$4sPMsu*-B z-7and2~GUk!v0${2z4eClD^8~e_9Lm7hHyPI;gOWyxD1qONE8q}wM)T6T#aRqPSacu`DA~|Gv^WQj$R`r|pbjxx)$9|-YGpm7>1iu( zkL2KjWjXX$huxE&SY%%0&kXrU0csn3BaOxL!UaJ^DvbAW3{xn95{ZKHah~7Si4ea9 zKWV=(BS!{KenCT|tHC`^Kc=r~FWBKd`lfm6frl%VkPJyGWSQfHAS};biOHUKB7y!D z1bxxX#*zUB#8T&|PC1pF#Cucpr13;$XRH#tNc zmBdGTK-Xs6#o)V*XzgkG3-;s;fDmb-*21f}1rwiS|JEM~8TOfVuhK$uKdL5h&lF|r zxZ7-D!Q6$6p=(_-E$gLg$EcEkoYL7GJCahpv~a|N>J>*0d-*m|$y}HmL$X#H8n(bmkUiE|QiZsx_+Dx$ zpFwqBZmT_B|9DKgeNf3+--Hkvix%@Z6RxO*{@DA7TcJHTm`i^=OtDTTEc*1<&2j6w z?m7qDST$~CioJS&9qJV^rH2%ZTyTvHXm5ukgWu7B{{oOqduw>MDu(GcHVt+aAfxXh z#Re5}gm(tJk7K90+CosRHGl@25C+HX zInlyIALBV5@d)cF`paCIEZFo0)2aGcTl;r#Gy$P4kQK`0C0czUf~%9fCW{544SuvQ zB$2aiOkS^(Q!p-p86>W=_=Jm2aJc0K@g0$GxNVHAwKL!wLZlO-t{5&|9ePBbe*BpF z;;yq6NnkP7DlP}}(-j^(Z_ku>v3XH?tHTI~v726KC4|%5u<7N~rmEt&IQdCi8zDg| zgEK*0%hKBfc6D3H+&+kVu$ty-sv1jNPV8G^oYiPnr^NX->S}X3XY(Oy)9_wGYNcyT z{nbbBj4bj)YGAQ1{VdBY3}6+8RYyn|tci@MiIpACnUM@Vi~%LG z1wG??3I?vl?Z(Uzo5z1M2YQ%A`RT`o>y+R@I#RVacz=A4AHnM5#cTw4T`)8=sX5zN z{M+O-7g>MWSsn2mH_3S#4;|o9;9MQDp9=|A>8s2RBjV*2#2GesB}pbmm=%n6xir5p~4yk3;m>!no+`8m8->? z5;R8*>>-R?hfIjONaVFP15O;18*tNJG@1!e(-`ylJCPG>0)=tUJ}>=Kz3yqBSBtCZ zs_Ix4)gam2mX@u1%xAFb(F%E~(HS>?ELNp|d*+CKCo4%&Qm5cY1dlaI`J=d+#96z_ z(9~U%PG|Ac)K%~35(M+37QT|0KqjCBOJvjJK z-=trK&2?L5Gal#(e4@ss!ZUIj{_r}QelLm11~`oOj?!|7^^$&x@75V~rTrVA9uf<% zs?l6)9QA+4U6ISAfwZr+xqB7Rv^@=dp4l*rCe|@cOH3Rjqv})3VZAjIpEkWTRtvWJ z1$ztHfW*A^-Z9F!xz*55i3Jn<#d=3lQ_1Ld84wUdhI1+`84eHeUXdet(uZS6Vxhl; z4ykW&!_#Zj_ZA5=C^7%AFP1g!MY)Xg8X~|(H!Qs|N`hARWc;~9XyuG0e`22u<2`D0 zgca;tsE0MN?jC2J1?I1kXv18i*@*|e`Mh2KpN%3H8$}yN!ngm!ubbtb0Ac+7zed32 z7y-RKmt35+Y?hzL8*iCsKkv1B_oUD7KJ{Kb*K^rH&z(ZAG8Dcfcz&{Y;sc)zK6;$n z6`o#63;d;5fARjtJYU98j09fjsak#r;ZEO~#apEb&%YAjdO7d;SFg?rCL9}=)p{=R z33}hT{_N{s0XE5+Ue6D--Z3I?{v1q)L9>5f%S?wJ-~V=Hkhi76zJcu{XwfX#ebDmS z%NPF;v@L2;eW+pn))$OF?exu zImi9xuad@RJUg>MraNdH^$pLJANTnep(L~*E)krf^k)I%1E2&jzB$LGRVP1utywA< z&-||gJMEdQ(;jls%ubhEPx-@>k_}^5vNVdKc#(!d@CjnWATC-sWbJ6puLH50yIcjx zKd$+1|L;G0q3jVELtOvsE3H2qA$(ktrG5K-^RhLE?MtPwLUnXwEp8O zk6#Op*Nvup*?k0Oq~nV!b*H^Gt%?*o{<#)*45zTay+qE&a|SS8w%=MtATROVQm{aX zVFh?Jht!V00D&@rmgJ5@OcT);W6s78`p>rwzphp#QZmtQ7m9(unQka-&Smb@7ZBko z`Ig(++>pfFsjtwYY^*aaTSq(ZkKOJ&Rql#4^<-S9go&y2L!ifq033I6%11V!&pchP z-(^dr=inR*e7CQ3@Qq2*nwYA8+X_@@1yL(Vujn!nHDLK>cgkO?&|B&|it;I$#QRTr zBqqq`n&=fACgeFZ$O!284vj7l_G^@KCRST9c5is`)&!@IAc$ofK!+=mTO-U8GXq=` zT*HP7+L#f*0p@&MITT722|lOG=okl^wW({5L)zh3x2U^eHH-JkvahFa40=3Ail4Nf z6ZUX@X^efIh3{(iaec>3e%R$M0n7LSvo$$xz3F@GJDS8};8zSCe1e zsFTK7=`RmI{>P}-g?{ocmcqIpx1%Psu)dG+_9fJFap(54u~&(<)_RUEd8@%8U7t7; zP%KN=+~RDyC1rYP_IZpHVv~g9ZzL~+A5j+xuW9?)31p#X-0yoUEsWP%0qp%o5C_G9 z({ujyaEhxWXSMdw0K05f4iMAXsgyTDp|uXvgL5r_#oWcl11F2{;Kuf|8BK3gm8`0| ziH5;ZpU*91=K;p`g6bLLPC3Q{#vO;(n85w$17?P8+$-Pje@M;yNQvQICzi&$N-myo3~iU1cIHoQrO!2 zLMKTNM3buSBa#a9%W-1)=mU%igmBE%#!u1U<13#euH~n)Bh}7V>BhlgiZd}(_ah+v zPw3jI6D5q9K8reY!o)Ukz-MVK(eoA_O~RXtNKOunlXw6|Em)Q5E)O02AMVm{Hx2+8 zmWIqt&DtjEM%};pwk(v;wCu7UYXwDam&-Zoh5^8&vB#O?%AjRA<_eF3lrLUg?BZ?9 z9HQ3?rfIy|HzYR+q2UlAu$kJ}k*5^=k6g&44r*2ohTVJcsj-rj^>9{yQC8|2=s3fH zS>#T8cS_U#>Go<%g5xJQ5K=6s=-NwA5*aV9JLLyk33DMT`+G1NCzBjLvZXsj@l2N^ zA#KgvX*Gu)m6sf*D7 zbd5G$25f8w)1#N3lMs(rn3IMz1fRDpaUG_msTRL)Z?Yqe#)MKpmFb#k*q*1=nTORC zzp8IkhxPZ8G4k;KjO*EDm6>vZt(+`}p4DfacpHM55cAfkHOSqeNZ1J|ud3sWJFZJe z6x(Bu=dcp$zuNLNy(bxiw@qstVE;|D7a7hfDpsj(J2UU$qaXNBzJB>3A(7lHU7lP} zNPaHdMtNtZe9=MUkMXUgBltkXq!U8|q#<>}%>)5#thI||OG0LPq>8Gwz z=N+N~CR@^ewr}XsL%D*CpcD+gy6dBROs!vH5KCDxVP3~*o4T&^%{t^H6VN4tu`nJ# zveDBSIp(B}vm!H$#aLdtoS8bicD&r)YDBq9g0sk~APX#GK+xP!ll-k_S7{OP@zVi3m@CT^y?TyFw zP-3-LNFP|8L&Fn<`1v13YhS*Lu|p(A4Hs_xLZQ9ZwtomWZmMKgE^BP)M*x_ME0hX( zPh~)hVW4FGAqLS?2Qfoh;eN3AQmJKvST{}`1w9HNN!(nr_7Z(UXK@a*ox=aK8Hpk@ z0+E^H8uTK-P&635-%E?29#R&X+aZZCjN8Ua=NrL8!9M(p9Ya*ZC>EEZ*h0ic?S#OQ zoi)_mOi^E7;_Y0Xp?Nv8TDuQJfaKoLSWYkck5dT#jp`kH@+ei#C|P?DCZry+d%t86 zx|8j%F{Rpy10v-D{}G5~78~cCLGx0&zb##O7fxix&VlpBL`a&=o79Y(1Fa<&Pqn~j zFpV2GCP7sz$|d^*4?JvXNMz{iD`vNbizq252Bu>PUEN8PMEIcXwh<{pnGEt-uXa^G zA!cdE4dtePgIhYSp~gz8W|E$5GmHvW1Z|QSwOKWnVI>MXvy$tR4uv91iITH@qio1ruh*W3PeNH zH+w|ARX~u{92_ElfDe(iczU#o9pfD87NxZkZ3U^1y4C395vOIss;a)(Sc;7@NU*Oe zQ}ReYFQXMXL3&9bRx$a>emye^GNF7dkRih8tNs#0#1c*gvHJ18#_qI(=LYDxgA~WQ_0q25Bv>CtnXYn3W*`i+=c|rW z!Wo5q7{{;LQoSuiD<=fb~q0A(X-IFij`)DU=_W5M^f?jbtoyz{mJ7E|=sc?(>QVXfC&) zAOvwSlcIF~VPU1m%z&mn)a)*@ouoxAcwN8DezjSbn>BcU>_OG;4DJf4vCL)8PAWbr zh|{_ZgFTYpQ$kBGH-P_S=wX6=6?^^x5HDmjF(=u_;4 z!H1}iTY+Lf4prAiZp^>ZUc>~*D>0ZY@K-QLbNMkR*LTN=rM)aU6Xbj}!F|MGO1Ips ztBhnOdF5y~gWDXdO@g});O1<3ZLUi=Rqk?OM0B<2b*{wp^Uem)Q35k6MonR|ZgDgH zrTBk_&f#Cr?9)fZ>KaeqY+uTM73+ zk={G!dhTrSjCgC(bDdAqB`=?4b7TiS_o4Y(FyWP1;jKs2tH67K-@%2;cK@>Q!svXz z?T0;Vq(EX^;NSEU) z+u*dtZS~c8_~H&TT+5sT++r#w89}9P=Us1X3>|m<8_I3m-7DORMkW`nFLhhA-gDW= zts&1Fip5foonw`*-p8lBq7!TJ_4}L~t7Q1hLq{m{Pd}|G1w>)i+xKnzr9UWq&o~`C z^7`D%Esj>2Q0ptBZW{%R@GG{UfJyg54`zbjT*4z;LWvmt~(c1=mFUGw+qV{gW<-&Vg<Yv=0}NlH`2x zrjHBTS>FnB^J^X!h{N0tV0A}Z{qx1yDz|T0HFD^7H>@6@%csY&BnT+v8VjpV!J(I& zFWE8WwM)@_DZ96HpLp}5$jx~416c+8<1FG;`Z;gZ95=u!55uNf4P&pg*lHS}ZcpRv zw6x#zIH?uP7zig@1tlu3ANsCIOSYY@rmFKgNLT&!7JL0>Qu2q@q_9_iJMV1&WrK_o zZYC;|i9cn>Q8zK4zNEchM;wpN>A)Vf+by`)c%IgLDe)%Y+0nK}Gfm*bpYoK@k9|CQ z#i#{6h#dWry(UNh=S?;yIP<$+e)L{hHJt{OibfgZI8V1LP}j+1HwTU6h%0?Hp@*SBCaN8~564 zL3J+@f|?(Lvb0}JbFSzO37onSyd)=xn@KlXzmlyjpOE@TWaT%vlVXWmky*NyhMnvt zM0~d*CHtLmb?rXd`61hcZ5Mph^3{ADQaW0(aS{tcy0n?b@{l3{E-U?IO&7y|YhR3~ zs)fKGGozlg=C`+q4nml)gB0Wd9j3Jl^R(6VW`c3V2zDqU`swlA+!M5IGO@R7rPx2I z`;BC7sUXsGFH~9aA?juV$sAxWNmCP*Rb|cm=&qmE3L|F^SnHAhh#m%m=X^GlC_Q|#F(ZXv zWotf6i_tglh?bdnkouG6eq_Qfa_LcXYpYgPN8aK#4CpVG6Z3^dZMO*-4+c)d6y0)T zDzI`$W;qOvS)|{vqk^?^klwfwl1&Gj3TS_HKOv{bU0dO-UIal`btV(;1sYBoKeCk< zOLw0Eei|P_%XDc*Y1e%lKwcwKheKK>mKL7v90_w)>yRVYP_kb|fX`nGG80u?>k!Xh z!+Y%scOQjG&Z7OsV&Lo!gs=kk@4)3rAWGSiu2s77{9xuRP(qzUM5P~=I9V<1tWo~& zlSv8443|=ya(Wzz@gCY+tlSRBOq$qxM^X~Ym%Ze5*vq7G?6vvuJ7ZV-@;&g+rBQNR zuLhcP=_sR^c0LMIvP9;B_uKyudJpF#wmFd|!A(VXv0cX_-cza@74Z>+U!-r(TSILx z`U3aFauN+QCat^7pb%|USbtQgYube0b-jo-#DtiF5UJ)ECCnmyvXVd%Q6;n6Rqtd2 ziY36;Y-;+@a`enH(PBS?`lmE(o=>NH?=@0?PojmXmLknT6xmvcn?eVWhm_3dkSuHZ zP_BotkAXuGmXZ~ZW+J|_>%Igqf{{Aj-f>yUnLKj|tc8TcWGs_f8%U=>G0cu}T7k)~ z)PB$z1>fxxO7Z|O$(fyU?w+GtSweqYP%z>`odD+sJR4|R^oqSsnw0SnU^I*$$z&xj z%wg&mjvmFGD!b((?KZQONj9V808&iLg$D&6!|;gruq0DZg!Y;`*86e%BD-l?EA6K5 z_Ub2`J$0nOqI}#Mry<0u55DKRp9IUss4V2ll8Ko3)W>b*BXuzE4b;i+qGL=_Ctd$* z15D*zBM~u?isH%%%;;Q?l_N@OBsxp`juaj7Q*l(~D1;PSH}|zL^edJ8=UF&G)5^J+4FCIzV#S@ex_xI1W6J{cxZX;s{J)K!A!VkTBF}O}ar2ng zCVJ#(4S|;8gV0AMP%%zi6Y>!|Ff*^@nyLMxYS}NqkHXh% zh$+c0Ptg$6nL@N00L(E3q|F_jFOBCxdEh6RF-WD6A9ZB2Unp0yeFNF53}sEl9?%@G z5731=?(Do&?Q$O7s{=^eVmaOPtDr0Ic{^jpUOS+C`()D4m{d?iyn<#Ot+k{?1Do~~ zNIpa1;m$xrVLCaQqtO8EZBka1jspKc-)b*!w z_i({im@;Ru(uSwB;nG9%$3V8R(?&_Gx$KGdD3-^GOhPnNr8UpO|420;s%+)#?#MKf z0!0rn#eEM!lQLINpVq;l0TArFspRLpR9g5vQS>cM9?xzS7|BQ9C;g{mb((I2Ftb;l z75T(q$;yl$U3)<`-BOe&hQR^rhtART#c}X`DU=zBuoOhBA~}87)?fSN+!m!|B@BCf5w18SFi~pm5oM?hdEDSn8S~qvnN|mn_N#P z+3#qYob@xkUp`a+0bk9=e3CKL_4-)jU|R+l?gH^vQ|;Zphgyl?PRphg8xk$@JH;-c zG1{kuR#qs2a4e;GkH{WX*DElYU_%1x!HcJwM(cJVX z?jQLoq9L?D4lyS!AQ1k0o}~n62PT z4q0hW=(a{1@w?{mfpfVl(gWrF+s|s?QN_=7G(DLR7&Q~M1xbkyv6h_}`15Hq*0G8p z4R7c4b@D}9>DG73F6p*1)rHE*7r738ZKd3?My={IPN5{34l8)?pc!~Zc*PSH>vG1j zArtmH*n=F1%fm1lVqr<~XlGkpei~CVAdF8acg9O9v5R!2uIYG@?0H8>XZi`Z)t?mT zOv(bq9E|c;yH=H(`uaYOjKQEwm5#?+D)w;cRW$i-l7&1qo@X>UL|X~}j>~V^BhnK-Ti^` zD>zy3R{GT!l0-^QPM@*Y*Zh>@->ro>#qv3O@^M@AoS`q!mcTh-Ni}_uaa`}~kDpZZ zK*1l3Nv4XZsSM7$Bjbe&^G;N}Y6j0xA4eSQtu>+tl-BxylfSF z!jlW#5|O@(hNsRnUi8&b5smAB^}y0}F)$r5XcHwC;5}xyb@OkEX)`+YpSf?r3=Fq+ z%zcM{^Vx>||3~0XCr`%wSjH;14W}5vZ@9hb7EDjS$J_&x+@ATrmaxN^AA9h5!vA*w zKI-(|f1~{FF7x5$bpc=r?JBFL<}G$w`ZS2Tp3|SsTaAIzdHL%T?wBUghPsRqyfFem zUAGf!+}z`wN+KCWrQ@!@XOzsLcsIzE@A{*MPsPt}FLisajHd7XvGcrltaviABxKmc z2%1`YFnt6eJ|SaG{?XtwfBK2B4Gylc*tc)5vHxX_^HVpSj(_`0aA*19#SFhC?%U>0 zy>o^U8sZ*jOF1NTN7?C?SI)U*E^vi87bE4Vhrf=L3tZNDx{NXeS1enryBQ++qLon| z2hv4uoXXjkf9UiGiXZjL*$7}R47wlxC3Gcc?I}A=Z5>%sn0o00*K0dnIJ--kF`w_O z;_NRfF5^WqHk5Ih-0)7us!+~uw>|PQje>E4+e%Ydig=-nqD{P3-Qs2PoFjS<;l^Y} ztkOYd9X9$)deq;k%wKD{Lw#+)gR``9JMWo%xQ~!;M@{9TDEZR z#@H(ZVZ}2x`*rHJ{)*MM-T0u4fv28m8zFBX-829rt^MKa@h@y`kCuBAHSe!)7#btu zNosKpTNi*zfQ9z`ZMDnAVT8ix=B#IEX5XiVWa@Ui_36l#60)eSd#ko4v6v4AZSs{% zy~S+_*s0eQ?Wwua#$AkGA(l*4(k6{uvLmuLUlum^^iV08ty{y4zCqq{+#RZ1rO{`y zY$_O5@pv{*JSl80Rll-D2QUvr5qlo2=VE#=E1H{(jB8Ih)N0q0Z4y%YoZpZkfGV9q zaG!aSm_M@>Yknmu+ww!Z%=pYicUsr={z4cczBuZwt^T2Wl%?pMJXfxj!DhSXT`lJz zDIayc)JuInIJtCFIPqlEa~V@_XH-yk^otsl-XXFc>)Houq6W9fNQHDNlwKL(j!GAr z8uMQqO2@tguR&jcqn)( zAb=M9~y-eaWwp z`DY9-4FaD$%WSFLI~AafM%68XrHTzBq!lYy;EKlzWnv^?ntWB-mln4F|{ZaEpQ~H`5 zheCjtl^NH1LFg!V##G*40!g>^Z&G+(3?|5WxKirN31R9ca*sWsV19#{r}VJ@Z1yrSvs+_vU?M}H}~ zpnhj(`kXrX?zweYhPdW@;Z#sxFWP3H<7O&bF6!$sCj!(9n{w&f8+k=DG7XeR8Zmg~>*id!&(2!BFG=S!HvlcqOELge+*rSisx8xI(C(*aMQaq$&2?HLsm>V{u$r?y-3DJ@hMLxB4w1vf?Eyw`cYG?~GYeP2Xg;{A+QRMK- z@o2OmSh#=N5byWv6=&`7rI{kJ=8G&W|)C zYh|NT?1eU2xpPA=wb7bT5jb2!3Sv!dlKfSyHSyUuzKldq0wjSq(H2_|WLR2e_7|BH zOt`?Qmuv1oItBTdOHwCN@bl$PV2Y2^rVRD4U!KdIUA#=n3wS^ewWyVYUs_#9R2`wm z!vIoR4uzi1D9c~IJG&d;3aw8$$VM~$*-flLc$RE=zxH3`rd#sgS003@5=Tjg5+OY& z;ue`L=j=@fXg%Zn7PS|xu}7Y$2pO~(c||#vvegzu6t5laEjcuU z<%K!BV&6>a6pak;yFN4_-7pULx!SYK`b^n)pCU4Fcr_E7d3oyEC#Q^K_(G>3@Ps@CNRd~8fbwtSCn zJOo9l@+aR#iu#?&+7D7KXbS4BjWNAdo8_NKN#@k{K?CJ>l&d(CdCK29mYG~Eh$O3j z5#{o5u}TEUr}-fjMrlFI8L;H4Z0Cd))_HO`KikSofz&X0pON}*k$K&Ks#;|wwCH?iDSg;clcot0nZ~9%LMPvJLLFrj@W-HdIim&S%>J*vuHUM03KbZD?VA|iS5e&yWxH#O`Oi!*fQDPh|uxdmJ z*Jg=eP?-k!&$7FTr9#h###T}tCpZHjhV+A8tRqKgN^mCjkz*QLQ8n4idCo#ox8yl< zgFoEHOgGKhJzQ_K4V_a}>`AZbTG?p;Ysdnw<&lTHfUng*kEu$o+kqs0*LdY-;@EBq z#`+~jx(l`fh&kF|P*SVdO8>C&*r&^2lTnNp)IHTJ*_7gV2DV-lcIDM6xUcCq0@lK8 z{cTb_0$5uaCcHQQYnxrOYW_TTrv)E6i3g_D=SVteMPc?-a01EXz~({gvl z`YuLr)r9*C?gt*YUkZ11zZBtA#3(s3?)sw0?P>%TV^9Zu7He<*?2E0 zzwh*?i+{5&^7x#w%>LBcml+%9wy>HRfg#ZUUw}jl{r@%mi}9xW8rx#z!493WylcvJ zjaUV@?b>;t&YR!o@Y{Zxb_Sp}hG=ZkLs^JvdCKBjSBC0G+S{7qe09O*FFbWq^kKCy~Bp17S4L}VV9$W{%NV{YnYA* zx6nBEbaDANnVi{(GdYHE=ZZo3Vdv4)xN{o9$I>OV7o;5q9W1%jY3=J|t+u55ZMW84 z`u*PT`%M8r_V^9CQga&Wso}i3>B7}%1|N;yC^qzc8Xwjse_q)_$a*fnRctyoFv#uy zM3@~-Db%IcinX^&uMNf%)pcwk$T~N){4Hf7sq3ZG@S@h<@^`Rnn!2IfySaQE_vhIu z+X4qBqjX$A@0FS_l&;CDH;@X&{+aqz?%V<-yu^p8S|Q>Kc^FAXi>-jZNFUG{KlQPu z1&JuQFi_u>waNayhW9OG6a_iRHC%RUP+w}+1HM#?)vu)MLaevtqgB+KxsZZ?1 znmbJKmuX`SOxw%c;9y6qVNK=fUbpX+)Fm-X|}RZoc)`x?~a8Fhp#dT9{uPiREh zf!Y>X*wS6JzkFjqwucp@G-~@@TO+#vR2Ti09&9O?4PRKF3IBcRe7k5%QvuK5svcAE z_CR49MPr@#T9aIK&3xrv24S;%YiptgFGNc?`+i>cx3{VpNk&dWdNtuuKhE`vvXzmN z(v{bFO6Bas8P;glL128#w37B(-;N>0#vzHqSBJkr@1dG5_0;X|i9=~OYKG$!A$K?9 z{)&`?u`VQ??v$X509PE7(evHt9AgqG3^htoep3Whb^H28a_aI9f-ii)I+v<`Qux*Q zho05N_K`WGRysLS?rcX8bShQ54k<;H_G*P2nc8affC;qlR%8&j<^uGD6SjAQxWjhw zx{hx@+cl)*1@R(sf-}fHTYI(qI8u=vR1riMBBN~ZZV?gTxl*6d+JRQETMm#})q}il z&x9pA`c2yEuoW@!bks|~_CkCzc`J5pe!Lj>7A%+(@tznveT2FNR*^`FAl+=$-ju1@ zoc}b>@Eh^o7_qU^y0a(GSOB7!8oC!a(b8kPK{;<-tQ9@VHg4WVi2aFIxs-r!$t7KN zF}k?G23A1-L(V@N>PShM{zdMrcA$8wn~=;(xVxUfo0`@rMlRnXEuE}InT{tg9%DYDs`*l;7zu_=cFLN&9H2y4L99}(04gLrED=24v1 zb%kHSt*pkZ3Sk7{Q@})RCbZG0Rb`jCjj9HUbI3o6v%A4~Er|A{BHwD2rqkHioYvCsA`Wt(`?_Oov7}q_b@!X}BqP%79*A|MwX8wjG6rZzJ zE8DpTx1MYf%I|;$A@takH(Ew<7XQ=1Qg@t~{La~>-_fcQ?G&UR_@xuvP_Lj|2U3od zlJZ^MfaxB8AYR9mIoyqum>tG?Foj!iAcnIk@KWb2QcB!cUG!d_fBsjBF1x6H;@;$O z+Xd4A;oPYLYG;6~9*93#tJ*CtoX@RzEpfbiMFzXJF#dc)K}gpLE&2%a-FmypPX|=` zjA`PNKuyJKwc6)2baktyww!+QLuuX$voZ_<4uM27IZ9*XU>zJi>T}FtGm~qM1Kfw> zQnFF$$D|vVX3Td+SZT3CtrtyQx%c$Q7>0%~oHEy!xt74u6N!Jk(CqBA6Hod-{lDu> z5J{cpJ1ueXT;np|ZMDL6zT4(n#$mUG5pHij>z3m7w#)x*G~Jz?<{R-DBK~)y`IpLH zmb<(*Z=DNvnNH5DV35H<_um81({TVg4n0pCdR0za-B-VkHZVr$EAuxn&blXcPBMPy zUi2py*S|)%e8sp1_Is`SkuZ0*#?$xnpWSXpxs`ai@fVdXPNq{Z-a&Kkxq1iXdiaF= zv}6>Udrc+7VUH%aH9uNd&sH%`xkV(n^Im!QI?lXjvOih-n&Zs7@b}>LH?CT} zN{8KN^^RlD=MRjVv)FECVvl)n<)L9*jdz+;pO0Mr&1#xZdiA>({^4_L%?CRpy^EFW zPX)I<YKm47nsSi>-Lt?W!!YDF#vD zM1AqF=d#$Q7+QnUbrp)dcbw-oM}g_9PhKSU-itL>j`>Exttd#Oin#@02e8I;=JH=D zK?CmFPp)j}xu1Uvo`-GJt<<79lsjHfqcj7^ck#M~my|!0ca0LVzpT+sr4gdsyTk%b z2R4%g3S+)W!%yhcG4@-^bie$Mn2|#C4^nbF<469p;lk zTj;jC(MHMUhDg60^AY3Rg1C=19tCg7MAd}m-P6|>Th%=+7t=&Ix1nxKiflO+wD09| zsgpxWG@2RPME_o0>;X5Vg8as4(9ioU;;mgR84sJz_fS?n{6DjXuH!#wBT?G>Mn-Cz z_ya$CYz`J?-Yj)I77n1-+h^&nuyU;sCn9)H*#+(~u55&$C7BRI&~^qA8~q(%i!{4P zI1L6l9V5{Sxyv7`=@`bktg&qLvdxAZpGF0U!x>54DlM8B1L6BC1@aSk-1QqNA&nc! z9TdHyt=JWA(mGpuwDNm{qQ49g(%Yhce$S0J-r)qEJ<0JNGFf>iB9)|Q-QdbH&XvJ{ zx|!MxGp`QuA3%$1qo%U}EmrL7Fx9;;1@tUVu}{34649_A{2?uM;3GN`U$^g|fHqJP z!e4XxEZ@i|b!cAXwtGsfYrDgonK%f`<5HN>$?&#JVkR^dXm+IIGK-H#r@Cjb9Q-oL zjQqf3?YaqV6x`+#xM`Q6#aAH9J4-lo;Z~U-e@Qc7aZ-ao;X`gvN7KcK7#w{`kNZa5 zuPR#v85T~^226elyqz`VH`8JS;5k8*qZ#)fwcb1C4BVjh;ibC}E$!sfd7O%%@X_s> zXj(b%x~DqbpPFUHXYa(e;guhgs&5(}f3)S}UrtNkmvz^D94{?hIERJ6p2og~WAekL zj491!H=DIoe@;!h*5haV=#gpzA=MH)!cLMxab6~|h|Bfj(%l5eUzh@VyADQX!J2%h zbm9T*+gsyUUuTer7TcbttF_R(h6wi?2n%4|xqH~YcodD6My%3=Yc80Sx|Y)I8jR!8 zvslt)O~KMz)?5I8v>D!M0lwUR=^O)%3;pPol%QM*J6aZ+!ONV@+_EyoM1@ya^xU;Q zw~1HF(6v~@doyf|s3Ix1Npw8CGRk?^s%(?C>U|R+x3_oSm^Pu(7nw#$_jT)2;kIQD zW!p!eC=O3%uvOXEcF(aEZb+5=$wXGu{RGIFX9vjAOl{?WNPyrSSatfGZ)PcD4>`wUDFL8nL*YVIK`-s^u_^1{Pj6J7D<_=? zvu|j{5IN1HAkCJ|-6e-RNB@|tG}I3~WQCYaxqxG7ButT%e79EmGu$Lg270v=DS5qj zh_{DbqvdD9oEc4rLwI|#JpP_kY6q(9M+7(ax^WzG919EdW zqsLi98;>1Y0{Wdz1&8XRtQqEP?jAnqn2CuA)}%U;*^!tBVz@>tIA)u!D-hCk!ZDrLGjyR02`8S{JNz)bO>fT%8zNAuFcqh06`Y1Huh56ljwN+K+}f`>KnaG2djRR zB4dY!s5}ac75&x>bx5sEtev^TsZ8~D>K6Zs-6FPi-H+fw;>flrGa3PEwX~1)Z5-e& z8ST-E?hg!pLIUd8%niA0{F}k5OxDjl{(4Ak9eY~eJ2=j_ODgtV?e=9e<=m4xp7yr1 z2B@u!BG<25y4we}K6Mo3s7^&OVim zsEA-&=cd%sYx`mzeecKoggs5+jeR+V`}k|K+MvCats5j7RjFV_r$-g+znjA3?HeRd znrv)E)U+yPW44x`3IZ-|+#>mdR;?_DPGV5i`fgHwIv%rHSCgP-@P}ULi*qRY*rcFj znKH*#o0Vo8#rI<7!}8vM2+%kZ$M(Dc>I-<_N9zsw_rj8i+k>{bd~0^`bzZ7JAy*a4 za_{zVH*V^WE0QT_^wMb~=e#mN%sqLG3*1tBQ~o<72lWE{2un*h2oaZ}wEPCp{-+%c ztH3U*TZ{BGFbeSzBg^BUU|p8jTz!O`DXUUoKWi|NQeIxFe^g(B!^VF2@* z2U=JS|K%_FV#qJRrRNAu+r{n1)#W|hbQsS6z2ZFo+l>F>|2yN)XROD(KQZin94K6O z_f75xhTSi%11M?OY1;kU^=__P5x%4ko6w&ZE%Wes#bt}zAD(+X*V9k1Bxp?V(~?D< z>;3?qfJwkul7hTL=C`Jo3r7*Cx;byj`_cRD%%x-8SBeigkEJ|w`aP%0<#Ss&lZ@v& z?azG5SW{(NdXwSJcF=!AtzIVgaE&Wx!9(XYtJW@y`CafT$Mq&HUbNnI^44tnP2D2D zde6{s&!OEGX^MW)2pUp(Bi@A(Zk+I$oF#J4y<Vs#*)y~QH zU#bg%{8=A1w?GhVZ@_?$-4!JSw?$_-X1rp@yxeg5dgu3)v&aWEs4G8R`e@mfF9_N3 zcI79l&$dhq5er6`nf-zCrOer)HrexhACv~3?fZ9ff3Z5@Ef*On&*kL2pyhXPa(cO2 zrn$Ks6xuYkKdQ({Qn_8CDi69BX?QtuIs%DX7xIwhW5y}(z4nSKM)%4@2gQd;gVrIC z+7*(`gWcQi+Ozo}5;5%xnyGY{5`*(RHiPN2z2$WGET?7?7#9_WQkehkH%jJ2slB9U zOw-3>n<>|RvQ4P^=2DyCF)29_M?Hf4G?Jrx(LB}_Y}%|p5WTGJ)`~TH+02jmkWjUP z94{zaMZLNkryu?jLCSiF>dqC&v12aH-{@cwy}BW_V8f_eFx;_ak{I-OH6WVc021+O zAQ9_Ug7*$P40poGl`##{&Hqm?2dC#AsXcIowh_(kh+lJkj4rrYd(f|4Ppe@AhO*VF8qF;H6+7*NMfRum69d@@pG zm6xT~h43FNUg;20y>}@TUCKn{P|-z5Xv|g{n)0KDcXxvv96pK?VBC`Q{F9Fp zY0<5kx^?b=9XK;Cku zq4k|nW0`TX4ELj#20odBAl=cm>fq48m05aJpK07;+0}e|sD(Xvxb*_&;XHrqkHc<7 z$8-0Xfx3ro-P$s!?yglvY^4?zVD(Mqx(9t=1&nyj-q)X^o900{yN;)zk^jyF+3Gjvh>d zsl<%aicd&%rq}G)u>;rMe;aXyG_x&N-a%LEO_)lw_;NPJa4V?z0Fe$51|E1LrlZ7mq^96uQ>6hWJ37Mo0g5 zh<9;zdgiLzLZ@e3JZl-Qc>cOAyy|k;s}pY+$){%cM8tz~2i`4Noup6-t3Z)9GUuAgSCes+@ag45ckHq2Y#zUos~ z?(A><&YcJc;`8{umCHIwkUg-SJhog3Dc69Pu->ScBhMf zetm9NG2^+<>^uI!c)HrUyXKtR#t}yNdRu{Z1LI@&%yb6l=xlKK^NgF0vu;n?Mul#3QovG7?^!%Ur#wdZOA1Xt&b%D2^Vl0r7mQs0?uC*npRy%|nj`*;#amBA zZ)W&?QH`@6>+7&L-f){=^Vcft8?}8kO;?pQic!4GikeWRH{*at9fatJT=mivyz3-dmoqwLds>A3 znZUXKts^Bfxgm(cNK33EvOeH+^;TIXXukb_?8Mk=Z)m*R(N_KQx=ZWcf7G1Og8H`G zZ+-Y2(UN(WszQa9o9#yHL85EFK7j7}=ArA`w=0zB8i{Y{`$%+%5E>lC*PqxuYCiebEzeC!y(Qm{E_uCScj=IH z#R=JN))!oa8u5TFg=Ta90Vxw5c0N7PoG)6-Ln3W_>~x&2@*jP(nSz-zfI;xJo7FgPKs+yK?YCOK{2{d4it}!w+Zi3l{r5>Qfno< zwUN0Z&)|=Lk7q;DkUoATuMS#4j#*2cHZs4LGAXKxtwm4&S@(XV7Wo| z0a+OMhUqK}!OzNFvO@`50No%_W@O=nFoWJRs@rx~LEbtA++I9FFuvn+A5l5RM}qu5 z%^^xAEOnk6F|xkQ9qG0spWx6B#xMNwc=_M_vMZj;L{jFh!K;&ZIIp#=yS}%A5GM!m zcHs%+ZlC77Gm&}BT(I48q4{U>i>j5ko>UOlY);+XplAfy8_yV}w)i^j5*&+bFkr?FVdA4rzt`t4Vf7| z9aQ}fK?+IN0IQxRww9B5UzLI z2Z|@@ZT2CeGWUAlWz%8m7A@bE$9*7qsYg{?-crgVNzom$Qb7X=h+7-zif@|S!wH*spKNZYtMkt5cImxdyMLxfu?j+Hs=Y zJiN#^NL*5c`-CaVJ4mla1r;QYxTX>@{a&ckHFwflA0d+`Y`Ytu-BO5cTA~?ttl6&p zc7>x~uCPf_VpWex=|-#~JF42O7jtK{^JUUD*%xbgFO;Bpi`0H$GjWybD1%cNwjiO%8#oJe1juPN{_#IF4)UUA5I){^|hRdt40 zkH*DNK}mXI2}1dKRX1mjq89^m7dx)RYBS8i+zPi?{M_gJB-Z?+C&;(Zqby5E1t=y{ z>kdPcw_Ghz7EWZ5q8hy~wobDuO%A;KEceK9_4nay)kE``5u;Q^`3Nm)45+?ou&NbD zlC@6+-Cny?+A1!6nK7wtDhIV5=KW5jUts>_#f6|YIfGxBkntx6tP9sJKKxea#jLZF zvtje+9G3&=0+~hjTpLE$hvf6U8q%E|kc~P8(r6kqW8{|+yJhW zEIhWBHIzvD^pdwtsGWO{eH^7G`}NLILbR`sRWT39K3;ZBc|+7FlFR!-805AjJbQweJ~8`;S4) z6>TDoR&p+(aD|v6QUZWGvzR1p(&M_7C-8(kYGJ)#3b?_4QW(q}sp0L7K=C1vFuif= z53wAnileJ?RSIY*K6#_swwx@u=;-Vt-E-r$OAm6zIlnA>HU~u(emRL2QalrhhB;FU zi@hm5rw+w!={t45U0Cs@M~H11a(LSM5P_T{+hre=qpy>jms!{JcD)ovytulLOw9g8 z#vA%K;KFI^1$?5st{|j`uG|z8Qr`?sWy3UCsgF^eA&J(WlN1dsT8S6U)VUb>2Z=F~ zE>NgS7tzuVN|B)#PtnBf$aOh-3u~d$QId?{h_JDlH7-p-BM7Vk!Z26S@&82m#@=uH^%x6E?eE#UY&4X;k4N;v|>JE zQ>l9rqbM-K-96boxQZ4z^s(+mK@7g@Up-dZZ_j78wC_z{ zr-tTEoALs~yUKq1I^*e6bB$RW5Wrq@dR9RxU=5GlsdK;S5b^K6Gw+kNj*0&n(Q=6R zR=z5i@k9sxe>pCb(EtC8e=(xmY@;5bS1c{hM=^MbvmU#yn2G4nj`)c9{-vMrmd18^ zyq-DYoezfAid$pJwfX#0YC&t=_BQDVH!R5o{bI1`$W(RC8B zHU=LzjLZTdT_PO|ep86mp1RXnnl7k$qj<(-x;|PU%(y7+{BefuY=<`lJRaBF6PE{G zqMUUvw28N^`*gPKhte-R2-zAlA$sK(ZhkXiAMPL)M3#3q4aXC1(E)ApWzQo_dA#Sc zz980{1*Yol_NqVYE2<(hiOLxMOClLu+ zxQ4piq=&_+>)t7v(htY%gXJ+wzKfjpb!JPpq~!YLK;(G(g`X~9UWP7E6N;dL&QkDI zSP>1JMRG6KmV@2Jth%q2qNWSKh>{Ub{`cE%uhvEZjC`tt6fv_YxBBe^pY&tuC;j@? zw(a^sE9uonXG%SSnU81sF6%ZgF4yg!QR0zL@TJAnWg_-#JTcF^?Mb96Z^+h9xt1h1 zcVxjOI*hNItjweVFT}0JMLue{Z5-v&`0FCSEK6?FMc%eXaHb@R16GlE_mg?5{WG#2 zjJJ*t3pL44Tw>^M3HTs?je@pI?zHqwCUBR?YP7Q3gY6e$ID7xS?(6*byMAxsMp*7} zPaa{jo63{I^`X(z9DWWFVYo{;jqN5y8&-0{Nq**yp}*6|O|4l&DaJao>h=w9R-cl( zUp1&+h&3up=@fRh>V>=XGL#YyA{i5>cwj-YQI}AAXeTNW4h`Ek&s6;Dwo;c_zwU|& zhq>|)^Z`{}aDRR3M~)43uoWM-vLZ*W%rx|BC5iH`8B5Z^!lt;#FJzq+1Sj6rZlbiV zl=#)7`MWlHe}PpIkAc*`F%!Py+` zN9^0ZL`81ztHVRzy@-Y#(r=ACPEf*Pp{6O{IH3>!K=NHI#(dnnP~Xf%mwZ)V{I*5~ zS)F7Hw(1kQ?u)RZ$VHNC6aDd%Cxx5Y%`9%%e~BxF3$q=1p0fS)cf@-;{pWs+#G|q8 zkv5j)v9+6cb*F~4y#FrcK5N$gat>H8^)xB|jE?yLL-oLrM~G*i6s}Q_GF3;@H;<|p zok}JBQ;W??5Ott;41=9j1>ZOQfSzV;OiK4$=}5j|Npzz40k;#Ky!15$zej!D-Ma?z z)AHnNm?OVhFbVPSotBH7V0---V6_O-aQ6%w$8M-tX@>LZeXjk3@Sw_rat$Q2W_d zym}&7CBsfCKGQ@m*&FHD?npLgIuwQ0i_A>XJBf)YS(z}D**7|vNv#(I=KP$@Zo)4R zEnrCVN`@UC|Jn-=NoTXBO-R)QYy}1Tl$;bq0<;%7*GowPRpc*;bK~6NBRoXh(E`|` zY+I(28*Y63^>}2|oc;VJ+emaW_AfnS&!5+&Dhic< zq*Q|~#k?f>)YoUoQ%NT6+T3ias)l-3KSQ*3$lmOs_22dsLP@qu{&t0W%Um&a&kHe7>q78`wW@3N2Pjq-1*NEz=A z`NDWAXC60|*(MpV-h_^PP-DHWoa^?EdPvT9I zq~B6;QdU~H!!1lnQxZk{t+a)`#{oe@c)P#e&ZOHQ4XOEQiFw$SWyV0?o6uHk)EcCg zQ=+Shr|LUbtV39IW&qHF?1GRX^)9W5%?U`aA(x~1t4b&(FW2ICgo&^tZ#k200EnA( z?IGiOP?wcW3p9WeY&4bDoTFPQ>RK*#XO;R6L7JaZcHiU0(MI|V5WCunly(m&3x$;Sucs9x!&o0b@KHQoIFh^-mL7K@m8#V< zd3e^&Nm>H>qguM_@>|$sQS<0MN%8A)R2!SfOd_L{uzx!~-xD-ORt5Uo^YZc+7Cxd0Xqut6E)6##z22-3IcFq=*oXFTISQU; zLRrvp0#IX$E$*GhzO#<1tiqC!`iM#Y3MmnTRF%)h50HCy%Ds1p>)3CH53hWzB$mfH z3;|+o<+1FhVm^ws(=+b?y?S2xuTNG6eD`R!B+Ur^l;F@a^$l2yGc~&Vdi4`RmPyxi z%{3~YyIQ+MKTSNJani2irrbaYfyBO(NeZHsUHQ^G*Is+9TXn(?*Hv#p2!F)J+Y>jW z6=Y?jcSQPz^a;#sQ6xVM!UT7ZVTOJ~5`1>(Vu^bdU0$zRIj*5#OSI&%}~(8hGW2fs6wnG4bses}2ySQoStNWq4F zl%on?gXk)PCfoPJ8=jUgaP!Vs_+)sr9EcS zas)N%j0ZF1o|9l~(N`csV$U5yAbtcTP>tR}xZqTUnUEt;Pjo)aUuXnRTt^?!HiX8Y zolCDQ4W)@*Gz)Eb%>|7ktjF7v9*WjRgTu&o#mZC}4(PL|Fv%i2wu|K3dJTsZR)g5&~^#2)en2?0T0oR@(|$CTF&h3#cM`WmP3-3WHlR?Rt*@YY8E6@BuuoZ0jn$As)sO;s0PT3gDmrawl@5swotq)f7pa~ zgvd(VJPK{YK)n+UQ;+Bty0o-MXBqgzoz($YtUwJ#zZL`*JxF!Db9e(aRb0{zx0$=xA^N z{@|_u{~A7)G2g{Amf`BQA=GWYOK7K4u=}1)w`Um3uezOZdDS)W9?i$1TU-~+$H*Ct zPZ9IKJNWa~f6+BO4$jm97X~_b7XG$^hq3&s6Gl+Rs7)QaSy86R<*ID*|Oyx7GUC|!K*-o#^w$PQ4D>m9k zmN1{E4dvIrTYcH5?AGidLzg$p?)*ywy^&w*TyX1$d>yMYjrHUH3j>L__UHe^Sl*B? zJXTnVM%MlUAzhlCkN>_D&k~{@Qa`t7c#+@f36_lZvOXwkuJ_u}lP{$EB4TZepktW^ z3WV6W(jUqFm?k{TZ8jNI4G5LK3r4kwYoCIa0k^`e31$!zJ+p1EKQyNO2UFi{+tDUm zvF`d~@{w)O8E!u=tibx^g_+4^tlW=dgi!uk{sh1k`e!qcFz$#IbR+rqa*}X9St2( zo;h$OJ*!Rh*2=-0U6tzUn7r--6dakU;w4_?HOZ_XUd91G3DPwlYt`s_fM)Q40N$2buW(sbl z=4_U)@MsFt28`>b{==>|M~Di`ZeF)lblyx=|GG|=oNVpu;5;{wSwXoBXeIXsKYy!) z5Erkz9=kh$6Vppn*KeIR7o_xXD#H>V_ZM$WB<1ZZ`NXXwiR!L5knIz)(d*sO+i2M^=K@X zwqA^M__wv4pH@l6pXf)-cO7J zh3N<-4EiNWar-3k)SQ9i)tmm1_wK2aZ4%v!t*BUsMvBKVoPk)5)lgMO;PxLU6`e6- zdbH$_Quj+R+a+W*vBXiHzIr&k=?3Cdik~!Oc(rT)Da_3D^odoIrt7{u0*-&j;f7Iv zO%4#!tT4?1`>X~JNpfjv23@k6TswpU^sasxHW+O!a6|XkeMpW+lx9%7XxclkwD>&G)S-SkzS=mh8w6_S{Y-LpaHXS zJ-@MuC0+XwS^{L0{IIL+JP5F=lnZ00vCtN!euNaI%?2}SpbqR96O4G#h|?I-lPJxuN+qFk68;nL~;KjRw-1K7*$m$?X5w4n(W?iR8N+^oiWj zRvoc47Yz<*D``cJPp`$BJIXuIfl0>^P}0Q564IMQRhe?=)|Xg58!KGv7%uG>`wArtUtCeCioug)eVcN@{fdXnHLfx(kNy|WW5`_v(8 zIqG|%6AY+pd*}@&miCkI9awP1jRdYy1SVI9#{b1H=dYUiBLYf9L zPd@IT84}`w?Anti()wdi{6pjuY`k44Dyl1JKn`7^d&tQ$28eTJ$iwV4`RSRJX}xW3JAw&(2?{NdUni7lhe5vRapnR7h{_`+0_$ z!8X1|Qe1bix1w?eVOAX|3MOko@Y&2coGKmK=d#r!#$KW_N!Q!-aVShL7Haz-`Tr62 z=3!0V`S&+M0Czi6)Y`gLQCg`woh}woQ7c-(Wkv-?5twO9tspRi1_oKu(EzqZowh8s zCZq*IAgc=@BM{OmTj5@&NJ2%Ee5VyDU`Q(hW+T7zN!z);zvp?b>-nR$wAL$xJNNy0 zzt1_ZLrKRxS7lX{s_lSNc>!^w5Y#ntg|Opm>R&&Y&=%&1`Ss!i?m@QEfX18BR89aL#ApnfJbF8oSrgg{YL=@O|}nt5kxm_e+={ z9`zb`)bPg?nJDBF#!n*MWBO-Se&MVjf`rSYzIQN15&3Q#c5g4WZbEuz;33SR%0Xj_ zqrLQQ9DCN^-pxhj!}w)@`_`+rab!Zmcbu&qZ`;+yqL2RmQn=kMi;s4-K-)wF~2!!O%t?U zh@jSfdZ}rU)s>nX{?X*wRk4alT%o+f3qoQonBz9HtwoXr5u~NpoX#k%n!ZhURH$&s zZxVpMg_3bBy?ci6FZzks6t$nDFz#fG^x|J&z=5q;nKL4I{bas6Ver%lr8sh%sgs)7 z=?_JeD&0C7@W?>@yAJy;huRQjQKDb1l)c2w52nRBc8PwdixzhcP^%J$(Y|vBUtP96{6E1XFENBy5v`IKk5u%;L!& zZFeT#>1$Q&-{A&s%VtNru-r@pMaSBruTtr1?r`QgXDrVE(D#FZ&J3t$#?5ZQwydM9 z-*6ZGC`;J7uy4o=O!w{cUuhkPb|f)T;<17FPg)DK>zWwF!*Y=?S0<+#_PQ!sKj>Sy z4NrYBp-08hNy6Y@RxBc^1vf3vuws8Ag^Wm0_wFe<|3u#%{S#W5qWb9=d(l8NoIPp%`%ZMAI?A)ggmn;v>+nOm8f90usW-MomURliLd>_CU&e9N-86RhwNUd>!Nv{T1w{j+ zi-fRQ7RHKzkndYwCfaI#UNySTITG+e;{#U4O=#!Wjj8Y4$|==awc@JsitxOl-Bj(N zcF`cvokGOkh@+5sMun|UJx->c93&%adQksK)URy|R#3I_J*Uxj{y@UpZ;s23Y;!=< zA?1OpTYw3n?)ANW#EqH{D+m09lUYz^P3^8ctd+zXrY6>9D9vlW*O_R}WG*szc=rN^ zJ~=Eeh?w932+;-Y)vEiq$lSg?%7J5%iG4pfiA{@bK|cr9Ba?RSf^dCIeU{|s^=-9i zUHs~7HA)Dvv&(}?Oc$BBF;=zHnf~9A74)fDhcR9r_99tiqG~?DL8s5OS<9DWq9mTK zk74Tan&~xXyZ1tPx;{b{+3Wc?W=xyozw&+GolrAjUVb!$aMsp0{QOfn#d{w5F0J#s zK-N14eCI4mp1t_+kABb2x@(_v)c{la0q=mP>y}vG{mE|)cUh7IX1f||z}vW=>mxXU zr^P$p=tXh~sL7T}A4nX5x!ed#e1hcoX{iU1-aGA8VI$f`Po8(j2YoP5=`}X$F!{d1 zS?oS`dj)50&(xz2{7@S^slqII*g5IsTp#8Lws^+LR`1Tg5_NNh_s9POb<@a^eDkVnvvm?S>3(kq>Y|L3>7yL~>KeveJmv4CVOZ)1Kz5ssKtRH))c|Qe@*O|(- z9N!x;+SHjP%_ly-%v_*KKYy3Aw(818ZkG|gubIFLQ z_y#PI()1GrwMwzi!GQ2QqLpkYtljfqYNn;>)!x9fdev_4DHKoE5_rC7w5=dS@`F#Y ztwm~NYhaGC1~2E^jycAoyxxiebM&)|M2a{IwtZR)CDcU8Tr z4S4p|(6rQR!KT7_yQ1L_1gpi0zt;RQMv9OQ6b;ROE09{zcj~wGm;7YFDMo6T@<%_M zbyEI&qx5B+RKFd2X|Z<>J_9H)yd1g1>6(0Dr2S0lo%o;r-TFhRw>>$EzN#wooyviu zBNn%%CSh}Xr|Lo=`{kb6l@08bBkjdjdZvS+{SKD5KK{vY(shaPo+|E?8BcujC6d-x zdM{pN{MNhH%}eUtG5UgGHwpo}+6w;OoNA(~l4w;3YK!QqJIzHXFpmRUYaNR(q=w>8 zB7HBIiKfX`^_{v$>k%I(V_MP5TOb3c()E&nH>8IHPv?=j=PFZ=6WOp8ZK~+%wL8|b zSH&p3%Mng-z|jLL_$sVkFt8SU6^~kLU3cTNw_EQ@uZqI6Y#nErPhbDAOLbwO>+&^9 z{$tR^h_{3Z)@vp0lg6vRO{RTMsw@5_BJ^fRn|+MGV*PI$^Vt6p_Pt@*gdIKLx9KhF za_u8cKO?yLPN^roa@x1@qp;c|cTE6+JWp-t zZ;czSpIYDWI?#lpGV-VHbSh09XA)JYD;ix3GC%BsCftnT@6fe2rbNM5I%}{e7En*A zULx*V5S&BJhV5<~Eg1&-|59wRp#Q&zUpR*!M~%k`p6h07%@eHjsvt8KFvc#rCbpfu zLjAR8V*F26g&Q@kI8w=Qk|>y zOf+d0-fru|qlv$-@RpTT5WE>zjqL2<^Uiek@?f;Vt+#y0Y?!QWw^oPP^HZ!oqAqz` z!HgW&ZTcz4S~M=*6K;Eq(E+ET3aVBI>P_D`jy(^1mz_9TutQ5)A9{|`q6sh>pU>@v z5C|`bkSNE{Hh+%MC?3sQhOe%3Q9P#X)s8PaltanuVE>ACoZDs{Cwxq_Pv0PV;VT$0EFNWoEDQ73=oR? zP>Mku&L@$i!!A{EYo|NUGY6psqbH}jGS%4YPQ1e^t^*6{5>hinS)f7MTweoKdDu!x z2FPe^9mxpS+4K?tW1ykL=Go^l9X9snETB z>u2xHDjlE{W(b>lEOih)!`bag-8!P%U=5KL52IxVpWDLS;ZC&Bb+VIty?<`Pu=*TM zYWpxO?_OP_0eu*FJ3Mc$nX0Q{s(3(4gAf-MGtqGNn8R50cX!Un$+{WJ$5crW=+xbtS>i!hRx_IBlx$Kr zNwBU|Rbn)HX2aqagsX{c3|oD?edjx%!;PTj_P?1#*h9&LfV-a&2pDlq!Ji4Amc*uWJv6lRFNq``UPqv#DF53S3;{c_F)dqWDahy-@9~u))8odvLT-8W z!ryeoQ*~|=8+WP{G7lqolErs9(8X5kak;APUG+twS%mjm7_n}0pkS7M&3dXzbZ#A& zDXJR4I0QDXm9CUblx?X1%6 zU6mb6)*W_y##{y>0_?Pz@f$3t5jUo{p9#^U>yikKAu*f8!TvXSkT%Ca+gQ@w+G`FE$l6C-w zQ;@lIi*J!7Lb$UC%iNX;eAYx{%mfkx?L4E&I^A2jCP86j_%7XMLz;}1-^Khh0ubtY zmy~ZX!%4&gFiw>=(tDG8vG`rPf|2is%BXZ~?2={{7X#&56F(r@5!58ydK=}F*H}rf zwZ2fTGgWL!xw5oF6@!}S(SCkJel$8}*ErgXn|YB}b)PZhEi<>4o-#wV2|dtOFg$lz zf4MCjSEhA>sx}aRGVZ=Zf!R%3;pqwK>Rf^^`ne&v?acZ_>B)Ic-feYb zd9(Z;oS=YW$$38@TqP`00R{>#RVRDx+u;Y1fqdr?07FTX}JG!hDIf7+2>TT|vg!XNMs{yOr%RiWajTA~Vh&h1Db zda60S=Kf#JoHNkO$sx_0p?==p>mT{;0U!2NzmqGbbCRPuJJ2iSMs?+Wni&+gAj7t-M&z~E3)_WVeM#c^Y`MEfXEIZtU zlL14KujI*QPvjdHe4?21mzagwiV}qhE-O78}-Jr+DEH%Q~`X)lYjD?_HUB4 zIB59TXV&l24?k0VBq+A4I`JjWYH|F$J-T|%&VyY&ep^#w?kYIjqr1j^-&Y*G+r#yhhLbJ6a zeYTN`k@L=!4-3CC`zo(?NpE+&IgDe+mG9cVPNh`;S=GV3r2B)eRbvUeL(jNQ&yh~_ zat{trF*b&t!3F!Lxc`IBaF$svF#U5V4e+A|MC3X+4OGykdwIA`Fmyu|2Muxn;HVCx z0tOOwx01?klLfPX(~i=lp*z+%5q{OkLgaRhE*L{Lj-RoUG0PCcyS$?LgG}Wj-;Fu zI?k+#lgz4{yDBAW()ZNdNs=vFuq~yp_vWdk3q2-sxo$69_;F0tNH6YnMCe&d)oFG( z8{zoL1ajfcUv1BFXwXmNZWI6i127xg1%TNzUIEpf^#FQ*S9&d}_C%WBfH>*DUE=%x zN(7trz*77AuH*Fof~b@HUiW@ZXJ2UU|**!~@S!?~ly4yXz(>56~?fhKqEUiC` z`r01t^Uhkk?Yly+55uQ+&w82jr2E*eWt=B^T>F0K7gWdDU1clF`j}JV|K5Io@f_Tv zGFI>U@vP`)wu|>y2N4MSqceJ)eV!M0_MV-Nm~I(Iv_5b4#+~-Jr;BHYHyIY@Uck0M zfNjCYi!U}V4!nhJ!O6+2bJDf*W7fT?n*E09^Mlho@vv+Bb^NQ{)dvTv{~@R>lH|Q8 z&guu_MKmDHHE_0DYea}ar&rH9%(}5;xrQfSdi8hK{S;Jp`EU2etJ^7ASN*rbTf4P!z%1Lljhl^^C|~QJ9v7@;%uNS(bY6K{ zcAiqLx;xPHDkV2xG@X+jVdWuRRY!~8qAQO#2UzLP8?-?r3oSqTcFSs#g>E|oxZ}rb zipBABmZj>FqUJ5q>C!CG^EYamlN87Z^fkzX_s-wQjT8GM%-;sG5|XX*7cxK~w2Le? zTg2kWGk3ZhO|)u%-5zf{4%9B}KEB#}!Nw(NXef_~i4BZkjM{I^W_?D5NJ7&m9`67P zX?Mqmqgri?enAmJmM?U$DV*Qjq3evu3~cOFybx&p&4TwXbknL9gUV$5Fi*$Io=>FE zKp}g9u1Y^QwH{#+ZJ|PbeoF4QT~pkP`R?Bb{4N|V-W-fjqNV(qwZ_?ta!Q`f!B+~` zoWA+tT*o=DF#VE5&v#()Lg|MR+1&b7xuZPc)Ew|0-D|-?OU-q7kfU)$Ume!l%1QGH&29Z!!IRoX7;A2Pd2XOGKRiXSr8($}_x=t+n)Ng2s2|$OEqh%J3`cgv zblwa)eaSMhK#zIxoKr;CsjjwdJdo4&Zb)!R@S*m19Ry`&_b(;1z@I!WL@(+POphB zwvAh8>Cm3yDbO{jstGDL^C-o!21Agtz!;gILQCA~ca3Q&Fg4NDQyRmr35#LBWRhYt z&;ilKRI&=~%?Irz+?a`5=##Ifwc4)snuMcNZ6va}pl<9%Qnv-w(olV{mWiCd(QLKo z2Y%&Q!5SoarP->f*kWj0b=tFRvbO$XXgtE^0ug3EC`X%yQttm~;r}%~9mZ%jI-lFx zrYo6lw`Q>_RrxG?PG6a~dFr(PHTMrOT3S)`y^~++QNwNOIMqp32bOo+nK#6yhIHtzp%lXe1AJl8&N znWbD-<{2cHoEQPbhN=eAhG;5@@BrqgckmTKr_m=1Kj?P%s4CCmquL4bF#a>7J{Zv3 z`NH!y`zTfwS&*YgRWANl(T(Nast0ToBI*9p=1#>=D@!LMCqM(&6)lOj3jZSP7t9Yc zA7ZE5Pngadvp?O+_O6IDfD(hT#|8L77qi$5wncE9OO(J?oeHBBTI{mfHY!Ju7ddCK zxq_)C-5d9*+VMGyQ(FsdCl_qfPbp%;^5#dxu{G#^;_ATb=)v;VQ8-INh)gI)7$Ht{ zIJ(5QiU9{|<4X(|oY+I43#O02VW2!}>a+G8ZTs_eg!K2OHx!k-Q}GzfW+d0wxa+T- z#0$h+mfv@jt?d%5TYrgPnM>7KUBxtAm+=5{xc2jd9WNxDD5JhE^fA-k$h`?9onVP6uBG zwr#xI0BL%n=&K%B1*g`mcLJCumyFTAlNz{=p#~buMQ>~E58+;+@3X=!DVWM6ye7hA zgY7<@`51(wwZZIsZTp9*Pp-SX z?r_yya%Q@|n9$Xm(Eyd`aU-KR_fGGZ>V+XtQRJJW^M$9unBNAy+@2py$;Q!=YMpF5 zV=hmJ7L-HD@;rJjKf|6Yz3V+iF3Z%CX@H-@t~XIJf5h}D4#-Gx=?bUExDp0BWn&G6 ziLUHUMRVWsd!TP6gV7#e!^)g&)i@EK6AL=7GmEussv#X3@3o3Brf%*cQh^r7oV6<2 z`L`5=VS_*XP~XkNSpMru#<**U!bNWC7WxBSx#q2i{{t9nHh~ zUUI6ETVPw9%tD&M#6+8n`1>hYaaBgggyf_314Sz|<_i}_d(+ukN#nWRw;wFxCc`?b z&p!8+TBo69(Npbb($HHaY|hlMOwuhwd)al^C!%bk(DlJgPx+ihN<@pB>mzXb{&_>h zpOr5}rmT@Iz|d9*wBh%o(H%e!o9B3R+e_vf=!*U6`J0^b$&Brlp=TboLU zvFQO%;cwwu{!4xEb*GsdSD#G|tJtd8>+48vqN<~zn#m?mhayIkHUXsTJurKkm5mr| zE&Zs>v0QLYu~$RW@QT2C+&Q)CiQ+L+0PzVpyS}5+L$Z ziXUZ!mavh7)!POrr38^hy?H|Xg~vqKKwrVxWqi`W&ye(-cf0gu^i>mTEXLC-0xatX zJ5|mvR$;&MC`T~g7KVU>OI!bG)=;xLBWj?t2F8nt95g1b3l`6SM;>Hzpw3+eG^lTn zA_GUEUklu*x_t!Q)pn-N#VC6l7e<^~&pv5^H)3=A>eFiCcLD!vK9Nwea()z=x6)RGkD9znVtnxui z=7d4CO(Lgi@-hqNTgYD8`Kq>|Rq%Rg zTp&+x_I!jvjIh!w8A_SCo{xye>JxJtjI%q%>3WPxQt4Ia$W>T59FxrZq486=Vl0}o z2#7Qrhfp0H=NkBQOB=ET>4iH=-IJ`URJc*!CG=NCPchZkEljZ-Zz)@yQ%XadBrg%- zF-t3xjg1R7CO3(~dU8si4T}%T*0vUuCSz^xifQUA@g+VSO_t&$-b@|w zFO3z}!`|Xh6wM*U(T=VU&g%ZfR68DM^3SWYTvr%H+#n^f>aJ;u;*blKU+sPN#8GvT zxy3|F4`jEb=7fU=#lAm)UV`C+*_tdhf!3JneU70-*ohM%G;ViX+Zhwv8LDeNCtPEM zmwZZi8pTp*Vw)W12IZPjw5Lu0dA$$*Vf)GS0yC@^?tHeLj|#>qWONucykU;9hClZo z5^fq{)SGyzps~@4;gh4yUs{{*cP6@P%v-uypK;53vo;0mSKvY|PiFOzW;9lhIJHU5 z!WX;CoWmzgwBi(wIYa)%8u^uQv0pFYo*9?`#T}3HW|du!Nz6bWu}uPbL;5Kih);)X zp0Q)sy?R~Q-_B2qC0+0MK=QbCxz`6>%n2y{U4)vc!4U0s+q`&sV}lHfCJbp%Iv0uuN5{ToOY_}5szqVTo{eBbZ z7vQ&A>^GgWUd`F;mssr+<98^~?{9uf{=$j*b-U$@O5M4HUh?Pvz1{jW^mqR+?#P%Y zcMGmb0CKl;AW%neR{os3g)kifVVd()kJn1St)FPQBmFNB zmD=K4yML}~u6mh|mcyICMJ;drnTcR1~)wnD5k8cz{J;(1%kWYLX!kT|DaMYWvA z=zJr0l=))wV4rtq&9^~UF8=F#>c_=Y-HI&AU*BYU%|z<6JCz>+9SVj7e{(^|Eu%@< z8`XH^er~$)19W|;vYRLSn@7i1Q9k^;F{if` zeO&Y~|aZKi7dQ#!YhBs6&d?!&=$KGO#=*nO`{*kTy zgsl`bre13q$7@cd2h&TERgZj&Qwe8i_9|V;iqgJ0&uK_62wT-dPz1DCFn?oZ`lp9+6FaJbtu2 zbi^Xq$hCANcQZb>meQA*fyVDC)s3L*!+#enyi>x)9cAl=HH_~gt?5HqHzO-s_DN3w z-+X0KwJgIVv>jeQQv`?LRYBM%?FMZ(D=up{YmpgL6JSvrt?n?0NS?lpKj`5OcbrR@ zw@gPG&dD?xu+g4J)d_!Xk!(9N5WUHOaR3P(9@itLTWY=#7TiJ?s0@|y?%_Dn#BqoJ zrR?B2RG2C>2_@cnc^By*ma5AgWV{%hJ8+P(Kcs3-aBYGohn$~=f2&_MMoX#3;y+~? zn8$WATT@C}`e^ybO!eT~McMrJ6itf_uQr%z*HAUVu6c_fE0d1qJC7!FNQ)$>FVXWu zG_-WEcNI!%TF*#-t!Ex7oK+7<)rbORG9xH(oPaia!D+WQJn-&;93kuXVB!HWw|9vO z{Il%W*y*3Nx*$K-Iq$hH*{C|XM}8J7yPFmFob~Az|5?-wC4(lF=9Psru2S;rDY17y z(vl1hELWHwuWxKVd%Y}4L9KJWL)T()hvZIZI-J0SUCt`*5;Gy*Yrq|qsgzR4jB9|x zjJN1-26IE7Pni%!uDhNE!(M(wjo z?9Zho7i=kzzPL|PiW0cnzfq_NAt{)IS>VbiE z@Fn|K^ANm)Az=0CSfT-#+s~L42)nyz*+J6M47um9ioI+9nNlKGCz#Qcsw{R(T_sYF zW#5^WK-9xLRx<8@_@~^|_mA84g%ZTzv&tCB=!wA|RJR7Rh1J>2YdMMZS#)iMUTbkc zX#54M!(*#NFq=)>`{Nx?KQjiY;RPYUh@QZ{HXqlCOS<~>@Seh2T&#`#6il*CnhyzB=5T)TQX4YR81mAJpry z9gu%Vzl!T>e!6gbCVL{qG4Rv)QPGj05y56BhE5moA&O8nAwC22o@bz{Z4Hckg3xiP zC!N!`!9T%gd4hp-jYl1)Ux~aLUNy@F5jwK7?D2?#H`Zdg{`iuLB0-` zaJ*W7L)%BZAFkL`fQGpjoLn$QhxF&&(M`~$bHbd`JexXR()Hdf2WkmFKYDqr z8RHoJg~LoqQc(Z?CnHKdlX4S2-GUwZq@zMTw4Pk7rH;fd`m?A3VW?We>}NInNS@=t zBXI+*D&IHv#rPcM@vtUVp_c{h%}-~mNvs{+cv*Ez52ftuZ3SY2c=Ann;wvqJGX{^M z>PhD2HsJbg$(4d*p9ZzhiNQUe2g^#IyG1Fdl+9D8MLhS^507k+^4FsFHc9x-pb9Uz zzA(Zy3a|D0tW2S=s)5qcwyacj(KUbH8;gXuGOIJY@JhgO#E^R?@+WaR1kZYFwmz?i@h z$##wpQ1Wy~*@V+^zXPoi@)VKis8DI)&l2$$=QXaA-Rm^w&Uf^Eb!+7J$`<#x&x1^#3SKGPrVSiyzOWr zFcp54Vb|M~c!*^}Dj%Ja-biwVym25p_0QhHeF|HR$B8iOH;weO^2DPBei%)++~dzo?00{+riIRZh(Cm69>)Dk zadN=vV1-Uwl-Po<_I-+ga9Fe}>*t5q`x#l67`qx5Q?(y)B3zajb4k|WXUh06T*@-8 z^mwjk*X(FJT^9|nDLfW^XH{FgHB-*p7P*g_iQ>(9z_v6tSr#UN5NvCR!+V+L<4m^?* zt)}zRJK#VVD#9!i)c$T_BcdA;AxLpoY#9(FHO%L!34A~Z+N@YszKZ-QHqQ>9-u0&0<5?E6Bni? z<397h0*~FHd!3dPoRbg}4%XHG9iOT4&@sav^#zr?o0%RPUt>BK1|~$lAVG4%^kH6- zI?K4mO`pw+tB+D(Rh*ToefX-?F+hJ-o*u`Fe_m;tQ;UPN%?+VPCL{+^;ul1yThD3M zxE8)K9C+FR|I7ZyS9QsbN00AHBix8PS4HWEkA!>d#+c^B!DOyB6=sCQgE4Nw=VDY( zVA!p)@`N&9eXM~!nLpC%^AH$uZnLeK-U^v*@8{Fun#-s6!IPE z6&hC(wkYeZC2!>+FDuSZrxhaM>+UZ4o45gBOW}mZo+NP?_ktd>e}!x3kxg(~)w3Wx z+5-V$6cZ!i2H2;fR6w|U_B)_^!*b?C{jUx{ac&lFmhOCX_hyXrIkRwAGUMk7Ds*S? zBmnG|E~X~N6*Kt{RU;@cx6vF!QB_$ce#B64BB*q_<2rA)1o;0ZbHG79tf#@;m@8ivQklt@N5r9f;OhNW9LK; zA6PiwK6kKhevwN$d*cU>xxITAo}MIJ%gS$``Lr6`W_|2}5BkFXWxDz0bf4B4i`wVD zrG4D|Fr#%Yb0eT*@l$OJQaMYskAE-r6?68O8+LgpJmYfC`{vfONV(;I(s3F~(oFQU zqsS86^f}9vo}`=Nxm$23fEBxN8&^ftK1N)>9i`ND!&5V9rf0kd(W!d#>Dq6*mCpsv`Eh*qf0FM0Q`yB{Ep0U`@>=g(TlvR6p*5Vy{DIuQ7T2-w z=^v??Id|G-Le)Y1oJlhx981{(l<92|?r zz+7WRJO98ACkX#?aSzZ3ri$owY|+>Oaf>XW4AHMn3Gm%t%xtdl3I&YcdL| z%IY_0S_{V4(TlZye`u46?=p3#{I;`nHHrFC%ShChsXjq`wp1Nhj3&1FR^!5uQ!GwU zOAoE>y$NT6R2R?Gz+a~*3amH&IM}-#L)6#`!@-o5I3TlkC_3oN% zKy@b>@G|Lue6!YRqjoutLn5SKQxJuleOuwA+bpxXq!%rF>6|z($p_!>Uz(!7< zTHpoKqJm^Ksj40*gVK}e=9(&f7)-pi71U*%5~C3m_0a4+r$i(~^BU8$Ph}2u@Vn0c zG5OWA4^f02D=V3fp^?6p&i{`zd9uu#Yb_I4)?etn?5xz}etF0w+V(XQ8kvqJ=5;Dz zroM*qRXuob_R-#z5X6*8RNbh&U`G$n#9j`Sm98qKk0P;I)9q@*7^ED(`VZsI18c&Ttf)wDI={7XVtocvj@?p#2ZeNRcuSr zKRakD*m0`QLM!T=SZfGEvP^W&xAZ$||s<)(Ie5d2K*eQnhLEP1H|e!9Z4O&Xy`SvC(*{*23{ww4*njnwb9jNHa+*xJ2y};E_h$JumNh+3{Tb*kuRm$o>{LPH}y% z`>(TdMs=Z{q-^xXsi&N~brpRcy7E2sX#ttVp6A$RfV7d*6i$O=o2} zfZ8P$IF636Nk`(?D%lZw(F9W;D&xwfCmB{D)BM|BNIJdpI^F>teaP9-(>g06?=KUeMz4svFyWgcWeV7lnDz-I4#|>}f;qf6-LU#Tb z!;ey-Ej&)(F$Rps*;W7kc=kHGg-x1J1w@T*=xy`#G1u=sc$^{&jmilQ4aVAq#k$cuMq1uu1H6?Q6@ zS2M*oc*gNKT(5xSq-PBv5qORMM=7y-qbujod5IQGwjPYCrE3Tj zhfG?GJeIh1TqO_plR{kF%ChQ$vXvN2Zegg-ml3Z#v3D0_DC4Ead6?xlCrXRmDspj7 zK#Cy0!--&|UFD=?&4R_eA-S08kH+gh`{Pk0MdVGgg2sTO!pSt z@zyNrhwPSbugmET`YHOe0hXys5mrQ+j~VBZh)g!T4+P)i7KUQ@3-RpDu(+NOp6-{e z6{L}q63AWFm{q*QUvPGZ#i`jc?5z$AMYx+1$OV{Fb#j?b|JnC7x%vdZB?~TR8;!ql zn}pkj^d$@X%8_i%q%XTKv9sX(=pLk`BaO(P^!H_dx~+rmYWh~VEr~Vk>5ID{L50ww zl5bgv@|*mmSi1OtI#h!qHcCgN)d!~83xqBh;({-2L)TLy`;>~ zRrb~ivhyQRJ1g$0`^W?xS9C(9zW~Ms1&kbK{mG+@LNi7QVGLqwOS|8jlafg*hhCs0 z@#TV}T6aUI;yn9#_rIULgqm>a9`gsTe160TvOl3h2u`mi&{L8WwDF+P>pQA<#qa5s8jUKMu0GL<>us#&t?PnDZE?Jl2 zoRl}r-1Xdka#%&vcppd>;;Pbqq)-_&-(}M zpW+1h?>-A8(TI3Y73r;_Iv*$KmYgVy!Z0#ML(|cT{>$gciys{zH_~T=c!0d z0WiHAmmL~?m*eMBFHQ{D*eDvEy*Y9<&$tBC-YqZdMk7f{$0O@@=Wtqf;}70Rp(i7p zu{$4}vq{dohZ}3By|aZ|>K%LZ{FFWCrTPNr!1%6)e=+K6VZGwEraL#S{#K1${Lvom zOc|&0E0Srgr`{toUelWIpKDt4OKHKs9EDHmXAhUp-q`Y%4TqE2+p-i3c(0TB4me)) z^0-!Kj06;g0S60k7cV3*vNQLs&PuwL={S=_DT}*;*xDEAO4w5A+PA4n^zNoJwXf2Z zBYyAqQ?(_nXHw!}I|n5mn%h20+1HkDx1WTmgD_(P-p~b}w&%xMyzsmZzWqaO-(DG8((yq@Vx5`tpU|4l4c+M!zh?Yv_EQ2{F+u<7(e-=l-Pb4F zQ4jUIfi=7Od>mC9C))NCEh(YpQGQ#kbWM(ik;7JlbE$=2N4kRJm?s(Fco&8YV+M>S z_g*utLMOHi4TFz}vT}=&!Qf8{Ve*ELXXnSUSMUT-Hn|GE>;?NMKU}oUBhqGE?N&0+ zXmrC~@Xmrcg+&d)3o%tugR19oLS1HIzt}Zbo{mOE zSeY#dB96~pM&zt8s9#GDHBNx#Aqzl09*J*EVrkq6n;sntqS7-f7Ums!LvtJ#6P7*V zJ=0M_UgB*gF!LURJ07QaMJzD@kJ8V*MAc-$7#o)U>DDPS`PDcP{jyp=oh|x1OLAYnxj>VsvWEE+- z5R@sngsMcu)L#+4PqqU)Y*M)s;T%bZq%?HS=1!OKGolR-eDdSZxp5<&ZGk=39J{nj znlg39Lv1)YfwqM)%0D_sI1S8;=dKBobV?Q?Y~L~wY2A&6#mkO2 z6twi~N2q9+;qt@A#VY?&bH|7KByjX%6M zF!FWm#|(Z-1tu?SrcsZeY;{~8hn1ZXhe3$N2_qQ^D^fd15b^kOT|7!o2u13Z<~$3D z<*hm$tswLcx8U?R?j0#97%FxmZmn(O>!l=}2q{p}=d|Zco^!f4cgN0HJUhbXjq zjy&DmQA~s?9tJ+`yI)h(x{(0t04aiic;its_*`iZYMAke6~^uHj@BF4>Y=_JHe8P~ z$^Hmuw7TPrd-ULW+%MppITd}*8SNN!=o=?l*?|V_n^|mKj3D$_qE>HcFAa56YC)5htrp{l zu3&jt@JuJbGkpp5kMn!X!vWj!tp&4$i{BjfbkmU5?l*;HW>xbMn8P-*&5^fq#9r%x^U$i%8 zDJ?a9U*hxiz3(>XmoQhS+RcOdz4p7zOk;KhS2EeVlR%)xlbae;Cn1!KH$E&{X@HX5 zl&NUAb0JdfRPJvUB%m|mb&20r0Np*)f@;z}XYs2zfX`kA-%|(ybxF}Jmg8y*{xxq4 zYpCLt>*>~k`sN_sD8UMN{JO#ENUVY;-So5hX?FCGvEVkuSo0bvvGIfKB}*3VrN8s( z*1xP&glHc8a|DRZ@^uIL{-7;BtN)3>Djl7&pD1~MdSOKQNCPW3m9y0nW6QAw9d|@i z&D%=?%r&26{JfSwHEt*wt2>EMxIvv2lDFiDXT|Moje;U5Bmc9S1nm`VXY`Fb++-J? zJG9rkxt z@d7`>H5{`lH>P4+H#-#Yj3kUVG6ET zb$f53bWd@cY|b(qBSUn+kTgDM=iem=RTt8#o~;kB;|xdu=%i%icdXJSZKArzgHj*^GEWb%U?_%3?yvIo$qfZaxC580%sDz>Nt zbEi^9TH%)6>uo3HXva@afi#H0we^f5%7A{wOvzocNkdKCEMs&18gRH`#L3UlvU_;J z`ukK3bH8&vcR=`8VGd7_WJZ4{K)u~rrs@fmP9fMDClcovvw6(f@@B=?%;NrZx(bf% z_YL53t+(>YjyH#uX~=;FykmIdqz?w_W2I=MY-m0ngY4Nr?{+5_9i-fm129v#Kq19o z0y`zF?P$vMojiK}jEiA>Gt{(vJZ(K_yh22;(^C4DAF95)Wa_y#KZ&y;t6wL0Cw`O> zZ5=*Y(ZPpO&T8mY<4SGG;}T|z8pL3>-0;fniru4kV>*6sbMa?BJmq)6?QHe1%2nrT zGEXgF$rdla{mk{)7sRgNmv#9gjNF9_O*J7n9i`%3mjOJKCL}zjwE**XTvvLAt2ohC zVH~*3`iX*!f#0hVVh65fhRZ~A-fn-h>5gFQ)T2zgR+(=d=)e@H__`tiVxaK@t5%(v zFo02g-9AQ&bpt3|Z|ysz3SR5bu7!$^AFF6fGv2XZ;TeLh!9s8)Mo$Lu%yA{p4tk)s z$TG^3jcGv53b&~Clh$6qq+9gok%Xt^v7Qn=cMK)J&BUB?BPo*tS}zVa66>h5zF-3P z(!pj%-leNA%yI-rv30lExWTyl^d0siiP=dX&ZbtC4qvV3_Fwx!lCutR3hq>Fz`@Bo z;=7_TO0w}anTMLX#;Xk1>Pxs>-}=98h(5nL%$eo4@?)>3{Z@0Pm-#L9U4Gc>(6H~* zfA;yW`EZ(-m-l7-uW$cv^I`pyTmEZ4oPo)K3>=s@FfPpS`pwK0KHhUDrY-sH^l3|c zmp^)*GuvFMX6{YT$#9{T>)?stKp|4V%5_{|x8_ea0^ zLr?h6Td|qU5I&^=tLJY69p@PsL8DIv=ANc6^qVA9}xBm4Im? zd{j99PdnTgpI`zFpC&wKgAb3FY*`Q&Z*Y%4Q@N<$vDd#c@ONHS%ZuF?m7FK;PYD`M z`UMudL|^*_?d9xV;w%@U_H)f&F;4`2Q5Nu@eUBf$URAM*)6nU^F(fFBn6IPWc=+7$@834FXS@AUKj zz3xbR^PAdZA-X@l)tJ)!x82R}uGX$saNx(x(!SHw@WVJ~C&u9ox}@v%f9mnOpvDp| ztJ)`39tuNQA2H{|i+!#uUIW-~+%o~U@tO-8k8uNe<7B5GdQhl17IOBdAD73nO~bo= zWrvI1xZxJIFl-Z40eee^_RH9i)(5vJ=c3LlOdzYgJkuNV(oHBUO@Z$io;wvwaiV4O zi(c)0-3S6ou`%N*fq<%8aUR+zj zMY{G8U>9xt$@VvJOizc+uk}Xy0jicr3H93#9BR%;04GPSds9KvweTQH8(MLg!StT0 zd=UnssT{WTA6OfBzi&pit0U*Yxp8FR(}gYXBJ zhq(r%q}1(udu@HOz0(@55XkGD*68EyiK9MbLSD4%-ak)!uunIC6g+qB9_LZ$WV1Hw z$i(^S&veenV#;c$x)zG@PTbL1yQFq6Rkv7tzxOkS@;fPA{}f~T^EfW--E8ees@x=WM5$S_~0;Sp0MG9;((O9JUqMXRByX4gm(=(|cniAY=nw zD+$V#Z2)-}QJ{XNwmv9VnJ_g+0_VYDvJ?23A3UkSH874EdxTRGirsmMwi{C~u-?%? z$1JW@Lx`wx_d|WV_7D6r`_~s#J{6TZ|wiX?eA_ zy%&k5R7xsAqKZckx1TmFy?O{|@3cOF44X--5hIq_R#RHBrF`7){T8MQ z1*BFq`RV5@vb6H+upY;89qVy-I$L$jwd_!f_Bg7r-8qFT!_3Cl>Rx@}fQJz%KD@&@ z-e(Y30)-NdvN`qs^ETHj4`7(w_2tQP?n$g2Yc~{6<(VBfP9LXVK-*ii6tyoO4MrwN{O zyt$FsEEc?>^iZgTr^~Mzu4fEwKX#3(^PJ-phMPvz z9P6N~#ttFF54|E5$2iBSC}cqUY>o=tLUfwRFESh9qPkY1xH|Qv+TjG3qqJ3LC4sHR z1s|}zI}ApQXNe}4 z>dV7c%BK--C&CY8&?#WPT^M0yXjSYXN^ILGei>85B)cF9iI&M`B~<%v#WiG~gY)Jr zx`cjQSiMXz=(*;}$-05(Y6ThC4$p0L-TZKs9!c^X;b}`W!mc3Woze&KJh3+oDX4J{ zV|p=Qw2-!VMvI~^wK2U&m(nT|>9!aUEh;tF?+Q2DKHc*D3gtrr!Iz_|rlCF|&klO@ zzDY~3?z#&W<=$lkIdZ5l!lINT7z$==@ILzeeCD``sme%GUQb~%J^i$GW9X+1MpaZC z1Zq%+(wW7InE4w)5BlOT3|6qUHIX?PkBxe-u-cZT>GM+m_M zb=so7PO(@8ccs%-t$?5wwL;a7vQ!Xw+iI;M(yG89OI%pgqPCVmtqD;fKz6HylqE?Q zHsLN)*(w+^(~1-j(t;rk+5XRsGw(b9|2hA2=Jb@D4nn-S_xF9i&+~a!L}KdfrKAJ9 zE}K(y$lD0_829OhIM6-k`x!nCCXS8=g&)YGXD-rVGGXv7a59t*MtbkplHT2N zMovfmH5fmpGcpCIvWbz+Fo8TB_RAGJyC?_#X$!k#O>sK$_FTcrhZZ^;thn$3X6uQv zv?#IZu6^JNv=QQ_-U4lW+%c5O=_4yq2i3kZJ8xhl>8(I4Q&Qr$I7%mJh%Y2NY2-J7tiiBMETTIj>dBDu_^8_IiZ_ zD3NQyj=);G(^U@pyit6NGbE!WCu=XE!MO1Kwu)*Fj3!tF#nO_R6cmOfF^-AykVq~* zmMTJ&H9)(ZazIRoSH;(bI3725fMcwj=jN{0TLSq> zp^Qp84#9D`fgdm~0jvWs>wn!~(<;0ZCN~mdK0}*I1kiI`eeHyFxsIW+V>{WUfIAgz z!e=tI)77gum{8=7VjEI2xU+Cdf}@L&R#O!x57uiK+htO-Qlz*28sZqq*;1^cBRdSV z8~Oq%b6)7cq&j~_%!B3}(SBoIynJmyBDE=&PEueo8r9X1GZ^E!%$Q-N2O{TfZ3Gp_ zS@SRl1Is3y-ah<)2bQZYGZ-$AFMF}ubBEc>S+6d4^J4GxWBa?!I?PUBZJ&nMHt+qP zQ=prRi~B)*pF{sSz102l_Ww*T9&S^e-nSQ*eTD%B(}~N1KTUT-W#Q*jmQR~9-*qvt z9n;tgKA+0k?z#}>w+Q#m3)nk6F1TEA{iyJF_vc-NPuW))5$Z5&*0jIQTr>vD+iaiR zUO`)b^L$l^_4G&XvphwAZh<2-5*I^+oA)++%s8hEo@Q875aOB^=FqKB-0%?gATL) zw98&L$@blD+a2=^Ftp!Xv{S?udN4!Go1#yDcHj5QnCn-?zxuK2V?6iiKd}@|4$qc$ zdz$&oT4a)UEzC0{P3Dz3UX=V)x92H_mgN0BLBu`C%)ZY;MTC? z8}sLE*FSIOeIMEo_FChc+nV1-dql(L{f#>(S)0km++$7daqJ6qRohbQ|0bO6J-k!< z{%Czl%4~0S zzhV6F04-`9TM6nmdvhP?;F_jxu#%W#)Z2ta1S=oX4%Y}t^t>P&vJAE{aAY32z`5bCz`1Z`QIh_=X?sJkQ{3t=h9*9``&a6M`M(0 zC(OV9)!%yOMMARl(7kWSU(G?Z5FB?_U0WXxe3W#DkKjSqTcbUsC_26HpKX9^H<1~n zD6N{j#gs%q&-A!pfCiyvcW5m#hFM=M5AyvoQ69yi4 z`@DR;9ho75l;DxOCN}3D0=C%+L^;#!N*`=znq31OS%V-Nz_W~>G@HXeD`YnPgftp$ zD3YFh-*}1NSp`m4os#Vid*6ur1S8sqlZu0~TGW?iCoAOR zw7CD#{T{)VFudh88_C;d;EOUTMFu5J4@6s&$JOjWwM{^_@VjUEsEWo@7;q-ci80X1 z8JfkM4bCOQ9`Aj&sD|8N=J3b-#;ErBw+WnK@MGm8J(hlC_nfxY-FH&xuJPn_ctncWx0o4s>2uF{_PeUauDVXoeEt>I<8tfFCBu38VybS3^xaX(E1wMiy-;}3b`TSMS*X~)Zlz4{3 zys>`K8yDR+T-vd6g6$qsd_SmkW75@-+<>~E=CX~7jhrgh!k{FEt`^MM$qM~_&3}i# zVFOrqMJP|k>c&%nyZz$K`Iov?*P~?UzJK-A+wPwqo z<`Z&_FMoa};h$BbZIVCr z`oci7pDAUdUbJra1U8_zid?3D z{8BpccC&mUPs874Mah|x3+r{QU?^Xskbb&w-)GZ*>~oE*qrZtRoT`t0Os`Ni9JGzJ zDE?S%8y@>IbAVQ~mBhy<6PCKysA&mFJW(SVHI90k+?avBfXSp5xzsNw@ux3;|C&@t zeFRcoNai8^CHpg^z{WP>yhXTt`AT?x*qcYV9~82VRa1AQ?pKq0)w1j8Np&w!QKbfk zFhIyhj59?ebSZKX8Wrs~A$VEq{@6yF&wvwq)|(4K>+0 z-v;X(siq=Yfwi$ddR^-@e{hP@8#8-|)iD6nVMtaJ%_@qj2se&T+Jku)_E1hsgL1?cq7>+a6XwQqmMo1`=5a+&HY2o%QdW-w4P9DK{b)m9 zG1`XJvqjmQrgJK$JPy0bK@6PLRB6{BI50_sM8)hs63cH@L@uiB+#h6P4)hFxWHQvD zaL%61=alhX=1vphiHsbSwT}=@F0AR8Y#3`EP}&bQrl*e1%J?w;y|B`}?z z;toe$FA|1~4AWuKu?Ts#3x^EK(mR!U;E+y2Bn^l3@OI1GK@U{BDJ6B*q1mosD- z%nB=*4M~8V6}OawMUL_mQ>xL6q(O3ev;k4C-Ip1PN6sfA_)1MoGdgO$>{&`p^oDTC zJvz8Wx{_FrAgn*uL1wbNW-^X+F=l@mBJEz-k3TY~>nlf5$BtnT_V1@RoD=Q8M8snw zdzY$gDp8u0CcQFex}&v8L4%{WYZi($d7Cman&3|@J^b&6+}!DH;I*h5&w)Ae)+wX! zSzA2J_%GzDF!ttYs!6=Oxf^mVxdd5sn3a2P%sCFy{|iD{iR@XNV0?;A|B0>mPRK_{8e z?qi4rmKl@|oKk`PWzKe!rb5Y`rVsl4nM+cYk02rO7c&)Amwc!vdt`pG#ztn_Qcuso zN-^eO-d3gfHClKOl8~m#KEJuhG^wsijlv?`3AY(V?&r}_#If75lP`V#ph-V`5*5x9 z%G3?Rh2s&al+n_)G(9CbZ-%P6qhYUXy;g$tdzMm_8i}$njuCd3I=fo1X~HhNC5g0h zILwb%x2jb%fgYbRQuOsd>R%0uee_GSGI&kbPw(HQ1IBt0nfJFS<8@j}raNM(Qt0;O zZd24*3Hj4>>y&$%YPw(jAyg5)5{MtPxKhQ8yIJX$0HaS`3S9Q(9oi8>7R6`EGr8|` zoWT}wQ&Qj)ne59szDA8FTegFcofHmGZMW>N{ zx#h9-)@lsxPmvQgXvZJ7P;v3M)4m3KB)R&wdMJ9$bTh3Oe!^8oXDj+LeNnb=_o`Z3H*%moRG&B6#~%F_9vKfq zwE;o4?upU)b^g0a@j?2aN}pRg()@=u@eA$y?1Da8yqC#%6pd*gW~1~Au$pA@g%MSb z=PCZYAUTAv7$?67d1CA{%|{%?@82KZ<^Yz+b0~7?5|n&{YrGH&Co`5S;9AktSFO5R zG?8FeQ%AZ0{dvOoEfH+ijg|ephA->>z3eN0#8lcSYeL`S-zC{}*ISMW&`0yO2I>a{ zn-o^WVGQ*)E+>#KHBkwNLyg9uRj1Q~6E?w5?f z>L?ryL8h zpErZk*5O0*mH9ezFznh{sJT-&e|;Qswc%S8V(2uZgX zh$j$I?@uB>_T=%GY4O%`2-SABCy_FKFVoX{XrM(qtUh10SRaAHK}rlx7L_32Fvu`)C}GRbTxnFRJzEV@fCg z2~a{8^i(^Z$&NU6gPTs&`_+$Mm2B+9dBr~^DsQU<5tL1l@nq={edBF&{Yi6u(??xh zj?6pc*Z%r=hQ$u`8ipKA7JSy|2Aa@H60oUH7-m4;$tbgGU+?eK0i`Qb8891?j4{q4 zaG5e~7id|M>h2$CK2tJdB1J}!=a0bR8ZpYf+I!jl(1t*FFXNRbcylKr#S9H@6 z4|oSFCS^CkagruTp74}5zB12Py9%9$L~`g0?lb+1U^b8|3a6J zyr#Qh?s{d|+W1`0;L>!)#kWTWFcDmoI9wvGaW1~w-u#>};%!$3C=cdY!|ANiOLEL5 z&RyqN!>7E4JBGmUCiomAjfB)vclV%yGO`sBL%i5?jBDcFcndzUV9AYqM&TcBdd}DjiaQg zlW8v-F_x?SGUZ2B)*mz4b4u=Yz0)V$Y94079Q-7tfa?g^eePC=9GFYsi7IY6Vt^u0 z!VN!+vy2f(-eA4emaKo!BLCaVkAALL^=%ZeR|B^E1yS6bnUP=TtV%dUO&vV4x35Tx z3|)XFZ)+T1uqAHz3IEN#5TPYfYX6C-%kIfq+V5!w>}Rd{Y)uv8na6WR&A>+G2;Z9fa5eF^J5?yx4GtkK;xMar6N6UJ(s4x59 zk{mYZMw7Vs55q+Knj3>S3c^=M{%@&{{oD?hS*&^CjI$uzZL!-M_t^_sUOO-mzLUsW zHH{rSW&8iibl`W-V(iO_|0&b84E=-QcRO2Mr#fXi7uXCwcX`e@#nZ*>GpKa4AjwUe zve0#w@A#ByZmYMl7qdL(Uv=|w@pIk$l>JWGQ`S4|oe}O=|2pRWw~K79hle79T~IO8 zr-D7x2miMH_gPnmJ-vft+}WZz8ys6f=fP%VcQ+P&SjBvIt?cBy5}E_c^f%$$psF8{jU^$4n2UG<=N^I5Xdr=DR8Ox)M6 zt-TfH2}+#jTf4lzP?R#m- zYdhSgz8V|z(w_Y*0PaqBg|%=`+_cDtF|38rt%L0C%BY8|f>C8;EBiux#6x^^GENy* z{UCbh?=u%2Q%C$fCqy25bh>Q8{@RqReYJCfj&YM_`lXL@0P)_S+iG4g%1=?ldanJ| zb>rV6E}0YN4MT}|e4(S*)wXp?@EKX5yGDEPet-Sw{`$?>=4nd*=p&0+z|H-#rn)(^(r-+c0d4J)Rqb)U8C3LScmG|e+zlC0- zB&oLP2k9+uTUT5sB@gY>@q6g(^RYzH*`^P(f82>uw%PO39Mfepy=zILl1X{QS*Jr- zsZGk2R4h%eEkT!T&*im6erJc)QF0lxklL85HSh-06L=3LIbGS&v{Z}|nqD}nYqvg2 z^k8X;XHjI<-6#3qArMj1(<;42iX0mWw_;Ly<B?_Wg}C38|mVzYx;8)%}Mw4d1V)Z(&Z_#onGw4BUBq;0e*3 z*w*kED`hM(t`qY6tO9_QoI3#;g`z?FBw&zIUfP$0FwRr2AYFicX5J=^ zfRb$pDUv(7lxrob7U?U#w7846`7noiLtPzjwCi(MB$E@(?J*+nb^a-H&mU~5ly)q7 zM9X9VtlW3VX+&RzDfY(OE0Cp9YkVCVn;_tRYL4e}@colDV}Zk3zYa!t9WMOfDFajr zY`Rp;wR8huqA$kMD~v(Fz&0JdBr_7^P3>-`G97PIK;*GZqZ9elcy_l8O(aJG@f#O7 z7=n^?ES{*4^b%hurgb3;wwrc$r1IVwr=rp#KYV!F)G@%P%Q>guyB;a2Ho%N7;^vh4 zWoWh+E01xi=~Pf1UjJud*!v}7x_Zj~CKL>Z+H13{}lFZ+t3@e<<>9kaTG4d2ZNc2k!(-;JpnM<0b z#8utCffgAY-~IydtSuw`En^Na6mh7}PDrMu%i+aJCpY6XPpnl zk>)lbpehL}rZu#1EW96|j%aKvp+y+N{7~Z3kdso|!Hm76v?dzpXf>{MaVHoWc)`4v zd7**vb6;|#DAC#k$-txrD2WoK^6?qQ{QWlyrgv&uR(~fOg-8oh;!>;ffzy;d>Ru?! zwv>DrcaW4zAYat?{}cz~XX-xbTCmpWWc2}lFh^0(NArQHI5=T)wvH! zh4Jq)q6TVh;cu+yoby&U6YYeu(IEkltcNVc{b&CcC*ujy{qSDKdj7b|hQ)eZ7JM5u zQJv;UWzO-e!N_9wXy)p)@LtpHquobsUvB;YXMc*Wj5VS9OD49W4H1vA`p%bex$^<* zy=Y@#y;SAIL@wK4Yl<4fn4;ovlq>;rv?`){9yEV4V7hEuqkPuIs^>CHCoJ%VUR%+K z!!gAczQ_;rzl9nN=H)~!b3_b82?A4}&NQXR)6!w2o+8m4+z;ShoDR3Lx|B;!ypto* zpO6|#Hg=1xC0lwh3K#d)f15Qxv1L;^IT~JE1|xTJAnvwCu5MunOni!ejCD*!jH>G*4)f~c^i z*;RS{y_DiA+|B`#>f0w(kzlwW+k9 zC1m>-jsfE)fzGtIUt6WrbH|73muA8{j_)rEg-kV!Ivg+s3?qcJoePuRGcIY)aii#@ zBVCW5MwkKnvJ%V6h}2Y&bxbebiRIQqJ(IF zK+6srM(6Jrz;J{EDf3uxORG@Sf^>O*l=7J!S=Fh0E9t%PO;097%^BoDVwWYcO3 zCeci>=OQE)v4@p%oz#fzhI2LTOLD=SU|2l#HlzpiBS!H$I^f&RQ| zH0DTf=gzOkV#3-SI2PMf&_iA8%dp*e&>R|^5T!~EXY^EPGXl#zbP{#R>82>;reN9E(~onG%XQg#JFT~0`nBk}p=<>zeXkdKj7roXyxRx) zqcSAIERwo=AkBzliFpC6&Gs`c`3@6t-gq^r;LvegS&IrU9UZb$i8(b)B&bo*?pLNhcZAn;&u@UaB4H; z@@RPk$mI&AJ_t*NhmdDJ{8yUQ{RJ178T}Xsk1m|@7o=IIxIRM?8QokwPdhh?uCxBc ztP`hQzyfg=W6ZUI6X8gi-pS30dh*XP+<>eLO75?Mz>~)j#f&x01OXIAkOEn z${o*5fAyR5E^o$IUhmw+^1kS?+U~WZinZCpcad%0F+O`K>+OIT>-H-w-hv0`v4h;P zw*Ps{pT=ARq)TOJbrJ`jG6y}e&2|%ezO!r2^D@toK;7I+vROd^D<)Sxws|pyDKDa4 z^@AawTZ2oECwS$UmTn6F{Nq};liwcrxhPG2^4_nbNq_R)^jX?JcO3uiq%~_sSV}Kz z;mVWu&@q@A-o-i?leHJ+o+~mB%2)-Qy%DGviO|P;iX=xpBE2?54rfJGc?Bg+5A#ho znx48z`!7OtVl4%SN|*4Xt5LsvyY&bLEF+Y=1yhule*{EE(MlY9(rklMRdisU8IA+ggMR1iOfGZ{Fj+Uj5lu-nXY7w`X1J*y)ISbT*}& zmhW%K>&>~;ms8lih7=VOXg-}SF9QgC`2bC*YAVye!S z0H~}79gBgYBm9YKaG+1}wCI%s!A-tA3x^{^zV>h=R#=Z|c^tFJqFSZ2Ycvv=`9HOZ za{UN;poe6osY$lzNJ7W4$fJLh=O=ew8|-V5zk9!sM@U<%zV1KsHww&d|Jeef?)Qh! zJru3-U>dE-cgGtps0rRZCiS~ImWiN#H39Jn5!8)_6X~@0U+t+01iY=EMCsXTiTT`5= zxJ8ufo4;rMq1(R`lAwm~(bkk!ujVwH1}>y!^Do)c2p1E~`8?f;`M0d44=Wek(vd6y zaciKKc-&S)myZ#d%3}HY-DHVbHeZxU@{?s#WjO37%NO<63xCdH1ZWJK(*0UlIj^&J zUdXA~EA_GRl{F`qW*SR6>Trc*X%R+3`6wQ~S(cLwa)>SsgDFHmK2%=Po?6{+Z7MJ8 zbF~}+k7}rJs-^X-&6i1`O0lZpHVCrouK+-`wz!XSZ|4^_Y)qyiqWd3aOmuC{>_;G} z^Ce3C@KZ}*Mj2bhoL7jO<1oBZ`HWVuTM3?p$V_6FYzr|Kw!h4*oj%Kn-%*K!* zIWbsW>x81PzNa_WBiR_s{k}#@NDh#aSk~`+?ho9_andb939d(5OZfvroUX`wGfN-{ zR*jLdTu06vf4cY(bz@|rB)A%5vmwvS17Ql~EX;cfeR2>9UqW2ZNcr7sb{TRVs`hz7 zov{t4hxfo_ZOEHm+_hfY>BOc!ak)QyG<|eJurYdQo7G<3aJox@kp#V1!S!7bXLOL# zlUplaqi&ee>As^>rFrt^IQ@EiJW*y3tP^}-?58U3bu4J(>vGJeLWs(&zFar7wD%m8 zzBg79yt`K(kTG1b+S$TUiID94$<+;~WwS*9KdniYe{6{KbOMS6!}%|BReyi=mXA^2 za3O&^cNe_Y=d-kpoaMId$rHRyHO6&qj-j{RExN|j!#LD{qZK1ynlR|5Gyh?=cJ$m&( zcMFPAZzS60ZAjG|sbf-zMGdEM*6M^(&2qzCSFE$Hgad$U3VrWRM` z_kezn(;d}abdNmZug`|}h>_Fj8%n69A~jQJL*EFF(-7smK=^THbLbnVY^j8}E(KAr zXT*=w;r&L}Ku(8Rzz;U90Fg%LG%xKN%=uU`e*Wo)r@w8ybSyI#Sz%-04C6uTjYD;h z8y^C@)n7j_`o(86L+19h-7Q)|-n}FEa1S@vGxCVGDkm;3o)l9ZL16=VZwla77Vk-= zZw{I$@tx6DJw?`I%v&oQ=Y=5Hi?eIB- zNFC?Iujc9DPqf$`>)XZK%oyZ zv0}I+q;b(xK|num&Vqq^6<^SecQ$53@#Z}s$~uaxavb){6BQ{bt@$s8a55-4I$GKv zprGQEq53CYPJrud(EFzM!P41Z?9QTMq@oeFS`UAmOY`9igQU znTU5F_kD%;{z)4lju0V0VzPY~B@XJ+=SZ13Ki;=|maLQQ?CrC2W-nVUy zE!2955Ub_RRC2zi0uK)xxiJ4N>tunICM0c2N9NEeSeml>wLfQTFW)?2dCZehE#exw ztp47|k10w2PNFm`y((u!WBM|rq~j%l4J-T6xzTcp7D0#qv!$96@F;PXCW$P;;$h@2 znNdi-qi$_LG?B@wQ(~OP{h$rlx&#{z0AXvF3}Wk|{o>d6`) z$_*588{>gQD9Z~?gN|6)T7U47d}IF|@>Xhk(ep8QU&*xs;Ac*8?Bw&=2p!>50TlQK zCG}i)fK#gwtJfeJuwY8m@@v-{dHqAb`lTnhA2a7B(){;11LUu=EY7n?^O>&LFHbxza6~GZcgTo71~iP> zO?>Z(bV8`PTmFxbLwL)qRM|tL{fY0eloTJID1SO)!M}_hqHidUQ*$lUjX^89@c?zB zzZ!R52*|h>WQjd}^yK?1bk4;LeeD)wd_zsGG`3ZI#qp&z!`l z8|dKSV2;}Zv98@rl+~^=5pLb7C7UiRmUn0Mc6j&L^ZjKjB!Z}usk{TSQ;BeGm*n59 zrxPbKsP}uQD;!f7EeaSWZ*?%)`rhVGaqjMC9&Hm7w*V_kNW#^*f+4Z?HJ%9zeh`;I zh(wJ1hI^V*ed{7b;F+gKTN=;wCjh1gQ>pz3{*-PxZofxBRV=~~rM@DGiT`qAJt3aB zPT!ccHF)(nl3GOXy+rO;dE~D5V1>mrs^ndS< zSkHyCXRy4&*~{6l9%cpaa0zqS?&f~qZ7OTk5%)K~gW;Qrs5;H!D_mUM>hK+o{pa?m z=g9wVkN(%>{ke;mams9$dC#^-i?Kag{2$vRj1Tu+*Rn&uc*+W8Z(iiin%n7q1(mR` zv98)9JiSBM!kONl2QL0TYiHLi@8~Ml+zo%a2{bXY02KY^`Oee1AzY@LWW^NU^vJc^ zjU&og`<8q<6aZ6S+Jz$@IS!u*J#yUT-1;hOn&MyGut(L;x?z)lerDZ%Y7UK^WWBqY zaWY;AI{y=jVX;x_V#)ZPVVY7)LDgQ*A={)^{^iMBRSdc>gkG83AGmq*_LP3-!<$@^ z+|86N{*m<2r2j`of8~zbi*ECM-KuU>&)>n<-{Dt@!UUvB8N*tl)Q2e*tnDkS_?RH7 zy-~Lqqh88P5LMnX){j-wqh@>CPBlcud2LWDJ;LDZ7|z;@M+B$c5Xuez?Nz{F+TGs1 z79LiFx@TL*@Wdaxu3y^iuKUroNC5kjMsjWR(!IUijx^`d9LddcvOL&Cy9BnBH!Z2z za^4NNhIf~J>hm^TYLk-6q(F%`*T&dADz;fid+Ac{6?1Lvp(mGj^xSYf z?z+6go*t*9#RH5^!~Oo%X4saOLxqi**y~Ln~`Wb}L`!)^X zYS`a*9z;7)LC+a-U1R5d94UuaVz;9qZd4QR)f4G7(1&daS*eAi+_m%6TMJbbs5$l+2Sy#SK%vMJ?s-Z+- zyIkXx&P{kzHV=4i2`U(vRrus_+E2?1&<6VRpNqWQQtDe=HV4B6S=me7*xzq+tuB<` z^_UUm=}73}9Tczh|8mnVUHbegte)!mZ4c{omnVytrBG6Zta`4Ryd|?L=S0BKZBn|m zGwjXA3x=w-Nzn~g#IqOaFTavzm)9QwplhU~2^wRx0eESQ6}?qkB#gw!ERFDGY~G^4 zEI{v|Erlg#8lC+#W20*$aIcC$az4u_+7OR(otOcX#*hV7-G+@oVg>*?Y!4QrOj)|L3!M!aOd3 zOT~7uuPkG``*gCeGKTI2b2m&`J!`|<_l@(m?lySN00(b)uWzn?H_JCy=r7b%{^se* zT>GCcdf)MKM(CoWF0t3j?7ju87v`YVd2s31?4=#7xBvQww`KW(|60x}_BfZqu3X4` zg5Nt8`may$0;|wZI@=||b7xwWWz7zjVDi3aP+G*~>v^@FA&HUmGT*|d_}b#UFrRDQ zzG;ZrUN*mtA^&piD$l(hZ&&y-ilcBwVZp^KzxiHWSh#9A z_s?7;$roO_h)h7tWghDz{N+R!TpVU!i7epNvaT}Bx3DW0XU$jey1Hk1>sBO9$?^(P zW$nd*6+rrQL&cNOV;n zj9JlE)*$<8QbC{)LtxhB*D7X7*AtZrP#$-7XkUD|sN()OPEo03c?Didv3rQ;Y(>Qu z$0nyr(UKgQ%ySGIkEPr2q}y}J9KCTkHviiPpXr0DI|g@6Wh`9|+he+t374DJwH~1< zp_X=4w6%x;DNSuvR5x={i8B2@j9nDv8qLR#3k%BgeuD0p?tLTcb@>TQjVFZs%uZoUR0ae^i$9ZNwzk zReoIa?xB+BD~?@nsZ`(pnif40#H>!{es4?e-X`iT;f5!7ht{;VR5tn2w_4`kf(A2d zyZqI@e5A}ot;CJ)VATt4;#lhKRtEx1UggI z^5q?j`5Vm!%<-Eh#Uek>u|Vm||3g@kFOwnGsH)mj9RKfjo7MQ$vEUxbe_8p&B{}kK zS0g$D7{RYn#~fLb54Dy;N$ex0bb+U|I)kpf*6@MBN|rv=#q-`j7D87h@$Zgs0=bv% zO<$a3P1Vr9=y?a?Ik-KwlG*W4Oan6t>(Vthn4F=7d>-ASSDdk8Y!b*@HBV>H$C@}D z$6G_w8QEUsNMi{RjNj-{gluwrjY6 z@!XsFDxS$^VEl8!md;d|n$AoN&DoM@gq)PE`6vcS?au6+k3~;9zO#yVyAH3{_cd^;C^3eyD_YX?0WC_XK)q)^>l8Cna%hnX zw(j0W&hXq2v5XK!4*EQa29_h4`y2m0dYOAc`}J?S04Jy;X2OC8?NEk4PR0M@>dyH% z64+G-Dp^IRI(6jIhzpO<0w3sem8A#pale?9z4X3*kt6#`+=f;xn_954Bh`$#y&WSzg zC2u(W^9`Re{`n;O#$m>*2jw6f+xZSn1z_gz>K!(8hjbzIuRsb`r%M$ChoQdA1SuyN zn+I_9L~2en^3MzYhp)04X930o_3{7z47%Z23@g+vFpRyEy>Qx;(Es&7 zec|FV^%lOzC;xeh{m0Qa7P$R?<227tW#JKMTs~zndm$R%*1P(TBmKw@ea`ho2iwo( zN4NcUR`ed$3m(3{6Rb?PYpXrnT~n3rL9F)z?%O=x^LTr=)m=W#b@v0;oP|rLZNsxF zzHIsd)<;Hm*>20My~7@+?wO$~&wmb8OwI}t{^=U(y`#J`b)P+OTliwp;=w|nBJX=) zUOC3SX0M#VdHER^Ua5Gc&h0On!gVWFbojp7@_NVBr@r=Ade+w}7MB0M zW>bnsSfR(d1FS2f%8jY)juQ5qfITz4=Zp#GTn8-wBlnjgP)GIG-@LyO%?lc;WUcx< z!Y^I-<7nus;fbT`URA8Cmz29jJ$HYb)e&M)2QA=Ki!UQX8PA_JiI*xyHZI(I$!c(#fHc@jbxm=<3n-U*DRr|8NXf7LbEY&e_lc!~ES z*PA!#8Ad(KImx98ah`U0q zx}?TTG=S>#`0in$*0l9c@GRZ;TjEJ*YD_J5ccH5;35Nj-QpFZQ(7mSjySOc)D}MF& zfB!7uXHvY+15Fmf(YI;0gkIk4abj=gp+<*z?91S$#pDgY`L{r|X7JP|U2Z2najoTZ zdam0LAyyQZFXWokIb2HepnO?ug`MBYz5v5%82d-;@d6o>Hz7eEd@}EhaHRau{>ix; zR>F)A#D84lxxslMcBmMpjFR9)b#Pb1X<>9I?8+r{#r?c?=JT9_54dv$1tB2$@<)J1 zd@ET0<#e$%w=NaN?>Gilzw98ubQ%7%2oVzr?s#RLBV>tC#tST@#r*271rziinm79_ z9osAvP4=pB)5n|Nn@oNX7+AO>o%%8(0UQbPro@?W7p+VtZx%a48zMDzQ?&~LNTx0( ziKuk;TCQw5tAd&ZUAYZAGs_W9zRyTs{zwW=%Be6cWnHnRCFf~2M55N-8HBNB!PZ(i zQSzJ#!PVl|h#P66^c2Yx>!VIX?wd-7Er&gDdiq-UpAA%nUCpb?QsFKc+ipb{X5z$S#pwPcN(tH&gBq8bu4t1Thuw4 zr|Z5~r%@G5s~QQbJROd&TGXD9@3 zJkqoc*hrBR;y*$OQu=Uw39ldT(wNu8!P_NMaPdcsXn^;U__ z=DrmfTd=9Z=rd*^L<(RkFuvSS1{)db10j-hud9jd<-V6;Tdzf+LotE(TEK(~^ImQp z+~&|egFWEfGk)3b=&HDJ7zNGI`zTQ$h$3n}OLyCKA@REE)3U7hmYtj4`9zg-zgW4p zyN8-GVJz>T5c*9C8l10x;w#k08Y9QOhb5wgA)7X9Y2TI8m7zxox6bs%h}pAMGoZ^LGF zVd*m`M04x+Sv^Ki@9pmF_n_v~R*w3npIj9;>HqxT6!#-#E*~#F@7T?3R{@hQuHC<7 z-tmte7p5FOe#+&9YMCw7Z#k0}jfU^q^`Viydx*{3#LVdF1))D>h?lZ| zZ?{CThCC|ndxoYRap$d=+`n1p8KRt*Y2W4)*sibj;SKqI_^T=9FJ(zhOB;8sdEO@R zgU|1h-zycLSI%@3{i)RK%XWX&b%*%R(j~&*!WC0li^FBFW*H8%LWO0&u{U?iU2*mk zw1TD?%VVtD!;#AAlQX4VJpJ(8AWdhL$K;$1U5!zQylad}9%8>t%b;6CK_r?rgmxB; zZ93XDSBDgae7N*U)|S`${vy8Qupm|oroBc%P^G3OVs}gVCrj=PQGee`RKBvTPA4Z= zj&=Ii7yO}~NNaWs(ntcoBy2+xSV&Z)Jnjxl?jA_5d3Zh~nl3Nldaf^ip{Q^Hrs;I9 zXBc~Pa*@}A%Rd~SbT3FH%9)hL>MK9MqMb|^v<@Qx9A{-I7VJ+xK~^$g&8#vPu3x%_ zDbxXXxnjibQGNM))W8^!KCMJ(yso$5v}v%zT&MqcXXy9S2Abm}tu5tdqWtmVymT~| z{ns~B*7Y^+Epcs(Y;avTpQ^gsK+49Ol^w~ooTE**_BE9E&@Vha)b-x*PGYugANBR| z*7}!Pg7jUR_jT<;8T;)hY?W3?`wdTjMh^G{c-O_WnEG01bT5$Q9#5igGdW;W<0Tk; z^z_$blXF6{cUzY+e$sH_>xwx+22cbFncB8q;x>juL%02Y-j+c_uyt1F zH%2k-Y;;Wqr(n+rM7E(Z!As*P2^CTNWxHQ&QI`0~yvDzM_Y8w0zaF~wN<(W&1b<~9 zKcxEsHEpP`@5@d@i~JWqsr~Mc*ZxK-#sp1Y5aI#D-^^9-_VM)zO8RRdPyK=PXO&9U zv&SwASwU0f`((eM4X?nGJJKgp>zNTuQI)`K5jb+SlIg;0$d6)L5l+aK4J}QVjO6%6 z^i};96{Lq0BE{gXQ$KN6;Pk^-8Y6I;Q|D<1p5hdtf?cY&z#d^7$^Bk|j+T@SwPYe$ znE)i&U=~@$>#toyi0)E>_hV^)iJo_;H+q1U33ZeQ*Z=uimEd}}nW7J82;S_Mu0ELj zXiGXF?rXd{0H2hUEQ@pUDUgtA7EXX@ic|95#@ti04l!USV6d6J*Q0~Vs5u*Sn)<`L z<^*LWHJuIA`EeZZw#RO@>W%Tj()grwlNMe9V0zOw4z&qi+m{p9dwct*Tz9($9blKGwmwXnPu(LHp=iV;$(?dxdVr35}lEE8i4 zG%VuH-DT#TGRQ{=QzWnutFZp zYlEg&b6`yh!|tY}dS}-t^Yyx)S{ZgX6lAzSyP0aPy!N<^{24+tk8q5s!cq~4s=zcw zAM3_Wmk-0RDQ9Y1T9?2}gszXLT~vkK-#|W4s`72EsPVlH#>W`%U@In#&8_uELwfVs zejL1!4cua|$GhSwWeo!BIB;nx^6&b=nLaD@3^^%?sTs5}g|iSyfU&fo!ttSaN#+nn z9u*j563}9zxhDlf(M%E%v8;|Oj!w0~O&MlSj|2u=2-P4V0nWT?vfRXf^f)?TB_&BB z$`!*X`s?e2*G^%|3*DV!p(V?;B>}v~zBG-jWK)thwKzK(ad*1R!ob`f7yioZ4B}C$#25RyI)I6HZUOw1}hvw-x4fIubn6fX=k!tC~r;?ZM91JkOJA}7(K!$S~Se( zNBFn3+jl5U>Y(j~)lBigqDfF9N>uMeW7%ZSsdnZL(9g9C>n2nUKs|aEJJ_Dg z97$y`7fw zuCJpMVC!&g_g^J+fy7|0$^+($lRrm zh%r&Z-8L=)GYbU&2L)F%I_H7Xo-66_3POvjboiM&Img_A?Ke;{*?`h;7!VMXC=qPo z-oFAbXzuYe5xD0mO2OvnnmCY~_3(R3>Z=Z=9im*ZLzdFql8?9!wcu!fRi09Tzy5%v zYK3wb+$sOpx{rIDkAsFMl8=J3}z(>c;C`ALYZ7RKU@6EdiDHH>G# z8HU+v?(W13=JsbVCVyKSP~SIpU6G1E**AO|lq(B=1M zD}hiLh{CNLu~`XoYiI6*dw?TIwKazMBxq52kigjCa5FgtzfQ7II1ss%gW>@6Ml;_U zaWhrt4}HbQKu~iI!0403{n7}UU-9mTQzLchkBj>=iHfxBD>)-HDdni>$_g0M$gt=K zx6{^V`*!;L3`@6mg(ElAssgT&qd96Iy+&}Oz#hN(4k_)5ZLHLCh8w>g{W1>qFid7GbnRINQAaIOq?!j?_$(7(UQi^IJ<~?N$kgA$glE-WGRqmxz0?1sz1906RbEF8Je=(3Z(5uEGk+3)ysWfOT#+4%1Ij~$vgUSOA0eBpFI-$i$@pzL?uuko%qG%m zDGHv(XyvH{GqNDYc_G(38oK}>$*50~KYqUkhY`PKV&qaF~-G zSeBt=@@#3bguJFp@5)rfS;pv_lmR|i`gSVEx>%?QM{ayjRr1ks{?juyvj!ByZV*NL zhot$QQC(nK;z?6QO0|8YGW>xoMYJk+*ic|Yv6QA&RTALX#ZX(3Bkvxa1;0#^LyrOcWeG>66YZ1PJV^8Y`4y=h#NXWIUc5WrT@ zX~$)J2E{7iLWNGJ)e0(#pi@+sqQCuUv=w;y{}7Y`@NQ(Ph4r-Sk~<>{nra+YOkvauJ|8`1p zgOi?q-mlC=IkLr3+wqU;HnNYs9=dd*`pc)@Z+Wi-#RwNf=i3(F`Kxn<*J9w&R1l4H zulg_{V7K+b!g+&W4HZy-pZxyjx0@~nGn0d~yw!BG@4n~YJ#X^yKDc3DWXQ&cUx~J= z@2OMY>sL`WZ0%+AzAK{amj(^{9nI^@8>d{!>rGeqT~g;I1O(d>`qKl~7May~MFByG z=4xtSFi5d>Rk3>C4N9M#o|Ob~@}6HE@Af2d)OBX;9WG>WPY@B9}Z07GKk z3>cANt)|*R+(9%BqyTEAtU~m9F*KI{ez)hzrV73F*DczO+e*;4atR;P zpmw`d?Y@_{3AE_U&7eiUUKtQKHCEeA);o+z4zvGhlNHIrI+|N1b$$sqa1Lwu)Z9FIEO71kB+3C0@v$c^{~`PH%v02&tvcwEOdpZ@(pb z?JIyzN9YzqDva^e^8lYH(}QzY2I6 z{g{P&LFOCptH+F%DU|oeLCN}mWe(vJ3uwjc8Psq52KF3(UVri()K^L1yfq<*SvBxC z5z=)pjeTS;1g6MDZ+ZpFE9|S;<$+us%R;kH^))1+17^y#JI zn`*apUR(MI6Qu_;AGQwKb8U5dkt}pErwd)^c0fCXF5%=8$GZs5Ja)U?FTtjuGo*a(>?Wi(;0qi$9`5m8G z&Zw)+kcZ=h-yI-n-Cea`luYX#;EfZFchtM=(Qgk5@DAj3AzA@#0mFcG7_`LCQmWxP z>g|To0K|xP8?&o~I*$pqMfTOOdg~{IsI;;b=XXtrWq62!l+Oe1T#XRTMBAKiqX-5e z;AksUS7)sF1z0@;5}m4vQ`sQ=my-4OC~Wn~RWf;zov8p;3MM%2;XPZt6ClspR9KD) z$mywh2<6FeZwnQgsZ@xS#M{%H^NhPs+{}F1TkJ~IH>=R_@#6f2Wgns<8Q3PA<(dT7 zAbnZE$^P2Ba{M2K*r}&|41Id*zEQk=)HA*pqyh!}*ow7I9djRKNG3|x#E1>G%fh*2 z#xyWpxI6C&Y`EY7DS!f37&~pFDec5=GQ(2^z?D?E+LRz>KdjO%bgRH#D2Mx3dG@O$ zW&d9m9hl(9@9WExvYGqtvJOmw-`m-Q7qwzb5e0isDkQvLjWDr_8rp2+%ql zpMk?1c}8ECSy{z=?+Kpf$duF}-LDSZ%GPXnN(hqyo6=L@mK8IU`7#^h?%~p24NtC^ zdssGK%a5va{miJK#2X9_bG5<21;MUzG+(DBc!YjTh? zrSLvOF%B}vLWK7I9K@2OGrVDFPKYLqb>E$kHn{Dxwx;_}C;K{$(%17y-elPWG$I|o zNZrtrk`-re@%8F)DjWno2zZ9y04<3be=PBu29N!UzK>OR)C03Q@=84p%uyOuMwOl2NJCP zX=R{;re@O4iq5uv1S!IPoSztEcs_;{rMzPwy_(g3!WUKwbP|7XNIoO13K<9=f|Y zH@k9%`N2B(=o!%#TLTHCV^^B%2D5b@7AyPV~a=!NdNmYOSi5rAK-p`naGIpBe z=1C4aGgg4SLNs1LF8*0HvSEl}2Xi}6-E0c1O)my=XBrR;yL%LM@0TnVmTt!o222Lh zNF{*BKTdzYT;wh`vSJH=DNdpIDMnfMp1C!LW&eIuDM#OU44>dm`2cl_d6HxAy0EO_ z@pszJi`SyFQK0}n*^Cr$$4)(!=>Uxn z-pI3XZu1ptnsqUfNmi_xP~;bZNSMSf*!pUQ*hjnQLO!VbjwDoSPs{LllUZ=*P z*Ac>kBKUBpBvFc;pom&vMc;?2RDp7(Zv64U=_0VFN~6*YA7#z6_JjBJw*ZPmaN+C5 zsk&uZBk=K3;d3CvSqzGIssL_OMPD{WdNd)Vyui681~{@H@UtmkF_pOU9+0C_?iB&0 zr@X&r1{PYR+liOZuuxe7JOi0w{McTjsmUACclmQbG=Q^@e;4OXL+6??A^*aKOX~LO zSx|I7(`*<9P`qf?$sNrp?WGy;PxFk;5N!bTL)x;E|8V$4BMe)T4$q9Ds_T)}F;H9j zm5J6(7mfm+8s04t+Lp#3wYXqrlI*xAhBGZM%R|$G@N*t`ZOR3juQgm9Y*-OMz`+bx z`SjDhllW(|cM0K+?<_4f&5~mM+v{6s)Io4PxB>qsn|Do*raWXKqs&tUSy14XkY?Sf zj`@;B0u@rTO4-pvt^4iH;`+cLe4lYbbmk#=bjd+&eM8TGSUg5guP(hBO%nK>z>Eb_ z+U#lf^S|5 z2+3?$OD#|SIktY|U7YjzWX?~7Xnvl)9`^sjJgKBEXSRSkAcWt=KGQKz6xsVdozK6&_7J;0oMg+9Nw) z!>Ov;vz5FoNUF<)Gg~2f_d%&NTWS_DV-qYW-!!CNvUlT|3;#uyJtnRl2NTK>i>_b)@zjW{t2=C#}VY zRUq#@Z3bTfAEvUTg_vJhYM$w*&3gn>nUGQ8hNAGtAo7*Y~ z*ir?2-^CT5YhlDtEGrbHtoxgcwNFaQ&_;>&8P5a>j#q7Hg`Tt%lm2#?8CJS9Fu{bY zyBkKE)^V6MWjl*2fe!2`zvyG2zVj6`I#Fx&K#0pa&QznUie zv7ph{+wjF;I#f9>bnL?-=zKvT_uE{eon_>FkYVX%NFmlu$i~}?Or%y0;@wV@gcmYx zW2AOj)Rb-;xF~izb$I){sUih`67x zfi|w#i%bYwvc+e^1y5u6yw8&58$85fkX4Zu<2NDL3fe+gTdwsE`vFw8;4zN#I|%`} znO=WAPC4|J#rKtDABOL>*I}QTOBo*y-1xe$$nPggCAq<^ z-+VK4qg$+o79zn>tGxMUGG(p*wsse7+An02lbz`FKcZPIdeua^>Dg=E`J6^_kiETS z?`~f1HBPxb9dI-{!`?By;-9J&yG`7mPewfmh|e;wtbT!XAAh@b)0N7OFJGC;d~}););_UsA_X*Ej}MUSV}o2oi5uqw zEnW23I86EGwB|CvS`hUKJwQF65me;+LqVtS{oC})Sg7kNYyv0cINTR?;OY&lFlrtf zv>CaV{a%RRyeB$AJ5?gk9{C?*lDBvlQt+ugvuO>`@Mlkcz`MMF3=uLpc~-xwhUs*t z1tpe2Am>2X!^fX{eM$C(lD}_x5Gf{pQ#EOGM;y@r-C*^{L&mJf`eUY@#_KZ!(s$Mg zP}w_RE$B22jWt)CBqXp7cn9sSAN(T``bXAT2>NANuA<^MDf?Bp{karVSSf#x_!Y4J&gubil@{zFuihXy zur<_bcRx|e^Dp%Og!9|=aQzqw1dI}v@V5tVsZS`MVN10Su{$4p&-~_9^v;=3wyv3Y z$w)j`q0*Fbwx#I~N%1?|b4M=T4t}uy`hq^ml)E5YQa+?f0uH=n5bJm-mxE%c1?#jx z4j0_I82(bT1WAQSHZ4@uMM#@g1ewwrtGWnD+L~QXaqk6kjIETP0US-1lpZQg4XK7n zowOk9klUD9tIQ@xhM0(V9&%|wIlBuYzsZ={>G4K~b&olTu6kJWg=Wk*OV>2I__X(( z;}5UJy%e(MrM!=?0VD57UxTHo-y+zqKa#a|&2c^IV*{w{rtzZR-6Sy4;);Yp);(hp z(1*`rZCxnShP5|ITR<|^W^2VydWicqf+y1Nz^WWP((r1rq3h3JDcC(D(|Ps-@MA<# zSZThxX5oAoHEmS+_XSetZF%BlhK4W=+HQEabELg>(u@YkC(i^h=`WLa6ft1@4C6fN zUyz3)iY8o&vsYyR_=O^#N5khP*ef}(4up!yZnEi*41*qZ`WeSR4eZI=^3V=8#)uqw{@W2I3_Tp*B!Jdj}o`GRvaqF1ughZ_9!^i$c=%z zCabG`S@QBweHC(g8(AsAXdVJ{0i3j}3hpm*V*o#r5tM@M0R4tSX}h{cp?j6>$$19r zGfAa-Vxn&XD1|Ds$=9j{_oW5yaieYTSL?%F)$R_$8%p8mcOH-b78PRX8;5gouE>3t z_6K&|QmvsiHsYZ^SYQM`5w#RXj^{9*9Yb(bI+BYc@5SJXLbT&Or+=f8fXUJo&o-MK zMXp`h2M9r6P(dVQ75zfjPxjFDduwc_*YAOAS6~H7w+f2i5>sVYULNGp@aq>A3oNwv zQ#xu#5h$&)U}-Sx61sAjl9b9>dCQYx4^e;TJA?qlO&uDiOG>{7W}|HF2AJihW;0{W zLe{DIEFgMB*?ae7*`bhx2AP2=TLu-vV>;;=IFW-vayS91ayu^I0j2;hAcV~ODOS4f zfwD`be23Z%zMM4Rdf8JzpbhImcKP^0HyCx6ZT;x-_PLiW5NPIB(7s?Nxr>WH_{Giy zW|lGitBGgM3nhgp1qpA&_yFISAN?P;`v-==_Eqcu62*o2loyz*S}a0lu&$*m+sStT zcP7_x8R$pCoQc|wdHl_%@aQ}fzHVCpR6-%vu7a@P7~*XbucLrHJjnN9Vu6sb9oSf! zR>kC*nfuR!n&0CpA~@P^&1?6ZfUTN_EHaY>0*V$V?TO@X4c@gO`djxyPO_B6+=EtO0?|^BPthye4u=^ znf)7Wm)Cc)*NqSMw(d2OAQl*aLqBimyNcJ0-XTA2 zCSgFJ56B^Vq1IZJ9vWOIQIT+x$o*`3>TdQ!+F7ZVvD6ApXHmD_u(VRz>}@ZPY{6Ca z*QpDm*J4*}n&600VM`TKFMhyaZ%cE@>ctV%T=pqh41z(BR^2*|N=^vpC)9NSj}bk~ zS6rNeqq-uSb#!Yqb^}(}o^d_NX@S}1D8b1f39CSWgIxkZ3OTyX)s=r&sqa!@uL-Oco1RRDur`43oxV&4>-7>5`QyyC9vNNB0KCz>?#*#2$p8XdhZFKPM&#lNTsiSnK~EdSE>1xn zeF2G)hIcwgI(bU*jQD0yx+<1$pe<7sEe2b(fYE~K*7W%ua&0y)G?V56i|*?QT^KdR zGt__wzQlB<6t+n;D<6V}VQvhIVO+QXVwSAROh?-&Ge}va#Juf_*8LfytsxBRF~TP; zm35elsomtlbCbtFO@FS+u4s&F!vD;LHSX-6X$Cvfi=a}SmexncZ(Q;V_ zbH!Rn84q}BAO`ID1V@S>?G>-p zKHiYVF-LjPZ!4s6?D2l%7Nn5=X({D3@AQzTlmMT!BHu$`or|K>M}Uv6-uIhB6kqjp zKybs4lnjvRmGD-^*x&PA9a9u^0?;{W{588`NcZcT;R#z*E90{?Gk$OLLq6(GKH^Jl zIeYL=t|R_ukHvYNlepji`cukp7LL4}?e|A6<$oKTNwstSM~*p;4wq4qe&f;QU3vV{ zQ5V#&9}I^bU+z~sO%XNNQ!nTOkERT-j9hMtS(Mi9qOYJ&z_t`$RB50z|Hj->Kk{-zLNBxn9Tkms$F);Eh*4pR~k z;RT6!IVG-2#F_WMl*9aiL-{60_8W5cr(pgNFk8it1_Ib4yD|rKKFFsQ$-CMA`Vd5K zWo14A?&;)>pww=CGVdC>dSyDv+cfRnx&_9QB|B$Xm)&}Ym>@b8^{#3ApwgSw=bj!M z;C&K$!gS$(eJ6byAhc+UHZ1%c+BQW{x(W30b&Y8R#?0V`{X4i}7tam|k8G+PSbgyT z7wuekYX{)>A?>TX;^LhS{8nYt^8A+7ckBC(M_@q;KY$YqHSLC>N~Yfx3u8qoh-#bb ziDAF`%;r>bsNMsrmF2w5#=eX-yV444g}W51cLOj`6cQCuVJdup-zwW7CoS7%*YhxQ zD1oOtAr&|Ctq6b)qM20stXSV)!0k|%upYHhy?&rR9iJ0U>qd?mJWTa&)0AjtwLDY*I)7{~a7Zu z4EtT0AZ~7sB#9cHmuzADmHWgqf&XREqQ3AR6EIa8Pov7cL1o1t=*eH zBJ*i%_8G72Gu4$U3_*q)z7QM)GCf3ec{T@t=a)7Bz-EU2g%rsC5iza;Dln~K7Jm|E ztasJ-&x1U#o4{@*YQ?xu42-4>nwL7E{32)ZQRD&~yTFxWLB|c3Q_4s2Q`zFZ+5VSQ z4Y@@)DroX;RHncT7f=wD>PBQm@FIEeD%BSVOw3#v&-eH~=h2(n!f~;RMASoi5M)V! z&VUdVE!Hpme*kM0?1od|tSp6WU~&)qyw5LyF;caB;W_fK^j`iaz>0YVBzt-LZ3+SJ zu=g9o)+K-RJ@m;OWCd^72*#@v%KrAB{0k|KZN7fNDSixYK=9($r-8xo%P;x`?&bk( zEaS=QUB4xgfiV_-oI;7!)Y@>dH&PP-rM|2LECf3M(u@E1xc=pS~9{_rc3s<7Q} z+6rA%U1th-kUcxV9Qv1b^gwp~tr?;d5MWvVIiS=vQ*{pwou=FyA+n5$qC0uIE>bZ)fC};=*%8+v1At(1KMD$&J%dqHh6Z423I7m6Tv$J_3*1@7 zt!-t1S1lodjKVi0M8`A5x@TdG-zxm#uzw=EalY9vZV&z$IXp)@7=dd|UHvtZ6_CBA z%$Jh5C3Vd(pnFVd!W-jg+W55rgzNjK9=2QQX>-)G!B4lM#KfRdZN-PU3? zZSQzJY2l46^>hh?!uM7GdmZlpXimmsRLHTRTwBS@HrzKKO?lY&@tkS(RioyRejFk{ zMwr@+Dczfbh-i`xcQZe{57eE!XeugY!@jKxny+>1rUBf^h3w@NQrYT_#K|bA5ND7wo^sk* zZnCs`9HbDgszMAzncg6TY8sIBLEsfGNXR7oXYb;vsj%lg$v0vG;-O}*84$|J)Ksvf z!!SjMe`1gpHsc>Fa9l80Mcmv5GwOLrID*~YhPfLMz4zpFzpJ>ILGqtO(uc&I)WyKH#l7_$IAZq@w-HjO&!i1|hSQ=@4O+Qr!5)=ZhfoSI0S2rQtXyJ`lFFOgi$8c zpL+t5y>Ohv!aj?{vCAbpo+0mQc3RtzD| zS{T8l)_#533EIf(k}Eds>Zse$qy{Njd72o)qPtkD)10t07->BfZ99qact>bAUAPdQ z+!HNb-du1Hl#34WphKMJx}JZAkIa(>e=?&AtmWj~fCL#c_b0%6sqA9WlDk7o-P=K= zKpNt8UmHb-78c8a>p2GDLUs}D+%U{AyUhR+waoxZd$CIzJ(P=f*kQF8%@`2gB}ADP zf@`v|R^b3q@GXEvBcS*7WCo2JB<#o$^p;M2#Xf^EC;Dol-5^FC?Ynhv1{E__tgR|1 zI0{sm<8t;5jRS)k5{#juBHE`sYC>sov=*W_b!a;;6($!|tuYzDA_qW&t>aX5EzZyb z()e+1QzrR!8m;}J=fvgrV70U_8>B5c532)!5qnlf@Z6o403JEfIf842h?O3fLvpDj zEEjybFK#enx0sq>b`Oa7vQtPap|FL2lC?{9SNQsTDK3Je)#CikNhq@+fh)?5wOM%q z!SK2TvX#3*QqxAY{<1#FOCi;o@Xxc%pe^h|16nVPnJS2;~LZE0HDn z19v{yI60nQ_^+4d!5W}>DX}vB$^USoE$rAP{1iimuRNE^in@;%Cgj!yR05b{l%bdb zKWaHMmOJP7O)Jr!x;#i<#(Y${a+ge9$4OfqqtBjr6b%wJw+n6CR&-kzO`IR z=Ri=VD1pW5QmJgLeHka+726Rkp(3-3GNLS^+Q^`q9-J){Be66f~!_XTbk#1C&&)lQ1Jb65Dw(wePFO&icIJd{_q(67K3g<>!So=#{%u-&Y zs(t<$8%oDP;zZ&}h2%aSdN{XrKedavk_jKrR)lD;ASaf@+)YnpDLcx@U7s!VQyEdU-Z6I_54 z;Sg5oWLJsQ*%vsNlIk+?V%*i6Cz&TzfNK`!_~R`@B&|uMuBkAsjD@hk`j+*7>+WJ#Cz0L@>t?D<^OY!> z%-DwU4$RTDq~e~OqmL58sl&i`k-~GBL2}f~3E@o6Wp|qNvZKc$`;fb>xI-qAp`VmY zHPCYS4(9$u3uGS7Mw@%r9Am(T+KGvxY|VP}qMY+nj&#ND!P;fBDcMAjeH{CZp}+KA z7wvEvyRSwiBZ8Zj|Dn5UN|FI7>xRaJXmX@J!-BjkQh@{6AH4PHdC{yIaIRR4WRw^G z$WjOn9)gn-DTh@vr=V2q?5|0IaWzsvuAU(lSfq!|x!#S0yP5mX)cK^jM20tvGT33x z%jk)K?#=I|y=d38OblpUP&(bg~)Wr2C{u7ANmLUzPfKy7CXY-8G)ip-e87lb;R4N|^yafW< zS=;qGS(>BNW@qm!PjOXSCoj8ta1=Q97#Ar7W%ro9i!LfR*Ne_&*`;-=0^~oqe^tk$ zvkd0`tm(U~HFp_PR9spTUfk4HI#Ge+?@ZXRw!>^LQ<@01hh0JE4639BG7_i{zYYc< z(#w#+fN&-Fc}N;oF?(7?vi?J;9-Q20!)f+|k^rQjv}XhrB(dTA>W8)ver6M#x!7j-0 zVykjbKP{i2DvXMd5iU6`Z{0FVt|RcERPw8C#@mWKhY}uiZkjyG>%Tru?jyj9;3Q?^ z&fXfWDJv##JkCuO{%6PR#Q<$Hwo-~`fkne+mu0h)$dq>-yy%8H~k8H;%|lNV8@~IJ*GjUneUaQqd=ljla$_ROn zB|tB`{Pgq+A=f*g>dG>DU}$&SPpjjt0b95$V`sU(>%Y{l%UNe(gitqJJijU??KtJN zC5xdI-E;AdoH?JD?d0G8FuT%eg#?bHOSZc-=GZZXH4!XcyMRQ;M zasS0T-}%&cHf1nn=W7Oy-XaY#P!to!Tz+Mfq;FsGf?l#kkOFv ztbmP$jRV7hYvG6TEIe+{w8MoX@x)ABMD!0nDKI-PBm@TVh*X|LFBYR-C1XEhUe}3~@~;QPVV}M7=-M)m zyjlF+mbPPmKz*Dt{kzjAH2aIz4sdp@W1}7Sf|%H6?&}LyZ2g3(&S1Ez3=o{JO8F9xqD=$USUX z@07Fv#k!fUPHk|fEL0ah!v!a%2w#vT_dlww9bAoeR)y5kUzJyZigB4K3;S(Kx$c9q zA#|}sOsp{CNXy8_cP`kc@FTeHh<>~ayEBO0nuPj4vOc~PyPXKY?OyuUB>b+~_sXbt z*9_V-NL!To)+@6h<_X;F^%UH^JZPjoE`jtR=gZ$`t(#O<_SJDWQ|$({^Q<|}(PIS8 zH3=&w^`am*uWEfl?|piW)&_u~o^hhHr_PuMQN%`7fAYl6UU$mc0deXk>5rXgr=&GU zWzZ+46r#!#D5Yer=4d!`HFavBJ3WlFm%*2OSCFXZu5b35#LQF?nad!c=kTe^CYIa5k0&~nv z|JpD*NgIOCAt&8*=q|>eS72$ZK@>S`Q8z}NllM-w^mAguP0h{vBc`L;H?070uysPi4I+6>%L6?MiqWvF^VD?@K(!+j#N@gnaA9R0QFi;JGOwkOFhJk zm!q8_rFtdg2SR0A*t$<-4S|SA($Pg`%R>_m57>X%4A3+PtT0Aag0B<^acQai<#(I( z!|AQ7M5keU?szQv-6>{ljH){DTViKkBtva2)W11xU}oll2khWf0b36VHwuR)xMGTV zisgdBU!U7svy}=ryP6vcyLwga?~D-TTi9Q}HKq?Kr28vK?g}6$XZju}G|&M2N7#!B z(m2lN^=o2530+|Ue{Qlz*^~`AU52}qy-;Gfx-jH;whqm1_O+#D_SGbgc>{~o5R1^iDQVJ-ZbM*3*w~3h#Y_Kq2(2}8BFont5T&?)Edw|}2@ibH#DK35OJ>AT1y+A_> z(S=4}pUhh72R{S;$Lw8{IszqVT3MdsT8R?%cROpBrb+QzMdCpTy&V3SBHp?2kEj+9 z*A4d781pZqyQpX=8!GP+Y4x^ItZQbJ2+DXU`}^h|LX~A+zShuRZ>wVd<5&5y3R8LHJ^_UM49!CKEa>-=JkhI9P(l8q4_4V9R|x%= z<>QobJFFp-@LSeQS)B#6ybvqYF=M2~#ZU`n#R`yIf}rFbk5<2{_@tY-GS{Q7hhI%5 zH%y>ru&8M~IW~9Pv}X6-aI4Le0}JB)mYs63p{uw|1T*&2kjJQn&1@Noi)vUv0M`8g zpz%tTh`s+A^jwzm8-oJ(4^-1#OxX85&E`5u3&?Ru_k0gVEfMJSbr{#)BbU_8=i@@f zh~dLI*g4Dgs+#QYDD4*DLgz!GSsp;FAhyN888%O#=VH=GsaN z>mOVP2v=oE-m~R-)^^W>2oYlwpxiAS2dt;EP6@*br`81zAH=CyWpou6nla-^IBpEG znwW(P%laLkWfXtA7Ls9~8tChIL~p%zR{a+c0GDYM*Y>?0sey-2$Q9Pb7Z+tiau<;N z(y@yIA)^n^?EBdQ;L;Kuj7drRfchT`a$E#C2C-!p_CF+jmsy85Q_~93n+WL^DFlaL zUe-Z}wCQh^pv3~Y4SRn}BE(>W`T6}A7CSmCy=AEpdIeEW7BF669ws)pYDQGf2Sz0* zQnM{Gy3FL5{PC$V+x3GIECa*yb@BEBJ1aINj3y4pPqjcC)JWYjiv+|r(O7#6cCrXK z!Jsm~LIv@(akOR8QnY55HQT8MIqi^L__F5_v-efs12?qJqcV5)S0B%YiPy3J>dR^G zmosKZ*kmdM_*alf1h)CECS+FLKuc%=XTjb`_jJyL`x$WESDw|oFky5ab5BWeZT!7R z6&y+nic<#sBB_|}c;HuGjtND-*!9}%4j8?70(A*fX5nS88Are7DR4*lV2Vuke+Y!fLIDG#&oLI}0@Mb|)@O7U|rkavMmJOF!M;i*p{R z*w2r{CMPMExyR^=R?*|Fx$rG`rg^D&YP>;dfE?~p{E}r4cA~srGc&^8oel7A1#xMZ zJgD{RItVFJ5GT))*ZItNlWj5hgj0SdWg6TIHtfYrHptY=#zAWCs(XLeIM}Sp;of;r zSW)R=cRA_rKY)daY91Aqi0a+5E_rJV2;({g3KTR`!pbO^>lo89-`OF<$C{J3i-W1! z4WtfZWc(v13q}}G#@e>gzMrQ?v9`TA&@_>e0~W{gWzH2ysxl9dIWbbm{U$SRXvl`!F4EL3}U}~BHl6M z#NIgz$|GqEP}|7&D+ar%f@@gd{+FDbhBN+lczWIBXw!yB776nKr4D1Kyh_E~HwFOw zo>B00LHB6{^9M}rX34(p>9qC?sJURu%-YY{*|en630)JYJ)D`3g#=_V&EVV#Wf#|7 zc5mG9l(j!%sxFR=$S_fXwNxB}W1s9b7BlyUgK{Gi|I{*yw(SL3{C;*CD0;|2mx?e| zW{OfL$ZQ4(PMXdLfn{M=u{9&CHq8THkCwz~t620-!}EF`iI@V?!a*QR!Qk%vbevn2 zS`V2WAj5(jC9O?Ydv@w9hcKGPynE!I?)x0pBu~&Cj~_p>{`_6zHI?J>qu`AomPxLf z{K;%k7mm65)^cq4(iD~96Of$+m?lO}IvwonL`cjPSwI$MU1+k07WS=ZfuJ)vyxrF8 z>WlNJw9J~xUeCxRk(+&W(H6A-m`Z)Qi2oprnw9VD<;qcWg0o8)G1~e8Uk+xovS+=u z9x*vYhjAfJ)i5QA5P`Cb{6Ay~VC7$OnSAZwmXM8YDm13U5}#n>x93n%RF+tuxXoS9 z_?vo-bvE37u>)d7TS$Ri+7v{zMcGwn94_VCxZw~#AG@5Q1WyXI`l=+@hWFM`7e*u{ zJ@P=MQoHgnT{IK!Y=55XN(WCTMWdwluELlCwL3X#1jYj%UO`O5T4 zkUabP30LiQgM@F9=e=^WJzNEhmz%SJ*B*q{W&t9|RaNG*`;;@@vBm25&Jg?V7fBBd3t|gpw9!!T~@%K0ifR8XkF1JSh2fm+aeP!3W$0A;YOd| zU;lPf9VgfmTl=%*trEuFaXp(cJVtO<|Ss0kcJF>dii(Et5=yHu&WTB(rAJI*RD^ZVvl`|@r|{m%LUPnvDhta;V0?ktWE55jm$ zYK8pGrEV)^LWNe0)`=ys_f>X0xh(wSHJ(8a!k{DdN%IgHqJ1CvWpW$GYZC=&hiu9k#lNag8~v zM}Mg7`j%7ptsUsihZ1%({WS@TQz>mK?TRCbH~1|bRQS^RQib0)=q=I>+bW4m2n;SF z)gmi4BIL|f7AIM?%d`W#1%xE!e7lboh8=Ferwc-<2vl`O3*5u!^Gm_>${CJ#mndK- zWXXli?baLS_vS_{=NZf3ABoeNmK)Rh2A{`x^D5LAd_clKJXVb3XlM72-%f-d>HTyR z<#k6KCYH){KMIC$eEo^;E)+SxxH7iD8B(!x!}{^>zB#zvJ{K{C?6~JT z+Ix`~R*^S^A|e3djMBXtH&-mq(>LirO1ACdhH>xTuwR~M^lR*0`PJfxlqBzy7{Z%N z^mPhay!mi3I{Akj31CH3_0gy1bZ#pTlC@sO;By1?dS~B1n5`>S;(!4`DA2I~dH*nh z%(JtfLhMh>d^r8%3H-TfYMSAbmI1+MFRlFO$`>DC(v#uLHEyx)a73dfnVG9ttR#>L z*2K#w0utirtJo>OLk6rmEwy)JSxoO*1b~gb@uRttgQB$M8};)_7uX_VR0Nkxf;Yg{ zcsK-v+-f=xR_{4s&{lowyVn?P-62N+F*(y3D~)p~N7a{}PKVSgg(Jok~%3ztAM(*OF8pSw@97v>v@(j{_cd2lWA`#}+aB)XL4>t~RV%8m9gxUR& zaUnG3HeKaGC>`6Jg)LIDo; z4DVq7{}=cRrIL#BjZ)*k@1uSx^Vl62j%r$H>$S?Wgm3~bl4zV^QMjMtO~ViC0t$_n z`$&BgXm^YI>F~u31|1=b3}Gz?b~E{jK`mDVHHDfE9!_`~G1xgkI^`Q&>uV}jNHyPx zi*@{Xh-qxy-=$eVtZ72~lYl`@Uk(iKXN`)&vw*)12iO|<@05tP@(wx(+W7*;WIOAy zf#nG>tCy?OQCYfx|TT`w*UAIxDRNY#3GyqbsV10~)O_8_SSofonts-`^yYF0 zF9hb*hY+`aSWghQM|&{78^Z#uO7_cYHT>QVrzmJ}spBR7n)Xtnq&>Hvm~YZ>@~4gdw-qB;N0KH z*sBep7B*QGkh*J}z>z!;jvTsr{Pr`!L3dsbT-hLADuDTa$#Ydjz=>p7CXOF+K!`CC z1)m$}HxM1e+sIDxUQE2~wn8Npo80IyP7#QuxWP@eni?Hdd7mDbchvIF;$D?isJN#S z;}5zErI*;-%mgB>J5;0`B{~`MLj9})>qsrfZ;z-S8K$t0qJgpk9i@BN{|c$e7WHB$ z=5d5a?hxkjj%m^~0zXfa5ft!kI=phX)!uawR&Z4CDIx_$dyOLK>kDImadrme4XXaS zj;O|04STTcc9m;n_TO=1xJj8C#$Y+0FVr(TuomfW` z#!?OT);-O}JIW*b^qzBM^$-`zLtu!eBKg}aj~~mI2X%MB)QG$uFzgn&u8EPvYhhX) zokt+Donci)p;TGxfy#`%V_9H}H;-UqBA0faWl+}*Aq5wEM(BZgoTJN31ueBgM=57U z>cweZ>V77Ah3T*%R`pj%v#%h}8o!YJ#IGA1)@hkIf{ZkKS84%$B5+D}+eRg)XK=T< zJZn8B%6^O?LJUbBr9Us7wM`Bs>Or#8$%=oZ+cZJ7)U7X35R$FYXse1Lk}B}ltOztS z5=T@hM?^9#`t@dVb%u3V`Eq*TU^%v$2mNM#@5Ss@F_rl!!rnF>Qjw*@kZk}@dpIFO zG~hLyXCAnh^7>aY_^7IS=5Mj$-2B2k9IihQs>i!?PQp!?)ZjL1cj)oLF)@3xPmu#- z`;sc!Io_PnTQ5lRRw+=Vm>kf!IifJ2h2`sylYfq|%%@K8@>T#znc`-CBVCC2| zfs`P};H%}rIwG7a)`E44jOnPld`2|43eDHYY^_|g8$ONcIha^p$L`F%7M9+MAkO}} zv)qtsIEs-GQAn){m;Jddq&g}KR!&tY!b{AF$>HT!L zD~&Adrz_xM&w!Jo1ZK>O8fdbbF(kXuZMAhYxC9VUmNMZOlj1mXI1^RPL?aj4qxkv%ob}4!C1`J;T=&)@_ce_Bv5@gwxDkOC2P7PV-;#7 z%|^foC6RvWy1dHi&|1qA;`W#+>|)h}IsyNvFMnQLmz<7u+K(63TCam%3p^)MO`qHJ zpsO|uR6F8rpcYznZY|Q2(X=Z+`rNFXHfkj zc~2fmzn7s%jzdy*72VB>a@PirO*C9hDYI#gvbru+Du-I*q83nubI$NdVoHI zn7d_N#BE$!n+w;Keu4Iz$UMBXMX#ii7I-*+3!E#0QpLs4*~V(Cmp&p4tuvcAUd*fd8Q=N1IbX)guQTs;3x|Leg6m zwDTaMS?WqSb5Yjp>oV_#4C)qd@em3x!%+)hu`?CoChwk10FLIfU!Sm{={DKGojaT_ zPY?ZO7)A23bj~b9!TXP6AEMINx19>c}yvj~H|BMH@6N6Z=aT+>cf)$%&YFtpxz$ZLQACuLd zFK=n`Hi@6PIcfJWAG;hy=3J9s+HDhUcu}frRw=`jg*FR;w9MBX8gxegfWmX7v%rz2 zoW~A~u(6JoA>8@^&b@N{af>LQF@hs|!3fe3r49I{IYE)(=`{qvr)>GG*vQDfI$0Q1 z3_7r4GsMK(8c`r0ifsgPn0qoOBfpetp@(p-#eeBe^gc}mv;#bSE=3@bQX1WQ zKoap4`o7d@orAAn9ng6sBe0QnIVPvaFfZ7pBX%MCJ#B9L7di5&XFBKl-t!3U(23l3 zO^F=4&05_&J4%R~loKpmdSq`YvBvUD9WtmAA7+hW_2EUSEs-MfVbWo@r{{?dscwl( z4{)zc?Do{4x=wFi9qZPHRZJ1L8C31-_f$B-0T3rOpIPhdK7Jw=ymRm!p=R{}y#&aT zELNNizNa8FYH*ls@Sh{Iv4T`b1=tOdmeaNdcM+%2-7Whx?nZq>(XMl&Vh@0$G@ zF=;$l9~A_mTfjOHQb`D|$L!U+;Nw!k^AjTl#TyXxDvXH8l?=^QZQzjR;}I_8HGory z{7xv1ee|fJ?jycn!9%14wc?y3OBH&}(InrZDHK7HnthEWWS`+|@;2(U{R_!s-f1l` zUl~HhgcMF;`(0dI(t&%2t1bk70NrtwY7#D*$*}`6=DP-J`NdnoRQ)FSS|cOO3Il8G z^1X<1cI-)%%Q7jjIK5plZy@TUQVim^Epz^j0+^(k@pm;YnJ!~_a8@~HwI5%zi|zW* zeb0ffPKF^o2rF+#QB6C#8vcX6UiFHX*KbOo?yvg)M_>B``r0eVdnKT+A#9Ga3DDP@ zJm~8<|3ly*&!)s}TjhJn=S}}iAD$1wr!an)rRpUtk0;py%e(z?`P# zj{xM<;R_h5mEV5z)9Q-F<-rNCcY|!IYR=26KKzlgBVm1x`!~?2{!a6fZGYhy{&A#GqdP9>*5(|p2A5vQ_Tk?eP=gFgvkI73H+$N>@ZGa z2fA9)Kc_880*@9r!AeWujKiMLzdFWGRlX|zt1}lpzeCZRb{(78A^&n_KsXS#_Jv#B zKA=bMM}=GN?wvxpvncUg8gCVShcR(_Wkmx3mBD}_9$3dgk^4b6alX?Wu_DsCioWpq zi$`!lx#ZBc@B16%>^!*a6pbSIBaa+xR^0Iz&?Uwu<*5nM5+l!_%F=voSBGUo`veiA ziLOBI%6L;|7_~A2485JfJLK-2b%39Op;}J64|1lWdkh!yvj}|wH4CB|65=2z8TR%% z_=e@q<{XC3z9@o|SOoXWBq1OdHI~b6QyIui6@v{J;Z+0>ujU^Ui$Dd0xV5Y6=uxua3~?FASd1^?)sP(EufnWD_?ZU2kc6W&0>5Ufau4 zQ1)XtAI>_Yeuu~|iTLM`EGcc#w=oq?!@eIXu2kL7MQ>*KE&uqys_>>Q$jS`UsAfPn zi>V?1s8S&PttPaz5bGX}aGQ7N`Jnn!qSDM5r&Vxd4NQ4LNzHFL=miA%Er|7?dy4H$ zI^@W*U{b+xx>MD(raQ;AmJ5lgr>%$Fvda#WVCJ^hz1qNnqBEtc`UP=J4km@Y9)E=1 z`=A>DP0yMw5XJrZ_aXY?JD9S7vXD+ zSZ6Diy_fo_Zg#B6BIE`z37KfOWa|v^IY6B!dO4z}7+-D}DXjFp1c3y2Z^96F`C9RI zf|oDP135;Dw7w7N#ALuLae*$n)4Zb#a6ff(F1)kK2}Z1YIM13pO@4XjX`#srn#ZHW z-Arz?uO&LqaOolY_jAqO)QNxRVYDS#<_5PIs;SCZ0>PS>xEYO(#nHi=Y)l|S9E>?> zYm90;CVevT>8%g2+j-&W9u_LHSe`K7(~K7rw?~-wjO%RFjxG6^6Pb1X_^)qU54~3? zH{WP#JA+Cr7*&YfVM4CJNJn}C*;_UCxBGo#>tAw=%#g7zOD>(zLcykSIs0M_` z8XYOH%^DiF9OgRC&B|!!6A?!>s3H+P_<)eeJV$?K?J}gQ1Q^A%WxbH-j@{Yy0Oj37 zpYP6GMQ<^@Z5Gx2X`a1~^P|rOZRp$Bos;F7(2`lmGdw{E)1{$lvv|BtCR4{P#F+y52WRD`zU(oVHn1#CfO z+NvEzr3y%k3NvD$f{?fGXk8GPQ9{a^4kduf(kdZc2$`vbnDt=`DND%IvK5|X21!!D zB%M~IB!sj;NV9*hhne^I{rX3bkvX&`&vM`Qd7bCye1nkkKqkDVmqeU*K1v@@1ec#MqkcqNu+pG?I^qZ* zr#KA)6#u2#fs%ZPRulPxs4S43vbn#k;Y`yEbtB01LYY(JC#E(JIztPe+o%Ge5Y~8mZi!L_+gjvo z9K1u}<}+2~stw?{fvwb&ravj+wW7_(Bn7ZHq4H}z^H#SbZ@GgezzN@*j$v^xdI)Uc z(^pf7qgwV1pQwkfH5>3T)4$;a^s9&$wkCa~< z!Y2hR|87&=r9~i00l@-Eg`F?rBBYOnP&YEg;}yt|g&x@Pf_Mle_kz^TSR0()&A8Ak zT^!$KJ&(5VC(BPVRAz9pye`>UDE%;BKhSPNb8OB&=oMC2_rb*jHJjWql3QNL?daHT z05JZ~&X<@^mGx$=q)I?vGAD#E=oy^ovj>z6!S2#Y6uDtM_)rLML#=~Aj7vw}fpg(8 zGpDS#cu$||I>YL~q=Iv?9*bF#6B(f)pqtGtfxSQLw2!?+#eyW|X)mb?JhW*TQb4Kq z(tlCE+EHwckk)$oTpd?CalTQH9bV@Zjvt1js~8o!=u#l;JK^NyC_l-YpML9icnhl& zdtQMz%ic8Y${)mMQNU{x&14eA?YtZg=Z_qsS)vIN0Kl&h=nABV7WT2HG-^(CWcuc} zWxGp-Z3L1h3T8T7y~}4=c#Aa^5YlW}g2~zI9tVhFi3e?_Ab^_!6{Xma7nGY-O3&{v zhZ5$B4Rvvz`9e>Bxr$%yv8QD*w7hDl9CUD^FY4#b=isqB4_P3s#%axC=1$W&cEFSQ znA<*&hG1n6!Tm#o8$-U+o3`-h(AI~$=3mGzL_g}uGKomhojXUs3fd06a) zSXkL|&{kez7Na<;VmHr{;6u$<+)POHN>{?#-IE(XN3)sg$i_GvV82SAt#YyWu}ZAs}qtx2XN*SR44aDxuV3|@Oj+oV3fA`Y4S z?&1HI2T>;L-pet`KbfB6?V@z{ITmG~k4<632=74rG*&hvAzG5Axkr_xQmDq;uDOE5 zexl`Yu`rlCQnpFRc~bu^i*SE0`D2YRxYSdGwee$|9v#|}?di_S@7~jY zi(Axduo5k5LGb_r_n?P=y$Bhrc2Z@S=pFY>3U{D_Ggyi=(q@FxZ%vpeegQp|g5>hIQ zbK1i^1VRH4LCtI#4d%EX(teO!JygqVyl@Wc0BYb&)}n~i)&~|(RUA6UUQgrKKEKF( z`=-HJigTHca}b{uNx1HW=+d?%YYrRrVX^xKZ%Mq&eM?d@g|E|e=|1Vcj<>e%6mMcokMEk6N*XAs6i6424B#M* zZ#SPEuU6yHB_NrR+YN3^{A}P0ih+t7B!-E6&1MWN3eIkhN|%m*qk8Fr43%=j$SXEb z&W4|A>>>HfAgu}x_A*?dMJBYR3p}~n5`J=~Hn>v8{h{~qj-u|&$r=j=1D-_JkOL3%sw97Qj;Kn1Q3c>$)XS!J|jv?ln|7=Lz?(Q|HO5 zgol>e=D(%nb9^6v80h*1YfYGez_&*}9y0c)H9NqhI~?^LH-@#)&~=uoYq&ZK)7{jW z!3toW%%-=k`V>Rd4%ta^Ys`se*u>a_Wm1BNzgr5aRGXH-y#Z1++(qej$PV^4#*EcV zLdOntreq9Obe-L=bh#U&VJZTt7hIf{Rg}VRV0sE@ku8t`zEW!xi(jL`*U*tDb2aP;<(HxxIr9CrFvsU>ZxR@vKYn6Zpff+zs1VfDBTt zAmB&Lg;W%p2O_&2m)Wai^ zJRY#ym{xdgv*TGLjvWP1>Uam!DgyVL{`-%AvOG|HQznvQ;D?WQDrE z7RczU|I_F7oCU(~&#v3K#w&1n^|t5yS8wy#0cn8%-(5$2kNdvky?<4VPh8!+&vR>@ zZ(8Hyb3VcEizvTaewFK1FZu;7`QD244tOra>n=nH!h^P31sh|pKypAE1Pxva*{9KQrduc-1%f6W5j+-`xq`r?)vc8>f7^ z1uGf%*A{+^-5Rm*h9ft`yIm6S2lE5lMrYH}PXm_ilr8tTTd(GBeS;rx#Qea%r)ViS zp%N4a>36%TehLjOOx#pP3;CbqzpY?EF3hnmKKs9!!58V5%CBBd_TI+3_))rN$@_R_ z`V-0fUcR?7!%Q(1?__VdQh9EP7xapemE(QxmyDpT8qsw>GDCH^_zEPdkgRoMA^9o0 zc4$AV8QYtgf6QC7|6vJCT#l|$9QnE6OzxY#N6m_WXJMKr-2np4Kktq0X}*;kpg8F< z#Lwh|&Q);Oi)kv3^YGm39#wnv3aA@St9Dvc`(%k0*q-FBuC^r%_-mY+q`AN?FaD!` zk+s^&*L(Hd#6P=?i``we`q&%98qRRruHd-uzWzx?rs3OOdqop2L)Qv~yp5l}w3ne# z#{qotFWS8F54*m5@$UGx&!n1DC5L~_seAzCyt45t9LcMG@V?U&M<7eN6`{+2 zV`GT#ahpb->mGJHFID zJGgh_SP~@O&Y8DHjXnPvfI$DXCLl_*{Za%iw3L1HY{DL{1)vLz+5Jj;Zmjdbju@@i zTiiXb<()m873Z-ELW;r)OfgQ*#VZ$LySZK)Vqqyzx$eI0#s2=Zmpju^<8cXMZHD{v z%+5#f8NomW|7`yd{^kAGai`^vs~e+0CN}59eZI-FJQT3%-jaKF9p7u)tE5Tkq>J6{ zyPNNQy2ereeVX4{r7zc)h3SJ$lf4wQIbfM5FVS$$kg zKJ(owO3h!d=&Q)ukajkylomIbC z<>kFO+;8`o+j}=WiFUu!YtA;Ude@Ia_5PAx=k)o~yTSgYBQS~^Qt)d*lNme99GS>u^c zjdQwS@MOQ{a=UU(v}<;#uM@0(=@Zb$tn&VCQ~f&HQ_QF2cW@y?zdh=8r3aq8g>Odx z{7Sn);BCK2tkWj`T3k1SO6Dgur=2Hn0&c!0vl*>%jzQ^?)5vnz#UD_A?!^M(Da^Ze=m}`Gmk7?CekSBU@LGno_C2>yofywB*l<1R^jUya-&~>K0#B#<5R^xCA z+lLZKAfU3l9OYjp=)I`0frU}0Xa%mh&^_=K&SxYNzOrFa>%*^qeuB|{kBVnTWJKQ8 zMO3iOdeal|5;{c1zs|w^dX8yypKySnwh*%AN6oX&r`nGJM%a2Dy_3gk>_f$Ji442~ zk>J!qOZFz>5+FNZ1C>TU7MUyBu+sot04sY|>9TNB)YSL@IL+)Zy-|~PxfI?Sa;&Qj z$)CWaXAkzWLW<_6#QX9@2YR!o2AQ*=Oh?nq54%gH;5t)v;?m?quVN8Y5gq|d!x=9F zWRoC?l=?}PO(a(`ZnwOx9o+cY)a*!L&f<9oWs zyJ^M8el7s%?nUhOR9$!)CeZO_c)l@K#htpwXg1(dfTOMUtIa{%fWfgf3l|&$n)ZC} z4a$bC@{TzES&|71J4OgK%kUOHWq;>PMF?_KTfskeHevrmtr>5>N(re{b;|yj6wKrR z?>kJ6w_OL!@u|7BNLFdzL81fp?1saUtY86zo#g$M#RgevCP>*XNDdjss%@SrqBR5L z7mb?PzmLZ9;^L+9upBg%R*1E~J3YcELfh0tBvkDza!@hLgX6xId;+-|+1Qxbd&|6?@-JZis~@5TL?0_bdg8r-nYs0CNavCRd9$ z9a5r*EP?cj43&$PBAx>Yu;(<^D%`J33N6*9iC@oNDgJRia~L5PJUN7+;>A9|%fAkrYVt2APXA7H;cW+4%;Z>$JTa%z3ZRd^MM6>+>ycgy@2^ZZ z@J_*1^5_jYj3u~DFJq!MXMTsL)SaqcE{3MX{B)I5wG6-q3t+dv3ESfwdEvW;sGh}! zEh8i?Ciw@f9_)?3*8S_-hJ%@|9{ib}#l|f+SllbJeK~l`get^A-SeV#VtoHE%rqUV zk_)512zmcoECNI5kQ0QoBHqT`c0PCnqtDJ(d#1`l zs63c$XMdsp1^3Q?Nej^U5U^?iG!ZeS>b;cptGlG2`08z*a)$)-r34)U~VWXo+*oCb<@EqIoOLVVl~r) z>=_sL=onn40xBRdd}`a6^SuKab|UaZ@Ma}hQ{cuCP$>kRRl_T_y`ajCZHWT!w4DHx zU0z3ALHfa7SzivD8eB?c$r7ib+r{%4F8a^(+nxm&uaaIVO!!anPkVVHC%*sNOi3=R zBd}0-9>v+Lt%kDPv>BcATe9(i=rCdR-X50acQfbM{^@z>XN8QqHqOBN(_xWlivqIj zR#a%!=a5Q6N@3WNa_`Zb-~kse1tQJyQF8R z!XmtQ2@XTK_Uuw6Ol0Z&das_G`xR0cfs}YOgOu+16W1(Y%qqbV8}#d?&@*I5Z7>F> z=YeNTemhbHq*=p{%R_p8Z{wB}?z}%k*xS%;nf^37%80h~qBHuwHy&4~!wV!FA%MC< zG%pVxv%ZhNgb=Kyw1yL;-8h+e0POh}NC^-wh`YaBNZTAmy4n(gi#)P9ud4tqa;0_d z>`_709?Z?VpsG@z(_bOqN5-tds~V~NGylLtdrB5Vt{G$}W*4Poaih5_19HjlW{z}N zAU-8Zu6Alc@#Xcjx zTzBWK*~EZl&didvTR;BJvJ5aS;_+R@mkFUM96^U^V6d}`G5*c08scgMEQwfi76bp9 zjs7&sjgH{?R>?7b0*lN}))KukwTv2sC;^N8pT4>6yb;wmDP*5HB{V-DrtYVoc%ZTI zny0@O?)Y4uCb&j5!(LcQc6Eo|i6}nfe;G{Av>Ya+s}TEAy?-$u60T?Kd=#Yn80H6; zvDO92$th#Rnv}U%t4QY)ALrD9hv+{nk(sBTbK7zMJlNf9vON{s&*iupX7n>(M=IsF zEcPjAP1WrBmS{<^&A&FIFFP4QpCP3D#erjbr6f?@1^)tRFCNLNU+wNI!jKvG|EC&$FI5a) zGJzZ`RXkaugXcxKs7lk^X&bMdP`{H6hh~#{=L|I2kLg48jcFL*MtS^23`9T95&AOz zkDC(>XTF68Xa;oYC@>TdBNV#{=~EER8X+ZMyOJ2w$8R}Ao#@Rxj0}|*8#li#1Y_zY zW*}#2{{gaGB1^na4ok2@q8`*5vKj3tl1MXTmjXlpNdmB^Qm+||paVmpyQ)G|>lF6E z{^znq{fJ$T%$=A|QQKIMa2SO!7MXSA&X(o6x+o_rcgzC@L|^ zZvp!YQN97#v!{b8 zXC_8n-J4HbyNPv>9W_VChgb)D!(d^QA5?aOiG~DzlrY8zRfbrnAq#I6(bi_bP(Tvv zDY#rAYpl|S(I7cju_5JE3(Tw3{S~vRbzbRoVWL+QtXGU4N#;20CTyUfr+{}%0uCDb zh@f-mlKna+o%^xjXqv8U!%#!0@-gI#P38qcbYWQ&vMkFlCdY9GAE2M9PUKyAb|^+efk_qsN~9}*p4p4a097Qk&oxFrvM1gmn+0K?h@-6;T*iPDpk)j#UV-Q` z2#B+pM-??Ug|#-LiQhCDeAe|LmTa>H(Oj#5ULYmp}3<5uW*)nQcyWHJHgwPP*N zB>F;TVt?5scn*@ms!!&m(rO=q^|mJ$>Q3Et7x|iyA`WOusRw&gve-XlDhcF*adVUq z@Zhcq6q%u3fEp}juC~N-z0#G;jp09HjH--v{vKTNyPNiU9|%T>XU*THK#;L;&et~X zEVWKm1e&z4+;ZXK=sVsD%c*ZQ>j#3&#c3#F9s%bMV`gTQBP9Jijkw70=Hrh!MC+?y zc1rHJLB1H-O#k!=oU4OuYbxlH7@so=NoWHMdzbvwI(%GLd-^aExLr!FHsAp40sZ}6Q9YVRfjdDt|>_y@cRqR&VMdmsYqZ#GK&)?-7T z=R8FzK-PP%AWcnopCQ(3bmbd%M~(;6pFX~477zV4F{|?L;)a+6h2lz9j}n2ETUv68 zctNfF3ZOtQxSIR#kYfSmSEiK>9fuk2+Wr()Fd+$NJP$s|BahDs4s?oK^%wK(aaSc! zz6I}U^9YWll4PJ|)xk`S8f}>cMOnkO?)&8lW)%#yi(C~qsjxdIXP~3xPyoI=OS1ME z(t}0;-P7=TwOf;cw;U2JUr2%%T5)y+E=-Zn?V%ioow;h4%!DFICgw@DhxC?mkIUcv zucowmF9geJfIe_ls{sP+4*7MuFSsNR-91-4`3(k+<#pn)UuHT{k<7<<9_do4EFmTKjQu%1j zMv3Un;qKf7pOoXE@Ll<-X;1dhh1hw%`Lw_0!YM9!=05C|E`$?~_0;eC7;Zz;iIT(f zEFbtmiV&uek7ArOD7J9(SEo0=QPr!cUoGh4n+~QYt2`=`kn(#^XI#yyduA76drkQM z3M!?_-Lb(pxW*~*9`j2?d>aBMyteMx-HJ`W=GD5;{%_!kpM??_Z~ zN70Mzr7!HAd6@!r+|-W~J8uzS`_K zT4lo5%tJNdlhCezw^;v<HB*ywZLf?H$lVk-CtMJWYxmBBf;t>j;A(PkIj~JF=86>MSyV@=ceYv>6zM z%}p~2{+TeFn-o${&c#x@nlCwm;~U~ z&}7_(wI$o|_afWzV1UaMOa79x1h=10Ra(dCl|C%Ijh9SHGs;Xz@4$bktqW{u8g<7z z1z>m~zcU(y3qlwMYu{fMfjOhpH^z?G6RV(Wh|^!mO-%HnoyTMeaUP0^XyrdT?FE^x z)^|YQ5I{;D6b?GP0uc2{n`U{S@>)7XhSfwH^tE{ym%G24gW&o!mMtm9{AWHrNuX_~ zF4c`o+97aEo50s~U(m7Bmx)%WB21*^kLOIOt+JMHF|?I1mEB*!-?(YR9&{CfgBu8{ z)i3KIm0E~=O$r*=1A?3@783{nl&Rn(H4j!o33il=EMDJqq8LB`QY$B>KA6$1#~)-s zw~bvgukDb9@F0Vfe!h$*8O!)i1g>cb(MIdeVs5ETsBs%M`%~&CL4pQ8SbIlQHRS7Xmq zJ@FHD``5sUd7F2P3g)eWw`Labmb_Ps#?$(`rUuVKhVB7uO5m8vX*fnpg&ZW9czTM7 zX0nnVLO%68-?N*KXy6Vn{)uqmQ8(@o}7lal=!f8aL4%R zKSY8;3U4`@FVPZPH z2tGTzAB#MP;Zhda{c2`Q*vXrrWCixhWCAU3u%ZW~3}Aq?*pl`u=?3sR4SsxB3656> zwDO7f_s;hYR%j$({wY%AT;|DNRh`?46!(&bQ1}iJc;C=uFa@8do$;c;rloJv@+uF4 zpOF4LEC=DECt2f3nF2M!ftD6u=5FEWP-zCFdN}jA>=uKPhqj2`(BAgIUzWEpfZwoT z7xCUad}gqXFHu;=LAXCkY@68R_sk+F0tos%DsNa z3XfA1oN9UX>w6~25NmUS6jW0EA!`H$Ci(DUJvV(Hsj>CwInkMldhagSoqf~6-7O&7 zQqmhy06z)R^U<3KcncsZEwC$|pedGRkU4;1(lUeFXY5A_$tAZ*xi}C7ec$~#ql)FU zp||-EP@ux2K8im+#SaLvOVE}@lG11vs=&#VQSw42V zD$z$JWO*syz(h9j8+?oPNAS1K%jNkaqQ_nijJ2A<0u6^~I~rAw3e95F&k0Z6uRnGa z?2SUD$+8o_5P9)wn98Pa+>&jbhV!O$j(ZG_68ui?S1C$noOb?AqI>MvU!O|;P56c{{KkL%;fdlHhTV(jUn1R4g(i~wun z5rb8B(rSRA6M?osmd>oN*l?`ipt~3(QSg!V$eT85z#L@QTmCh_sFyUEX!tcA=*-J1 zLTa3ShY6_H%5kMYnXMU)KDshJO-b6a==EMHnr^mF7$QIFRHLkUCv)8?7RYNlNUYwh z41>GT*w%P$k;O?(pDcT6Upl+h=1HoJ;8^D!TSr2%&{yKu) z22Ud!e0G$us?WmlZjKL&TZjBsbvxGd?!BYpOha(_(8X-`Zt}YLh zP%Hp$~IOHCVP0Lo!j4~>|pePe}=|L=A0Na zf0I-8a0cK&=~>(uZT6$`f6Hn-qIn3fLiZR_sO+RTr`&VUDvz8p6Ax?=>SgDg?kRdq z`uKPRqp^!V1@4*7@;E#_tz`%X(`vKp_gTiF+4L!lZ`&(R57=8T0I<6}kq<8oh>JmFh~WU*+Jhq# zC51yw)`h5Uh(yxQ69_K}{K-hH$KHk`I!^AFhLjplI_o+^3aY4wGsIMo0lLdLVoIrX zs%DrQGzAo$mu0@zV+5U>QOF{|!^LM5a*j!yxU@rl%W9XS-_)W)i#^=Kjl5Weq!@~Z z#cD7TN}6qW@#tFzn{|i`|0@^AZrx-3ldi+%I!Dbgi8?6hX9~}tB0=EJ%)%jzg@U;T zO32ttuGG!M3WO9xbSx1qR;6 zW04~*eYlX(Gc#HQ)2m;faAIKJm1-*A&Q)F*`XZy_mczIgM?h5+=sRzBKb|4J0xaER zj?9Lo{i=vG0l;d1!~eJW_(N|UcyRon{)RS-!b={|$} z?vFK2)?hi+Fy8;r1#c9`VvE%#etlY1>nV1i(ynCKSt}roSCEM#fGz489h$fc519i) zax-wDp`>Sebjda>{YAA4=Ko-S-1Gd6$IAdmI6NXD?&Tc*LN(LP_ zBcxPshS64(D}*T=19}S&pnBjQCAA_I402z zp+#25(m#!2B5b357_;my@3f$GK33Xt_5VVtb`Msa$cMxR{eUZly<$+SnsdI|8^q3%7>$YypUtwkf&M%ev0nT~kE*!q9&WEbBIa{sSeg-prMP7VxR9_Fc8ZMe0De zpt&k&)tb$3dsc7ue&*haS*6AS9jM-CCEnb~c&887cZBb4P6#+c@300Q3H-Fl|CFz& z;n z$xFX3ZAE=|eCZ$TZ}C0e@pEY4s=sdZ&Gvg|_iH<|eD3O-7I**M_tz`FyI+K}W75Lj zecb^s)cWjEB|ynS$1ev@Z9dd@+xuit{ef=*Qz|ffe*n9pl$UpCUt2i5cfT9fQ*fyS z`<}dN7zd3YaX!Y0WEZX5q21~A=jZmk*F1Z7J^eX|Z_yLILe|0;XpVp*VtRbvjUh)j z$k_b3YUe$N+r#LKytXTpXd=nM#tNVgaAl^Hwu|f=5Qg?{PKeqVBXX9e6H{5CWp^;vSigUfI%m6op$jLk^ zrUeKP*SeGqAzH)6s1iDc*nwer1`|mKK7#SFrx};R`$7LA+jz~STg7(eU8qjYIFSNJ z7xQBrG0>jN%`Sz(x7V>00s%p_TUF;pohHQNa7vyje|U%n!YO&ad`yT*4^}vp@JXo| z7KDENkM@6@TBXc|78+#`|FBj*keSC`W6H9_0TpEiqt}JkwBt6 zJv08&@ZUAKxKsA>4f!ke=qGuYIKRK5K;ip`=D_oSC&dI)==4G#I+WL6OEx{@&2-_0 z7vQw8Y!4C~<@-rCAMyaXj{~AAu(x>NbR7Xvy#8^L`d}wGbHwVn_kqV_%Z16M3CMAC zn`F$i5K2yw241yewO0|?5{Casbhyp$R5@viihiDDoMu#egr`6*gLfEc;~p|3bO8;Y z!F`PQaNER)^xY>|WEI-cJ=4naz0CQIMAG;?MTz?J`cCWaxf*fFufeL|h4Ggy1Ge$g z3!USq?5~4s9&OH?>Ye+dp9$<;j_Tf?UXL05TU)12<{P8Kz7zvkh}eD>WP?*gGb#AP z9-<&{YdGs7Z_5#pwKprb;H3+e;QSTz{`A3zSligWJ|$1(q}snKrp33)wrmG}nF7SrXOU#2F$iu2Lbt~ZFa+8MN;Kp!k}Fdu<#Sn|y-PSGjd z@SkBySlIa8tORou%Lvh{h4}k95C^$|iO=JIhCRDn#|LgV<4uQvBMIFG zyiU1V4K}kCN(&}RcYugrVQr7<0~vBtt8$#ZzPOM;y0CgbJ)1na zunQ75KfE1*`%|I)*NGzv_dLrWN7tn*aQcjxx%~!sL4@2n*&jr&dC1NIpq<2n>f3vRG>x+IH9yTuL6B4^wqiGPeL( z*z>?^fyd6($6KqRd?knTKyt;t!4cwvsxcva-zR}x=xydG;VQD z<1G?N!}IjZ#M(X`U^BQ4yEux5Zd#se4%&|qda^pit!TKipd|%@{)z-PCtB&mn`!Fh z_D-CC6FwpxMJ~bc^K9S?nhX@t5du&I_>h84F>|7)ulHB7peF8`1;*&`1*>2lnA~_` zvj3I_C;&Z+)mX#hA_*we=r%yxD4#u^2H7elPZpOEQUq)eB#d;TfDHm-El|N$S^xtPvRXuwubV9ETQ6@l4$yR&kP z-LOU5S3TV7Y-SaGcrt?<^R4{$zH|qg3dQciQT;^ct(j{GhS2iL<9ZI?sr<4rCS?+< zI;;m$7u+|59B-O|Txd}vHrK}7o|u6@%7CE?Gz`HzBf`?X`Ovk9{&EE~Fom>pa2umF zsZiT&LV5fJAPxXu$E_PEKgK@eBkjanC6_JKY$hGpAM6pL?G(S$3=QKT(;DryqXU6WZqew@}Ak(}o zKdlIofvq7*rdX2e@$C`mq(6hK{Q;PvNtS;PM0_18zF~Z`*Ps|GPlk=Gqa98Ia82e@ zPJ2<$4qX6SkON1i6KXtkJsh8MC~y&+hO(QQ^PKzUB2|a|u|GH${TVO}o=&UtPuJS8 zNQc<@t?T{VyA#4c0% zn9WxF&kGs79*0~S+TdFSLwE)cvc@igw^R>w2H+r(!K_mqCwVVD1y35z3eJ}vM!*XS zWN8N&WFDD8s4Xl$4MhrYgoiD9x@pdD)>3m7Vu)jmVU>vGWgk)IQ29|usU1byoPH+n z6lqz99dLoxov#9t+A;u=AWSWFn3&(BH+LQse;z%7i|z8-FA9L|{^JuIQBF2Iuh-i; zZXw1+c=e3$Vn-sJD@Bkld9?>^0Rj&X#;SA)_n%JUtA#X}b&F+fstn@mp3Z?v7BNCC zi9-710F$qqGii&R}mX1<#emPI5{*nj$tUkDd(tBz=<`QE?;CD+dhqFFHCf%HW zv)WCEfhyouZpenlcLRjtyM|DXlaNAQhT0v5lx zFEuA?cV*y+sI6wO0Yq%rJ8>04lLZjQShEbSyj1pIPf)vYsGLYESM7CenNknd?n~dC zAPcE7&`}43V{Z zNr6ZxbPt(iUDv1R2|10r>SY~?fH~yn`@dIU7QW|?pD%js0EJ{ zoUHOwa3Kd1$a*0Gl;IHR3b+Vsm)`o>Jw=iW z&@V`m5Fq{ROG1i0sLe%eS8P5(UMoEU%!0BF9vTT5b|{x~L7u>?9~gNDl;i)7+G zX0p{c4Z1O@u}%gXpfCx!0bCHTA3=xqNhBN;+)JDj%J`FD9fX&Pa0###yhNYAB#3Hp zG|#dgSNh~F_yA@T$sM=xgWO9s0T=us>7EuvW`Z-}-4?j$9v$yczn?I~2) zkd9q8H#((DwZ9WgGUY-`)C6glhz0yBWO2<&+4(g1t4d)1rX(bMIMRBccO>%ET*p++ z>hm>Dy{i+G7CG}I?wj4Uvt>6KT`EfcEZg4-s3xRteIFuj%LiF$e$j*c0EV>W@5_cI zrY3#RE>HPzXX9mAdt-9ECt02YhjcR?bY*IEAdB*lTr!r&9T&Nrtz_KLsH#~=2f zs^7!B=r9=W@>t6dz1^OJUE!33H~n3%%mI>{>hky~IpYE)4lr{NqGMf3$%iAY32Tvz zg)->m&$DU4rApYSF_6ZXj_iDUTLmrEm{kgmRxJsTAob~4{?z{|*G@_3ETS0MUWFDp z+-DFoqr}LFT!3%bQ2o0;iCeuaRvd6d}g%I@0+4)0UU}FP2<-pa=0ZJiS?y z6jnR#gNkVGnq_`L`pCF}!v6kg3cTa>=JGg&sGWNkHti6R|0Xbh~e(cM@SVqx%Udt(0USHX0!ad9b@Y55PojnvHb~5a z6H1c?J2i$1P9>M%e~c)8F{V$WEiAw>3X~nUsD0s{74jZqeiUnsztsOv^CD-qK_2^U zM}eUi8^rL7W5C09VTV<2j5AHtTZCF+z)pRi=a`B-HHBl(D?zHX;s_PU`tV-MKwOsw z;#ei-fsHFG5V&=qgM?8+4yc5b@U%1j46q}s1Q21@&RCsi68+P`4XmBdt@pP|;+*c} zdLK#}&X*gO9{Z$|KY(L^rl;OZ$$&Hd+K2>lj1npD7y`KU*o#{f$^&*!V6 znx6)0Qzy3X)Y7MY;910#%c-h+B)UY(!d>6)-Rz7n`d>`b`dQos)?BkH^#%aorpdYjmKKfg6^idJ$yVXL^%21Mq3 z%6F`O&1=VMNL{VCWR}p6q=(&hFFj>c;mE_+S(MUOPgzJoj`>B?OzE`{OGuch?+og*WW^^6pVrOhE97=i}DKFYKLI6`$%IdNhC5H;xsv%KNzbSbl+Tl_d7I z_pL%!OucVaM$B!A_g#PrY)u5_Z8+sk?Z!iI&a+PMJ@v-YOVmx?Ebpa_v2F-*T9`Yu z`Om(+H?#PdHyITU`x$WMp+&K4_WT8b$$sif#qUu6nsLbW$Q^5O$F47A^$Yq>{!IoDD6C#=MD1xLRG_u-rKSF%_?kOvL( za`?45_d0=NLr{2$6-dh)-!9OM50dCrrWmYFQXS8~E`;Px**Qu z0H?K!13i(E!0_!JcNU~od}FNj*hkRNc9b$5sX^D6hLLweKQTXPX(Pm==z~YJeAKu5 zrmm(qZ5X+@jf;sVP`+x*uT#7o6XRbP*xzr)CH%d3GZ0SO(Yg*6AF}!&O&o`Q=z7^n z4SdYHxp2@aM37G;P|1o@rOAi2{k(yW3Haov!^N7;$7j|1JL#SM%#7U(%l|FWppH;z z07=R>))*_kM)p8LCDFfxN+5zW>+L%DQqK5G^XpkG-cy8%{KGVu4;{qm|SRO7)rqHDFKVc^ZS|4Qu)FEOfmNZ>@)=ft&TH zS$D7J3?a8Q#X8PF31;&f`=Y9=9C+I#hQ5!s7Q&dPd7yHR|86cw5|ae)3DE*3oHl5| zD+zBXBVVdkv?W)#S#Rq>) z2S0TV`Ykw5+{H5uTV^0!wU+XQ?q1)~;T8bKEdZ3IPt#yOsyaglqVNLFvG!rj;J8dW zk2UKCz2rW(`rz=<0WRi566TCIkz`2;MB0uvc`G(Xb?f`G2_6(E=*Ih#1TZ*u;ZmnC zw8$VCV2*b~N~ROssk2~D$bp6)el^r)!rx~F$k~ntD$3Vt-3r_na2L{9N!bfy=Nqor zaLaU3hVz!%Z#}R=BQ%;MXu7#pP)M|9HTF!~!H2?XI3^e4e@idH9$W+ai3GGR*5whS z?_^f(zj%Q=r6%sNrobgIjw~3UW2$UVIDFscPPFyE)qdr?jZx-gvRlSH#zwWm4sWF{+178FYK$uJ)D!+;q{AC)cUxU1wMECx zGCEPa7V=EWq@Wm=y79{FB=MjQc$IYLiUdH1<$8x8iqW)(DUXEDD)@|vQkGb2<2m)zhG>54f{^fv$vtnK(fFGdgN zW_0|%t0a>UTESE2HZ?}y)9jK#Q{I(478JK0E94w;$bU9X4lwKFc1lS&z<}XsW3BK@ zCHbu+8wVz`9d!%|l;JL;!rWyn9nJ#3Pj?J54_P+F%7P)(77lImfQS+npIorQbTGK3X8|TVI5G~Cvj<{5^m6IW}KI6jJ0)764DY5oU5+E zM@a`Ap!+{X&d@HSC4r^PucyztN~vE|x!wB+bc>@-)3u0xcuKnr=TM3KRuaeTm9l_S zZWiZp<@2V_N~p1sDi1?THX|7mg#rFtn}&<7lI0$}^(JxEOP7d?lB9qTh{W6{@v&-R zE6H3v{9QM=P6M4-9bFu@Y^2_cL^R8)w*)w76trC-KHyrTq9YsMaM``Rn^?Xz*e6(~ME z{sSRVjEvVWa0W!bRKyV4jEeQIW)+|B@1A*c;N%3J4baJGsOaLEFu66WY(3{J@L`q- z9{ibND|sIfFu>e`Qo&J?!(1;<+Ij&tvH1>Ahf);eHka&94PgkAW1tYepQ$oHh!;Bb z050Wmt#k}np$8ms<@M!(tp~bOk?SL(dcYDnG11l^dbA~TReYMWj+iEHDwgqoE$84t zQayga;%=z!Ta;H299+6LQuVTDs^TRU(u+zoEY_doZG?1GrdWXXt3s-d8%Yk!$lB#o z<3aAh*Y`!DO`z}t;Z>3ZLO66eFLp!R6q=OI!dD7`kbY+JY!0K3>;dI79#T*HxLk%R z2!u3$sh{=a!_OsY*8d!RB-zRVk6@&dZ~S?erTtU}Dw(Bg5>yV(S*1|%^xKq)D)~`m z!~V0>WbRj>=}7<}AItNk69T|zO-~Jdq|v#h6GUgYD^C$}3~H^wsL@0^*9#j@$WsAY z;T&`okg8REo2zGOJC*DWv!eIU&K~R%0{CB#fEYJf_rDD8O7RN!1Qh@~o|XI6!Mwqx zsy!-eG9k^T)%h?kk4W3=dmc@3!q}qTh7$?Uio`J-!QV}>$;**$7a^9F$GW5hCQRz; z{gW7|<@tkUJ;gPs1nA9@cj8Ul=gO;!!JrEvHO`yvbY8p7o@wP97!Ff zH|~b72MlCN_Mhmp6p(A6ZblvtW%E=Aw3*2n9@#kg1><6?3Ec7BP;#XkT4vwsV>QO= z@eay-u89z{377@L<9*36ibzOCGE9wc@jyra|K|VyAm{(( zP#y=i&xViO=Zq(T;Dd>+{2JRzS(nQI7G7ONz%@h--R^YQ?`4dLPop1P?xYqAmu2)E z0N~BebykeAW_~Vqk9L%2GR`+1-yJo+9h9*OHcaNl8C=<#^pT-aV7gr7nK*&*rlD~e z6hhsnkhWwa0cFeM3bJ8JjfzD-%<>C0x{p%2-`Qc@axMi4t^rY4lAt)f-tI7QK3W#W z*vLOq%?H|WiBZ*GG%K&{1H+LA;O*j`JQy32T*y4=;{%OLd??fXllH~oR+#o{a{9gL zZX6=v>E^QMsPp1s@r35(Z&5Kr^;BEkBPPT&HP&R4V;9wh9BPeh2-S)!HwS+J%XW1Aw(eIjBZWqsAFfOv?&4L3rm#C zrH1w|%k_Mhda%rvl;C4g06*SrB}6yjB|5KEe17~gA>Mm)&?AR>C|ze|m?w=;$nA60 zO^H*r_hoiWdmGe0v7o)GEs8$>xYE4`k|zCSR#6EQ)+ej+Cfg#uBPdSU$jU8w7j!#SQ`@EY*b(8$u1O@=Ru?h&RMBme`COBi6)N@cOi{3p z>O1bWlxIO)Wdve}PUKAdRWp%!MAh}Rs~_iGFcYnfMIr2nENDHy$Fkh)8B;+620c@8 zZXe0L=};bD3Bm3Tb8F5SvfeDYtE4d_rKn9tNVbl}y3>IWbrp4>JZ*wu$XaBZ_~F;N zwW+T6e-_2Sh`}ueHNK7Z+@i855y8E72`Ia19O+l_%@$EOC~pci?A;IWSBcZ(cWQUw z=`%RA8Nde24OVq>BhttaOGovy7DDWDGS==>L(f^hh<)$`|Fw4|jLik~Wc4@w(0Igv zOl76kNdaTJ3d}{jQYNEa&%b!i@(<5I>>(_{5vq(aXMy&=rMYpxmgbg&?c?_(-4FjK zOLO?M>RBN|q)5HwEW7 zY|3CNpWhV| z*GD~DyXE?CsZ`p_5dM}D{d3^E$K19@2Dn~I+W44gqUBBJ>5g9PyzOAKci|0av&G+wdp29}2XyZk%C(*xb{`N@NiggE6 ztD_9`wZ(LQQsD4M^{2l>zu$BNHtK+^-1tSGYr-`pXO|uLY$*U|NBonxpAVn>U5ezC z;^s+FTKlOF1}Oe9DcQ6LX+p1zayr#cZjbcd0ZPTT_(ApR^^kR=^^#tSf1KbGu0DIt z6Gq~~cjA0P6OeN&YW@)oB*LX_9`W&lTQiXmlw$Dl)3&@ga8D4s+_Bf4^X5-1szi8a zHpO?a<%+s-)ZJMW4AC|Tqsz5VYnF99{NaVq@dnW-zEIXD3Hr~sKaLN-?S+bh1~nTW zS?IgkP-JTN&{5_DPE+pgySw`p5i05Tgkk{&NY|UVcMfC*Q+r=kKXROqFLp8+x4n;-9B|kciF&eNmJdY;=%re zI4RKg)qyty`jl&`;d^M$=fO&If_ybJ?R@syWmO7T1mRhcI^-Ht->!6ypr3P>SPjj?;bOPdBhJQRc&;4>>5MBVpHSjxLBVA39tB z?W%Jaue$fEb7%nY0Pydfz`o;R(v3#oyh+-l6cqH{>tVPr1+)o7TZ(nCvJ>^%ORpsn zECZyp8`@@^Oml+=A6U*292qnmayeUh?xyy01+ZT>2|#x=(p7ARnoS9Fp5RO1^=)#~ z6_3^#o&gvm#TGKJhK6x%s5j1EvcfqM0TcY?XF>PJ8N$%>GOEe39_;DJq3Y^k$Kkr3yd0!D%_*H z#<2E@o~iu-W01`a)n1$(D>WV^_(`~L{s?ww`^;a%@c^jU;Q&ez~Y-1&lil^O7)0=HGsGx%pL>^UE75As!%d)x3 z!I;IuK5#xI3XZ-6s*UQEdZW=pnq8Hp!XyYC+8xt$)naqqR=m)8E8-dUCpf8CcCg56 zQ@LdiIa#})_R|=OHgU?LQoze3sYbRxX8Y$S<6H7;v2nas!0IbiH?950wWYv>(v4xD!Md$L2mhzP` zlT}v3`CV`=fmJBVB9N>K=c011f9-qn)LN(t7T^63cYkbZOYVO*vcnW}xa2|+F(7Ql zPN{V$9q6m_{IM)3y%G;?ya+K8#$!Ya;09Jl$?BT!R-;(?C$omTzZq|CQHG|G7g!-V zEmZ{;SnWMaJ}6Zni^%}@F`qgE4?z{IpWDC$WU5j3Kpzeq#)tvv5^ZvC=@~N5WQ22& zKX4;UUTHV9TY5^^5bp>&Ims!Jt6-@dkp7eikfTkw9JRL1_lda#_W_EWhuXu>1p4nh zs(R!Jb3O93r$dK@@ae=%ltsx!!1HSIZcwClOcD=vTO3F7^~&ytpjVDz0W_6LT48XB zK)ohh>*R)2q;joFVnY?Mc-t(G<*?ckn4Xuu$T`0UoaaI5{B@GwL{lk z8Ur@&#r!3J#nrC5*Ps5&)Bo`IlC4k^ebP?O!5>kCyDg4@PwxY{6eq;H5T6p&J#Ea1 z2}~%MM)`$B*zfRi&oFCG%|vGFXQcV4yD1rHvfA))Y>kTKW{jWcL~Hg*5!ji9zDauM zm=gr$NnkqcZw%EhLhEV{#Lfz{^n4Fd>ErWLI5Ij3B5IwsE0_fe6QP+Caa=kCrFlqW z{h$Py4&KG~%dfUb=h3EubmycB?EC{o>`()Y)4TN0r{%)SqO$Zrxv9c;5%sLfd)yt0 z@^QuY(q?>bPChCo11NF`o-N+XPX)ib9hC2|@A0M*;DhFbvj+X9$pSn%bnWs3nWABw z-x657coNtNhi2%$kdX;rSuPZ{K!sR-SvCjPboWoOsJ8rhLbNzWmF%rqmH@MGW=9~C;J17R*8%Mv8gPGg$((R$DKK6( z5@i*hg?`~e5DJPAb=N!@?0J@aFd0$N#Bim3OkGrxEgEtb%POF5yV+^Z&Ow`$^PH{V z>k&O0Z-h0@5}d)^Z^~~eA9tB=MeOW+z2e*Cec$xEP$WK?(`o~k1j4Apedb|qlwwbgc#tN7^j=pNVLU;Wo^_RM1246y2p1JGz$$?A z#@c~oKBxT)r-+ z3db9?wfqIwnGW6$W@rr_X3LHjCY44ZkN_hdmu_vwJ{y)dBs41U&AD0J2u?GRa)cxc z0i*_SXJ1hVvF4({H>t?QKENOuH}a{O(^GODewMtU*R3GfR6VDsQxMpribUMYaiCq! zaB&VKEJnN9pYKt%vlH11NLih{&orCy%1jem?f1)n*oS-W4mco7D# zWGE&jr9iAN!q;qPhw78q`{lc&oQNK1i8+$k^_yOHK*wla-3OEXB@lBoE-Oo$9jS{5 zx3W_?xUSN~!o9p$>UpN|c=r#eUN5g*U8BXlKrz8eRJ(BZajbeidoV zS68pJjH6dr%1-RFG#1p~Kou>cNLFqeMw@3-YGq}vSsj5$7DhBNll|<nfRtvuH}kJt!Onx4sjeg&8dLQ$YPx#KGjvl1T6xPe7aklnAz|qwTI4&36-t z=K!Su^rHjX#?oebFH3ylHWQw!HIC-Sm#+6EPgiQ zG|!wShX!py?pP@uWVPD%x!%i`&nq6z5wasHXEzXY%-rCx=iE9U z?9c5`LVh0loj`dKa0RO2Bq#UurHGDFRe;r}$qrd(p;|)#`69xl2WTbqx;iHQV>YO* zLV4-8i6c+<85uzETKmN)q%sliQgB1N7_CIZth}}Syy-M9dZ_hJbF^ySp2~zWWYb8B zKtb%xnZfE^hW%0npX8Y3?w=8dO+`}pzL}A;t;7i(M7q0dCwvaVH4-<*_(YjR0ZgNu zQ=IyksGlHff^1zCe9+d>WhS1C9>@d)$Ewm}qC^;yotB=`fqxLLM2i5Qy>Z+_UZ{O%y+Jhr6hx-h`!~I~bOG1r;biyOR43i+YM zsHU@lQ4Ak7xjqIQU-s!=?cZTOmH+R4LThC z0eV!6t|+`cdLU$8dl;&-K79oe0OI`EPcZ>=_Ls8#$F%P>`do9KBAW9`kk-XRqX6rw8p`&{dLSwDZ9{okG+vdapN^BVg<_?Cmb)uL(8EOT-u3 zfomM=zC0CJU`r`$POhF#jJ7%y<=-GC;7cV$^U!g3H9+i6TV~lejcThgmuQBUO6eQu zKG0$qTG7ImK5^;hXA$>Mk*w=Iun~KnFm@^yqw&hK?-{Y}Xms)xMlSWsA>q*m!aP|T5k z8MR`BxKl&MXGwQlfFce{_hkhc$|8Ov4NPv%j0{dOkQ>l1B_4x9Zd=k+`Ct0))nAXL z0y~dMSS)v?#N2QvSEzA8)0o60sc=bm%@aW#sGCaBC;s~;?90ahy-%aP7oQHjQ)#Ju z_ly=@tYx28b&TAKs2tkJf^-@i2H{5f>n>=bg|M1>c-Iec-Uzw+$$Kgw1w-q}GIpbR zOiauLZJ!>mUs@#b4KnCxPb2E5pn1Z9i&GZYg*wpsg!Z63qe7YKSlrtNr+2|pMLU7S zHda`tq}J*3Z~K4pzxJe)lQ3k@1?5cM{Ed5=6;6X{t~U3x{^njZ=wcn_A$6c)lfjE- zFWZnPw;MRKX3hsWE`Upi(FQZ8B+3D=zCYSzMzn_RK1anSG7Qj>V^x5sV9} z8TT2}`2v>)6o8IHpnNLJo>^!ua2YDd=cqtC1^aH@MESQeOSNib?MGdXnwM(XuX7#j zuz5MLybY|IEknDeLQxS^vqFz&F8TuJBQFOKFbd6)8KnX?OzdMsjQt}%k>G~`2f65Z z6Tv?ob+RL#$Hno%t-9WbB6$!OS3@+k;t%FXneh~VFMC~Rk*oT>g2lB_OcJ9dy(N29 zO9=f?SF#MU((-|i2~fnW+L-IPh7jrY z_xeNt>f&hA2#26eX4qOzB9L@n%TQW&}Ttv|_xG=bX)k^R->Y$bg?w8kA?#_1riQ z+a=>tLI9JwbdbW_!ms?RyyQYmmKLwgU_fY?tb8S1SK%@h8s)!{O@Hy$Q=DqdXTm^% zH*ugt^PGs(@vbs})*{*~n5Ngijf~=AaxyliFPR41J z#}SIl{+UVKo-vUK^PuX+~cKP;Nkj*Xyu`al8c@S@tE2l$LStp}bho>=zi3 zx^Sp^CkevKrm3`pp!_PZ+$Ne%kclAVT4U)d9^_Y84KNAjkb91DcRyc8c(j`_vEPqU zR5V`EkP9|RYsHtcU|YrKmRybF&-Rjc9&_8@#hFkYxzLaQj&EIwVRq zBXU$_J}3S%6rA5jM4M*B~J89h&H%V}CN|E}@*w{iqNWktEyNIv$+NcI9{4t+OV zz0m-L%Ic0yGb#+cgHQNCdSHYF9_DlJkQ>rQj` zLiCelr_sUjqvx=!bCNygR8DYy{%jT5nO#P6ayStd+t8}@GU5(coL-ATRy|K1tE>37 zzo$~iUl%Td=}r%fH#9~zYvC?}Zu84w3NQgNVEM`HDz*((0Feyxy<~f_THjFNROxwN z0g@?ESX$Ew6_&wJlF!vd-jCy1MjoyiE2>1sT?#_n0`S%>Jlg1w(VI}s@ID7i&YDuW zrP#1LZ9s&aARSV8J(JUWZGr)5Zi$MyBKRgTCP9gzDBH+ZzL$_1z=SI(oPcCz3*d8U z=V4KED4{96(mJNkDai)%**(RTSn4Is1xbU$Rz3X~Z&=9U$rJ2#5y{C<=J@wm_vuU> zJ7jiPUKXZ?@1}8jcKw{m%nk1^t*7howEQdw{ur;HXhp9Oz|b%Sr%(Y29)}Zm6xjQP z?Ic5^>AJj#$mT`_0mX|W@`D5bBenTskb!O<`c9~h1XzH?YR00W5~t;}nZeebQ+859AFBcHtPTzEDFZDLLkjWVUV<=oDqlI4vA zF1|VBuL`k@T{k77qD2(hs38t?m}}>1;gE!Rok42EyDx{OY2-)Gr>s3F!;%vWj-HCN zTvEttE8TWtaqk->0}H#)`Fva?-P0yAv);8V1~8@MTg^g6{NqKf^h}rtn1xmLTq8JR zO~AEEz*#lo{BAg@W=eqf3DN;T#!-)p+MG@o!9E@4nz<0?*oRm~J`Riu0!Q zsOUIUX+kUrP}QKrkFbCbV!)NkKJ66Cb57JK-h=;yZk4<_Wv@FR$6p?Y45=B2D}ojq z-eA6Bv00L#`5bK`>rO||`o>FBGb!26)e}=O2~JqlF9GVK&Uw-@Gk7|QY^Sy%@W&b; z$YiASLzQC@`lcZeNLo!*O6~n0|A05n-Xp|&bfRwWc_)fIyK!whI6C;TtJ4yap7g<) z*EH`)e4@b?irY_R;RpwcwlWIgfyI%CYZuAt?Uk?1PsI4VlagAUX9}v($00Ez$4(x>dTPZf>59@Mm0K66-G80tx!d z+<}3FMY4F=OTb3Dts?spR?Z(LsYj1Z0W?oiku;X4=h{Wu%|UO!7AoyaT9tFl9Q9+t^6MaJf-31p&sNo=ezA=QLeF#Cj;Hy`}=@v-(M*&wm9G4P7V0NzP|<% z=Z-tVpF$--1Z2#@du?j9fWA7^0o>y! zLa*?hpOCbq&&j`N-X?{yewhLv zl4bY654eE-d1uGozLtWZk9V@i`@PVH_kte0jH8P`EW-Dt`3bOnv@lv97DM^6`$vJe^qy*^j_7Qd|I@;vZMtbeD^Re?UAl3 zln!dR{WrSEuBrXyLg|`NWzLWSG;!_FNe{M|c7egU;>Oc^oVDZZvDH-I*tLO+O&SEW7PGy3r3Gyr+;sT9=pdj9T zlFhdtoI-_-$GWQ1(T1sZ6s0;|?UOv-@Hqx@rbatQ`!+%lVis19;{p&^>ft5FeN) z2d;|bL&z-&mPpv0gfUrpjQ!JT<=;NO^47Cyiuf4Sz+8eQxd+CNRXFvQp8h7ze)#b^ zJ9hUq?dcamQ}J)@?C?LekB8TOnaN#)04qv!bm*?P!?1XFW5rL28g}LE@X(=nK(6}5 z+gl}|c$A*2k$k~=i1yS-Zq>qyBPUa|q!&5m0j$JTTeLO36404MZ$r~AtXlshC`?5%Vz5qlZNIWbzrlM*0Fh->{lMv4U z9yq4A_WtKCJ3{V!D(~2ViN)iK#$PbenGC#9TiZQ;rpVkYjoJ7~5g{3_Yt<)^E-&a% zG~@epAgQvVGTU^IMTXr;f>szM(APLmBY;$1f)Ii>*#_sN!|+-XY$s`rWX~I1GZLHz z;(Dw-$|`B?y_^W3xloRY;|)ON>3WNgL->(U$2q_xr9F$gq4wK~x*IBBi`naUgb*lE z9$Y?;3oPA@$q`t)+t9Bd$1l1dix6zh06qt6c-T{YJl**}nHl)fCk>j#apJ2pyLv*T z0Hk6lpHzdxK^HGt8=e6Z99fTSChp8bW@CmHZvZc+DCs8{zvd-xUhgWAryX0BVuGLR zM9s<+i*wqeeQ0cX0+>Zpfo)EE+%N2ur<~vdQ~qPiyJ^iWIaRW-6md&XVtUIJmhqOQ zGO`u_OKw{5Dv7P->w1$c`YerM6rNe+?+?x2aP)&bjLtX#75iG zEQt_6J_H{)iHylhveWolyEK0aMJ@t8YOrN@2@8|3s~G*!l{iYx{k$trUUPRYt7e9?Eg$A{yOf3Fo+oPU zxA%wObow~wpN(Nt^6~;FARcx9ButM%A!{UVJ904I*(nSe9l?3XJRz)J<=5U?6;X@d z9Mj?NLl_iv5dw{-f^-)>j+{>+U-y;I$hRczq5mjS`Y+gq2Kr``7NR zHPD^q2FE|8;(yDJJlx%0x=CB<_L9dc=;WbnsPXWpZQ{{FD9ib78)#t{DKD*{UX1&% zPsbmQd>i~3H~zQ!=uGXOHh3IZ7PN#T!?8+E04tXAPX6BvV7j`@-)+@$$`&6_|9Jr= zf)cse({p>2XY_*SRljGGo>wVDcWBh#$5U^T0Oi&>Z{H28XDK^Y9eHtc;VQrA8OqOr zufC%8-C*!}yXYi!6@6`#UJzI;ne_1e)U-By!_TaM(p{oh67+)(s(P@xXg3$6MKAl{ zI^==R?hKy2;VJ~R!^S%s-R?67oLyg1Ugo*JZK1q)*x}dtyxnLq;Ds2^1QqqpipTB& z(27&s_jmuL!NC2ga0_*Di=B#?sEu1!XEVHNTfhxO;VupU@VsDspW5zqFvGEq34L9! zale0+#q(tcWQP>`lsE2N9b(#?Zt(35-16SfQ#U=H&FUV{J9xWKEqoNPU|aM&>{{zs zLD{g~u@;$uSlTq_TF42Y50yi24!!FgGAWVoTHz%~dxA+pZYr3r$>-Zvta)3g=}r@D zE)~)po`N^O6CNuQkxwbDV+E+VoptVvVQ`YESx(Pb$b_^kp;|;!r!z-*iPWG#p=N*c zhqt<%1gX!oC24?P9SQbHT-_s|_ex(T& zvjg>O^)K5@UeS;}+tCkd30`&M4jwxTyJO~a*jUe99-`>H^RR)fy+n(=;Cx}x^Va^_ z89wbc9c11+fjV5hUfJkHhm%*y^GIB?FD<`%CRn{DG_$)nCMb`g5O1GJ421enz6U+h znxJnWL_qevX@GZa#g7hEQxjn06sJ0h86;-Dn2GO6M+LJ51eF=qC;m~PMv*hMy;X|t zwk*@yYxy|M0G1q6NS|O&fHtM-Un~qsPt$yug*GVbElzQ(hCKv^oPM-H+e6&I)`BsR z?D)aS_4%9;_>(mr{pAN zlLk85zyf7!<+8!{K&ESH!|S?i9m>ojvr$0uZCQH2SxUdTAaLYK#~|;e+TFN);=9wF z{YG8adnZ6JTt5EA=5<_D+Ie? z5R$TxA_z9|O#?y<#NZ$|6};IdJ%)>buMnEME<@?3-FPVjR?W-;(R1rU*X2pA+L{Z0 zUmgrzH{p>^D(sE;@-{Q^Dx*Pr+&07g;NoK( zd7yqBcj?Nbto;}gmxQzPU|)L7ns@+_nNo+h1((c271UnE91xgO3#&KU%4s`DbhqlU zLc1nh-c@`iW$ivF_CyAukecp@&Gt>4Kh&@fs)zA?$ywSsVJ@oDan`h5VscMA@;_Qh z4EY~Pg*meBgCcr50YyWf9!bYeAi#&pB%70gk*Gm3EWDmHAn!&%Hr@!xxdYmg7T4^9 zi$^C_;|M3>f-n#)OrU*e-_v<^7cN$*@5=@N^C2Nq?IB>^$shBOJ&okJ&vF{?xDr{* zwH6KcEnT&{sW7YmZl^po4iG7p4i}h>P6Hyc%Tp6oE#+hF^16d3b?VpKEksknX@GIf zD9;Sz84kMtbY(_{!}F#S>pkmI*d2M@e>EM%++&R9d0U0Si29%3RgSm2{cnd z#iT_c8sp4rcw{ONJKIjhP^S+e2tXdhsSyMQHOBxaRl@ki5fwL$E?LDIio$UZ_J6owaES=*Xpl>eW!$qhid^oxqKLQ8{ zhq8RE0c~1X?Mphr8Gk%z*#M(GD*Ek@A({MiM)fS7Vmsp1%ssg!o8$Yq@H6 zrfyBP$vnGWq*H&JorjBefan6ES{u-hYf+7itGRoGJb>Rx~2?noCv)yHYggcfrA^_+VI=e61 zPTa7Xa{sGrZgq3>9)%I0^7+;e&6`UR_RCiK`_GdTfQS31Za%;syT7umcEYzomoQw{<=Iz^%s$Cm}{3Eae-^e^3U=gti6NMgYC6teNVBl6(5+vVTzTp!+{ zyf|r%9Awb`z;j-lpapDlFufd}M_cU7BO*T)~BLW1uiuFh=?h{JS4I8X%{C<&m=shpcI? zh3QD^K+!z3i9K>(<1-mc?KlFlxRybfa3;0(sZl}SJKU9>PJ*Oq$E4;|+8wS4-D1eN^Ruc=|^7?geA z;KFm7sLran(bHUgL4h&vu`aW#^Me4(s7YxY!|E5QSIxC4)wPL%ps>!Woz6R6{RH+E zVQcmD#Y>QlA5fsb4Tb4&mMfeqAZO5IX&{wdOkl<8V`vdZ=WY<3THu}KppaWqA40w}@PQ@ss8Vo348y$*rR%mGV1WzB6P_g# zwc(|rd}GT{cP3QL>&ZR;sC(hBtOjV8fDE({!X@WH^A|6^$9^r6M$C5K* z_5myB;Ipou)z8f3AG6rutPV5#gT#dVTW>uBl(Jto@7azk#YRe4BE|kDTs3! zgmI5T;kXJKq?oEw#f*b{u)60sH@3o>QkYb(JXv9Pkg+fwIpun~5mI?)6?l;y1l%_Q zj1d@$4C)|;z3Tx)f;JjLGSvQWdSndw!xMdb_|PA7Q#FSd@3BuO0>6Mv<5xQYNCECO z9^834>`EHB$>Vp3JWOmQDGcKuGQ4SA$8LdvL3&B73^x@7!tbYu1X35Hl~B zfVT{Z8Zvn9WnlHSAoC=%wu-PjMS4O2)VqP4TUt>O^f{>Y<{JZB6^BIPZ4-$B1tvNF zOF6iYpDSsgsT!mLBPAb(!-GZA0dI~8dgn&E9e!Vhv(08Plem+5x@4t% z=^b_zFYe%ZN!LY*EYjw|+sO98b)jk-amP9Y?7;|*oJrZ+rWpE;b(WMB0_`>sZC59g z)KJ|*l~vgxCj^~Zt3=my_j`pWCjK&9L|ZvscAsD4Bi%LC{MUcTV;Z-;ib1%Xd?61_>I>mxW&V8#NWC1RPVqpMULJ=6>EegN@fHcr`W*QPAt+^22tI$-v2PRPd z@uThx;0VCX69QOYm81w@?B~_vIE$Ii3Fn8nAZ9TiZ|Z1I8T~%}qm_HX)@Xw%X}ByZ zM4e7Zq<=sH^N9%KFvi=Sro*m++;zRG>k86L^x;_zb$kseYzJmz-98nLSRivKRMt~6 z)(#IK3#j02jLl5-5{J=MR=9XBPdY;&7lns@_3)(f?}$wHoHP(ekRP>!12bnX&Qn{l zf6u|8K7uv@WALOe7TP3{jC{^N9Y*vQIV6oEER4?@#st~A>K)BJUs`f-WEj{MriMUZ z%3cpZgX-)<_}+ndVy35b4BU8%t{aRc!T{$n7Ng%os$aiAI*TkN*~!um5G|N3!HBPj zsc|pNwQ27gV~1Q0M;#_4v75rjC2pJ)ywUX+>TaudbT48LJ-VlhfcckQ1Rrv-R(0)&3Gry zop%za9^hiV6tvceY#OHbL3m6a@BKNFI^%@0f+>3cxscK4lUjD|8L@=Ruhw6)I5isgA0wv?>6cQcJQY zF7OUA^0<2x`id%5!36X*ZY*P_Kmf`Sm6k5*M=zyUNYu0ErT_jRsdQy;U)Z}KyRg@# zXOB4v#M+EEuyKi6SqL<0MWeP~!Q8G_c9oIhB)D4z4%YW^I_!~%4ErZF!(_Wu-N6a6 zBQ+Vyaq0qBcSZED%*!y&jd0ABMd5`|Shj6SJUQO8%Gk^a zIbYi|#5Z|XN{_DmB%qyXG_k^Hi7~ zq!Ia!_E>k3LeoWRlR$-u=s1vHf7Yn&N?3$rTn8AGeo2`PVs@c}IRdPCuC*nDHHJ01 zifX>YaY<@2t}up)%3dBEX(^kq&0$EtwZSZx%DLfmT~BPVsMM<#|7t>vH_v^bGyycP zkwl-j@kZl-%TjceFa%zKAQZpB5cwPgp+9dy=$od;_-u$WV!*OW@Hoez!CY2%FyRcO zyTjG2J*$qk>TTQu(jm~a7nNok>6|TNi?!~vI_`mCXycMX9wPKS345^yvkG&YnlAOa z4pet=8rrA@9Wx!ChoYM9-{>EA7pZ>fdYv;hR}z(+mP(3bxa&^BO}_Iu%5(O74Nm|b zfHgo{4m|Eb6{;ET!MRjyY9|Qqxgg+NjQ34ap(13^Cp=dakY|*4m*BEc4G?jnIBB$1 znr|%2&M}k?0@NH<2$^%z^BpDG@si3j8BBQSfTm-Xz`s(mJFRpp?x;DQ)h808e;WVk z-Ea|(T$tf}V7w$En&MIthy0Y~9{zp`8Z!i@d*jd-f?XeHMF@P0u@+XhDtbZ*s8CceQ2FFQo);o|wF zaDbkYU<>3~2pxdRKp2cc$GelkB|#$O(abnJc&9a!e`B;^|Hd|92I^lN;Dn7<^`?Y(R=v@%Z) zSsjiXPQ(w`E_S#6NMd^w(NQWHDVi$8` zcCi7UfDar;f?FKFo9GIWz z+o^qA>h-1+?$Q~}pPkL+FU6#`ly!jj8^O6xohy9vf}>Y4cB#59 zXMm2V5Xg;MDXCjGtlb9=^<5CB0VykDI>-x!y0T5U;E$a)cnT)U(FLX;4R(69hEtr+ zC}<^7mM}-9HTT~CWp*F9xp@V^j#uTsENCf?i3D{$Jv>${bE7T=GnD|j>qBz8Z=06A z>b8ovq#Xva@IE(x9ym%pH!aX20;VW?XdmzJT<&%%hDHsfzFX_bD;k*hELy#D-CUse za<__09$w*vt2ahHTlErLQRCmJt9BInor?O|_XxaqWj!UJ07$L0Xk%!%^p9=I`ej~! zsErGUS`_6^v-=+o6?8f_9{j=WHh;kN@jT^4p0k2NE_+p5IkX_k(cqJ|${f!V;g8=2 z2Wl01D{o0o?Cvg%-{NVBv$I}b^lW5!MMp2P|HY@=Q7(9ebJmm#FM8=4y+Vym*4AS~ zfoGSTs6O{T{ipBuuigSD>gpKN)SB|(tKW?M%g1Brl@|0fwb#v|R|kT4+TWt-EfjzC zZ*Qt&DG{kydIn_^qPath2FgJyTQNXs-8S@DA(bWa@+;zN%R!UY6(?Nnn>O?@7*R#q z?so)!Nkx}tLW>V?zy5O}gt5rWn$D=hL8at!7AYJnK#HCf?0!1W1W}cwkQhEJFrwv| z)YgOx)8N?nvKy^*I(7_<(@`D^cY{thNkPz6E0Di?QPJx`%e*Y=O>L;BL8k-${|z7l z+}!-he^9FS+dDMT9pFHGr50e7MKlZ5{wEi(W1p<}-!8wIv^$0Ce zUdL>K0ei5k^b&38IQJ3>QXk=7xU_0LQEMQBTsY1ZJ_nT})zbrl%}il#_eYQ@W;Bbp zjzO@ap^)z(jk0zyVRsXPGA9$X#mC$`fJFs)0gzkdZR%3uJm(wTUyt`SfFYH41d>Bh zB3O^c=hoqXCVIrHuv9+Qi*#5=jonY|hiGaIhbRX~1}fXyJe%lbNAj6s9Cwe1(;1f?XAQQMIk*_j5}1 z2{WK*bc&02|Fh?7rk3!?!bCql;Y1Lq_}%_?JEBQq4P`L7YsNq{#+PK`qQ{(rpy%!w zt8`g^DSMh9!d@_SJpFw?A!D_a%7F8mX)PT;QJ^)q*1%;i1`rC`GXTUp%amWAgt`g& z(WSbHU95LO$E|E_Ihx21%o7cFU$z(m3o`OMGfcv0*kMaHo)8>!2Ro{ih2(D-8QlV+ z9Ycqs>>IY)4u$n1f^<;9sZ*>2)8=ew@B4H>)wiR2?}D-b0u>qzMRk^*NwapPB?LC~ z0MGyV=7AEEDm38>sn{=29MM#b*Q-V_X|3eVS{xIfgggT2fagtM3uCi*#9IKbSQSFXVBkF|bCArNW{EP7zZPAqpmq ziAyp8I4l?1JJ)EP1u38{GA342ExCBVK$URXIbl2xhB1X}QZ@_IQNfI^vK_2l?`!;L zwt-VM1qSN=?vi^w+c@$Yh~A0@SnZXvK~41>soAb0gk-{tb19KVP*nBFQc*-t36zKj z0(?N$PB35sNh3Qt@cLQ^&n%pQMB617Q19g_kjbYQF@fk}CX?(JaTSFd-B~xR$|+b* z6)?vw&Oyy5&KHcZ4`t2tHIUL~v{7dKi@Eogtq%!LBTk=D&T!=ylbkmm@t$$hYH2dB z$4EMth&$Y+84qhB#qs1u86T9V9jQCem=LOgb3?W>0Z^G4ZWt0@qEV6jD=FjzO}|hH z_tBCvp8Z{~y_gm95OsIGh6!~)u)c3)M^si5vT1bRP@Wy>xS;Aune_P_dZ&-1*jgCf z<;z1Rz+@e+_H*TzM@@tSX>eM3ZbmU$_oU~yiih_5$Mbt%hfNOfoehl(-oBYc{b6_> zCadhw?OI$-%3xsv5(MVWrUS7p!&^VgYTx`Rm;fcF#n@F+3+Hk_nN$KJv1zhqr3wc8 zV2IU{7!mGU3Qbaq1goJG%F44HU{`ibun%%(@K+X`)ic(STL)j;_Jh zw{B`p=m_|#N!|7ZOtKi5XYK+AD747yfIikjpQ_QqE`BcAT)m|Z^#RFia$ z_orBp5bw;wd{nchGY-$H9PFdBq|0EnFT|BxAQi&S<(ug&-}Kb0T8-@Kqfy`zGg7!?grJz<$-?2mp(AW{65IEC_3; zM)*38Oki}D?FL92q_TT>gQJ7+Y;P`g51%RVO@mZW&{$0!dCM?vTiflMr|8?=D&D1VE8QIH{DN=zKV} zx6J@TTDtTQB;h>lxeWab2AG^QjZiK(>*y_RA<>qlC#I|2cCV%{SL6Jtz4_LGr%Q6+ z@{rsSEKxFF;ybKQ8t+5GZug0_{xW+-!>e05>OL^b%3fBt4HKeNatv=~365xBXKZHe z7$MgQc0}r9oXCgap9Jg)RG5s<=N%`$BH=3xLBP00D88Gv0KaKO`WU9Cka5X>`l2xRO z1r=!oBpHX-4@@pyG|?ykEj9-LZDiED3G%M;VH-`kVNJ_~o4J4N9}rNZv4fRGWLgrg zqavNNHO2Z6n8Vv$Ux$b)OU*Lp6WKibbT$LP#(fr?m(?Pb8O_`SC-b^W_)u`a2BPavT+~NT?%z)%s`to&S*$JGDiir7>3AA0{3JYc;*?lVoH4 z2q!!QbT?cg*;SPD=oAOyFPwG5J{wp115_M17ac?Q>0CK; z7OE?(mSjTkTuM{CqW9XLZ}&)CgOuf;_k4A)=em7__dO>JX7{guY{@;613()m>KbU* z4bxbY*fk;NP!o|k9dL1VOPsPPWFsC@nsSf}V z&cP7d61miUd5#;AB`3o(S|SE>P(*k!D9@By^DgKfp_dLKf|3tGU~?j9+)SX$hF_SB zA%8*Bdzp-0O#>OLY&N0NRS5U=?AZ%=gB-1LJp+x_SgLEXoj|{`{UR$Fs&;c-JJX^h4`YF60jdGIHX^i*mvnXR=hxc@O&Sya6&z>2?=V%0xQdHJ19{8eV+ z76D`B0hla5`TxsImP0MNie8}O_;KJS@*jW*=zxjvqDHF}zAKfKb9LfuZQ z+eV@6G_C$U-{;6|i0JiCc7u_@O zzylxhVFFJ%+KRIfD`+R5FY-fc#JdeLZV=t8=3oeb{zj?+-1f9O7ZhT?xu@e72u^ehuY@+_YjO?$Mrs}d6TI~dLtmsVLU zo#)!u9b{^|KDxB|_ZP6H_I07mxb9-I(CirA1P@9l)?Ey(bF)hscSO@x(B6knDmoWR z?$0d5t};m>tjjh=NT>A6ozQozm*E1dZfFhE|2A)ap#Qr58<5zb;t#i|A+_WMf%KI6 z@@ba{)tMw@)PRDoudI8L+}bK9V6eTYHOJHMgsJ1H{;$M#?4Y6m3HB*{oXuJojDoC~-Ts+_Ng|B!!kh9Sffqc;2Gij9s-ce2(wE zkx~&HL-S^MTgYIvMx)o$o69G?q=vsyX~|>WfsHUB(*q0kdnkNZ>%!Zw{T#rIftbEp z2*A8P8)*d-m@9l4XU(lFXnccyS_hN|iz3@Mx^;x`T!)vFTCDOnXhG4=lK5a+K#aXK z&-1v7!hUWDrd;~yr;nb?v3_jYLS1NDR9sPe2O21j_*F3+$|S7&tHM_q90sqeL+i>B z3OjZ{d15euN}XC2yXzg&60Gj!egA6X21(+Y&sxrH=M3#ey>@Sj~P){Dyz5SM= zEEJh9!q3j=es^*0K``zWIJP-f0E636Ce{QMFcGIW)TGn*x?i|q1v`N2+DxVoe!ujm zA**PF5Or$dL$m;MH{N`16mK+bR{Zlm#7KRr?-}p^dLJt833?#!TFgXWa8~|Odd!Hz zjM$-#QNbMcN)sDx@Vm1ct>@@&2zG*SyN>tPem*6c7o|hq`i1{H9OXiqvZWzj#=RH> z&0e?BrU7{SPrHjv-WxL;z4FL_Me!!7Y0bWw>glPAKB3I-mu(d{$Ly%FfC%eEVBVNf zyseF~r%iovnlZe#Ra1D}U0>5V9~bLxf~cRE8!nj5KoFZy<h$Gd!c5+ zB7`sHD7vK%kF$C8HGz6t1nTY2EtR!PY)o=Cs?Ssj!tKGsu^ipwzRPJt+B31ctd_-E0{i+xkxjX=bWRTV>-Yt)EIYl%4`p(P( z$=M9`R+VD}w+N_B-0EV5`*0Ie?Jhr8|(8IB_KFUt8_2k*b$ z^|wSPNX0X%A8{Z!9wf=`3yMBuL&nf1D@p&Ni_8h#mG=F_*W}n-h&7xp6*c2G=WFnW z3;6me@Gv8pW|&68K*0x&)kIQ~g(GJjtNlo3#$xvtfaM=dDz$5(7Eh8+G05mW@yE`k z;@oIE9Wtk;NF#P8jF@p*c_~?7IR~qq%R(lTs$F>|38c;fWiyiR_|~SkPvXE~PaQ++ z3-fS~MMC?RxsK5*20%7tnnOnA8<>EF{^mjJIvj-34ypMa^p)2+27laJ>7=#%6+l!rEF5RsCx$ zy&608nk@SSaiMGZ{vJy5(cvWKqnXEz_JD0#iJ&1y_<*~w22r6qjz$FY;l zB?@>?0tmMjP5?g!8?nqLyTrx6QGeVQ&tNDBiMgJVjJO=~m~kt%0wIjEcOGMruk7a> zHU&$%;_f18mcX5jKP~4XRQsH@-!b0r${Uc=H`+*fZww(CYM4?M?;gwlD(r)$MO*im z-V7yVR&j6dmrR3g2Q7@)OQN${md0&e$~8g?HZYf@M6g~7utz;5+ucLnG;hORDVwTR zB!DIbG0x0@G@im^t7AlKucol!Ral-od@!)JP?;3k;L3y3ve@9>G-$*n06doM$$_oA zVGvXL)2S)_o6WdRJ2}%+OcND1B!@^jbg!J<8cpc9v-V^ea)S*i7R%g>W?jS;=!g8? zQ?{HyME5m&Iw?85x23%QN_qcI(3(XuI&mD1tW^?PIL6Rhf*6SdTP0c;uXITqa-e(G z=Z8?${GjLa8Qv9ir!7bTyJ^lVURTX)Hd zcO|0=6i+hXxRb4j4oZxlEj!P^uIh_@jwuWfyM}~STDc;5ji3WAyZ$r{oqnH`o&JS5 z7=*mIQMvIEeFQz%T{hsfjLAX1r-qcpFsaByiN-T1ZUI)u!VgJp)2Ap%|2!LaL?IQt zvuo0m%h33i(-$Cq*qIMe4v*QUL-T;Tzc|jM&p1}g7~|phQ%^mon$i=AE++Ts$$Ui` z<~~9mA#fSyp}%7<(=ZHpSBH}8-Y`g>ab%^JIfX&HTb=1Qr9b)c{p^{J8G$6!Y3=LPk=u=ojDDNLU2YpSHa z8^y{3_!)HQa(2q*ZbibRBuljXI1(mRF)nyK!jy2VOS*Z-onx*K6fM;Qf+$u!7WUL` zbut_z7nIMOd1fkFU3Azqbd)=NY(F9I>utNDsbAYG28UpbVS^};<>?(4j>%RV!qv2l z-Ce1o#^B}X1Bfd}iFNi4VsM;NuW4-OL^ngl^ggdZDZ0J2GZ9yN5`(%Yt0r8Fa6*bU zL6GmO2AK3lPupo(@_Ic`@CDq2aNyw`l(ca-r_1gDJqk2}SSVi^&Di9}n#QNJYpE)y z!||^Pco{qU1^W~m19=A=bwxJ0`kz1V<0l)Y_?vs=^mq9ZB$N@1?`TIHU%jtSRynO3 z^#}|wzG6f(Ug>$zf~!6lZ5Z%XfQTeZP%$tzK}aa>mklQFr8E~czV6)6%;Tp(+#kz*l)h!0|ot(Qc4$|2Jk zYRlc77JJPFz5z~aM&(7KNFwj7kIvs;Chw!1{T(2hk)%w1w4APG07HR!h@U$L@AaOt z=3oW*D$EFD)}Y49Q2hjXVn|IUj9Xf_^zC*%tE+c1phSA zyU}+)Y;8U?0-cvJQdHcF!9n9_@D+H6d_Hq z5DUyy2}hwzMVeW~+c3`WyE!H{)==U^E|wpXQGWdho7pJImL;c>Gq^cJkWG6uDJXCP zQu{*xW!k?ZotCN@M}nTNlE5rswPBsT8)ee@)P-RC;^YS4QDne(;4Yr8XfMbc0?@}u zigt+(u_2~HI5OPehUAq_^!D&K)w+<6`S&$1tlDCw;s+L=*=8AMD&F-DJ|C5>7q7z^>AePyi zPD;&Dz8`8BudK7BKnJ+du$7X5s3$+jY`PL2dK}Bks|SrkxeLt%urH|clkH{s`pV$w z(^HA5s!Ty_Dah~WGfa091>=_LtI5No{7o}|Rup<%!6k6VuaL!^QWeMW??1|DSYvBn zV6fC@*++Ou=}24*rNN+^msEyOnqeV}ZwPRrw3kXD@YJ{FPO#^;yZE8`dE3oF4`Qm|%Hs^LduwScpt7b1|m*GYFENrOq^!z4jj_-~~UVp=WyWtZS;^GU|?;d7x23D|# zE_nD(8aCb&FwgM;%a*B(^layk)Al|Vr5|U^n|7^vjdw$&r)BHOKDVykYUiy)jKz++ zuf6=jCzZ`I@8~mj&D^72d4sGAn~-2$=Ku3E|5*35t?2A8E4}RpT~6jT#gpEXjJQ2D zYm11*3ksO1Y17R;sqhK299_Wn#5DZ8|J=kEd3QuFpI!9zn9mme>N(=uvqCj1|LptO zw;uaBRRtfH6zsnq!z--fNd9pA5>+hXR0&y25(`BjN-3viuu|3*T^#Z(?J2x~z0nTN zMJ7~Ge4*NB;SkBWI5f*Q!Qox&vvP>RCJq-$qx{)ciIRoKhe=)}iuTX74%xlIM>Ryc z_iclEGkI!g-rslDG`E>p-824GvsIi??#_nBnys_5?ea5t9-iE}kE%JAMY?fs%70q% z_07NZbt+69UjGEk>Nc{%Ae*538DB7-er;fH;FwngUGoieVaDO(rBx9xa}m@A zfLSzaVOmOwn_`a0)WX>00^yn;I9BwbIArbCj~T2CkzgaM_JYqB&Q5@JPbx5FV64a;;7DG$gyGcjgqFAzq< z8ifC!0a!i_6=bbaBO_Nwue~WrA>Muj#rue!Xd0FhwXlPFDin#vFYQpngA7ZUaXF~6 z-ud9(X@)zM;XqF;DciYM7QQM+y-W?b7WkoPw;7>AN6`+j6R$A=9OhI|8)y6DHHN~F zDuKx=*V?1K9+0>qTTMv>E&zbg>0NpTzQc@m=C1eOcj+_1fBny0`oGucBg`7z!=2;y z683}(PK-}mKAq*e#eEeP=@DK_yu+&>vjV&}zvu0p#M&}%bijKx>+@1~&wriudNj-X zu~%>1Bd_(dS2kbpnzb^@XHv8vY-7CVd`}#uy`)XoiMAPqAip)>Em?qB_K zp&AWc^q4#SD6X}x;kie){W)dD@#I?MVt`nG^8}vnvHsonpLc%e-97B~V^6QG<<|Qw zU+>jlI;!7gZI1EwtF{}~dac-v61OQsfrQ2Lz-@8Gb3d8-+(Sox{N?8rUiJo-xXK!v z*flH0dC>D(ug~bf{Bvo#+3Wu_`SC9Ui;E14y573G)Z=COon>6%;)~1whuw?2#l_Cg zTr>yH#k;3g+6o<8vY|IsO|LIr^AG-KPHx}V*q<+!dP1}Pp@MzKOA`( z>&LalLeZRs`J)_pw~uehb?`UD2l=gWzHu@3893xSj75?eRB!`iL^XVc0d z`G%CLVNb1dxY3mj^t1%NMbtjwpMKDEeZoXWYR}wMEWahY^x3bE9(+4HyXx7uS9Y!H zBD~n=wdW>D(%t^<&5Mf!I|-35ZK&G6W^+rKxMli*d3v zCMY4#xGPH=K~Q2Xaj{Rn>}gQqqOqE_8#Y@p0u?wFHVe#-8Qto6S(9&R@{{GSO8g2f zu?#^CX|UKsxzT1?3Z2QSD{m=?tjmpd1+JK|gnnXJ633w6N*qxps^K}>W4C}wopaV` z4iWN){>6XzyXL%!oQ_b*49UT5+N05O$}9huo0o2X^(mof>rfRAoYEbW<>}wgL!Gba z;pruDWeEqT3?=~1lDZ8kowUN0J}Fw7iMA`a{Ku^FKD(>Y zJ$KMTw*F@C|9&^@{SISsvjNssO*hl|UE*{Yff~hZ^O55dk-cc6#ZXuB>(VTqQ2Y&W(x&_cSGhzopji zOL6zpCNYbuIemO6a`qrxMrs(qBzD0&+(QVhgtTx3PksNF;3^RxOfGI|Pk_*`TY4Bic8f(Sd<0@P%@@-fUM>Nh#tYn&_QJ%ncF)1;G9q|SSL5~k8eX-a6?lnSY=`j2(G=& zgfwBxM4~l^vsCmrzp0#5L?CXNm}+sytR^1=sY-!KfBN~h=h;@W*35C=kn>d-re~_9 zBm)X0j^VY*(T(@+z&F&1o*;{+sn}@D9RZt^UUj;Jlsmv+}mGqtDTh1zCR=!{(u)oPS#Y?Z&!>HwN_JH@w>vb zK3@rcv)Ziv-My>5XW&H%+u27}%dmSo@--1ZMM>=rLGU(juV7YqvoK{FQ8fg2 zh9ImH$3Z8|28zWWnp#fpsqC{rH9~Q&((g-FWj2wpcoSbMBQ_;OsbwwVW0+gOcUg6{UncZ zX_V~=R?ku7hc>4u8JWMebE7kclDL8uyyFH}fq=dZrE5?I^4kioA|aZGIB`zliuRFyrOmdco%2YEqY;wEHfN)K$r``YB{UAhoii~6dv@7dUs4(G@Rouf@{ z1!x(UU5(y8JxgV{F*d2@Z;lm}c@C!`OK}g8TDY-D2|h1_!{f!*Za+}2rHJ^nMoQ*@ zvm?p@8dFLmaqb>-Q5J0pO}6y^tQ;Y$)MPc7YL%f6fsGg;sr%H0+AH^ej$n*78lozy zj}SvcS+CSc49z4dVR&gkVjdj`62$tkO%^N^z)Krb6IBK=6748c5Q3Mcv*yEYuZqZr?)B4>{q;Yof*x{wURoMi_vT&Re38P=@i6gudz}rPl;SMZc?Jld z$3%$fsmbIR`9IdM=_=%uCt&e!)cqczQfnVCxPn>HAG_b@>w>3;R(7wu?M!J5?#ue}*ar&oOMNcIKY89Djdy1#QUn1ltw!=hu{DSDX&?j zwo-c;;!%*g7-Vn-6aN1#I)QZ=^NuC}cLTOH_7u_q6u2j*VsD%9#?`T&rqruQK>ujLJg4`EvPhvJ?+6i12%5(#Is`!Mof+R)GaYQ9(DO*4bYx9RnWTS~lbEC+_ zf!(6rf%eR@)&k)}Qo4Hr3zGG1VME>}R;ulYjTg%BL~#P$h*ZC&Ra=4MJu(qh$;Ys^ zvlPx0?Do%2MtjOJ^_u(sP>w8>n{Q77W7Ci9HlO-pwK)5;ubNsoBSWbtm{o1OsA9Sz zJ>(%PvB7>^EbISy1os|W$MKmt!(=Vn#@jH8eDy<0rvI9jPO6ERDSlmXhK=1=8P>8) z|2xW|T{7Iw+czBLx;EPj%jxs6a#>U6C=LmVD`a(oTCNeQsrF15h;nR|e|k!-2bd1q zsC-0?(E*SvF&7?22Y|l2=}H`#Bug3H-EQfW-Numjk*0xfJ|63+-6m~J?p&+PLNkT!^6=G{JF;;r?Xx->KU<$b(ZM| z_AK2x>b>r^+ZFH6BR#!L7-=tzc!ox?&ej4$qFb=;$6sg14Pv?A&iXmx#Us03%$&D% zOavZxt;LrYyXHc26zkQ!;uX2#sPL(I9_m+<@ymeVhkMIKdw4j=mrh&rNqm`m9{W_7 z$!#?CJ?ED*S#*_ zR{dyJ8D7&pYi`|xLZsXk-^qD>w_hR@dsh7TyL$vtLre2xo7yz5)Shw7QJ(erb2Noj3v zO92@;gWK9Vps^NH3=} zjizeswO!t475K=kF8w`5otW38@3IY`*G-|QZo?b|Ioh+T$gr;kwIfB`ZT8&Poi;B{1|unp-w`C<$a!GoPKq;?Xwv)*cDgF@0@nt zzP!6c(Id*^amBq8k{-_1{H&3=$3B}`sara)k-u3s|C|lZ%-A(8MIliCQAlgAzorJG z9KwyO^pErR7*_#wRq|e9Q9r{3LuAhd9_4Q`YhQ^|wiIX%_AbxW$tjU;Ia|p9>+EG8 zjbyhKWcQ{){}gOqm9f>Sb9s`X=Gd7tNG~nI#AXT1`6hJSaon+kcCX-XGCaAjET9SL zH^kdYimuVylj@5kY-i=By@n;S{4C=c9P^YL(VUgke!X!VCo=5Im<5iQX{322fC*CI z085RkaeJ^QwH7ooyP5>FR_Nx1$^3GgP0F)5!Z)ur*Egl?f_5Y6ZYxO1XxQN{huP9l zd)jHSFi?Eu@#YvU)?+y4rgW7!dId!7*l<11&B*^g!_-8qZGAvX1NQUQ_k|=`>)y;( zTsbj8+y}mSZ@e}2`^*hNI#(Q@)_nswd98d@8*!A0JzOC#(3yF1HIBGubxQwkpQZMs z%HWV8x*eSrQW4YUL{{a2*szZI`YMC*(f**gOxumW8C*M%pPIz~JX09Xpw*bacJ5VI z*<(W4D|;<>KDp@WK7OL(|{<}$)K#p&S_&(wx02-#yf@q%rf zKYw=?PIaOq+Q+85#qZYU+YmC`*ZO6;JTaZSaUK4gHk+G$)a zFDPFH(dwmXof&7sq=CFK<1dd<@3DBd0QbQud-QP@=CN;K6Me$ z@(y^_n=SUsnf=6f!w(UCG8fJ?{m;**FA8LYBChOq=M)j3ODMUdv6r{^TBaUZdbLCR z`eJr7vb^#>1b!)OfpJ<^j8OZndX9HzIVt`SuRKLU%M)$<7w>AOVkc+$X42m>(?|-f zP%T)OKR(1+(QoJc(X{a&`x57GQ`Y^7i(&1A!ARC3#T099IW1_=ZTGb1a7UG~3__O| zHa5^z=FT>Qocka1KYoNqQBu`xD!!I1(K{zQDno6&mQ%t}B9=BePSl)U#(&R#i;#ud z<%G9*oEI(N?YBF6PSlG3hmcvU2zj#+mbY}GWhSoX6Mxa& zZefXYcoHT_T2tZ>IgL}hllqDIIhwwky_f*if$YkC$q7QUde{uM53`t2^b*xs`J8tL z(y&J8ooL$AO({*bdUw@$U3PpD)x0K!Y&0qiR=n`v?Rgf?Ve3Y_(OpBz zYGcc$Cnr02bH<5)X3M^hma+*(I)4dtH99_Ob5E8@u(4mQEpwo4LA!7q?**Ma|iIJ_ywHYhl34j)1 zOTnhd4!*f%2Q3+3x(8DpdL%X=#2^!F!X!L|sIDwW^WfIl;0P^?bVVtE&+P3Wv{Vv* zqpaaW-St%MrxI&@*1-ww5*zjK`2W7RrGw$eb82RBj6p71+rg-b`Wk0y3aHxx4h-W7iE|LW}e|WCn zu*Ba<{MP6NH&oX|OTiE@8Za|cICYSI-Ye;cR2WE+@UA6#zxTR7~7q~*s^p&}8uEK)6ht5p?~ zC+~|CdFE%bD6+oYbBRI)6$q3$*{-KGbNa*^mG2m6Z|`X9%8z-H z`Ul<$ovM1vK;y%XT~BH$CEgcVq>8+l;W!vXUQ%+V+YdS){Ct*@*(a<*Yw#FD7NM)V zk>!!8I?3uQ?VlLrjL`zQ1oU(*)U*|7Mg?Jkss&+kW66!%`N%=+WR99bkEg)@MZFTX zed|=~zqOFRySdFghvD&SH?;D;=E7CF;sfYHaxtthI5Mc}7u}vihgY49 z&3+cxq!U-O+}-{d@9DMBGp@q>Jfl&ccl)RJfVar&^U{%7{?AWOg<$Wp?TEs$b`>#3jZKclXQofBmlRji!vF=6P#wZ-b3C?@bT&cK6(-!QecU+3lVN z!d**~ylQyfAG-9;JmqnEkJ~99%#EI`XU{px=6m^_u@_x>-+TL_sfxm{y|Q+)c#H17 zh=g)Qw@U%` zJNUsncSKCZZuESPefxOX-LI>k@qcyJC-eX5njCB9zxLUs*8iK#4x!UQhlC{;dg>x28?x>!g;v=$hL?Eh)6NO#}=C03@ zy(;$0*1Xkv9H$USi@2NH()f;PTc$EW`@p&1W-V?;83?{YJcX%_U_lHGxI#tVRQ$3+ zRQ^-n?YX{$bj6+@UnUCI64;t}OXT;AA)5KQ!?w7;CVyq1*Q#Q~PthKrb1 zMe2h2u~$hAA$jcW;)oeqiY9%A;fz-NRxQzt>F|{S3wKH1(FI|y`|bwqHSW860OvD^ z(LMyTPhP{8so_kzMtCT9Fr6`%(GM~2#(nWo*TeM+Ek3_iG@zBa+d96PU&-H~bdv2K zfiLppzWVSZ-lqKxKQ(c`e8TNN07kTFg7lR47|-bXz5;AYs^Y)%rgHHGW_rZiv9~R< z&&*$EZi_V^ZW2zky)&V14`Et%+uwLHd8%Jq373;Zr>S9;cU7mDc>ZUYX_q+3Vz@oJB>tV&$z9*gn;UbBw zN>X0FOVy!BM)J6ls_ues7xz*IfQ0y>9=-r(t3Aq4ki-yZxbOvpQj!X09nI)7p?CAq zji*_09Jw*Z8UzRQSaFvP&*3Q4F~k1H$4@qy8wTtvu%(_XX;ZfTBc~=_+Le)Dq6jj0h$I zjH;=zIljOX<^0wJY%Tl9RJ-V5`jG4afBsgv%UaHK_b_;NkuYOLE(&%FM0y7PfdFI< zTA{+HY)0lO{@{S1^Fcr)Om#L1h$T%X_u(9CiPM>S`7duNREbf2r1&o;`wz8JLjI3s zUaw@<9cfI7q@EdTG?pPpt%%bu9oTL>XUZYJ4^Xr@)DQQJkXv|On~m+irp2{S^mogY zjG6*ek;VCJywA$9g!a?@$#b{%rgH+hF}@#R&2bs+a3+hcEs*i?HWP{j|6EhLvW#oM z-r8jpLE1M%m|H(=Eo$L|u4>T%B!HiMazhs9F22tV;VzTAolAd}nBPcT%uC?S@1t(Y zf{7)>_qKnmpy}^>5=ga$EulV((h%+gfxt!|%`e*87zmLh7Y^tUc#ze?NGvzPqRQ2B zYh6~-ggU>kM-UPy!&qkK_>4@>TFkg65>Q&q%#1jxOfnA71fseY_6cEIEsNe~vo zR0@ofHC7x+@)MfUYW=gD>nUNU%s&@3wirEJ?${b(X1?)M652O`&XVmaok%w2w-+8m z=1~U`G6^DNUbZr%|8)pwcj;K1CgkIBoM%+>&il6llJ}!&>PpeOMneJ(hcW%R-9aY> zsLJE$v$2yPCB^j9)#@ZrsCw$M%uG)JV~CFN{m}k^yr`WQW?+u7YEHn;z4|x%qH%jV zGmRg!A~!Leb41;t{UB7c!(EJcv&>q{c#F*sJu^hQDKTDpnhtvC(8k}q&_kuAXGtjy zM>)T=${;fJ-^xkM=6`B7^7cW6q7^-^bY`PgmXg&uiUpsNM89cB>{IzM0Lmc1xX^XXA)Y3%&YW>uyp zb4bP86q7_rjdb-+2DzcQ|FfFE4|HEvq*ejXAvuU_;oUtfUwFHl5ZbuaExiLx z@I}W^B6-k|@Tc4P4{k#4lWV{3J*hz!IT{O}HGL$z@*QVzPMZ}4ojqiInkfd4U%EOp zk+~viS)i*)nW(Cxf9aLuRa(jh2bhf}RGol~H3Au`E=^^>3j~B+4w19^wjvc24pI^! zJdGW!rJa*Eq|6n^V3TZ*QD)T|PCGM{aDrOQW#Uw#s(F-f*G+u2xt;IV_(ZT-1)`Km zGOu`}rSIlrbOy&Bi!~PZ%ITUZ%|}u7d~_@vI;wB`eq580tl`Ya>!4qVXZiwGZRFSATO_0adX6&5KgmWY@%bzyD zXW>Bh?o=ZXwV`9KnnbXjMjG@J5_{n_o$LNmX{3TE2Y=hOz`&F?3H2VOzs&F&)#}c-a-1ZP5Vd&tgD&o7aVHc zU+dLraCn1YCyD#b`7|v64=2X?^v(ZvK6yMB&zjAexx(w!_q|rRZFCDc>*eV&-Q&4B z)-q)EyhETo0#rgksKGk}C@gL{Y|E)iDl(l)O#};qzSwinsE}~E5h%s-0Fe_@H=aVDK=1 z0rtkdAr~}7Zjw&t*MI+!^(@c9`+*q+YWusqR)|>Jt0uOeF?fG42l~;wev0**Zu|y2 ziUsV*eq>6qPvWx!8dJ=@IpG=~GvuW8izc}rFZ2l=j-Qvi;qH5Aw(F$y*4j%Pk;dHy`eZt06=I2K9~e)C6@?{_BdX z*5CJC|GJ2fthgq4owz4q#lKEGqvIMk+6;4ZvkpBe4CudiCV$1v%P(E5B@~Ml1iSa{b6YCy2jN_hxjNEUfWs-*s}!;Q4j?jrk7eU2Q?N!p?vBK3Sz_ZLw6oydOT9 znwG*bR%t@nlR#j#nH4t;Z?4G13pFx#Ty&6%=Y<|*bC=Yl*R@8(6mdKfp2sp1m-!vVfz0>=oh1WT8{QDvS0EUoiT<_jpW){FFHEh<^N^gZkF%0 zfz_8b2K?%GMhDpYQTMGO4bgunwXkj@0397GDxaPnJiLR5E}2N&I_>mCZQr%z`)kI) z=V(~-huinu&QaCQyY5UF+VlbwhQ1eqFm$l>^f}RveV#=+R?+}V;)Tz-|1&K47c-w) zzuoIs&hj`p#ch0lj+e$~_wZM?lDgfq!}&gC!FfLG!zX92ADUmhb5^KRGB0<=T@Sw{ zesj1NCA;n{`+5;?Xx0{X_rxpLs;^bQ(k|}%d;0e?U-Y;%>q_+xiw^RX-gR^SaCsi3 znk8$NvX&((XW#=;QO9EK&8*+WWY?=bP*q{9+r^#bJD923KH#&^C|$0ew{CE)&>bPx zp|!G=IJnu89jsb^_Rw1Dk}P~`7}sAOPH&9!5fQ=WnuppbRnZR%e>ko??X;toz*ypV z43zvl0@S1yr>N(q=+cTy*?;Y8=xrk|UgkU?ToGcGwyd!WpYs~ZoxZ8Kg@Ou5!xy@= z5y;RL)3k`&ap6;`rBbcn(|GBb@HR}tIVwh5uRsF$UtCT1Eyz%sKE|>`5nMqQ~8i&g89#k0ds!p;hqyaV3HK!7Z|C7wo1qB}RRf z-m_Fyc96@^s>-RVF08Ck&d4}sS~&e(^TMp>NqH9rjZ~CbJ@(JGLte{(c9FyM`fZ(v zZKOrFAz7prUps)!<|MFSu5$@|&oIrHteM}u)FgO58Yrt@ejaP@;cfZ`yeBDd+E_aE z%rIrhgGYU0<2FjVv%7FH&x|^&9>M0F*+g}B-O3R?FL+ z9Ij``JH=h5nkou5u0{v4K#Au|KQR?w?-FaBjTBwnkxqJwj5j57mZi(+yM(;Et#nM! zFs^%jeesruY8Ov{*OtPoH$8fy{P1v+_KnFAzR`-!wQ!WIibYvfKoDJ(iY2|lyVL^8 zZxHEfLJp#;nB9b$l4>XCi1HxKGju9S+6hn)IQ~qta4z!b(s2N(G?jzx1r;MVIQu>u zx}8>UcQNy-OcP)klu;;reS-0T)gsvyyI&uGQ4#m2_!Iqzj3 z={*fPRmJO&tG~W?Qf`GH+)T1ZT3?HWVQFafne{{7rPk)+tem=;!pGhvw#wkX$Fo0% z0+h__dYVnx>2evu{5gQW)^Rj@46MB>X80n^mk1>&-gOS<;N25$cXu*58LRD~`@k+Z z`PIcU*-wEym-is>kfl-u*hl!A7T*uy*6HgqM~Sz(CV1zxU^mB9sJKu#plJrA1 zR{lF_0A*N0*n z_jUe$iq+VC^U@tsit(uSux^4U9+>)S(|*H})p#jUHtpi8S9trnd$WsY3b9bJVj*RF zixMW#N(J_V$H161xOU)=zw5J8^f)$s9ZYwxR&6B*cQZ>fW*g;7sFS|;g|Y~On^YM*+I!OK;BS&Vb4j)~?HraqcPuPOs)42Y6T4!JOgm%ls(e!Ln4u6wu z7xp2c{Wi|w3}aEm)VH#l>L_u1-I+X1*=+QL!B#9U^Hi`c9QVtPe`%aZbap*Z-?Z2{ z^E(}?A{*3k*<(F5G11w3M%Q0FlY3dSSK0dK-sTOIEP-BLYbyCvT!vAogP;F#TSKj@ z2KoL9q?dDm)7dJP0lzm0T^bU@-aK`!+pCD>*Su~Cq63uDs(Ka&}W|hm%2Z6meknpo~~Ws zEGgf$pS-Bjbe8bscZen#4UeaOseo-Mxdz{C;k(%uepplzwb)qq*JE+iKBhPTW@8o8 zxK{ctyHnuf$Usd7vo0--Fu_+5t<>F6NLxyyp(9Q9=&l=W(x9{qSWi>i3k2hYEHV}^ zR?hAzQcvK%lfz_pDjfX+&7qr;q^a*Nb9^>p_O3AUHz*QK_1d%$i}KTGETyLisWnGi zG0eNL2j=1K>XY)1c^{hOoqakbtpM#YY1@P_M$|-BTkELew$o9Y0nhSkQ1B)yPST~{A7?!47gji*kc&JI%%9g)HGKJx^T(Fbf z6stHhVUwr}HM&9V1m152^f#x2y3o~d_x^2Rzz}&b4R3`3vmbS_&CWP`5kVD1U?FDL!-%`K z#F5w=rwlQVww&tCu1^$pA}}kcJ8ZCpDDK}(OjUlA*R3o{VI#e(xl*~0&CV{`+P?;e zp-lR`ZFOrwI(43p{ApFR1HMt_y3B7mF1Bk2M;aD{9t)(Ohq2ijlDJ^Kn!dn|WQwiv z6VtzSRR^c%kjscI*lb#r818)fX)Zb(#5eBiO)|P$bw*VdyzbNU`mRqOYbx^S)=+0- z9fwHC!~2vhrl$ckPUTq4N*V)=7S6{#P1-rj?JPY_mNnR!weY|!`+5U{_ zeV51Gi9q&3v^fgL=7_M`zRKv(`TXOn?5MFPBn+brX7GYO6QMYqgYSoZeQ&Dn1~-NI zW@7NLdWt>`tZjd4M8SrsuN$7&UUQ2?7jQ(8G(lA0rcfY*pX+wZo(q~d; z4fDwp$&v&VtfXU29>`cblHg^JhKJpvy`V5YtLC7Lgor`SbFHOhBE-Ox`so1C~ z`RcZ^aes zb$*C@GS+O!U^>wEhgHy_k{fn<;+W}l0JVXWSqNB@gLLh56HkB!*s}pX&5+bn1`EWs-XA@o=g(gk7+~?eBU)031<)}MR#oB(b_VkktR3DGjS3gjQFqT8F&JLk zaE)2J22SrffV$JN={u%*DP?6dn>DR)4Oa=jaKW*bJGL)ecQ3VeD(UC|!1E zS@D~T&OJF&_^NpR$xx5)&q4Bi*W;=&fAUs9m%97yrl0mV^lSe4Vbdk7cv`0cBlVW% zlLM^qE6onTmq_)KZq|=YX=Sq(Ht!J59Pn9u%-WPbId6SFB*=!j=vtNVu&+8Y zBTF*(3j}DLa$35+rLB>uI@Ho`X{D~T8hGtR{%WuAHeD@~l_0Ceynd`~Kh5NQTMFZu zQor`o3@z6z^v*`voEZngC=>wqv=&DAXJ;gU#G+e~J67ISw0rB+mEIvmAC8wxdm zv@rkm*Z`UaD<3+P-36Me5~pS`kCdv1<(ju(uZ(T1SB_HAUEHS`C>S{30$##K)Ld;; z^Y$JczbX0GlBR>|CokSXDNCgGo4l{@6;CG8H51g!*`d^h{?qF(Q+2oFkleA87n;Bj z41`<>A0JbW5HnSrgnCsoeW6x|J2RRdALEe$q2OTj^5bKfMKe=S7xm^e{qK#9x7WTl z6ume;9HpBN-DU9Ap>kIu1feTK$?(VU>E5tJcYh&skXeIEeHe66!Jw4NZajDJrkCdz z;Q^VC55<&EkM2Wvt(mtmx1aXF0UxJ+jf$u}n%GQuPJU=SgNX!D6_K`rw~yb5r#83v z;;pt9DI5O{swtxrEs80OQ5b&-*ogb!D36`(Ysjpa$YG|xb`C#cepn(7O2NG-!^z|q zM1t zDzecwLb585GdT8I59oXrik~p*}N7^2Svg zIIPhU5aki1Vru}JWQq&m9$qsBI@iAqM;6ZA29xkYkg{~D5k8WOs9$F6?iy0Eq5KzZ zJ?!oe`0voPG(Mr_R`96)j}?NCGe&rO0mZ^luU(v!cUM`|zXn6^UioU&R#f)Y|J8Dt zta?aiR-{Pkwkbi`ikRa&cAn-<(H~nOwM?q*EoF>j1iCF3OYLJptpzUMHE2qJKRePb zoKMFF4;{}3=O|BH=I+Q(skz{KBBH>>j5xG&oplx6^Yc{w3xrvgF zHJgd5-FJCmil{k@HPpA3rnb|%ByM!JUHs)~P>{2BjZ@ze1LcSYy< zoBN{{$1%xXS1ged#c!R+b4`Yf5t~VGW#l)>n6tR7@P`i@9N7|*zUbXMfAb|m8p*{KVpI3ETiN5Z^gv_LR)$|)(a~O#!Z?)=N=WHF8+l=3gQ7tQ*~+XI zCj!0BT(J7K0<0@Sp@S=TzLJa!AQw#<7Yrg?02>Ig3N^RKLfen4w>sq#J6!wXH1;6w zWo%8+4`!+U#z=z>E!~h7RT#4ess0~>t&aK+hpd#Os~K;mxs@~*#q*nr63Q!+*%b8w zjgP=phB&vAe^b^DGh}+vR?Sm{H95aeP0bijooeMLd2!Q;OC3~4e7`ys?Vd})AQF!7 zHjle*XVqx{IyIQUzm66A#+i`a#r@1?t=wc7TzeHtT5#gv8`oizo5I#jy6ashimz}o z!tw~FkLF=a<*=7BAfhsAB&Tk_g`zzVnFajK>;N`( z!onR*b+*q=%qcljq4zFD!FQkzBz3Y~9bgeGI?PDsLHy$`iXd*NT&TdYs7_1=fjPpz zFfSFt{INv;6S~3e?ii%2DP?XGu3%Yko;Z9;W7Xa0tk~jgcxn$X^x+yy9Y>Q9g6S59 zW(X*$lOp(QvK;;GS1j7{nna=oa zfRJHxX^UFHHk>cI81ts4mjSG+9=bgir)LkjT>Jo{)fXO4(UiM4OyZJ5A&qlb11pRA z{*Ub8heS*l7bPb~CKpgndD=cSp2~u6AIJ2Q(HO&om(?Go%7^+=TdnRb2; zTPI9oN!TnVZk^J_v=;_slunphf5Y$0$j4_d7$3jN|5M&P>o(JEx`$_(*K=O0s=bzZ zy9=bZ68K)U>b!|E+Fb?f>)tN8KFrSh5B5o!Qf-dEa)M z!E*oHebqSY&84GYD7b|@Gi{dlvgbYHrmtcJdM~;CnDwIf<}bayQ@yubc;uyu_A1@# z<#}Y4w}DYO(aDW4_({*%r}aL*vD%08AKiX=?z}syx$iXySbk?B=59+Cfe%po>-wzQ zZ(g^a3afJ4w(vcB=z7-tbIx_USu2)1w)_o8SexwK8t+}n-hwxoZkFZK+Mhk}n_Nk6 zS?OV&3h&+K^PJbD+9!PYUlUO^8FO|h<}7&Z6ULu=a&p%!NUD>EmJiO$eFtsZLccr9 z&iP)*@H;G?&q6EC>8|VHFU1UQ_0qvY(dmwVnTnct9&U+rE4}tc{|mXEOJb&xkv^gLT% zINWsqv5Kd9!?x>5pf+FU`l-V11Pf;TEvFQy5zW$Ymhn68XVgc+ z&4q=@LD%LPd?A8Lce3`~DKQ!7VeRb$D2sC(fz18PL z`;yaI8P-+abO1D}De#auC7UIZt4;jszTaN?Hc9rZm2 zajICx0a4S2>w>Mbo(E@ju-VR{10r$hm+_?8Yc=8UhXtTj3719KQwd#%?g(~bD*O&C z-jl#9ZJDQ?E0Q$+EKbwCCgqs8kg-L|&>oMvw8?H#A&MWcgHSqb{KV`9YSFG3J+@AA z?km11dCtklR(hdnxoxdbahRc=Q1)Xr!C}_tZ-#1A;|nlp>$NEyfyUtr+Id0h3Aryu zCD7;F@at@5%=Xq>Z7i@4?CPYQW>Z>k+Tu6Xu?l}k9Wh}(8prQN3c>JP$9jZDdYOf` zQhy29kGk+F4X||zVHSc_D1_5MSJVM8)-JHKQGTp;<9sb#W-#!Dr{UB@NS=#)7hhI; zSZ*S?^)@WSYW+~C75Id!VO~$3Edx&m74ku&7wv#N0*4pN)3s07C7TgR?-dGTLH;(^&Y0k|#zo-!AiGnUX?{_WzIltuB9O&jp; z{ABToka-|Llr!0t9mO!W=Wb-uV3Rdr!II(DvG8-#oXgxm-krvZjGA%`$(}l3D>yQAB4Pprs4=gJ8`&(sFkeDTD$fILF+9 zQ%Dc0gEVCC$>Ox4;gf|1aI+e>#DLscE7;C1_HBuobxMM%QQ~gisftK7&mjeR_tg9a zm>tJP6PoKEA(xYDdmv^xVHQSuS;)qku7ZlGu&ga`@UO_;e~O&m+MaeThgsY-#gq!K z%6UcxNrtwo_f{3y$~Me71IePT|cw1kzpNW)10;CEQWv2Uel}0lC!)!wSDYz$8O~AKrCgj zx_=0!wEB4ZS@_I-NFKsE+gk)B0Ki48M6{Zq7SkSV&@Kz!NL9m4(4K5>XW};1npRK|Mc4zKDOG?n^`X+$| z3&$Hm9I0=>cHIZqnnn?fBnGj0er+}xFpBpgC0(d5la+TO9TGBV7jfIoi|qD0qZ(Et zbb7hS3&wFz!|(^ct1EHO_W3FnSL8N=S(^|wx+9=yI48W`W`_>l*xNG2( z1t5jg$SCfi3?LADH2BW8CQ|DGI^tEf5#7+hJHoH6C@^ae9qo6{H4o}->ttuvUv&LX zS-b<3uc%whuu8KutjfI5Pn&ki*|K4KJ(MW?Pw~vUVcrl~jm9r*V59-cj_pv(jd9qE zEiMEn$cF}{!D1{?T)I%c;}lXdQBe~MTMiAE!KzD7D?>7wJ2Mb+i(SYY;eCkPFPI;Lm)CRFqXmGMHZr5e5Gr_fRhEc-R0b-Q1d~tOE~hmy z>N7mh+#YMB<~k#5?==@>$_tG@w#H-tp8w{8m`S6$9#|AMWZx8e`G+q;3LP3dlSL7M z)ufttm4NI=ao-@c^o)w57GdEZ)f9W#_4)#Ef~JwpNZTnV$YnS6p%c$=u5Z(*aR&{q zaW$Lf63pyF< zx-8tBXsGmb&CcE%1S^`!$l;FX8yMB`!fYtxqOw2x#P6@Fn`V_jw{!mU8EDBypuUpE zzU7D}F+u)y4rObHd8!`*mQ6${3{FAlem@Y1CUK?1^&Hpm5kly{(SYsGFTfe?2NfU~ zORE?b&3l7qWOWs|A8h?03<)+E_{P#41o0ordK0dcRq>8TGzN7q&YWeId`KVdjDX+O z51zI^LR_-`m>6SGvw>O&z@xZsh_iRb6--SdTC>wRX1!klJI^d#DlTTLcn8K6mp7Jn zxrq{%gcA6FbI`D8%H9+4FXlfc+KIf_ zIu{p~uSHSbrlNLOcFqORwnEyuk&lL(QU7|Dbs3kstsW9AvwT7Fg%EqR0ys{7v4jId zVngiJ9%xKj^l280obBXX!a=j{ zE%Dd6S|Uu%37xSmSsxz-Lv4%pfy#?E}! z%?t-9pyYP585e`#9y$BaT4O$ErEsEkVM8v9fiN<5F!O~&b2?*{#|L_)H#x=Ez60 zt>2-E>_#wI)-l&1OvjmQCa$OkdD^wqNn4pP>FL2@n9s)_2nP}xPi%px4kM)8nRM0h zxOO8Wx+58RP40s=Pf$0z@H%=S2Q#yKmeZC>YSX41DB5nd^f>v>5;dT1z!@4+7320> zn*scsB=B;;LhCfAt<*WZ9vqG9xq)$D30;J?z1VK>yE1^nOm_)L5yL*HAkV#t=2h~B zNjCyb0VI%rYh5I7z{UUt-#q^RZw#b=vBPNKRSj_(M5%2!OKBTJDTDQxb5a{$N+sg{ zyDV4+#7`WGzRg=&7OdC8;4v7D7Hc?QW1vfE$snn_h~^=&vTHFoC9#AOMGA_E4$b2U z7{e|c7QYuOZ4642p)PtHACxr7o5Wo(z@L7&Abt7=-m_Hl$$F9G6F}M2;WJ(&$_RAWn&?r3c=jnHZ@QcWnuuk(j9Z2=CeXM>mA zzhU3>`XrlWMzg~8X=^d2vg@+|4?L-+cpKv)v$3KZf6(EFs>@o;eQAx8o|1_LQyQ80 z+t5g(Hma~fK`h|BImv%gtW=q$gotA&)oc1N&Z z2Rh0w=@(Q>J+b3IXg|wzhIAky#17 zvGO)T-~KzbS6>JU5o!{P!lYg8Om1UC4L||j;0WY*r4FVtDfqqH!3!;A12u28_}kFi zN|E|2q$Qq?CqW!a3wkuc-@#{%x5j1tRLXkWroMk7n8heExGCU|<6!qZ5gcDaKd=ZT zit!EmXKTftzyv=annxpv`xk4)OX(tZVORuETTeh`Y-W;p!Ys2{kyZG0fQ@&VWzpUb z)ow`h38WjX+0859d`Wv=ag{1A?*h&~{V; zaPMj+l{d2Wc_b)pu;8aIVP{!Xx+9vKgWZG!wr2*Nydd0GkA~I)bOt7cK)!)GF80g!8l5AV&SJ#UGJn4eIbwPy)f0y3bETTdq4 zuD0;r$G+cfW{(v%IkQCi_4r)&yD!TxZvsgr=gP6cM0c1gM|Vb#-TH7#lB~ zWl>Lls@F3#&DsNQXwehgv!+9QU=_@W$zu~||3kQvWMD7~Ot@F`|G!&v0lhV$*$lfJ zgHvBTFQJZC0(z7o(4*$1591wcgYdZrMUuH+r8+ry!B=^U5~Gva26I#B2Xh zwXspskWp0{#qTwo(ulvObOQ1ZQn$s2zDeI+y(~{jASJ2(W4VqRTI8%N>n^Fd3EGVR zf)K=r>MrvQc&!T&8W#Hn@{0PpH{qq?`2(jFFanS1{4tx^o{LcnN3hz#MMosXW@sC> z!$i_zkvO#Ou0+`-aQ8(-WwseyZG5qKVvce%v;7WC&px^Y>EE8ck6tQ($}2m)uD$xg zRLhxcCVt-BZ04*=kj9^dOl+M(N3s42f&`mdrJdJfNA)&V6HahP`EQe+Atk}A{5B@F z^XX2ozW&gV!RwlURTpy1`5t)RI;2D>_3xYp(FNggW-%v%6!qaPVsgjni`CsINMA85B&8c1c(zF0`U7+$;7)4=`;;&4vE+900f zLIAtWD@`uKd$wE<-uAtLB}oYc-f465$)Q@lJhRMj`1ugi=mT@pyHG@?_A1Atk$Dk8 zq~7t;k^Xmz(Dx!W8iCN(>*RT=1IoG#RO5nKCT)O~?*=*{MB|~t4Jkt_+}^atk4p7M z!0C{)y-VsKRblOhRCclAiUITv1o;){C91m``_Ma3-NNs9>#aFJc^N}ocl-0&&*Sj~ zzs!%1fx`m#0TpP^wM)KW82jJ#KLim$P&7?E^WZqes|~ zXr^>FVNmxli|WIogf&RnAXGOnxV6Vqgi@SFP|`pbg6Q8n#T8*$dqRU0wE|k=OMU>5 zA3EfZ8-zN8d_Vt#5XCD)XiyZq2n5EjLjO=mOOVLA#w?@r8 zSk$3xv(^^pX>er1IX4TgJk2Hp`ZR=6x6@l=(8^;r75s!c3(#^5x&~^Dat28=Z88H* zFo4Wq#?=pHjV2H}!`_mPtJy;(#4U7E=9R(?QNwMSDm^$miVEK)WNc|~VSZmp@+>tF z2zfp5JCsf*G*?1em>S+@eYWLJT?HG@>+7IjZg>YXjhQ*Sm=}mhesy2j(4Dhe9Kb!` z+#N0Vl)I*aMGLHpH~jILcV`~1Ie4q;JX<+v2#>!1IQXBhgOi6Yox%t1(=h96XoR8` zjM4UB@`7kCcp`#O`3229HnM17D_;nNgebIwd4sEK*4cvJ1UUOh^Ojh6?=&vSF}2`{ zM{UHjpAXdebFWhhtS5Ss7ZEDR zlU)*LBZ8JnB!CSr8UfO!+mmHZX)-)=%S_b*Q?vg$6E`XXGYh+jG2f8md!mU&t3t!g zJ?IOC_W^B@DS66eY$D2=DRA-DB&375@7&Y`Ho8Jnn;_28gIA zG@+c-aSADVjsRiQD|^qUe_EY1n0ZjaIbTPQGW=ZP?Y;8rxaHk+!HMbN}_sx33 zCU5jRYl^sX-E!6Fk&K}Z-gI?*iPfhL3P;z}luh%E-=86#f#7f`S&uGa-EwYhkC{ej z{F;W+aZrCF=9X&(0Ff27=nwuq<%NuzIjil0rS)~R*ADuu?4W%REOnHw?P#=#Hj6Ic z`(m$9YI;ugRh`)1=#IK$#0hfiBO*FR$=JCI5J$-EUMv*=IHn)gYhc){F>~^&@_de( zpk2k4R?nw~I`|8&oh+oe~$L;M~#E$W6m?vxolTS$ZINXE8$SMT$bay=vmArlTwx z_~bkr0ey7Qx53xQiuHgDQTu^LQH?a{|C?cy0R2B2{tKU<5(#Uc8fb+^gZOA3AO5TX zB!|+EM6E#^8q^ce%mZ0B3UJ^{nTMwTxS{_`UD8UCgZRbB7a<`2PkID!G?c(#eIq zctaDI8GJZvhs*_ID}KNih&NYHo^thSx0vN2>$-Dn#;e=+BN=RpaggGQakfuJACwvi zQH+!+7>Zol;(-3m9g(@*Bb9 z%@0MSYQYiA4*+Adc~h*+bVzqi!t)J_(aS#cta%NrpASj&+(vr#afkVMpqT}|vN5xQAHXm(&ECR?GKmA0fH6CojPA)V7^Yf|h<-P%0g z0IZv(963_ZMTb_;Fr!>+UxYT!^@jnnyJgrmW!5zWUG=z#g872DW_tHx6c@%=u?Zvb z)?{SzeZj>j5V;fYI74B4G2$vH8jLU-We%n>(c)EQ4 z9*9;itH3^hr43!kplmCys0G1%GMH5m>8Y`+0ZJODxG&SXch|gORwG4*a6i-5hxE0@ zJ`dW_B&N<6?hQq3-E znk#SD4s%O-nG1KaodA-;6Jv4v9l0(E@)R1oU@8E)Wow{4*4ow|i{m~dto6!w`uQ7e6>Tk{QiT7mQ{MVuKaL;TJi#!LesrpZ8qv&&IDvMweGQnOpKVIOJJN5iOD)?LGCBC-fsbt0XWzkv8GpljS*Gt$gY@6N14brEw4E7)n@ZNqZ4Gdy6q zEJ(WG37}yQG9kRQ$(Z{Bd|XYnK?i5~9tl_I|58e5V1G>(1@Sz(UkxpN1DW(;kdcCB z&Q{qvmrZrP`bYGk3h5~QH25)hj7fVZsJsIAG<_n{rGH%jU0P24LDNQ#EiZsYuR$t9 z7I_bNNfXm-206!yKPsXOI#)LcXIMU6^Q;}6lxcV9>K-0rL!mk9fKHq80Q*W9Py)Hr z?7ZGGlTM1xq&IkV$2l)c&}*8Bpfi_qK-%dVhov+~?mk;kANcc>JhY|}$lhgSkHv6T zL9(c^PIOc5Q~lITX?fL^F!X-9KAL!^%MFnRlsD~qL~x4+9X=PWOPo_(%FjA8$D4-E z4F=m+1gE77bvfEq?2AE>-~l=j$)e4o8(aAM^9x{tL1SRkt+8p1-?O0v-4>oUBY|k! z6DR{7hq0bBeMtUrHH+Z_oe86nf$cZQOIZ~b?FXa+Aa>ei6)+)DR1dg?S@PJ>g(fyF z9GG`Si&yx$ZdvQ;V2z`lg$*d$V~^yvy@B0rrrBa-t{EMCJhmU=Mmk$(9MG!;cE1Q{ z6&!^^A!iTvC7Onv9k-MrMgEoRZ?kE5BS zxGTchAi$7Q)3A$?rn!3<1E7G+Brit&FRpqCgOM+Tr*8qwc;H5cR^I5PCCoGqBNr{Z zw1`mz_>`=a_C09{ZH%ssq}~tw@)1d!Lo#?9>@~u7>0>z81$;^#wo;5gd>e!7Sd>s9 zV7u^2whL0#l7@8r;bf8I(=PlOTj>ydmQWYn-N-&%J1E&YDfg($0MC`W`PZDHx1++q za$J$ZQ2_-%C!d`>ub%6o_>C-?dtpI6sYJn|a8@qJVE{=uOO!&k3 zAHvm84-8{tDWpin3PtkzVbJJ?b^*h_1MJWttU_oaTnqt>H>@oCkisg1aOiW6Y0!@< zy(k2pR2{pp>tg64y6v#XE-wDN?I`|t+fl3i2SS-EXJSE`{N7pmWT;$kmRa(L;Zp-9 z$DoXfXKe1@5mLs)lv*IAFduqz4=naEW6N9a!c&9w`PEm2qQmUUSytfylQFZMRl{fP zdjP;F@Q@&7(|~=FOoT;EWi14EnT0)b3MH^9Z{ThV2vXnG049=607uqRt8s(B zIr;?kc8Yf4P*Z7lJ!u&9LCIXUpo0yp9`1Mz@j(LYX#J(6<9+--cz&ogVX6k zb!(I(3S?nYN1VZX?$H))53yZf*_7BO`SVMY!3jOuev@4O>Shslu@s$*7-^D?jr~nS zfug1%^WlyX2v0^6l9^Axvv<4;O^_~%^hU6*nFDv`vO5YnIt?_y9sn7uDRr|^fpi8y z5WLQ^9<7HtXu9#*0FoOF{JHCJO>Y0ahATj2CYU8c1%3KbW9cJk zwHcXdyL+`nKOEHHJf~XtX44U>2(~`C3uuIUBE9+4e__qKdRkCE^Z;NK2Wd3gWQb7n`C~lA5 z0N6cHH_4DTbdCsXi$)3kuwbtOK)-Xue0%gLNYu_%@Hf!po-mME+{Y?f5agIc2B|ca zRU8l~dXx>QRX!pS98@-N%f$5l?nq|wK>R*a{xG`;uHYlL)=$)p$fF`agdmsDln=6n zm%}XTTD~GM?R$nTbcjJORL}CYC`M+6o%@ceqaUZ z3GdFMYbE_;2KTTGUjQ^;aN~l{uq2~vzX+WCH?mD4l4hd>39{Ke+}V(ZxEBq*6lmVQXdcRd6*Fp&E$@+$8RAHGwajpz9u>_?OA z(t)alEHb#W;rewpCiRA;ee*;2ERafyP~BD2dXw9@vHJ#Hy{kvd8x}mg(rbWO3?qM| zaJJW1!OtT>aGdCi(+v&svRGnU`7ui(YA=RT7us$dFG^lq6wtG+y3wPUn2wZW4?g8L zLeq?gfK}Q+hopW}QwhH^Hf*sbTGsQAHG?~b;S}mR&Wmg8WfwaPBaem-XaC`aB%6h` z`ZSQ&_n_6Ptm0gD>kWIl;<_?0PjE(5_eYwuAHyL6{qY1OHzOT5FTr~Sp3>5bO@#1T zKJqw>SL(FZ8yaE2&0IRl@ef@XNc(->35tJ5(P@=YbG2bcC$}@+?plCw-B3mBW&6PX zhgD%*zNGgDDEgQee~y5h7CnMl;F*!_LoS2bXs_(}eG#Q^SS79>SdX9kSEUX!9|@*F z_xD0B)B!oqF%rHr=qAw%zL=`Jc!BI+vQ4;JZi4#rqf4kQh(bd9m(T-5DdEA{AUN1$ z2Xg(x;mVXa!K#ht)$^hbMQy>K7MgPg8h3FuQg98tX%srNM5n!M8vAqPRc}&Z=^u!5 z$(!K?KQ|_2zHD5epBlSw#%wXM>GYGJ-A(LL=fZ)zBV)N%$8*|ZrdWOm@J<5*k-Qq# z#B1cS_t`9~+{yMElV7FDuCTf4j$IbIWW&#^fgu%K`K9Kl(}Vfgv$RJ)+&KV+ukD}p z3~0HLF$dUr=P-5&1*A)ELrZjSq_a3!*vO`W>d$xedT43EEZ~0-4b7J$C8Q)uNJY{Q zD-q_w9-UsnUCQS>h1~kAQ{(ZgYM}CGr9*J+Dyr#xRcwDYQYyR<#-hvwP^9RS%+hzm zOt&iy3$s~P8GP2Zf%LMVY2^MCoXW@3zJU}`We3qO}+~LO}{Ym?@PtX%u?GR zwB&SQ;l*(fup^;@o`V9!9uCJ|S}?1Nzx2;D3vJIMzqrE0OE-!jD@FyzUv{?V$@Opt z;IQC{60cm6uhDCm73KAppHD4(KJFX6%&IO&kMyQEX}t0_l8{>fF)Hv{%A1x>G{; z(x5#PcPTIHrrcAevD47!)?B|RHgy~b*rtrCI1$ie7JJ!6 zj!o5Z5hVyxY8oPDJ*GA^{#lh}Mjk^duDWHbW$?kRJ||5=KNlTfdhkziQTKED_u6Zy zvfYG~UPA@g>GqpFD8PFGDeYk#T$~GgGmaaL$HRAcVIX@?2`8Qpl&c9UJ@VY_dExi_#5JsXD9!ba1Uxzy|)iWIzPHaPTmInFLeXw$b4 zBxo><`e)szEA8Yn|J)ALG5QolGiZI~G5c9!)TpUVD(iD{QxvtI&|gLN8K<@3rz2d1 zAiqq}tS^QH$AA2g>(dqZBW7Uy&%lU)X<&jIlh4g`Ck#^2dRvMH9m&82=AxA`qcdC{ z>%XRTl*#ogOf8iEO3=mFjS^DW0*rx_ZjZFNJASj2uezBto>{Au2UKn-j0 z-})`rTV8A%Y>n1rmcd|UaDrU~?qXR+67vCvIBWi@gSv)eOMd@1DuUh9s;H(A} zH?2~MlEkK#=?$fgPe~mdsM2vwuf_|dYyb+^S7`xH^$1!$Dt<34t5RH7TU}}2pt`@Y zuV#Pu$m&zQu-jC%XzW9K3gPv>Bc2NF3<8KK3rh_k3xpbKpp?)*l)qGka&U2R$;t)R zQHCg3aAvG>JS?T!czVHDn1SVob{4>CiC4$Qu-UX7hJDCz8M`PFYFPQR(85~Nosz{p zIJ5!UNjr72Ai}BfGdtj7zZ!i53?f4bbEa>Yj4)R9MvBu}CMq6-X}Xt1sRjZXI<+M3 zD{@{Ak93*<_1JpFtp)WMU93X>E|if13kP_fjDcc6ahA94+`*Sqz>oIx9N6E{#$coAW{8xaA*y-aT1H& z*R>9}@Si(luJwY;@<&C>t>%OpcZ_r#xXUd}g%?UL`AT88YW0JUWOdDZz2dK0PYq(d zP1Zz}sHL-`jaEcE+T&u6%g@mTl&65d-65~$ewS(hyUaNT|B$wd#UWwPK zi(3&Sbvq!o%yvPYvRr4B7Ymhieb>)9GsreI zo2hT$&8a5j{+!ku;M#H8;BkAE`ti5XxFrr;4p3=5Lg{xk8X=<=e*!##&*>R3|jj+M=qtLs9rMT4LVQ!qpl$KOG_YXiH)2wFJ!%hbkr){AHo-YPG z=u&$Lzz|$hM2j`CFPm)pvEIY1;_<*RCLU-7HRa45J)uZ3b>0&NR?}J^?s>?j8V4e? zGvhFj0itRex~L$qTmxQMn9@Lv-4KSf30e|u6?HuO+B>O`Y^{e6Tg}dgxxBHDReBXl z%Yabjo?#F*alt%iFCA1HE+7X2In5Mzw6+8-sRwLsLrY9mBo{W*f&{q+S1$Yw5SQzW zn=QrSXz>CYf6a|uGL2G{*aa{`;f`ky+@kW4l8o%V+VgRPKf86F^I3%sQRz%30z=Sj znNesBE1SGL2%&8q7)DC`8l-Ngt#o#mPHAfARM{_0==uL*{TfiLU&YsiwbSA$Gyk_C zBWlO|0-1E@QdK8!0#JThV~aT3-T-u$J`w~oM_Alt0UTjGA#+D@KzYAyab!rdd!sw( zvY;f9GrDURCM|mUrr#CNvMk?aq>njU3hwW9WA3;;n7!Exg(ubo<=i)NZFfiodIVT5On)k495?2{q#kh*4UsCV}udVZc%dq^i#Bc2h98@ zawz{)(EO%DbN3E8Lm0g22d@_W9*bKNN0(X^LEI6V=0aLxz9DNb)bPNjrai`+vR~9# zoxRr{42q?KX=qFpBoP|9oJI6K# z1sfKYuYf;PR3=BuP0(u#a4B=hF2l8@yBmfF!F8>IygF3z#CX?sUxWZVn`)aZTI2&} zongs?icPRfqvNu7C~2sjncp7@z`EYtJ(gi92}flkCS(cR$y_ zrcDdTCx?U7t+?>1srG2|?9iN%=l18|D(@LV$)JL^o5M~hUS@7$a%Rns}>^rTD@ zIMUyTV#DJ1dqB?)?W;d4a)@=TXc9na9teH7FK%?EyTRWQEXdu^K#pqnh!$kNv^@Te z)&;O>xt8d51l9J~G|L{^NbJ?F4=G-1j60rrhh=K(0BSWwNs|NzJW93d$4*={hlkV> zxvukABz)0F=|dgU8SHZ3Fa#{_)S|iZ+%rx!Io6(&An^sq?|*IzFP0(p!zMl}A8JtP z=NFNDUKk??Xrzf^Rz6rlR{*lv`Tthz#LDr_76_p|;`CGIrrk*lFalP`%3rU6{MBef z_p5%`CS8bP(V+iCJW6kmohnIM91ZgT1a+c#Zmx!mOuMlp>-f2Q1!*<6oJT5Hew^US zg!x9ed9$k-e2B>H@dmz4XZGG&XD?{XtZ2I{O^S>vI|%&^JtHD^zAKvLW3XsJV9j9k zsPBThKiYU_+Nnk1sQMuY#odJ53T?;>s(E3nSODp}*$4qd+O1H!e^}PdToYmPEN>jX zm=}bQap{Y@(!?)3SDzs{VFX+_Q8j|E9b=b`=MX%_4U6}$`7-B*_%-o<5djGG4QEYX zNHymW72cPi`P~{|s(SRXqlSAv_lwi2hHH3Fs@Y(DIKh5|=5TWNiu=W_G4oyO*3Ph+ zXV?;=BAVhZ&)Dv$cNJvq<-$8iGy~KnFT*HT24D%#{?|Y(faz`NKN4G({-XN={fl&e z|C6%n0D}7dTUkl^UOh3|is|dppH({qJ30PxXRB?OOtRMP)y3K$ylEJ8QJ}^>Ae|!!Os4dO>(5dy(ebfu)Xm;dtFc*W~|!=bo--nMw#J^R}}C1euT;xf zk+(rV_a2V)TIqN5_Waw2j4;owmHk!_j0W5bo$p0u+F_#i4Ul9z-K{+AI9u;O`51V= zW$huORZrilH?JjT;mwCO4m5ixf4n<1SAk@dk0qv^`ARso)1Yvdyvc(dk3IbV*}0AE zn%+TDdJ(fj>2-hXvI|=iD+eXCFMGTy(8Mb0`>47PJX)BuMb^i9>*FtP;`ny2G7odMlfM!5E}1`5vQoCu z95epv?xB5q_^#iHL&W4&x+Ob$9*+{VQ}i*_a?4)J;8*byE*V?Qt&rP6=~>vuTQ@eo zgIB>`^J~SRT~EuD;HJhz^|^OxTRG|1>@-AgwCMHa-K5nWW)H8n9sL%i;j}$R_@kM;9UX@eCz7J$u~8v*ON)b@QD4v>=Vtx1ZHONO4i#aZ zl*@QkR1k@lS8k9ldHRLctyYu0>`zdi3-Z-)P0UQkh}Z5*qwU2WCG4@^F1Z3DM`_(S zzg2k8PB&S0YZo@gX&=da9ZrC;9mJ+&_YTSP%0->`m+z@l+f^qbe%!6MaC~dCg1%^h zMKlh+VU+#Q825yz{vLDWOWP!-HJQKmg2~BMn-}7F57XstPBo=|*Z60Gur5^wugBh^ zoGZI*bXADBt%Bv*L`_dGO~TXl4>Uv{cx}?~{#2~eZWs~?^fbqo{6)E5CZ<^CnBMVe_5k5Rwd-A>}rYGqm`M@6&pYO?qUri@O|N8Cv zs%Sgx%Pk$6*xxd+l#p5Hif`$yx;}f}@lFiENb>|m_Klh9-wFyfJm2bvb}0jPtNxNd z@Fw_tpQ&t(f~KNvw@&XLyy}A8thDbW97pcqfkU=2`xJ|Cno8GmwZ9VAvCA58#Gm%|Mm;0`Kwg!Fs61MpMD(=Otrq^??b}9afzi8jriu+}I!JcQDs+$%* z3>Ch3pYszh@$YVkCQ%B+`U-(T$Bxe+8KQ`+=n*I9;t zFpuM(I^JK}tVU6r9Y5&*rtY$-IKU)R{b?mK5gJ+PiNJO>NBT?ACgIF_%T$*QPRP=S#9rvA-|ayMCSK>d#h}^c5RTaJ#nZrcPEZ zch=I2-P)^L=3u*7ET7K!bx6`Yw}SGw=Gu_Beh<6Hj`l~>ZAeFHIr(3hsk@_Ak>3*b zXzQ9ZilPd)6777qoO9I5Y}9nLH8AVKguYY?D zbwhLOQRj8tJ9wSr`(yp=Nb1}eKK?}3jSJ?q7u#1{lE`_VzAq{3uUgxl#3wjJ>$uJ9 zb=%i!)aqA%_{E9nRcrQT;5czr$Zn-WJ36tSWL~<r&-^5I!AV-EmSa$x4!3*Y_;`eu9&}2L?;G zYKbPPtXaY1d{fqLwK|iL-Br=wSSCZ*Wd0^<7e?mUirYK6`8t*9n*1X8sEL z+eyF6cqdF)t6Z_pP`i?u`>pD%aA#aW=2?Z4>4b?0-)5WNIn|Y!3k0pwW&4Pl)zOZb zbIQt*t5({G#(z)#MoS=)%e-ZNBS_rd5M-FMPa!+;!1`yB8Ies{qiy*F2V5&j>W%r( zPP2lT??)~^Jvcd2Sn%1Iu1m=47#qt6Fk%jj(Ud%V8< zEruYm^2Dw`4*yX4@PwdMy}^R0$Fl3S`$$SXersIvDBfuGk;BClHJqWA?@^tCmFXL& zuLKe96)8r^DnB@~*Fmjj=;Q?H`@0*zRc^P%9wcEnO2gZ+HZmDM6P3?740AWVK5&J) z@uJIY4pwk`_g%crCGGg& zch(1rGMBBrQm$1$ekO$@8=q0N$Elf3F(HZ1+NY@364sQcsjc@BOBSTIu5*%bNtACk zuXEWYDty-^=e4zfq|)`OX!~PZDR1lj-+q(O<{1@QJW!dfY)d_zqpFtvY+!}kSi08> zO}EbSAjA4V!;vt#LSlu!%81Nv_W+Ipm>DuS3%uaZoSnMagOTZOS>j?&4it5D6 zTV%CxPo8;xrzi62lSmk`jEP#C@(;YV8w59imhvg6F#y>3Q7EkwYS1Fe&FYq?SA|FgubD}>0jtJ zn^ec`_H45De1EEDcSczJMv{_inV#)-8%Og(jp6q`(Q&VXb0zHLJd`RotG=kj5$n3P zWKL=}-Ck>0kMr@}`DhE{010P9i`c*QI`P+?M#rXaULw3!GIE#wWsM5v%ptn=m_=t* zR{m-ZLDSdRm7U#FemEv?w*lVwvC8RlzgWE`6#j`552-igWDM%o<)@FmO_JrsoX4il z9GDm&Y!MLlg<6D0cU7H)mXO8xZ1FCQD9l?n_!upmuz2+{UI|% zGLn~3z_<`jTkfnox!dQG_#>rKZOSEpB)YqQJHh@VMx&<30@q7O`duaO+?942uc?~$ zCmc*V<}&6Qb7pj(=Cu?Z@AU?CzDJHG>@-fI`vw2zxvDzRZT#7e??V+`B;44!h2Ofe za_SWBZVKVV1?iW3B|5juW?<#66cBRe-fI4_Mti4wf`a9vu0ttahNNvWZ@yn(#p-Ph z9r4;=AC^cm-=|FR8S^{oUkAwT+`QrSh+EYnV&9wyuQ`=wx*;S zS6AEoXw-fk^T}@crdM>WofOShg~RFR_ZWG`wiMh@)VAz42)0v^(ySn9RuhFcMfXC# zy6V5Z*}aWS!X5R~PyRbq)|pcOJ@L_@J|XUCGvPwa=-Zri@{U(qZj$_>68|>4m33R0 z{?~4z%(6^r>`!Zz+}PY8iXVRRZre)raY9VHUSXA5o}2{1PL;f|GX9+QiD-LPrDR3g z=UjaiWtpm7IKQ%=#C;F0O>ObE=D6SbRrAz!HGd+`R@P^0&UvR2qR}C+6IQ&xJ(nVP z<6O=A@xctgJ zMST1aK|9{4rvIL{@N<{JOL<4q4oStrIqz*d3R5+UNuM8CX6P&Bskjo0GxZ!48J&_v z$?Z7JG{%PLSYl>on`S{)<~lCMUCU;&AuE$X+-JYaTNx|2r78WyAHTE1iRw6YS5gtv zFT7Qq;;~_W_>>??<>yfecO8QZq3@iH1qS$9abD#ckG2_ z!5c^X<0rX3aeHVEdz1374dXrJ`wdko#*$4^e-UG)SN`RR%YO_rTvfQ@YF`3jBFKsO zD;<08S;Cp3OgH%hf8A-Z{zdHW&r9MPkKChgWB0*j;=w`-a9^TB=ZGg+~8ap5h^ia&77zA$*I zcoU3`SNp9XYIST(^)P;0d`$ODQyVoo|KVMk>;iAi-99sA&-GSXK6cqxvL-0DYDb6h zCeqrITK5S!xwThUXbOL>ZpL22l&)Mjzw!954(VKkD#%c!n*Z@_S-%&1Awt zg~{tHFJwq|&o_8hI@)3`+u!aAFj6+(r=ZhJdan5syYii@4l(qz+#c&qB#Gzyc1cR6 zV_FycV>Dl>>px0Y@mI=AQ+&C@FMS0zZQ1xE%@+Q_U1`BmejWtf;5BdcZ3gxwJvO#z zD>mHWvt46M5k@tWRnH3~B@Y+aw)t7xNp@V)UuT58rTbr!LQaU@N^5rRntqY=&@NfN z%foYPg}cQvm1z>8d*xp54K51h);`af*dUP~9x-+ua*cDA-=l1N`uJ|vKX3j}#r2!e z30o{NO`$vX-Z`GSPVMegs*g+3gMW~M5&KQ?q#UpH36uBVok&>mkoov{H#<$cR0t>j zd$-*q)Ao97KVq$Y`!7$nNq`RPk_nmV~~^A)E|RCfUxLV05y(CXVWXNwKxh!QFPm*h=#3 zRGvy?Hiz53k0zfh$9*EK585m@i#HuVPw+^`iDlhSpDyhs)(p`m{xT+FdZj|Hw~7-p zQ{&5dtug(|za{J>L2L8#l>y1TKKGqcds7c?CVc5!et;i*eh1Es#0<=Pd(8$Q$o^RtE=C?_I%~%cD4N%ACe5#sYenjPOeJS-`=6(c~aZ_ z%b(-D-3LcDJB4x;NhVu#->%v_sQV#T=7#2oo7(B%*gEqePpB3!6t>15W=<#guNh77DzRk*S zS!OH)=Ys8j-!=VNxxs1jLS|0xK%7E=rNiix%$JReTMs^ z`Ej-C7u)|fNVZ&kETNF5w&muTv+PM8u0y^f&C7Vqia z`_PJ@pG_A%bSQPq`c9-K7dRHJ-QqTK&vZ$mQ7%`n*b*(W|J7<;t*gq#*wXTf-tuQP z1XI0T*_R96`A4z>2i(Ip4BwqDeSOc=V2KIkSdYE3Gsv{tD<@97@uKw`&$ar_e`q(J zSla0kYsC)G@N+QJHRgF9xck+!k3YQ}>S6j3Wf{BBesJGWiFdW<`Z4|U1$&n!R_|cm zGhH5O#2?V6ztf7`w*T9kStm6QCSM4;{u!q^cwg$zrbck$2)1b6Iv#AFv8vfK{aD83 zA5D#Cb{^MT?rR-YRQI}IWrzFecZ-+&@X+T=&w@QGmS4+xYrf8Og`nTy_RbX|n$>|# zhx0tO{FZw}<~V3XzRn91qCc2^as{OY?LgP7Ol?#o?FdipUkn`AZvS{g#PVFT<6p^V z*JdRJxup%CDtLeW$X9hyPA9e72;X`dtXn><)$v^W#Hvr|g9C3n3*V3Enpv93mpCf) z3b{wZmvTS;=#};viwkeRQ%z0h9*HiVE{Qj?)ZMe{(qat*^OR4S2eMBU%zaa)_jP)x zeExvlXL9m0)3tG9k@hRkCUvq4zQMds5Egx=y?SQL0RxiWtla?9bNe2nuXXLVTJ89C zmmk_wux!%cUfQjqMW(uD-pKbPQyU^nzDx3zci*01wM6%d*LbRT{$kzPbs`6I`wCYF z!;>q?4tnc8fBtg!QSX$dch5|1Z)NW-*my>wcO{;_wdg{&?de)GCkN3I`)@jHlQtM1 z%2In8q`9Q+_W0h>)<|g=uH@vrr+yl5S@S3CpBY!Y5^T0SbDI1zbC$N(w$^0x_xa^! zZSKEY5)HGataPl8$?mWGIWFGD2N9yN-^6!olBDdch zD=4e*WUD=tUjS9q|3!-a+Hxp2EMHRy!CPc^aJyc|bJ0>_OY}=wX$ad4A71(`E{G zLwDo5=U!6}e>2_lI8$E|U(2W^Sw>qdNi;q3{XO%=vpbjnyVl?YP(b~Vub)ko5v`f9+v~a)7?aFl{pS>Pu3UxdUYNC&rWc%vh*{E~E z>nHPv4osb8wy}j0n>}nRV(5|6nfsL9KbVsP>dpsmsWUnK%G@jP^H(?Xt>P;Wc^zh2 zZ9cnmn7UEN;Bu|r>p}g2`=(zm-+qHzcy(j%Aw4_0fX{Qo;=bzHT8Q*+l#KUJrfUk*fVuv%WP{p6+Y8karnoHY+7KXoxaqFwSFG1o>T(0mXciC!2E~)3mfF(HKV83GlfB-wVXa${ z_p7a+*Vb4OPwdKk{mn?FJ3lh7U2nE62Dmtb;T|$%}5f3V%87aUlAq zqqV4J+?$+&k7#?px+C$>kQVegoovc^N;n{hOgTaJz9@AG(zPfsys3o|9@Y}Nf3n=Z z{?3i^n>kT?e=ZpNAp|2y-p_>-&erjzR*p$~v=?h(epgN|y(ul}>%D3B$lx2x^Dh>+ zx{+J;O;7#G8~EJf%cAcW{Zx8dGFPzNmH7D6am#aYnjd{*^6Tk)W4}qHuZ}bCy3(F ze(~8k-hlr8FO#J&?$)ieT>io_Y`yLtg5OW)g(t=}XsfWp9IJHZrf8?3dxx^T$#qBL za(^8-ou6{jeX*y(i1C4?Be@9yz3)R-v8V!C(CL z-1*SthbylXYe%aPdXcB4u7}1MtCBE{OWRUrn~m0)rY4$9IE>CGU#~KjE_JioZIHCA z_R?-6*)-Q{ZBc=7IZ<#uVPmh=9J$cmW=9SPiGpIc|IsbkmeJeLKJtTl`~VBn)+e$i@odG_%Yo<_l3W$EV6->n)bI(ckO&+nHl zKR$e2$Ns8g_VM+r3avJ;`}B8dhP_MvcSlUG&5%PRHec;+5oikv)&?%Jy89BAYKHKB zvu4gycY(wmvQB<_KW(la%iZLechzaMV8>YbF78b`cOpHsh*B`QPN(DMcR&8~+c6lq+2Jb&|vPK|?y;fuj0 zOHjHdE#2EW_nV|Bzq*U{79i0<~~+Q;8rZf16p zCHK4v4E8Ka%;R?2pY~nVY*}@*I4*a6koEFyoy%Sl$!1RqoJzV*el~mE?kv8MX9yWvCg$d`9X-f11$_E$6&!e^G5Jtvi> zItPD9bE*CLIV10GU$)(`>!F{If9<>2itt;3cI1k0OTKr#93Flz6KejNc;R1T?Irwo z94S`$UqAN==;tPBc>)&9P}|y>u$D&LMEtUxxRtPoOE^JVZLFE)7w|8pNMnVDhR!GO z%~k)mr~9|-KmD(#`#-z#fBbO(_dd}2XqsTYe-av1SFh1tyNCcrWox3l4R}{?XhiA| zc4_;TYaG$>x(<-u0K%;;q#@ERo!(b^Yqo%0=MSBn>k~Sz1&h90T7wJue6;kGR?GK? z>DSc$P26v=Zr0au_Y90BR*V^4?zFiQ=0~u+GGuvqq|-;M{}*j&d0ejkJd?2OWbE3mMYqUkj*IKn@NJa84 zRBqo)^Z^=?AFP{t7hyF>rR31AW#o z&DZSbh0<+3a$n3*`L<$=J+;o{P|gCfSznZ&sHWni>phvV`g zX#1AV$MC#6Vbp#{ajeg4UZ=7}%fT*FaE?aXc-(~6ZVswJ#1N+Gk}%0dw;$V+|L{05W? zEZ;GXJ+OSK|FV2}-m-(uX;r|zAA>fBrSl{-_z@D1^e( zNL4}|z{|Z+y_6(zS~pg$dOS1umP%j@ZxQ>%0-4yHjdET~yw8C#hhs0yBIhHM!dqPQ z(Mz=458ixq-hm{vJRCY%Q**Rnbn+OYNKyfQBBx*w0KX#;BgH{?9drqu7H0A-lZRzt z7zd}}M!-mLsM~PJX@I7RD(s*?En+P5*?_MSz`v!t$sn>xiP+X&B^7CKMairL)cUhu9kN9U7O;=Pdm~0bVAY822Q|jF->&?Jj#KB zrfzbFsH{M6hI|M*E^*!nch8JOpgt34@Xy>PIPRdE-WIpV4NR}cdu*E!4gf~|x!Irc zC41E=T!c;D5ti17^JQhcmP-_gVjSdVtS}ggZE#B}x|3$wMq6dsDoeU_)Bde+67W-X zrAG@2XTT+QGe4tLz$NJxwv^6KOTFW0CPzeU9uu)?qa{E23nX4-Ua7mE z1f+&A0;mAT-6&e#h&>8|>k2B{Nn~~5QgEY*9&j7aLVL3X&R67hJv}^)u^s&_6VsA} zKfo*_2be?kz`azT$q>QtiSDO5fWf3YfAl|)TfT%YwqfCyNlZHYWZHJYA8oBM~CToKUxpJ!i^2& zHI=GsY;$fsNOe7i2Q)^0E1wt65SDuaTY#|armEQCU#2;Ye39f6p+nJu0hf=hHGBSY zANWY??Zjm7I)5FoGaR-X)_Lf+G;Ub$U@(!geU4?|rsvvFp*U60{q36OM-sFiYx}KAu5(?iPT*Y z27ZS1{s4qIKU~!APvE8;9Y9FKX#*x35bY)~4!6PTS9R7(`eI!AbW88G2$(%-XO2pXm~Ru% zdr7Q!7%ChhxQ8(#o7jywkzI5T7vORly@*{LXdVqyFBw_7j>kL1*S8xEF!Y#d=z1Zs z_!e*FpE7n;m*fgx!5u%wv~Q4GC#&B#XUg{~BH*ohAtW?@ReCgH$A>pz5;oakFdm^GRB`DVm6!>-vz~|U1Ca5IXthlX=@aQ5#0IN zoY6cl7V#<%qfx<57Km^FeE`5K2_TvHIXC#m+vfY_q<|)IrQfReQMp6m0_DRV}kgfT-PAM>XK z7VlHj8c$QfPS#!L(GFuq>h8wV9SfKqC$Koh-Hrv!9Bx-j231_XVi<7v&lrH21Afpe zd@7{r4Ppl$!LSmkuN5&$YY_T$|7(OW9M+eo+R?^@USlA8tGYJD0Vi)LAEfw@HkV+g*q5`=YT;SfqA%>m>Y?a#t*5u;RxG zwZNQ3-5#70E~3{^_W49z(lsBqK#9t>t)KrcGD;M2);m#Fh>J6w5{EIBs|drt0Gy{YGk_MGi_cMprGTO}9=)#)5z$#=@JGeV$6sr$CUkH%1+47g zOlGh0@}K5rGwPKniwZ;j^}KG^U(1&;>_v=7tdKUzJ##2tM6;bkSgMewE5O^(3!GgF z>@M0AKFx%%F@_N+J4aeG2UNH2ek;t91)7~uNiy}fiEXaPo4T6YC7atBu79L9^u4IH zNglpvC(II8dnl@>MeKlT&_Hb$W|hXI4KE0oOGL~-b$l2AvuFXiYI!kbB|wKLJN%{~MF23Bmodgn|k);8w{UcG6woDm4OiG;Fy1P4>X>k&V*P~bQu z&W%ldh#%PqLPBvff1hGjupOG%lk1v*sO1t@ACp-f2VfvB!s14ELLA7&SpRs`Th!fc zEU+;0Ml=@X8MUb(#m(9cRcvv~cY6=->F1LGJ*M~Kc zd1QwUTyc!Dx}!wpEU|$Z{KMi?_=*93H(z5{DPZ&*<<^P)%zuY_H4Nb}h!6KN{yPl* zpW)tOZ5Qo>oxU=t8c)3BEgopYYmAMX^tG~>0hpdw!)*+0mfX_QM?oN8OUl#@z z!Ld3uyH7m_5CygB!f-Aj_|2jsorteA4Kx^qi)67cu0^STa9MHfbe6`=^UD0hAcE;N zlI?s(&@Y4?*GQJC?C4ISO%=iW=v>aWLxfWE*IQw#_r{0sATF4=`JvW^I7_G=pVHfB zKI@mWd3GrkhhCdx+j5PVOI?TQA7U;nU$Y;lUjsiWpJqX`j!Wxx{Fr5QZHMNi&+!wW z)?*HxQ+}RVZOyTY;E z7~uQ@)wL<>8Q`h{K^(O(D)R)rKN~7k0Vd|H^~+DqKIp%TLah+S)^(k0I}u{s+3BpG z#<=gl==zH)Vk-J2Ueq?m4Rdh)-88F2=nSXHH@W?2h8+jqF#BAP3;% zN)b4q%<DiyY%#Ta72~Hm zgjsYLLppUp!~_Z|I|(OB^3pEiBNh!JW&%Fq^ZI#aa_iS}g{bPd74mb#w6G>6N<_5t zL$$?4U1zIZ5x@T1LNz|K*3SN1dL%}&f8>mxh*5$>Qc-G7#=t>@Qi4`@5_dJAclbpf z5Y$8iS)>Potfgz@4Ls*$5t9S&_F&f&{N1J?OsYlD@RsIefQvK$U-TTqO_EwPl5I8d z+oqsPO;bKPaWa4*O#!!`o7E(n%2(j8hr~HbX>sWWet+S7kNJ!braf1>Z;pR!=R-@8 z*^xHR_XF(~r&0FZmK%MA!Vc9*J=70dTuixP6iqQqi)v$hu<9b%|6B}jluzw=Ge>b% zM|f$b3iLU^Vp9$8=nuw0a3$~N!(oz928f+}g>;5Fnth(! z=U03vpGe_#=RkxIb{i?1JtxutU!awn)FMKG&L}!S z3xZrJPC?3o*tZ%+(Ffu>jDb(8V-IML1t$v)Eb6Dx`|*jQ>TsZWgn%AW43pC!5_gVU z6*QLpDV<-pr_uWIIF5Ma0n&V!C3y~GLCK61w2GXotRGgjUOtQ?l5iI|Cs)b7C>hLt z)|Yk8SuRjr8+_a&uNDhGiZH(*< zT{5I{ENSkt_3I3CR)UB-fSjsf|B(O-ZNpfRkOyZ&7g0H1SiByF>&IFE?`ow(44=?bvYX})kL6s~3x>o)v2gu-LBa;?Vp_yOEva~c z`IW8bB7^g5(@epKJJjv!`pKfvjzJK7jv?DF^pTtEd!*h{a<+bo^8u-sG#+D3Q1=XG zd|K)(plp9rT3RQ7pU5|;B`-0J>YRkJ8oZg`z!#-PXIPO*C8(GjTVIwzSQ6;M4DZ8KeTP1@_ZpbuUsF6p|BT8ckCCSuVWk?srOwddxrY>!y~+ah)ic64&p>^4 zX~BJl=Ls%B4i#58?q8%y(pYkhv>L|y-8D8W*0gih+2u6dc@I+V;CUHYlRdSw^%{-W!-%1!{uo}T`zp2wW8@ntuB z`k(RZ%DYu&4-BQ9W~~Xs_A4Ts8iw@TynZwO2S>Onw%h!BYSQU)t(*soO2^OB7DEDo z+B#6a_!VK7tYT?=`C=9^KRU2{DIRsw7t;)?qGt+6;YEaM83*)t2`ne@i!i!rxKp9J zFNNRs$q~o}@jr~b2K+(Ieg-SCR#u*J^B95X~(p`(r z6xB-XxebG;aEh>Nyg5Ck?hh8xPf8-k@+azezmvhgp2Cyh0^BeL|hv2zhP`L(oDdG}fp7A1_1Lct- zx?(%3E#KD0DO!ut%pT4R=++We-oCLhVw9G{c zyRm|TR6Rz3;2yy4eYe3)(IdH)dOg^?KRc}nPVh(>@XF33M-poVb-8T?phN>}d2ov# zFtJOTUjiTz|4IJkH}@hH_)j8C_Z>2Jt#*LvR`a3Qg+(Z1t!;-ez1}+WR42x+wz@JW z_SOP^@8xjdcmeBZz5hNqx`4kZE*H3dfU}b-#NyPEq3YA``IV>VL~sv&zq#DUzw z*Vn0GJW)v$7Qt>lniNfmOp8La>SwU(atlhbWUq7(hQCLJJI9cFH7%}_;msSc=5%t) zUUCG;YMV_2-K`#naPeca>-pDB4}%R%XF%&ECFRSS(+6;B7XFw!33I3>NNP{ZN?R4mbnE_uZ-_E^Smj_7WQ4^rU}A?X!#N2huW7Q&>jEEAG{qUrmJP)n zty$7}jN4a|@)(4b(O4@FShTNzvj9`AxF1vkHVeR#fF?3E%-Dkqr`%49NI)1g^$Rlt zhBgb-4j6MG(;>`yH3H1ae}O8TsnbAv7iNsS0?JqKxQHoN#z!P&K5sbOr_~?T&Td9* zE`-nj35PLe%w>IxXwlXf(ULY{OlH@bQEIP74!b zTe7wZ$eC24UteS8KugZR4ArF$w%57hJTmc@zT)g8aLz_Yii`U3PiC8k z$WxJYS4=x%pzq2s@7B)a($x+yE*~i=Qt*&tH%lD@C4`UqGCYPZI+gAj5Ygy70M8~i zSGIGD!euCJ5C`R44*xNq*0-CrEvoLmOlc+2JKVu6sIZ_+g^x*^z zXn>i8EmW93GZdRR4EOGZ`n_t-!WP=soN+2l9S_xj(uJ57)DB7gEk}Fj5vHvRS{W$F z^ynX+q(lY>iP$HdO6me5Q5L_KAMzqj_&KNrQ)M(aI*rXKVC+#^;agQ z!2&U|5Vs=C;xUY%l3mg@0-#MHR!N_IJ@fv>NkOTRJSuxHd7MQFIDSdbO@Ip!2Qw8UMTP<47+0vYsh*=FKV^wn^F0G%k^T2CSc@=J%0~mf^;n5^MUH1_s;t+1d z<2UC}{>x(F633FAX>d2f&6#mVJ{0a+jUz1Za5PsT4GhURPn*HY;X{GVd@NgUVz^KT z_jx&oi*$w`m+tBb;O=<*?u8c>CCQ@I1M{`DS?c+V9FRoBm+-3l#rqE^P>yXZb~RR+ z=a7co0cBq#kgEl7_cf@4Kt{#l|0s(H|q{dg+G-}!a!eV(@0!lo9?icNbu;GBE zhLT&uOe(^j?Q&Pf2`V1Q4=pUS)ZH}rFnbe{T3^w&W7=tohw-9!f7rrjJAlUm){WyQ>bD30I{_o=@8&P!*-D9s=^O`u zr=;d|mLyjx2YDA0j8@7tNLbFn-sVKkz12U00q(xH0@1FYsJq=GD2bFe&4`%6Az2<{ zO^uMCEJ8w?2s=z~)Suqyn0k$-b4h6($HN{RCGlW8qmWuPrT6=u9`(=bq+`M;UB z7FVmO7+YL_!RC5@Nak5O6Z^Q4vODaIzxSfFB-*_szI7U2n*<_y!h%IW`XZX_uAZG+ z0N-P*xTZLDe1FJbEi0}|9X5zmziu&B^^~lq;lX9-+wxAp5-^exdfH%ZmS7gr+}&Uw zmyN)E;ah}Wu0f9lD2nC)`+Vi1I#pCwrL2wj#mDJ|=?98YR$zUc@ou1Fj|{O;^Yh?2 zWB^HJ8rB#Ytg;>a%}?0fUC+b0a3W=>vUUCH^A#88GS4Lh^}S6>$yX=H2LC9=t9bo$ z@Y&ALP`Cabj5#=g(SuXQYVQbQBQR!o*XnWPO?H1!w#OK3eXpX>74S9v1IP_0{GQ_( zMR-C6PXs=3;58)GYS9)Py&Op9IB|fC9p8KjK3Uw+?vHQotjx2Mvs<3<8&7xr55y~e zp3ua^tszk3IU~;j$bH5+`Nf&+H?T}T3BXB)5{!w}LHQqQ{_tz{Z}1y|b%NnPc%>o? z{C5crjV*#n%5h0bQd@PScr{?i1*aOaJPwoLZGmG3gY|&niZ(}2mG>NC0 zG_*1_Ody0dyfUo`{RXDMk&|occ@bBW+{+hIP5Vit5Q4jr%#-Axov%Xsk3s=XRV}}7;#ObzmtOCb{l|1zo=ORZC0^j1+gK4U^lmZmzi1EMIy+2YL{0e8Pq# zlm)}r@_V}Bj{-k?eZfP@f>O9!%MkK`?`m2pwP=dhX5PDQ!dkB6Huv{hf_v?+p2S_T zb-zOa&YI@|Amh8WhhD)=T2bpE*Efr4j0QB)3`<3lnuqdOPA(}n$tqi}W{OoJVC5yi zFy_pYI^C5C0-!emA|nvj@ucJ^AqM`35c(dgNP3I(w^ePmex_LYu;pD;vkGD3S*MxC zrwPahbn$I)Vr)jV#F1^4LUYEiJ%7J6dw`TL6ip5S(K55FuXJOg(6zhSy|{Th3&_?@ zE$%5zlXM_khcwRNak5W2fuqlf?SUdaMED20p3fm$BzW1RavM&E&UrxtnN4pjRtbF) zfzW5*?0ZJ}v5(|UwT}UNv*;0xtjm^d7iO2{j$RbQ9a`6!fAWsg>rAgnD&H(Akw4UMd*Q41_y?#D7o1?DoMmf@dz`G@GU6Is-bcZ&M z>l*fhl@F&^4OD}-?NMsUVK$TM78-?-7)gG8xq@3eO*UKAUVr=a10kf&q|ZfwsutaP$X;Lm;+|ZH|Mc-A;;r36fwY!tw7zwn1Y52YCpl zGb@s;3GWRD79arYqx!DRZ%3=i8MK!evm>%K6Uye{*uZCY*0uZ!y!z%O;uj@PECv$*c{{K$w`=!Q6T z^snl#3Hya;w0q0nEQ54?Gd3VC8~lw#Rgio!PCCTAUCUn-9+fJ!ot^@MOW)sN{2wV|QW z7*opQj74`Y=-Y9bo08;4`?=)?C&P@k#biD@WaOGM)|}&ImDtbEdUR60=?S+vCn*I8 zo_NYT0iGnt8%yR*tM;im3&-BOpnw%CB9gM3gCTJd*V4WdWh!N;hVzTITAN1!+W!{% z8|)uOj7#jj5n`CE-xivj`XT-L?vo;<>Zi4RHE+V(}?H8m8kPd7eU ziPA)kKZOX6Pw?xh0JLmm7hs$apl^a*4id0}SQ@lwG}Z160FhadAXW-S03hjm8M}rh zF&&&!tP`QMpDYGo6w`4Gz(W0-hC{OXimaxtLyMsMh~-`Iz+FE+c=q42&?(2U+X|S2c*P3qW+trKw2u~Ii+{|a_jZl7^{3*UMID=okkxu zAguZ6F0U@=Ah0_CpnMVQG)9YJJ;R>{el|ptEMg81rSTyG4nZJopOYd?Cqr7cu5j)2 ztfA|f5YYq1s-S4QTrEgt$?AmZQ`OeV;J;&zcqqpE!AJ$5#A;sY?!aNNuykiW?E}_e zb)Tq;pED1p-RGYjrMl6Qpv?*5+vC9JYZjh+jL>N#6NpY+4D>inV;q{r17!)SOhPJ~ zppz^Ch-G#i$d!QROh*x)8zih!oCf(9)j2k)MOZAXr;1v04+S-6D)0gq@Qv|&F}Q!u z0E-KMYA@hWa;zMl-b60Q3pGWcPla@G9h)1%XQe-ajriu#m&l;dp2yKRreYfX|+ zb^}s9JAr(dfp|$U0md;=)MJEOOHF;*LOu|MG2pHAut--She{S^P*24ftbsQPm~A&G zGSmGnLZNfa1#f>7l@c@8z|R*+!t|j9o}j3D z5Ex>BKs?bZ(t!aB0FqJneEZ{>O*5kP4U(?}OiE<0x&*6Mc3E^4XS%1c@zZxWQnsUq zUl-JLA(4?uj43j~b?{jIOahrP9Ayv(y0Y(wCQW=vIqdaozztTP>lKEq#xGJt*9Lw^uG z2BwYa9rjK&~l_c+I;T$4Apu@qgaL9XtVSW-9S z!~xSA#wZ1zWFfc-+o{gcu_%Khg#rTdfOf9d6L_Ap4o!az0*@>JDx7tqQXHlYo`xs* z4S?Iqd#%&N5Co^jc__t6lpp9)$RT~Nx$gdtt+dst^wWv(L{*TpUk?Z7DAL@9Wc2%m zP3IB{_pU5lG(Y}+wd^&^S9uwZpG;Xih|JRn`Zwm0lck)Fl!9s4#WuNXmE8|=rH)s(29ZJwN=O@@d1tocXk3Xy-$*3IVVXXIThq`CEXIy@QikJ7ou!FptmykjBmS zi)~{AYHqp@z-_TmAuK{GYEWiC5LyvVbdN3BMTLCFoG_i!fjlZSumW3Dh;Jfgmu%so zD=Wsa_MDU;Ke*%oR-41A_H)fSvT%2$e6H(x6|84cXljwpAI?$WJA5i+6aqmD7T1^6 za{=y!>e-n>Y$8OdY?y?nO(0^#z#-~FI7Xj!6O68lOD);?QflF&N`0R62#&`6MJT`o zY2hG^lGRWS-h>qcw2Kn7yox6}{wlEq=1bvL$tlpr@C51uDP!*+ll?rD5j_Z#?h}(1$X$?_XPFJOzH4IeK-xN? z%em-|L1trIN)c8yjh5luLtblF0G=+#T5Z!LrMnWvAipk}j5{NTu}h?i)48AoC}a77 z5fTW;4RJWGvo*bH%0n3@LmBi}xr&*Y_>6O7kKqu>8{J(W@dkpEzD!+CVBw;O2+;pJ zVDz}23==vF&d(@Qu%WTJUjc2S96lw`N5w{nv)kKPurgJRmhcYqvUO+LGilRsc@%ZR ztf^TYay$f{dTRj1m=-?>nKO8MknZHh;_VL9om@X?*ujy12T*1z*0R7~?#t5UKwIEH z_oEHCxZT0Uovj;Qbvi8-c2}UJz9^lY5-WP&YOcT0-a93Ki&Laifsy)8U)mkFe5AbL z?KHhKq8-r*2fP|9a=a_(NyoE2D6J3#YWb4@BM;1s0DDO6>y#fstJP$NyJQp6G zwFXLxfiVc@$(W>rkCPF{#LxL>pm+i{13&iicoHOVsqV^gj!KxGGMuM?|DD;*>Qc-_CuKh6(sw=KG+x&IHcPv- zk3Y@`1qv*^rY^ff8j!UHM5qBNQLQHa!|kQJ>bY6&Y2%RQx|kqQHlJ+3zMCw;KAA?i z6ABc?(3bEqo;DpCEJJu_Y{dG|TU3>#f;u7GiWeZg1NYe>6AytUNPi$On=ani2ulKN zaCl#z`_RBPJd*qOt>ck_Yr=6r0PbYW#t>{<+sCab_B2KH+t}2mq%_eZv^aoE)TF zPN@ffT$md6F~)RT#F_Yac2??&?8)i3_M$A|fmwAS=U^czt+*y(CK)+R?c3U0)nB>? zZ@U6u=&BBBI4_$M9Zfwt*5@5p-HtuH+4U(;)>xa}E`Ob=CjbMVojA2cl4_@VkR;kQ z(1DiQ`Qz^t+GAsyuXru35#TyVgdI+%xFkSA-jy%i&g+4%lGa-%p8}Pre4%KFW7wNB zO4Gvjs-d^3clN>|tq7>G&d;gpZpPQkwp~<1=LG!4_&gJ1oezKC#G(jJ3hJ?hNB^a%)-8w*Jhea3Hq_7!CaoqoMUz&a)ZReY>OlC2 zXyOS5g!Lr*Be?LuAAV_SLze9t`~x-rCsDOR!}m8xRIOg9<%07KI>1-1(=c$yoo|aZ zm#@(>fQ;2ijn$;J1(2xHg1MNIDT2EpF;d5qV5}Xkky#CMmVXn!pb`B@!Ws^x6J-?>$7zeJY<5vn216%0VQKKv^)%{avscPflrRv4d@hDgr&Bb}k@{ zG@I(Lw~ubLSVEwff1rll(eoG&Ho6@6DYy=3zIa0F!K`(x)bZoMVe|I$S7=Wcx}q{F zPp7kwZLlfO&naA<^CFsF{0U)wE?GYpIY97gC^UdHPw;z4Nj2oYz^6%|8=UYM+{6D{LN0dRy*Bi@2bf8LOkKUqauNxXWEsH5^-YF0R*Z?@F5zD&p1#AvD6 zU!Wl}yculb8t9{K_=(l(v@px$z^M_#Z-O@tZ*21~_SS(5uC=Lb>P%*?=K2e61#zol zGpkEv-iyMaEkCUuw1Gq8WUa0|g?gW63zen3JOXrMX)HoHW|h`S5r!H44wb#FKc#6u`Qa92 zhWbAWmscrJ#CwSQkI!bFc0JUIyUlDgk8s*&OYWx6R)xlHlDpLh`zAYln=uj zox&^CKqK#Et$)&d6-+jAmStj7KOl1FmmRXa9Hts}SIV}CDj{PvKX-dHORw(7)cwD1 z$Wf>GLvnCoD#o<_(f9{c18GtBX0-uYpQH0$I}h0UR$UrT;zb15r~h*9?AoK=+gABW zDTY*ZXMg|n6Ysv?-4v5=uDySgE%O#VdMzxgV=Yeut>5?{9pDAT^J>ia(%=@q-XQLe^pfy0WNn z6sArJQ8u26MIR)&71iMH9=m@Y@`=7nO;t^zdz%}hVN|9X@8f#SKMNxe@|mKpr*Np} z;-g60-_7&!2R#g;sMCq_ec8`Di-ecr@|0=N-amj>`=IfNEr@xZQ6%iG9xdGwIxcu( zFJgXS^O9906sjnpadk+A;4!Lmfm@P8uD8c!^b|WvZUVbEQ;p}=kTNR>{#No+L}+y) zb!!OGU51%~1sO`E%Nvv;Gct%~>oPtK95PU);Da>#7Y1p1gt$r??cN~FI>_OK$G8xiC{Jy@Y@3p=NPyQParsJlQTDU=JTTF}M{OsO6Y+y+c@qnH zWZG5$s2&hu&mXNOErWGY#K@rY!Hf|uzDaIW!i~Ei&EmTKv3jH zMvG$s$PQ<37kLzT4l^r91xhaHy2{90vQ0F$g_@xk<^`d5kEm?0hqANd9|3z=AQ^&D zTp8XYOs6~9mF#ADYXP|(I%xR3ggCD}wb)2geeGfpdT>xuz75~ZWe`bvr3}L{99rN- zP!p(cP|+R)_NcZoM&&gs<95z@j9CiFf%j|Q|IL%BxSr=@YqLs6M4(v?>RLTAT5?>P z27PfO?b$j>ujhZJ^D)OpWhuE4+ZlRXyq+UsrYQJF?QjuSYgcg^p2~VRtN!1phxsUo z%;w_eHS5883Q4uDk$tgJ4`m#;IVCA9CQ%H>>><3aFAe~=w44n62yoj*r}Sz`8*wh8y_Qof3vv$qCC>rty)g z$OeQGH;&wQu`7H|Mp)DjzvX1|r!kgSBvLg}ugoy(PI*n;#h=W1=9m^m>t|-}_5Gh0 zEFHpSaLN;E{|lG#sxComy@m<#BRm#kD8rsI{#hS*1W#)t%nrpNPRzycj zjV&;P2z_!I)=NIs_Jde@!9z^p+g!naDyCz8KRT_tN_LY%{O>j26IZ4XV z`Ni3bs3X*p!lhpSx4vnwzL}2>u@#1@4nQ)?2P{Y-`_Lo*cGi<8!Snj%BvBF1_*vW!6E`i7H{&{cB z4ZBBcbF91wUdn^kL|Zz+2diy6?CX_G@ZL9f=*0-3^ce(fHjiE})ONML(pqCpm}L>F zT~hS+wa&73)fyQE8w{&w_1q2_W*6%nJgn#1i#wT%etjXnzdG)ipJiOcu;H~>sLr*t zmm;*SD3va%AebK%QLJIYjIhrUx$_vSB)F$Oh89!Jt#GY1G(N?DBXB9O-+{S!mhj6# znpTy8Mf40(Rc+*YO4?OFyeg<0t)zhDFIYu@rd4ohR@OqMUsg3bPoR!y9MY-=+ zw1Yiag7eUNJ^WucWI~f~MT8QYR6j zJg;lxOx7o?us1s*-ggawGK{^ZFK?{P;Vi;EWljt18i`=Px$d&iu7AHyRP_P7hmk|d ziC}HG2f5%Nh%sQy66SI$AmdE@1)?Cl#L|RR;U$*2a_x(8t3u(}e8@VvUm*N}?z=+* zZD>_c2$+GVc&-b~mC#INC(ctOpd(o#?xknV*`JAq$y(J3u=;~o7v|xZqIDe@A)eR9 zeuOcaZC*Zki`2aqRdk6ypd>0nd=fp`<(W_<-ZzOCFKG4>&p?Phs_aE&L8Ut9=hUU}l zNHq^4jQ;F35e(~0aI`~>6s_X;<|@VFrk3>1eAsJ56-h1`%?s0pqZG?A%Ex0aP1BfG z-6i%PsK$=`Hr5?Cqt=JB@2LCkpsXm+j7kTnksO)w*6ucQ8p^1{M>k3oU0w-quFE0T zoPgXeETJ{n1LkC;rJVBW%dawg;&8O~^;aT6L zthFw75_<9W*YhLn;P2JY*uOS?vswk)q3@%E?E(Z>9;xSaY@SG=3^m zWe^HlX80ZG{l^%C9|7ey@;9Y5V62C>>n*K`3K6V@P~%7L(H7n^!l;I7z@|T=D*|HD z*Bm73ton6juk{Ua5mFO!&>%%TFMa`?r3sLxxI_mmJQ+GuRL5c=eE*{j69b zQOlz!8Z0L#)t_>hWp$p zmErEWu}r;$Y7>tLT=;>M37`wD7t|_afiOiNEBuxVrE@8WZJ|SGuTnmpagLEZs?C5D zR?;LqqVvhsXzo0GAB#FOe|b{Ya;$3N)~@$(m!oKzI=oOg|G0f_Q0iXaUm6(=I~lhQ zlI?OB&bKXQu5O7c?Lf;8Kv_KUfB27P4GjY=SU>9UBKQ}O$@u@cdiS^{@B95Vm>|#-Ws1P60z(LCF@UvznvdhvYu!hl>;E%H?*nxM z2I>7C{%}9`jfIZPh2`rvF7sVM*%Ify-b9H>@{Ff?JwSP~qg1aw3Es!O8>8o^yx;b& zfwg#z*C#Z{dQE%R)OoF1dwE5MZ=kB_7pkf7sgFL5;(KWIZpP7{DL+s7N6XMb?5Jnp z1qgo?oHJAA9bjX3XP!J_t-1qt!`WG{MHK%^a>u_Q?Y>lJzhcfjJxw2BL zgjbi{`pu6{cK<7s7hL?S4=BOA9DFN>8cKOHvc-C3Pcx<7`=exU-g&os{hxss=6_rx z&X}w7Xbs%5iW;`rE}=X8p6T#O`O$XerxXe|YsqJK-4eTc>$p#}d`($-w>$EFH|JpB z)!@?NrND3No;a=_3Am`cx22%}Pk-^eckJ?+cd1@?hJTO!dM)<$j{_f&d2Bofy6f<~ ztN5=MD6!Xw7h#a~+@ zzbJZ>6b)m{5$sdAIMeg++8q4uHjE=HyI)4UFQLZn?5W^+Up7>WQ_oRH&>0a9)_!7j zU!94zDo}wn$&llsy(|C7x?_dcdMZ*w%Q6bY5w~f3hT$H#1m{L?VZ7a#hf7&;E}_e9 z3anQ1X_xGv%F3P|vwMHob-()phxC}x_X~ei;!tB(Gtn`K3kuT7-bYHcO0)W+ned#t zf4PmTuN4se!n?ubmnHvX)nM?w^k`)dI>$dnibM!KVN_gc!@tz`#PF!Gipp;AydI-| z0uxy-6edcsmSlL%#FysBers*@Uzfs5hf|;tg_~z_!P$^9SNhO5VGAK8a>%+v+Q&go zPmC44c>Z`))h5-%mq# zJ>wQt_uCzg&-Wc8#P5dQ`+96>y9)C*e{QKuS>yzqw!jlnCT`5uq!Z$#pc{=t-xK4t zb}laDx8mui2=+uP_BTrjAsp#tj+*ems9dZG?yiz#=pDwJ)b19xKsAb~KqXT-N;g9Z zGFq0Db3RVk?q}%O4E^0*r^Zo(SugXKxOLf;;mn-r_Q86Us`-C(h%f_!!aD znb8vqCgzu96jfLCV&lG6RIKK8`1|Eszgh{I7AS9rmma7lc&5j`IZJ*5lf7Dr;y=i# z8L(SMSDxU9l(?+xAH4M)zy@GpX-o}>%d*XWpPLa<>DFy5GoA}eh1`^~+m=#N2)R<%ul(X*5tDJg{NO%*1FykcOAmRut$Nv zyaS8?i`5?{it6my4^2B*h{0-A{7c4J@d>V+^f_$KzHJdME!psTc%P3UbMTjM^Wi>N5%I1bVZmoVpicDO*H2{vSq@#H8gV*lRN~Nhh+F=h% z>CoLN+5vYmLpFG-gpt>M-UR0s&G;fI%6EWKf01`xK&x|sP_i{T{7RLw=WTNsu7f0c}Xh~*yjCtd4#eW+6=Q2{6f_D zb?zZFDiiPXNAT;Ud#OT*6B;z%@@I(Wm7yiGrMBLh$LZQTw`sA~Vg+X(J>i1o${vd`1o}F3g|5ZghRcD%4uT9z=v5UoyREiCU7bI`G@fa_;Dwf6MO+U{{xG` zb0eQ;t}qmrGvkaAYVZ^tBY3wR_XGY!oLt2B)+^hU1HCthytZNvQga%ingxIGKm=nQ zd;fsZFHk}9=1}HEf=k&!$IH>4FQpq&;gA*7>hGR#1T%E#d8X)QrZ=EXA2?!o2V5?= zh5ydFR-Lt*!@9kbs*0s zdDy?GZj4E79ojI@nOUoW7zKp-fWpvO`w1H>V3EWpqXjq@kyhXwK#(m!rGlNmxY$-d zm-{qbk3?}=L@!GNmIK~lVKtedj zAR{En!}xWSXT>Fjdu4cY*)d!?Rk&(x9wFr|63qz;pOEQPs7p_W3cMt^Wtl;vJG=At zf)qZlgk&DxM4P0$vaBPt!fl9$37kI&YK>;G@-#1{&Tc_HoaxZTXMk-}sqMBooJajx9f>{@#YK*(jseI8muffI6gA(5TPAUVXr{Mvyj_0i7N)RRRkW zneOgsehuvVKvYG>DdTO4!v9XUk=O4*iQU=F z*!9xhpawv%C~9IJTp?v(wKphM?~;!6osY<4`nV!#{%>feQMG*KUs6*r>9l6=g#3UD zLgA)m?#~=1Il_)PaU(*xjoSG#Rd;L5q3GGtv)Fu!3X)O$EGQV5!}_4Al(oL~nm zzip6pa74=5PFsq##0_hyjQKlyI)rI%RmF{HhDnL(zC)W^KwMK4xEdo*Lj~x>EfC)2 zp-Lpd!865tt8srAYNbvA+FZKsn~_Q%DF@GnbOLybR72mushqB^^3kLPm(k?x3d_|0 zazEUd*}@J+`#Hp@I^r=ag3}j6&5d!~F1+Mgn60yS8oto#` zgTbdcm2nQx+QoYr&c+~fdzEMIC~N-?3j$D zF05%0&_TJP##a`>?ILI;#fj)*aX@;p&rCxDdTC>f92G*Q_nbEa04R+WS~gp1#-D!- zHws>6XqiRXd*1vwYq#5=hlz9P9R+1(bU=-s6fsX1k3MR9b-dm;3pVhRY4yJvcN`-= zHjm*FOZmfxeS{>R)zxo}G7>km^rb+Y=$8#^Ho|9kIyrsfmj?AbDbZT-OucG2(olpq z!#-XzU6xHWk9WXnOK+L>k1m&SE98A^vQQejVRISm=6MMdeNhcr=!2-rQ)C^mrsnlB zIi(B1m9TLG6_}Ky^TA4OHqB7Vmf9FH%@8c>A;F^1fO8U*1mqc#bb&*OsPvS+559r9 ziT;Sx%*W>weN!Bx3l|&Ou@z^d{};;WT?YM7FbOJ_E#Kk+Qr&O2tcUROGEWbxzsr;Q z+|t42&nm@?A$@fO1DvKX!TUD zzj$9lvB-K*0eu6fPk2$!(0zh5J58LpiuST;bdf_w`bf!;ecE{DlHHNt zb!$+m<|uOoF=(2+`cB=~+J~GIzn;7M7h+4NzU!_7L?uDkU|*}izpMk$UF&!dvJGUv ziIF+5#7d3`;OM3g`d3?e`)fgs!vlsf@!EmEy+_`_ueVXw;WzGK*PAIZ2I9sk1h}e^ zV>h;C%iN+-z=-6--LVY#r7yG5Z-1*i`i4@%2 zSaXZjH*Ze$OP~?hM#3uzYP>zm(+N@UKpWXJ*rLB^hN$x~tO+9U^X=I6Q@9AC&fBm~ zGx^m$;-;8dlWV}g1ViKls?O-Q)`ET2=04?%yH*9MkcJbv=+p#enK8-K@aM5 zG~e=e4=>Th+Y}H}KRMr1=Jv=zQf)fkF0#&4s}gQJS*mlHFaF2j5wIP!PZUJ@$Nwu? zAA}f^FJ;{!&tFkDAEdtI86QdUDHj4`XF-Z?f>iV2&npco#(#g zo1f~{>p=ur?!D?6>S}7x(@O%xkj)^50QPTr6sRGog2 zqQ3v_rB~NoSz`HDuVzjs{&myFzrOETmb1O=*h}jV(!I)3&b^z&I9e8;Mp;ik3IrHd z!756;HgkT-t42$QuYOl{6lGn)Oo4kOHGV!@j08n<-<9gr79>bVkXSJjPex|r` z*g(PxUf!^LN_u*L`G^pN=?KrNensdn4IhI;1(UuL`petc(6h7Jq3uZ>}7{#6h3k9y&Ce5-f^;l9xKENtiuM-Yj8CUc+t-Go_hyR%4}PzIqMF&^w(X ziFb_wzagf6XQ`Id`>ib>wEGBG1&&t3i8EkV?oo#ii0Lrzazaa{8S_0A!jQ62LfRH< z6{;4i)opoY(Sy;`vrc z=3|2C`^1XVg;IST*w3Zwcraj}S#-5eXb(>@Qc2lB*)iS<(q?yQe6e+1wT+5ciZS>izHxI$2jDbV5)}LlQN`i zmT?GBrXldGK*p}_D%;t-5|@pXCB(T53+|0#<((tom9-hT`v*j@Y7GB$;3O70g~0?m zi!D=-;u+rgKGi?j+`WpWJp?r?2iu`tho?3YlFv600y>^*5&Fj7XHQ-lyC{HjK}I?Tf+P_O#zxEq$yIGS!qCtu|dx_;GaxA^vu=K8Ksbqqys3i zWx@&~FUZtpEjYYMWA!Ftw7tVt`2M>b=@1i>UZhg=g)!T-_%31$kH&46NClY>81xO5|9RAi~Cs8%ItGJKmIWh2=jle$_He zh(_?HEF|nhve$|?+1z$+u{;$#^QD}R!o#I<8CdfbD+27=mx_ zJ?!bfg-dP$aj?7qyJ3)Xt5Z*7&#-Wr{1%XYxs$qkq>LpEo$ONmQ`vI>lG!1g#u$YI z8pOdmp8+UeI5`ga2FdGNHJQL#Lu7;0)w@7>Lt?N)-_3-$1VfV!8*%XYXPDr8n0CNQ zQ-ac#QEN>_5Cg#4xeQuGaS0r4Ey@8&AHmPc&|@oSh~6#`xz+N$YyZiQ=Dw(I&ni?A zLq3OczOl1f)~4{>o+m84Np2yYy|3v0$b1WffI#uMislod zmVRxXA=jnIkPRLD(`X$IqXJzRc+9~5xBze{vnO4oI70**DiON^sA|>@3p}O)%#{m( zorT5bQ^)E(7BsLYFc-lf&9EsV`7d?l!X@Pe=WMYykK|94hjZR%WRqeE%F;kW!UzT> zMlmw*!^~(>7lQKAWPmLQCmOl9@##rulvk?%1{fdzU1p+5b`)JLm>?1&K5jQzFEdgR z3=AK@>4-KngsHJshPv;310XispZKA8mkU|9Z6@Y7w3Ob8%pv$XA>kANY)`|HM_Tq4 zWBkeX3~qTTCi>+^`|s1h!@H=cKB6mP=R~U8qO5IIW}5{7qEo9cst%JPYabvgh^CRe z#+V#iAIlE@5bHLW1AK#|4z)0O78AR#qw8E0izvXwyU;-kbk~#PAn2r2`N%Y29WZxS z@U!yEiqRPEd|YRDDQA`|b^sSz z&8N4#S1w|ojb@Bu|1^R4cErhfeMY;%UQiV$H}1hDEMi@%zLMIRr|#qA8re~8Kms|W zb_rGm=9gMtnDT?HgyalbEZy&^5(Pxd;2Nh*g_H@~O|Pd5LQ2rDHSl>9F7})^G#uaE zkrfMMV7Rd?J+8Gnl+~woC+Hfh&IYkuz(S5Ytj>xl%VZzP`e@}i&;g1{+p8y|J2`La zoNZM$bJs^JCp8;Ts3Y0NX}9f&04F%yc%+aCc?_m6<6=*ZMc}is+<0X#r_YOCE(1OT z${OHJxh@1$$pu47;4w&(Hl+cHb@n9uzQe6ZpJyFmSec8>@>|OH^a{6^ z96o~acBfu@cO*}Ka98+P5x1-z*^g5p3+2=^PcJiVpZ)vCt>T(8mW~Jn&s0^#aqiIUPQMX3jpfJO2P`c-rQQVtFI%|H0Y} z|J$%0{sAZ0hLkuL4IUG8p)MZ+a^Q-C1v5@LhCZDFJ7qJcLY@ltK=AP>_c~6M=oS^9@xT{Y2pe=|uESoUlh)Z)qpn*c=4O1{ z+5WG8f5B7HM==Nvq_C4ZZ{hq*OAa$p9VNoWXVG0K0^agRS0wyzH6knL&N|2<1=f98=yx?>G5&FCN9? zpD<#2ggz69Oxb^285rujj8EaiIo|iMPrOSM@aqNNhlxx67kNI>ohklhZcEA4?>9Bn z2VDuo68xD9gHN{k9oqg8<;H^1H!kgW=l8j-*{^H}ewl5F_IK}uP2S$WpL)qixsQExhq_?EU05y9<*kKHm!iqLa{=Z9Wwz+j(2qeC*zL ze`@<7AP)&;>AAamV%z zSpl{5mo9`7aNfRg`jKUzHVwb=XUe+IaB0t{TOE|c`Gm~jeOYRH@2s~@ipd`P1$B~w zv#S8jB7@vXptSpw!c{@;$0*YFkCo5&d@5YCAB31P$nHxE;ZY@Vu09%TrvbBPD;-(^ zNMqgFHbs68$1>*vjR`3BPmwk)?{-Z`CKA*P6)CPA=vd(0>}hC26rMcHJ0nN`8Qi0! zJ;CG53GH{g=Cy|lh7NUxr9zGm`^zF$+|^yhgR+E4yzen3VYB#TA|WwSWA}`I4BVET z-E};;*OJ_3;20sYf$yxMr>bjBv{a8twNsmak0dyo=5E5y+o>>c-!2R;E1ox19clY{ zVd#Jh6+I->+KUaPRq7*k)^WouDS#=)!fM>paH}_~5SZfs4ypOw?vLK8nYj2GF3dU9 zcS_4nn*Hlyb;&?u{{3UbOU~_tmj$xF9rOLduQ%Zj-oLQt7hG(@mIMP>iI2fllVeUh!WE^myc27W$2_WNX^8XcDQ}Tg3<_(V%O}3cUtfOk!EtHt2de)qsOLR z-JAYef2s+Sn6yV$(B;~0MS4MHDx4(*XAgkg5OJFol^PLpn?a;arUi)}z~h||uf4^5 zR^Q(HuDYgQgiF>rA65q5#HA*jZw9(5Kz86XVj(2vu{zZ#DNbtX`E-Vm97mDFTkVI4 zriS$K%~s<2l%2hg1rg)~Z+C$Nx}n;8S&*b%$m8rY&2%%*3SP|4MM+vf>%09uLw6-< zu~v;i%iWg%!DNS)c}6tU#?Z*nHUlR`h(2L9eG#3*4QP;VC&izJN{C4J`*%H4i}*$GV+I_kgp`hT ztcg1(V@D#pPFO~0g;H}zf*c{E;vM-6?QU6UnaIMa7%?8Os$g8{a!k=_0!NS~97?bU>(sBNFX!2aM!;Y2?| zm_2MO-lN@e>#sL88_vl5nd*7|iXs&$n^Wb_!y?sx+xDdnw;$;|yCxb^QzhU6`*<*&kXmWM3oaN!LDj=oG zBX4?SSl}$%g#7c|7U_AgQ z7y^4%GoCW>%?a0x@7S=Sil1);9i%GGq0$3|Ub0CxQ|Sz6HCUE|(X%jzB4lp@{ry?;s(92d(o1Sl`Nt#h@Jr z|D5;1pJi{Zbb^Cl#=EjYWP|B%kT>S#ET1QDO6xt$;gCCXI4f67j5-GPT3~3qZLbIB z@xy+dYL2_|N_$lnm>eZXiiS4Kj*ZvpK!~53=R~&lJ<&-sz-K@%2QLRqJaAaC^i(bR zZB)v(jdiS!J{g5IOM;Gr^`1dPIXE?^qCU99nHvE^BKo!Y(JfAJg)<1eU2RPO!H3GiF-s_Vh%2rz>j$Z?PC&Q(E?IKnx6pHBl5J> zyWqCcBzv%CH~Qfof`B2cF6gs>+?o?1YSf__PZ{Gj@KEzPum+f2)ZQ6o)mWhihLjKx zCY_!|v17F?t5b|s&NbpU%$#m+H@gy)6(V4m4>Oaph{=)dYQK4`%0k7#ok`j3af%-VI{wWIe0{_d<1!N>DeOYn)}2v1KGXjP028~_tof}8vp%(N`6|bz>eXD zIfAl51}+y>hNXgnDuj_PRRN7ThRP44Wk8`XHG*CMg3T=C#?Nu1*VIef5dU%3rO8S$bBP&V#KzWd|Jqh;-mOt|UOptQz4$tx>AG{B;K2>^;?V0ds6OJk9l zkN#~`^6*ib7B1LDlCLRXXPZQ~NRh6*y=uZ(1`vj}0!=F+CV|4xaGww(=eGmDKIBvs z@sNp&XyP6933519Z6ZWePv@*wXTc-S)>hPTB&39H!kg&MRJbV?KtclA60E7|edmpZ zd607l)#At{d&YRW*+X#FQ3O$M@nm`pd|?4Za=ZxgKs#7H!o5+qqOyo=LPs+Bl&TWTtEmlaOlS4;+BF zmKHXW{6#{oR}ksy(II2FEMS)kR=jEQz~j@3p65&Xp=BLxP54m8iTbNAnOtF<{Dvz%x%qoBUKK~e00kxgw+?_R|<+e!W* z#<8~Q$tZJU%$kiy$Eu`5aWXMEa)q745_Yj;vbeI%5l9pob#M{th7@NR#S`+mY2S?_ z2&?QLJ!@fC=%!yh4$oh8vH(mHfXvdvxJwdY9!OTBg3b9u^fyuu9}Clqm6+5BzEI$MiKi1TY>G% zvG+*cOW$Bcm_*cGd0@Z-H%a61>J}$;lV;>X)%rJbYAe|>Uzr^d@;@8|E^ zw0}CIsP})`I%OR5H(&fu3^?F*m&;{=$*yzxRu35Lp7lh|``6$LUH@G>z2OcPFY^ai z>#{Xz-oK(o$f)arAui!1q4-jcdr`BYXhGyoON1@+hC$H)e_Hu}w@#q~gug?jQfP8q z?_R(z^S%84((htD5d3ZX5_a{8B3U;l1=lTBY%YJ*?zRJ6ever9n zqtoZ5b<|)-$cqt_4;H9zw%L4}DcthsP_kjeKOS9x8FbS>LYKeUM%nk@#srrCj88&2 zEO`BZ%CV-J=eOH6W!-k7GV(CW2EXzB>j#3aPi;P(wZpGiw5~hxEy~jY^w6y|idRC! z<)pRAA@Q}BQ=g-3zCw$nB|f06Pe|TFjgcMT$|>20g<7vq6tUa{O3l%q12=8WD1?QX zBza8dzgr_ZMWF>9i>AoqM*Vk78%=rMM@s)CjJTc^TtScaR0h5t=bD}V$qbb)`DKOQ zdEjwVurNR3r10xt`+0l0g!}T>dSLoV>t=R*J92;aYMpb5#NtiFGG}qWfW7%%|M#{Z zAL5t~Oq@ACdScH=!v+n%7Wgw@p(|Q<;|-|43gk*LzG`gjQ|LbeV25cJDIR>>4Sflz z3q4RZrHmp`Zd-5Y*NmONYsu34S{kI{WBlq)fKv5VR+#pSCB+VC0!D2AZY2Jpp9Mowq@T zJa3Fg*nY`TP|C`4t2ToFX=!>9{G>vi)lmISqEY8E4v=B?cB~|75cinu7e5K+#^3r} z(>L+O!E3lUd$9NKrS;vnqqB4eEj!50#G$xi+vm~mgs{8%zC8Hi_ldZ0$uIWelb+sP z3)?y;9zGyo#Q-NEC|x=RM|NC{=+wN=;9*@d1xD*q@~aVEik=T}o;<=Yr-j2uv69B_ zj}u_uP~cvhXUMxhlBK?K+tLYnl6X*}0K-2p^EX8qKqW|7lCZ{Q%n$Fb;4(+2;Or@N zhZgUA_&wfY(_<7pNaUl$&V+)-4@`{tzIRzzi&Fig1z$#x9BroNl{rH4zHYrK+!0U< zI1~|hRLT%q0&hx#+Y>mDKY?V|0Fiz_9Z0yoK^dbBKOgj6TR;j*3Zabvzdng}I1u#! zk$ktQw_?%_zQP2Z8c@*wpt9B)q7MW)Yo{$jp-rbF)`0u@2;wJ1J^Ca6c8A8uVQSEC@EVlWD2P*!xP+3kAPr8a(_#qBJLiIF1ZKXo2Hw z+y@v&8tBX!BV#M?%i>(dlZPP82RoaB(ixA84vkg1$c6NAbfmcMKJbGht7y{Q0>#{#`_w1R4Fl$`*>RVj99jZO zxJUpW1z2YU9|1LoUdoB#B~@z2-Mbt9^>Y9^5vri3O$n!#rPlV7#4#-9_ASgKIq&nB zKI{)^@W#5u{n7R+zDWG}z7oy)VN!g^s=4AsIAB9LDT1RwWEY-!p9o2t1fq_D8<~l? zJj&$+>O)XA2Alyyv{DtEf@FPT{TyvNV0UgbA!-OIk)G^io_?IkZb=WhK+gknWNM<9 z0~QYa)HrKaIy@ee8$1~1Zl(!_lJNzYuk$sR>;_xqHv&zqi>|_a6n1gZWc`e~!vTAO zBI3q$IS_##mo&yiL}@pq4wF{F2qz|=MKtMVO)$XeVI>2gXL}|YnBWt%V8fd_#bn>y zD0kl^4UArGm&ZqlJ_G8Upko3~a0z5BnJEG|@mV0;DuH9l$q4OTMZ9(T99*?Lpog6V z+g&oRozV$xtw68GMdx67qeIz89BblWo_q!nbs)6F+lJ^{?Ntq+?~005IN!BhcX0d~ zDT0%JqPo2(3z)uog}s`U1eW)dKK||bwn75EUlD+4*`bNf?KN}!<2nqSeTJ!!3Sm3e zlt_cIyxi7a)E4KGo{S#4qgev*mW8`74L*&+c6Xc>k+-<}MmlTlqA#YHXK0Z!*WAp6 zx4NL1<*|@c;qxj<+$hv?UkA@)>1f+uLn7caS`IZ?`nIgd@w}>y8O}XJZ)CuAeP6R- z)K$*?z%~FU@r>c#N`jO`>+&@(Ghkz4-zc}YXJ+WRQOK>d2n{@zhqg>Ng$FHff{s}x zZY55ImZ*R&oC>msL30-YL1*(}0;Mk%lx(m579E|IV3YM&KR#@Q#m$1FlzeN_GSQca zLQL9CwKtG{KYmr0Fm0+;WNHAx)iE&k{W#Iz0wahT2F+PLDF(VxHC2KPwIK*7j`(DyI^WWgW| zuZO#X(R&^oLtqLg#E;#UQs(KCO6|$<%tS8>EU07;u6S4$v}Vt+ah=QJboV9L7qW4& zlH~Z8BBSu9Kk)P#I4|e2kQ{4zcuzY~ca=5`uO>H#ox>NIW?p(1`)(p%hKn7?NZwSQ zRFy7C7$D@iG`MAo=DC!;EVl!;rBvo800w`8e08)Gt|ww@&3-g+n1KhjHv`a+u(Z?$ zmoZF_c}lXeUIz3hp&20zVqG9BfEV0cd3t<@2IPcMQd+uD??KQ0;fFnQ#2Ad3z`uA$`E?sq~=Gv+Z2+7|yv>uTB>x!4a2r61Q)>EZdKaNzlABJ#uQxVL~ zfP?yy2jZ{7sflH4SC>-I(w&HSfMQW(Jmd%Bq{-;H3( z1=wumN^@6Z%m}2-%?hB)bK%yaCvLU{SIh$L9`qO3BBz(Pr@}LsH&|z-%X^uHvxU94 zpEmHo8zrf8*-oay2xqgKP1}3lD+a|zG=_b}!ESI|guE)kf{dTUcqI_?#k#QY-bxO6 zdA$4(5ojYq%=*6ATtG>vVSl~WtSF-CYt(Ixue(Z-bDq6V7wLk8elgTpi1t8W5X`JL zN#l7!a*8os0AemOfR`8aG2y2wRKwN?@}6S3zQ+03lHNBc$zX4!nVO@Qc67316waR* zPT0O1N(r9OK=2vGlWl*at3aL7b?QHzZlC-i^BCDYIZC|OPRg^E9PE8bnOGjLL)`r< z1Kdyd$~Z?;tSY4ufw3~>MNtc&IU-1!%=9u3ViLDtbc7_TO$oPNAdF8V-w$ATWkaV* z;0->_$!hSh6sMDtNsXOh>8x{go-|$``+)S4>;;b?;_kD9!wVmgR5R@T6KO?t63Gr( zW6VSC`U!))y5lV55DSfw5o8kpKIKIHs|+ha-nLKJZV?yMD8kuI&xLzYst)M|iM8Jj zV>cs##6=ecW|fa`F40`+`3Sresj|HW=|Vj8YqfCAVodrNJmAK1_qD+M&=6+h>|YSt zE7SmzNo6eJEnv6Use8|3z5T20vJ0h?>=;dCAtmimSC6@iot>Ooj*F+dhF%=E%Bxr^ zxQp)9O8?>V0h?8ziJAs{YhIthme@omI0(PB+T zw{ICL9|}XC_ODqgKP-?#IHDZg$x+idEJ+yZnPuukB{b#7qD{Nj? zPR$2&@J0>w^S{q~7y4~fZJG0O#rb{;Jad8H+K9AYR)gd9JId-^NzcI$q=`5C2l9f; zM2V4a#k%%}E{l36*!jx6$o#F87rcWXICjpuTkx9fJ73gz0UkK<6)Jmp1&nqvuRZd- z0L-WTaR4NbOjvrg_w|Y;Z-<;J@m>PgZUS=c0+qbbXSXix@Y^Y_NXiP0Z|YMVx#Igx zp9i%)2;9Z-XFHE@*Q?6eB5`fcZr!_8hq3 zDfz&5c5gCluMW=!$4A_HAp?lygB~GmQ+3vk@JLf$ESidrF5j#`a!7%q`!7U>@iEYL~jIgeg0i6 z#k>doSsgomOHQ(R+q_FtNx=@R3AluJSZq=N*z4_;2Zk$u4QNes)t`^ShrR08z$E%k z#SB8Ce2e{go$p2)Qb10XQd--g?}z<=B&ZOu|KA7y zpr{)Ai18xKV!3U_bhF1bf7Hw>?;cw7w?dod!pT9>Lrw@o8$*WrasjARJZ5cvE`4l! zB;2V$M?2N_Hn4~mLDQ$kW|k4h2yq(qlLE5oX^{ahdDU9Q!zuoPUmzSZff4UA_k5HP zbPVojQ2^C2hL*_Md(X2&8Rejw1CDITNH;B$6_h~oDoGG)cbGlRxmscH}V0<^V(GY&esZLsipMvwGp?&C$1yq9z;p?Jas-E-Q=@hJOZz3UtQR#tKje->N(SoG= zd@g-eAOspS;kb>aY(#`z3YvlMg0nhKnuhY?u--coTB62;lSsTaYwt{I0bwDBsOW=u zJKfxOUZ#Tx)B?gEL))*i#xCl(yL_uvf3ZeMvV7_!k2;G1ZPMQTzKKX4o5Vz+&WB3Q z3@*xJb(?Iba0)F?e{mX0N{SmX8lk_SQG(7n*K=M;zB7+)YO9>gXqCS5pri^up0Hu` zsu{&@)Wfj{R-VCTQx6k(JE}e1wX)SmU?b41H3mJgKplmdzN=INe7+~q8ijvzDYC6_Szy*v3XH7^VvNnIOAYH|zq zOozp(9!wvK?-(IOSBBQBQHL??M8rIj9hs$)pP84wG7pczIbbS*S5{GJoI$4q$nDk7 z$=n7YaYH(!meKOg@hr=K_cS~yl6V2pDyaK`R;u$QKx{66&vRxdqtMOUaxga}3(LBI zJ$r=vrWb0=bGaOgRo@WI(INO3pT^>b316c7=fugjOpY1mzh5?9*`+Ukasr;6XBVs2 zLHYQVC^^=`h0jS^l%ii06g?C)Op3xGxH+zLACa1}tjZ)lOx&l@Ar*|{?LB?)7S2Hx zu%-LsWMmHNIyI+P7TMex7~=vfA{e~@{eGVpDj%1EcX3_+p3GQw+tmp6`$|_0-sDR!(}$@n<~Ach>^_{ zwbm#HoXXe2$lmHHYP_;>9ddXRuaOW*Yk&l2K{u11=Cg4Dz-1+fe+#Q}u=>H6E1pOQ zE<y{IAO)!WdSRlQe33z8hL+fGJs_W@v0DE@Krrz`ghA!gM8?|gZNoS~T> zvYIWBtb_E8N(MjnMH_pMjljK%L7RXSx1bQo+bRGtMgFqsQ2_a9=%Lia{ve5qhP&hH zw_$a=<1;LkxX@sz^@#vq2bhf#C$Z)eAhYC=ViV$Er*kU8K@Z9U*l!p*L)oEId$6RN z$xGk;6LfJ)B$eT!TI*`wegYkBqQqw)IdR$`#f0#H+x}dWb7F3^>zt$4F zU?tWQbysa?vmqfiK&B?CF@dskbm+B&oPOz(_4Wj_5|ik%H3zN8GFrH~?^7cwIX=pv zOqy70)3Ph4!)0y7^~4uhfaT0D9MN7Sn-~s#{moJrAyy(*Gv1u<0(hVS+@TG@B^?IT zniUbCLxt6keo$&(Q5U=8Rx`^7MF*@xI0&=i048ecWiImJDQHGs7M4dRW3bMdG1?Yo zHZh-B>hKJGgnS(54bW++HO+{ z?1*4IaD|Q6*)@Rm?u1dpWkL7XI(xAkbb@mlSEU)yCqFNL>F-ze>iHK!)ROz3^I~KJ z&`w~+vU6lD#9RX@vFO#k8na`H<9coE&t{A&G(eVq_!7nviaDi8qixluaInaf5dK8Z zhYW+WiqcNzC8{6(Kp#MfVaZY5eQ8=cAMjB)c2fit5l1yOQxud{4v$QC-75wpF(eYn z>RPmL;xBh$O*B~uLj;x%uyQ~x#wpZe#^gw8i5?7SK5^P4uxG8Y8*Ta}l8ZIlh;D}? zz1l}I-vz>+;IU&nCh;ivN~!>Wsl!)d|46arj-w(hyYEMz7aAeoIzo%{QKR3_JfCBC1(q z5!&+%leZ#~90E7*EQ`?pj|K>4X>OBS3|+G-hY8w{W;nrMxfM@Y8b6q0$tzvCGG<_5 zMrM1Jx%DF-E<4k34|wkrjoC^kJq%DiuTMJg>c?PHH?mqfW>a`__dW{2FZ2p{=-QU;ZCGp+w z7pMYqtt-QSSI~0Ky0Z0e53Pw?^D`^>!sZH9SQsU+Xd$#D&itkanBi^d`wg&LD&0S| zaary`XJCy4%^zDF`N3N$QQo12lsA)?0-cdmdLf1O8|w9856b$`4}-zr7(C~ZFmf9D ziI(c^Az}*Osqstr&bH&=G=+P}XSd-yOOB7g@7iGC%beAfvj@Vsua#;Bnt!!02`vAA8jk2DD*K>ZL#AsQZ$sp>e4;?o{WtnI4^MtS_|}hO zX19HDGYApS7N31m`K1(dL=5WQbhM29jp!HOTPMY&{ejm>!H`*BCxq2cc5QR`rAsQD zce9q@)Y460ulaxwAyk&#M@Jngt-%v~H)f>Q^&WxM0q~q5K9hc_7ifJ3Qzi6Jz~-Rl zuIMr7^T?TWm=K9xyPbQ|Wg#xFA9*bkt_ZC&@wcrzqNir}qpVEzOfU-)LE-RBp)FF}P#!m2<( zJvKi8?*=I;0Z(aOPi6Q5&~R|+uB@TE#*mU(os%Mf@KPQoRm1}leY}S*inGcNlhUa? zMkgwJP*}3 z6g8GEiJg#i$t>bcNl*Z!kaR6tCAUiHzCkwWttdFWIl;pnup7RquhIf`(M{6u$t0k% zq%ecSO55LVkblZMySXwIP6la*Jv6TN?utxw5(17HEza%&ZO4`z@6w4tm#+Ko9-0G- zV*;-FZ}%<#{nLy5siFW?Xdk(+b`Rg@(53zi!1+9Up%<-rnLlOyL2pV7C3ep*s2c6B z)Wd&YK?$N>Du*!QJCyhUz%~7jQj@qUaL*jitHWn)blP&?(v=@4t*ln6Ho&GF|_^qIuk3nWXFNz&)&tsgcrmOJbT0^)*#sC7oM@M@6cb$FTBz0 z`N^TOl#q2FUOaT7CbjY}Coa8B*|fFxVy&Nq5?fP?0Mk_!lvq(s?Sj`ONe%Y_*yZ`npg()$&U?TNR839RTCw`jH2Vv@KN@6Ld~Kp}ven4?)l$(FFPfzV7n1$PR7q2VTcz&SJygGp>?1 z=PG|uT0S~e^M^eAW^3)Pdf00cH%~d9KS}qxR$B9Vg3p$P^t#+qVGTJ`-Ivtfdtt~`kqVAa=MKPMVyPwqTQLVEMYjl9cd(M(fK|vMAgg1rk}KTl zfL;B9Paia3H$EJxRO!|fja@L@e8PK;t>f^^)A5_x_>K9lv98A}LrDB<$dDfHhDyQK zG$;`M0QX5JZ=y+(Y;hwb$eYSlfhu}W6)JCB#AJoSkP;i-Q)Ybaw(u|^wT(SWi?`Br zjn!RnLZhYQtzk4+E-0(ICeK3bMum$r-FZtg*wFp9MbXdu_a>av`c2aBCk9%sfT6B2 z^KlV-@9Y>sNrlDg3@5;DzC7E*{L|=g%kB^Lkq)>+^oET6wSVsqxa3&S4Qt~+rXqk+ zVC{+?Aw^Fl`Qd=HgKuCvC<+o9D--&J@ne%2aKcy>gecBvc_Q7BD1j9K`PCq*8jY6j zXb5@)mhlTk?JLR)VWR_LJgHR`n)jmh27+c*7@R@1mnL#T!5t5-QQvrG3h58lri94MyV__V^OFg@dl%->l zQ})KaM}cab(?JrF7lI>()@P-&bIj9VCVo6zKYyYCAPn6V_oPJw1&+xAc-rc@`?p4@ z{s!bQr0&75N^(_gX4X76$#)~j+q=snV1I`mvC8TXb%;$9g=|s5l{WVS)NavAipbJlGa1^xKBq(RyLr|7i5&cWwVtzOZvp<^oHSksD^%MyqUpa|6;&Q@ zQTaZpMno1UzL}_hw;}Px>W4)Q`$5z%Zz1Z*hVo;3C`;r8}&o&O=>$pSEa2VYn!cahC!r(WxE<1!)M zTV4Q;`H5F1x`yiL9h(^^7r;SU3ZV9+dJhBA529!XTn4v$J^~hb@am$(%eVgf#0Onp zM@LkDYpSy^$zTU{>QTQMWhNKP*}G@Q$Y*tsGgsgJw}LPP@m`s{)Slzx&J(=EmN}|`n_L8+ZZU{bLa`Knz1FA~U;)z4t2M|pZJ}IdUPXdWa*#A?M zF^Qw@i}(Jl5py(+12ZqXXj{K&AHed+lUzgfW+IYL1#3Mps4gEJQ5_g3uXVwg0Ond?!8aGSlhRZb>8(nDhT!z(2aFT&Cjy4~ z?87VzRFuG)7yRa6^+h*C=;nAoKgtPOgW z^Z+e&h!BGNmum@@un#6eIoImOr@uVC+bRSZair&rg&+k1%ASBzMjbIvFabDza8;OknWq9_tqVOy z@Dv!x$X3eLr}AdXrj=lNw>)krpB)1hRT%g29MeV#V(9P%MB$vnAQwyO@aspC8S444 zI4g4De?f?7Ct!UWQw3b5MuMnWPq*NgB^#0SE8RUZ3lO19c|KBNKTG{o{xnBj0Rg7XJx zmTaxKe0H=K-<-r|zHJzQ9ju^<;Ob|BhYH?(3{U@HNE4W=ARRI;3TPsLX*EK6##G}E zg+wbYiMbi*i;%{+maG&#y{$lR1+u1_>$BG&S_Ny^Jb>mvvVeO$)8QzD5ilDc*`Hh3tryWO=b@fG! z7U+x5hWpjFp+{RwvCx{^SG^hfveLU0{r}Pj0l+;0_W%jRM@4>t9Pm(rUO{kU5EmUT z8IH1QcpMCK$|nd^}rfAy}uBiBO-%A20YSNfH6p2(+1xn4=uhRyY70^(*6U zfCRw0vnrJaQMQz7;5K8-AXa^pVVXGk>Z;*)>XY{9UL1xunQlxU&aZp==)~0XulvS^ zpSB%E4QfNeqHUQp3#`tEAT`PnOWP~Q=_#zubhMVQR9uHuWnfGadwnX(w-Z81tmnF9 z7}|znpa&AJ=kS1BngSo193tSI3zu9&Z^izNY;Z;KVJUeWRt8G;pHp2g=)`}4sqUT~ zl7~@t^rX!K41Kh2-!6KN>aPpzJODWJI+S07IPA3*);RV{Q2F&{-(f(eZ)H&&sCySX zJFkqP?3;0}{&>Q8Z3gtGBdcJJj_1z8^pFChB)w&4>|Ne(pc%Ga0p|=-q@Cb@H3Tlh$QH zTMt|9j?ro?%R?yhJe_@KIV(P=21ZdL0SFa|iiAunEnhqIBD-+*C!0`YHRr3E0DCp1 zagxfHfHC#A7m3~)m*1;gwkbP3O2fU=d_EhPe`@7c*yS*PUUK@J&!rj0JMk_%CONKd zIWk8yJN&ZEk&bC=VENd1ZG_NQ{;Sll zaFjj?jTM~^8*+Hi7r1ggSc7Th)AT!lqCqEeL~&8a=cN>G?=HA->^-UnW({tuBm5t_ z{{G_Af0q=!g()DJy85WbHR~DSX-#Z?aRqzbbhPdEdke2)4M)8%XyW2hw859B>reDP z`&M&_Y^W>!y+YN0t73jV-tbe|16YjgxJ5KTeeQ$Of+6a|2&`cM)T{CbQdjT6sge$b z=en7$S+5uyism*#(VSpe{Aw1ZYyIdWL}NTMEq2$;IRR{f5%M;$6Jqibid%u$Xz0ly zZvZnPCaeeeb6CAhQU>K%8%xI<~ zKP&oYdW0B8G!5}@?**vKjrDmRA@Tyhsxbe;7zK8#Ul-xfqbl@eLj*hqTq*6(mvuCh zT8HEODn|Q)!C<@h;zv*XF#fIY8TVk9^91L0 zS3QqZVm0Ciq~oy>!f|lC4G31c^UL4lz=AxV`FDOd>+=K?Gi88)@aB~Gaj#g`b%~Mi zoVr7ae^4j-RS({0M0pV@6(sq+9??F;$|Mm823fPtY_Ba1GTH8|0d!{8+>IGr)6_-q z^fS;IGy?7EgYXza{YPcfW9%WuLFuicjbFCV0%#F)v;ydl$OqkW2-d$@V8hhw&VRj& z4Ct+XV^jvt7qm!Ui&J9`GUttrJ?{BtF99sp#hr!*kAg0oA2p40?qHLJ*-VEAguFcm z2*9ilyJLyFEAuF4LH`eiEi&l;e}i8r_rw@)x$vt->#I7aYPrkOL3lnXkPA|CUpjvs zk~e8+N{MPNL_~UUY}#lWeP5EW4S>N8*am4ZyR;TX4^}UZFP}E_B;eu!Eu6fPAO#Ae z$s|KPBu~d`4Rs@=G2jJV6$FpSImP0+=FjJ8DM&x)H9MPK0k2&HBmNGqE>`+MaZ_qY52rSX(yafcnmgVpz@9 zo|yo2sT~|=2AAQllSR8PQ|1t}zjc`i@lTp}cIQ3PhBp}5TcUcK((|E58Od*Bg-*y@ zi{i-5r-Q=Dx@@e?^xV~l&1J@nlcG$4S4e6q^Ax%;jG#fmmO?DzL>nO-D1h-!1N%rp zZ{>kdE;8(cDuJrzty8V1I$?|wfM~T3O$4E!Xp&?u$#Mi@G+;Fz6i5XYm{kIf{l+M%Q$WB zZ7yg$9^nAgh%MacGV7$GV*ljEMC~k4xOlb#uSfUJ31?45A0%QV?J$(~B!P^1!1zof ziO_tXEYpY23}aOXFb8orqzuUZxH_4bnA{5?>hOYVu|)Ao68`~qzTpH92AQnp(-LZz zTpo^$elmq1bDHF#t#vnk)r77F@yI8q+b0yP}W`?1IEo6A^2aw;+dMzT$oKtN93wm9S<65 zRmHLkKr(~dK@R*AW5s?wLYYb6sLu1=n=ffToo9QXf%8*>?ApfWlY@z&sgr5h=+6LU zTVtf~_gG?}vkn0|QuT1VNvj>@x3D*lrdWR)0Xq8uY^@)-wpnaX>8M;*6sb40@tU-Y z(%`^%c%r8m9L|FEBY9!SHy{G`hCtB^57&HL5y)^VhcC5G9BD+CXyqp=CVWy!!K<+S z8+m$uUkGLfe>IN{mAhbNN%V>TskVo*0v7 zG19X~=_=j*eY~1*6Rm8TE-juLmt@W~syJ7zhmEDPiGA%ExcuFfdvUI1g1Pv_6l((- z#@Uexf00T?F6?gZ$$I-2wbhtV+-Cj z5yjhrQL8!t`x4d#mZSHH0Vzlv#mq;_sfP34RCD!je(b!}@P=8c0 z_F}5FYnfG6lvs6fa}VVHzaT|i*kN>7lSrXyoV`9CKJ{3)f(P}=nL(!J>ReOV)EJtP zp8jG^a`iTVjRxG(q0&;oHsyJ40c_To5e@+jYwjG*Ap|O|npLO$M-LD&rQ&$F{m9E4=Yh{P6z&Egw62oOg7iF0%8er!1k& zt#tegB}_+I9Y+0-vSYP_@K5L^>Sl7>4ZmCczuNJx=O4Z-YqIG`wn+pvoNfgr*=>%! z^BZ=rLJPts+pgY|^?y(gxcW5g0S`+j#Z3!mSnltXFZaw3)go#J*U|TlJ*)3* z7*tRWedu3LaR?~0teV_42TtSLs(FPm=f-x;MOEZRUZoRzl;H#cok`=T_PUEmrObGD zi^1;Jyc)}{Ih1+ptTLyE6klWHijOHvo!9XwNlVO;B`j(YMX(-vn;uajZT%k~PkG;1 z`Dq}kV=%CzMXgXHEnC}`D@A7-hr!)K72j*{&^SV&w63XA>k(&%nO>c96 zmRaLnx$x&WkAj%z)5jxc<`*08_SxA6lnb@f_|C5|?{!$hllD)^?IrjD%EC4Hp-bvA z9VIM-@YO;40sgj*ayCO<5e5+kQt&YlbgncV*8_*Yxg^YWaU6QL;G&fSVCT|VUV}Kz zXkt;_(DqqXFSzg^Ow&t>E6S!VLr~rH@rnz>gQZphoVlBTFK+6sGhf2?5-rx~^fvL& zPyzC97{0JtX1i26aZKx;j+saIk(9hU`W25cyX3dp1nd67#(1N?J?HmncA5nq#i3wv zt;Dj^@vp0~EZ`zA@RI)L)ChkpE}2LfqMpZbHdrF^NFNThWG%@?APfj847u?lG)+Tr z$XwBs#_|fO9r4_Xamf-mT{WlVE(Ief?%q-$|2qgPkn$KXLPRU5HwTfz}VRAg5L7DZrK&h>oXa zXPJtBjITK)*zI7s{O1%8hTK;+!Clo1z6<;JkUG1`=Jtm9-NDWU-Bnv)f=BACuOr3F z&VL2P!Qs90llE`fEupOPe}(!<{2$bH)McQ~?xlnUdOBTk<~d)XY+XFz{F9k-_EV~> zAD{9Ntlxjlb6c$!0V}C5s&=4 z^RCF*2wnG{I0N(M(?&DYLBk?cZX6$gP(*-5~7* z0RQ}l|BoN6IkS&=+Wa9Vd3R~&QZSW*Gi$@-72L^G3h7?JH4D9&j|pz*i-{ulXMG>g zjoML|LE6h(I$Fr<6-J#uem#NF2Wntm<#0EnFUjewL0K_eSJ}sMUof~9yPi?f!b9b= z35;PIqrb*c0!g5lba7BBN@>_g=-)2cd-6fFlS7V7wr7_|44T?^&o^oZD_&O_)I~ZnxZcUkiAnq-{))#wQr}9aB?xYxZ<>zUR$NXU|a;V2dee^2*C&bMa zPrAOvLvImQ%_Hq@NHIzGEWibZri?}y5^)I^a>+Pngpj17U@}=7Lf(LS!kIh_PLVgk zwYjd?e(59k=F-1q&CI`#j^xVb(?+b0)&Ok5-xjTwnG%~%Ph)jQ;0yuCsKx708Yc(8 z6{t0c#cTJ(N_Pi# zMuQl9Hjqb!bWByGZrZ4w0wxcfa^VAs8FKu3lu9k`pCb9g#D@}N!+j9D zA7OLbpXokXmBUO)W&zSiR(*SqSy%Jwkp!59F-~1e+0ksgMgSywL}XL#<(Jw)4b7pp`)>d~g=# zELipCdV_YL3IyTs!rE!YEuN6zJl0ijvbBTMh>l`Bn~1l!CZxLt zBeE^AqP@GAx(YU~@Xgf`q2owkSD&hP=b@#Fz}4pn4YtGx+wU`+pe_+~pt>Eingcp9 z3iIm06Q9BPVT`tQnhxKW1mrK{hCvheYK6?vaD3NXx~Rele2|zh5r8S9(3UG?%90HH z_uB-(>4Z6~WAE%mQ!x0Q60ZQ-Y87Bk z7+f(Sa`nzgg@MP#X9nHrvgX1L&k%XV4J*hKp;Azr&V|5@Hj!s~Lc~1p>_0z0P8LJrTmCBku^Qp0Odr-?}`aypsD#mZZ{@KLOPR5z{rq@ zp1~0VI)G2F2b}d_K18EMYnlYH@COX`_q@TVG`Pv3WoWxq`*Mm=pAJ`vd{*R)o@dQw z&g6r28*pYy$#7lf0jpWX7m~uYiyO^@i76zPJvjcfZ8!AJ2*)*xI_~s-qXd0EEH1PE zXVl`HG28DKN+_yI1G~XV+^5KsXGCH@Ak+IQQYd!^-ZNZ@&AHh&)4R7~@Hk{;8~cpt z6$R7Gpmw!N4^MpH*S-_Ok8prgvZ#|A>C}*9%R<*)?T^;YCVytX>}<$tl{#U-oITi~ znWcl?A%-Pf51YM!mLJLt(e5?>E=g|_tR%lz-SGJgrgUNTo=o;LJm$pEv$n|*=6)YR znPPklb8P5_009fvjz&PQSP7-}LfPjwejtKL_dx=BB-fpKUocgB6e2KCnpm&LI9 z6~rNiths7`xWIcKn$9NSAYn?^sBE@k_&E{00VebFYf~sy4fIY>XQ8&Xbeg8WHBfaf z=U`WN?^;Ot!Tu{p2dAtpaB5ow#Qz)5Dccv@z5a^*r-vNEDU05iv`?{HHD?(m z!+8;mJ-^t-o|R$F0HZ=Jh$YTvmr|W>$2gTd{KL&O=<0pWiP{+J>cfE03Hx{7)nT3? ziJ;La2RuVZ5$i5$#Jl0+?MlB>iO@>XDhMbX+5<(QsFh+ZFr}|T4`S7~r=cdta&2_m z`cCMkpdE)AL_VCSFAs0u&~@W)mr5-SrFEawE05LJES%*;tf6e|smD}K%#HD;-JKNn z%duy|``ZVw4PTt&){*M|l>rub(~*hdEpld;vRv)g5cU4Ga#PcZjmE3YU<)aLNu9AxVJA z59cLN&Z;m;klB5KnB#IB5Sn13K!#5BH-{2E*Nxy3gk%y~ygU8qSs5T=Z<{qtK5PDF za@#bd{ly)&HaE3ovUE0CPD*m%y$p=+4e#PSYopq1Xp{UA@MD;*tD<&6yS#t0R{hU+ zTeGrTzU)${qNQHNgYT)W^pQ5+7k{~gUBA7g_xu{oj>$efarAMa7S@{MSWP|jpXQO* zz>!Me_dmmwz1X$$1bk}v?AMUzM~S*C9(Jn>|0LAA`JeHDQVP(}17=PYQ0o-{wWTK%-xUA@ zyq)mL1WS!Lw@tX*4@=HJbM{4F`{yAzzmeoh(g=znMfdUGdu@WWcQo7k&R9rXEW>yM z8IdGM0V2V-Ysv^dHyyhQi$qfOH;A+Ya&L!d8y6!4C#WT`cWuN!*HF)9WBf4i2dhs{ zKX<+&aPiAGVv-q;Ek|-Ho{Qd2ZtMX+t1lgj`%Vvdg&sk0O+@e5I%`N*pB}K4Pr`E( z@*_R@U|x+mQfO@`v#P)mH)Zp+1YP#?S4FR4ZZJF|DH|VHidQ_2mDBE_)ykl~40+4w;M<5Ut-6}&B_Pu^sa zGTVdu2&Lf^knbM!3h4sO<^Tlu5cFT*pPOpHc+hes$;qhwKBc#9q@%DCCGu^k-nD}{ z#dm>-A&Rxo_0_m237lYgd$I2x5iz~V@DE@7G|?#(7c`Rm84( z1uo`9V3NE?P|%Ro50L_B(ye6{yO`53c{=NDKf03kKP-DVKrjH#y2srI$Ub7EUssbg zvGbu=mjy-7uy{jJqm@hqTp!CKgs7zJB^B*70yA1CV~bsa5+fYqV3lFb4+S&|UpGCN z2QOuG>@R8fykJhU@)qVab&wQvvp%{En7}FZPqbL;Z+w#Nnh-KoytuE>TPm(2#OEOj zH}hW4IWt_-flu(O*p=*L?3%1_s*}1I$Rl9zAP|4EVm!W^y@eAED~R%j2c&q4@9qta z>0PM882)8qKqlZDwLb#-umSQh@d`-hoM25^w#|x>$nkst(vTTBt*4+UV(2hfJ@hbI zHHPZduU0iDi`p-Sy8Aw9EyV==Yw%iY4(4=X6%nh?Xg_w7EUD@(9q2vja@e9-G)@Yl z0QfKimrHm*{(Vqn^A;U(?MN!D1b~YZSz|wji$SGfV)F*8zDEMFVX>0C4!cE$%KHW6~Z&tZHD}hJ9mk)k`xSQ~Dux-xxc6M`7 z;Y*vH{rk{x1ks2;%WfCFG*G|36^-a1vM)v=yUQVDpoX->B8P>)e@qE<+(C(e%G<>} zN>qn4BXYu-agKTi@)Ob2vpXOrv5Z;*%P#LZ=LX%qzk#4cteX!y>c=~Jt$V9=aDM1F zqb^QK0sk5TX}y->dumSrJsF-1jlbD%n5y}3I^dM@w=tKww0%4C2GdU2jDvoB+8fp% zV)%5s?*uYS&6kvUvCh5~=2{aaxWXCL+O9W77SNoN{z4Vl5)?Nt!=!$7{8nRtn`7Cm zsJz2%CC`Q0N#zbYKmWUFH#SLObCA1wX5TBvT|)Kz`MIyzRQF|_ANgGB@%9}6V8e;& zv@)7!@v64M@BZ!S_{*D*b(MMheqCJibme1yP5(TQ-TOB5?SUvo4+h)PHS#3opSES3VrCY04`7#9;t zS^^bReZ?>bbx+hfK?&&sWsOUmSBPH5b<#oKIGWet(u#tRgh}ks;juFd1fDUYcm>d7 z8V2)f9N~UY(&I?sAi!gcaOwm%$56@=ubpXR51CXBDVrQ5Y{jI0A-vVOP9k+QJ6aA!9z z$@0Q)D=1sl4;~ancFj=EMySsKF~~TpMtHY1fFf~Ukkym{_&@>8^4g&1medF4)&W0y zW7dcx2P$`DnB?(^?efHJv)bzBV*NH~NW~?bj%~B%F$78mq{jjKWtR$?nT6jJeUwx> zJK1xGtjmJEx*?%Dbil~=INEn{Q?r8n>~7R&edjFzbxvm|t;%3Do5%FK1l`p&kz=Ht z82T%Qec4|HbZVZ0_oK(Y}Q$O|xi^XJ|4Sm9q$* zD8ivZRKM*xKY%`@MMw+uG%K~rQHXe}H3lG^2>vX5kX~YepxV7CfyT-YX1DY3>v9-l z9gIoPu0kicSwO(7>IUnoNuybx=lx^ri=ID@W)jolahxChCC&jOU$^3T2q&|jN%2!q zH^Zw|Q86KPDpziRKSTgFC6cTe*9EX4Xz~D!opox@jAsZa$vh~q6?C-}wu?ePPX6Fd z&lo_vVs=c^p}}VHgYc7u>8A{^XB0$3%SKO9?bY4pT&T(r4kVUXlapmo-ivMNd8FPy z0~6@{r{&FRNWL3L=NJnSJKD{bMphMVk5LLi|K9*dWzhdm!Y`CIO!sEn%?wNgk4=l5 z;Pqr&eYLsZNN6J|9LMJ=%H7j2&PZXcUodfmRlTW7L~@W+yaMy)z~l!%MbEekL8gMt zCKT~=RBuX+f!+($y|O0}{xs46%|Z&%LRw`kynBqN z2QM}$YJ#1QvaVgQe^xj`Fg;f$C$KlC=zLj=eq#Ep%DbdeiJyBEkC9G81oKYsXir&a zGFV)-J{BWUdrVQ|tb&0rl0B=F4l7?zgkmw!K*w#6EC?+NxDevbAeo4s1URiDW_R9b za~_n4Xh8?%KljZ|&e8rDw&qS88uycYbFI?icNDSkj#c0S(YWrxL_;a8(u=+dh5hqj z4k(v;z>kfR@~uZy>ZJaR`)!;h(x}i!-(L6w6LdbR-qUAb5UEMBWe@5DgGS{4R1g?X zN4sSBHs?T_ShLvA%G#W!eTSP9PIBYKJ!MV6@gZuUUuHpMA6UV9WP4_u7*nEDh009h zBTF_a9e`d8;Fkr(c!pMz*L0|lkPtRLpC4jko|3@IHZKDJOZtFZ#5Iowx&?f{Rl75C zRW|2c^XXXNnvWiVWVBS~)9${8d6TR{$#J9)Ko@pj-&8S=gIa zA(RpHbpUrAnxKU`BudCy3%a>Ly#dcY@Rc2`ClKW82we=6*gXSqDC})L9S*QB@t7GG zJco{Ui4oedER-sPI4PPXtuYC4%Hs^crQvJd`qk8THw|z|?d-pY<>|1?6ZJ)1MnH3e z<~h~iRh$tHbS$W#F+z<0QRU$T$XXEeDi~|&v`n%_fHnm6naN4u8$r=+Wcb8|svyX( zrO3AS1F{8Kllwq2GMizwp9BT!;7d=oz&;J!#m5-E1#?CfPm5Twl@5j;3wtwE2w6c? zv0xq8NL+7%R4}PJKv%&g%S!qIs^ZMZ1OiVZpcS0DM%e4Cbo1AtcJeVm6U5tG zqN%`^Ri9QtuXUVcJCN&PKS0ZDE;vlv<24O+JVM16+_XtMOy0(o#^zD$aX zSYgQ1l+#);M1ykheA~koOxu?F^^b_y6ZC2Ldf~$AlNZZpLh#RGy4jw6^ohsx*rM&z zB%lYNpzXWCVfN+0s(5g>=p?V46>Yg?4P%krO_ZoH%637R!oV z0&Qo1Vf#Oxkg-w(?Ybr15Z5FPFPEno)*CTOR#JbPKwfq!zvmz!`AN6vfr|BI7U1?p z32bICZM<{?6K-vYCKznE{cGVCkh<&4-;)jQ3#J(R4ItY!(tuX4)F|MZjN{A?5y)9z z??Ea)241)@r~YU`R}R*y?wxgM)~RgUe!=N&fCp_ zbF-6<;vYs`<+$vy)AElUqa62B=k9UzpuTs{e%YT|^s77Ix1WRmw*RjdolO33ju{=y z=TKgKnRJB1`RlLQx);ydFG1alK_1QvC(cqfJ8pG$cCBz;M%_^jN#>2`e@97XM?H{} zyv)>#sF)~h+;#4pUn>BcALX?UOY|D5?|0_71Zu!;%C3d8qPXkMOt(i4zKeXF z_xx>mOP|Bv#vKCVqnA1xJ6~+2gvY$^7G)fA`Mu2KwoNzxBMF5aoagcFkV{C}%(nOA zJ#+P6LkXjwchuICjGs?44lleK<5dtktyu2-!TY}7CV!jV;rLx{ZtVHIy^Q4*r+v?t zSDoZkET=4DFz!(|CzW1Er5qGh-g7#e!70B$DTygz^W7IDms}9J@Ftm$!2Q9GNq;ro zBP1`D3ya*G6C+!nfBey}hNvxMwBznY&>^c#FxyLV?+iFZ_|^RS2yWKK0kFIt2TlYO zC832&-r8Z{$!s^>>~$cz3&(4T} zHZlZW{x#shgor^Z>{W&U=}S+>d1HtovK9|u;|_g?;oa{4WAv{#{`l;PI<^iM4r6^o z%L(V6M%;POsEv|(uK;=6k>=GVe6&yiax=k=R%v&N)<6UszqYL07!ImZ`eXudW&!yG zx-jZzhOQE5IKTwUpvod0XeU9Q93lht1rjb!n5~D%-$vqM1Cp6Y&!ZU-l(RziP z1yEvI!_!$#-XqrTLk5g%$i}H+qW3#(A9!zATgrXdUA^B-GH{40u(qTKA7-br*5`;X zHSQ9Ao+-WrC+lnQjyFq=)8OTl3?yWCKSAL9#01CS(A^-Jg6I`{^C}X* zu8n2KA8o586#x46f?FTEVKW?ObJ%}FyreFT-8t{T(dGIbagW*rkY^mmh26T)@Uh2d zEM>-)5)p09npoX2K<0Nm!fUeWU>_)K5jBD75wc{v(T-7Ag{l#{$(loO5=xs_*}b|Ko=6Y= z*Ny)lT3dm=$0ESBy=-xE12_IlY}>*=>|Z%g{i_|w_lqH19YYDLf$TMP^G+wqW+%pK z*ag2&DUWpZK@n?(i+3nQuajIPh!@HI-Ay0k84^LEe8F+`8`XG(LJw}Xi8to!5$%^7 z;Ydl51kkOK=uq%{XzO&%2yY{YkPd$H zXKFiU>&&hl)<(*bJ?1{MB%ZQ$mIaD_-d=q}`zZtuA|0yFN}z?3KQNlN z1qxLS|Fz;by^s1LS~PBLoE!d^Twh##^|PlecewkO9_aMJs52Rz+V^TPhig-lCvIK& z?IH{ttTxHQ`V#D>w_q10OzABJD~*uBsT^Zf&9A{E>70AhoQ5#!<}sK>YAabzCCc=g zYWUJH&z6?^dVjv(_DX*bM&-i6eiI+=vOpoTUEUz_L`(h40 zlK}WEKZ+C#mO*_OaJy#!#ASpH0m7}c-}}dYf;W1L)V&~i&z7{LOsVU7qy$AYx({-_ zz~5%J3-uwKbluK8i0rmwS9LPA540zLQTQOhOxe7Wyc|vla|#Wg0y#{?1AbI2RPoB1 zPuWx)sGf7JQ-TI%uEu_d_1{oPVd7WPEM|JuH z*G2+L5|;$#;>?uxo{=`;6TkXS{4V)VTomhbp&GlcdNN%w*`L_=ft0LYmQbIT zK(d62zcLgbD%xAio>sVZD+1yrz-3YhjQI-xjUW}|%eC>4(7%G+)I*%zRKE>*C{)TL zjBuZ16)Fyd6FxlsXYvRM(B=k5(}x`tY1xBWBo7>wgQMV!Y89Q#d!hR}9H!|Q*g-VL zSWoGpb+aM=K)V>f-X9c<(?=@q_aPKbW(B!E3Jz_|FXa-#7Gb3X(N?)Yoi6I{YdR4O>IZMLzu_UeOyZB~md!3<0+Si~46 z^9zm+(R!TF;dH8SPO{lz`3;=+l0SO!x+Ywb5r^GMmJzQGW%gFl*0tSE#Ux`z+f|aa zr2JsP9C?$tBT?CMb^@2^5df`)pLqq7+Ys2b9AouKp}Ti}FmtP|bwXX-$${2s01a>h z=ukRQYe2_^#G>1RfLt-Wwqiw~VjRwa6-!2TL$A7cw+i%2KCF)DD)yE{5HPbow|~Fp zQ}(U?YniYK=C4Y<{LwR9LeFZtGtJ(T9*jW*6FOo^;uZ6i-U|)6u<}}5okCjCHJ3`H zjFCyJ=!B#yw{4s_H}Nt?l0Zs^nhN})2i=0Y$Qy(RN>_~-PwKU5U@YR_x}&y6sqnc5k06#wQh2Um2S%j!zmUjA~V4geXPD5UQ|Lt%&bq2 zQ63}gq-~OBY<(EBub~IhqvPz*C{=wi-4Yynaf?66$sxrV%-bC0Y{B-U3}DqkGCV_d zu&yN5Fx7g@G$K7ZDZ{VITXVZInh#fz%^QNP$k1Hi8VFL+7(hK@2H)P>1z}ekC|~_` zr(mNlbyb-oXcnr}slnF5_G@3~#}WnMyXPccA#d)fa58JV3+|dUWz&#lH6H1#uz%@B z!79kCsj7Q{Fi^&l5Ob;3WYnLLTFZ<@+b6qD#jb}GP80dtg=(2p0H)Ho%%&rc@Y)9l z3%^*Giv5F4h_zjWEXNqj{%F~%mLis$Ps0>@OE+>Gj*y%^BJaI`mffNQCno4DMUVOZ zxr64WBab0qCziDq#qxksEJro$@LcFExEpz}_t{8HnN^zrhLngBOLB57b4&NLp8{ds zFM5EBgZnTppt+=HvSKUoQ?Bd2K)o zyeK$3q1`^rm4{)a1M_?^A}Z+sXG`Q?MkH;xRqG#!v%WI-1gF_}UL z9@w%Vh2GqpNCc`mr^-Mn5RxfPO`WXCfQErpT9?IR`oG$glKG(qW^gFVe*n5R8EoFU z2F66t%Nhi6J`FVTd`Zz)%pJ4J(G9qWLBBI-yQ%YAPXXN_*_erm#3IA!6pY3*%3W$+ zvJ!VlwO-u(yKfcw460Rvqx7G!%Wi{0S)Fo1j+53ws8g_6I>ZF{4i3U7n;|KjQ9#DN zm$5wXpyCJ4IQhL)xO5o`)QBa)t3X3@m*i{V>QjHsLnWthELsU)r>$nUxge;@x3Iy0 z(%1fH_(@;}1aJ1K0a&7X6D!82 ziPoW}=B+ib;RTOKbTuYI=?@F6^nkPiHC9{tG+sNUzNcpLlQk^|b?gx>D5g_IE~zp8 zxs&I?Wxwhbd(gJv82idL>cTmc2>9y>=Xjda)*5H$wUo0f!G`+ONwbj3ab4`@ zZ2`k+FU@rUlzc)p_sq55slRaE4D<{cyz1x@e}2&!&9&J@VmgEw;}-F;iz8*6<+4Q?HUFH{ zEoAT-_)hl(EG&dm>(w(5AocS-DyDfB7~c4~=ii-;pz`DNlZpyhBK2HPm`i0_~VNHC~yx1h7;q zH~|N1-4b9(`kF4XXvsnwqy)`wl-szukE2MeX+rO~x3+p{sm2b-JC23bHoH0DbkCuowU9 z&rpkku{dB%h5i|iBV@wQo8a(^56Rtq#1SMLR2Et5H!wU6qGopJ$^zM6&x7L@bk4vr z1;$POWBvzC$!Jo_2ZYUJqyvHhg+(~U01qDM5=D122lcap74VQiXWBYeNJom=I%B*T z`sDHk@`l@6Pp>pL0|ys2`Nj!jQC4R!`%*rsp|LbOxwf_+F(_LIlp0|uMO8n`@q{sz zZ!LoJO-&D=BP_lf#&%k zI>vtR!=u=Z^n`is9q9Or-)f~Hr2bGRq%!rgqPtKO(9;9T+Dpe;>(E&LR52Wqoj;Go z6r*Wv;^j;5zh+~iMsv{|adkKPyo7)UpEpN+nrG{)AOtIsyRs7e z{A-$Qbrt&Uv-*Yq9q=>l?3}yc;Yrxpzvlo?$+wmwLgJ*O-7EjG0JzyK003lP?BF(L z|H?AEK&rzbzH0eQw zVA!EQsQGzbTRb6Xzvb=W^?|>8+|Kg~@uV<(>1&n`BG*^v$cFbm-Wjq+mAuUnz=?i^ zGvQmUW;4HhHvh1F>`yT}tj33<_2)ygcT%_uXBghQoXz=&== zpXgZ(hJYDp>-tGMpN<}(>^FZteTU=2^zPW>Rjdy_`T0MPb^k19`x!39;jMG~`_FMo z1iMO3>@F8m76q5yzfgLbvN=}jJV1@;DZ?5l=d()h4^hu1mS6)eKE37l5r7*n5Da;Q zB+XJp5CB5&_|Zb%ao_C7p>*c}|4Ht*&%Ab9<9yE;#)^dTzTX%JcR7u%Z7zgkxWlL+bvY0Zb`9h3}MiPEBfI|yE5w@>AIALAgnlE556fCJcFZW z{IltLLO8Q^R+3jk1&hF-WCer-;o$s{{Zi~TneMc%{E(!2UIMi9gbY_)$SAm<;PToK z%pxbCA9_Y(l|+7Ie}fmnbjjzBuIF8@aBCQ?s}4OXCa9q>IW6~Nu1vZidC_S{+gJgZX zQbL&Iy3`AWH>qL0`1-hfh3v#Cg&3(B3K`kY-r#4b=^k`j(1nScyw>%qi}nmaA6XB) z|9rDYW$MDohP8K2bP_jbwolK1v=%o6=Wr1zK0brMDFr`v^%p-9_p(3gvVoeJz_`3F z=PR%{8k`pcp%^SKbSkts=^*A{f!Cg{TGLIi><2>;2b*1~k(R)rT-4sL%!*Q29B*Fd>uy zhGwd@KnKF^44A4DkUv?Oi}6eZ1?j2ffObq&eC=y`o z-uWJWp%AkdxBQHWvW-MdhGwTJ2%=n|lAZ`Iwa+Gw49!+h!wf{fp0C;{0tXpP*XTmcpVSOoe!7Am7nB^~q}SHM z7s+tQlMXwoR?>7$fvgD99a0Kuz%_QFh2XWvl4T*imJWz zlT*z4SdYSf%WsmEL4-J4_l`-Q!e-IOMY}e^j(K`etj#NM^>Zq}>Q33XrJtYtY$}IRwpeccM3u}wv zWz$rPb^1Y@FlF{?00{qbj6d|a>AnF{wwCseCEr*ESmKhl>lz<+6Kivw)`yW}D%>{7 zK%KmdTl9mBeLoR?U64@+2woDp42i4l)3{(-17D*0v^5ZoUH>VrNoYL@#XsG9b?*&8 zt5~uHPAFOkVC59KaASN6V{EXir&b+Y2ev}qsW6SyTOc945@ zndI8l1$XBK72Fj(o-26M3x@$ew+X zIYnHBQ^Cr_2Cr?0QA8bPvLiV+X8d;48a0`-6LMy~FBBPsv(9TB_CBXXS5Q--YQyR5cfUJ-YImy! zJmwK)PSj1Yl#5kh#RuT>FB(^Gi2QlO6RsT07 zmos(~%dL+*KY%%Gz~D~}upJptV@4#+nYjoZ8~(5?dMOy_I{Y6`QFA|?u6~Q>x-`Z; zf{d;gm!DY=9sMzWrtxvd33b==34TmO=ZVq<#!-O&r;uL6MUuU^!nbH z=!4#K$yZCYOAaU|Ly)tIjNN8>HhX zk^X(y_fTmHy(Nw0_s^Y5Mo2y?+|IzR9r{mi2{Peu9CPv(?gI)$T+ z>rH2E4oJW`kCYE{41`cda3&O8Q9NqNE#QsXf@DSdA=ky-CFD4&eh&xFA&64A=$Ngr zq_u#(c3D2Uuq?{7cyN@BsEdHeJevrc|1elLB5J~rzMf8pR!9rtL7?}xWcc3GON7vr zM*dm}?xjT}bx1+XWx9XDuVbb?VICZt0Ydgu%_7OM$7S?>-A+yyE{=UP8I)FkGy`MT z5yH$nBx{xsn&H~VOP42sI0=J}61#?4E5SpGB#|r4xqHx74-@j?^`bWwEEYt6a8HGa zOBX^mvBhBnEa;vg&+K)-F|I zFLT9^kmZ@FASD^W#5x5z!wpQpYh$ip4vp{)KGiQ;g$h-wz`b}B@(wL-jZOHqeU;T8 z=wQTE6mh56o07pa`~OsyjIzOF$n`kTx-RFT+o=3 zT>K`xnOkxuJ-0wni3$~!T*1Xr=sQJ6HNWf_Q*+4}nB97Sk}Hb$qsK|nIxd0~^YUa8 zz>_`~L^@brW=O#t)>Se~%NuFmxnTn5shZ70fNRRZ)(wSV*AuJii;|TjR6sQ?sg|c< z7ubZxCzAGv@ypGEZOI1I_ppzw&4)um4wJfe)x=qlbISrjtjC zm8mpK<_IQ&W*uvf`I7SE3FgKr7DLSfFu>0c4Om0+0M&Y(YtnfyV4a+6{Mn~)+la93 zyDv$JsA+UTXI?%j92kbvIw7T)T4kvfWQr_W6VeI+$*M?51(H~0EBuy5&Pd@`Qbuc8q>pIWlIKIbX`3wg+zX@*Av2;)t@!Ir7 z`2JkW>I2P;?WghxZWj7>XMS8u4DcEeOcnIj3Gkc5fYJEYzk>vJa4D#SOTqpBk2}D# z^}!SC)w`|SsWz5ezne|aV=XoOeTz5XTYG>29Ntga38iiusbPKg=OZch@ln(#6lLg) z-Ig&*MI^L9MN{n_vmKtSn_RwXqf@BubGeRwp>|aLgwy*PnNPu6HjLG8I_+4z_@_f` zxHm1GvDa?ARI}li#9hYp)wXaU4qcdTeLS7svmX{9_u)pc`+6XFdT1B=hRnOI+xs3_ zo!j-HaqkNUQSJA)i+kRsdR{a|emrNtCtANpFh+^7#Q*KT62etr=Zww3fDhF)LE-Il z2v^@X#OlW##v`1HP$R?JyIuFXz7aF#T;Pks|JQ$ATNdud^8UiC-{c(b+`#kyG4j_F zcP!_B<>Zx$Cneuy)4zJX>hUUO(GAqU<_iwbB52sH`@Ae;~8 zUWjQmj}BaT$@|X=ZG}xtE6L3)Hs>b6Nw3F98%=k^OWsee-McaWP+RvB#s?((I>>^P zPfgwoSU1kX^`YIq-z;7ZWbgkMC+ zNuI4}Z%q$fNJvFT{Vt>2kbjcb_~^r#mc4fTXZ2(_|JmSM{*72%xKyX<{B#0D%awol z4ORE!H)9>FMvaprL9_T$T^=)J@omg59V$pLeouDct|$nMKO*9p z=S|aIP_M>rMUOkK8z2)RNAj0j&}dM(4dn>|QvBAaY|Q~7$zn#L=Y1hJ1%d;qvJMtt z`;*Y(T!y;d@<(S?j9GT^g5U%y8o6jpF~g1_QP!E4tF}uxaCiQ5f^DuNZNQpFDzKoy z5Te1v#E1}`Dyfp-a+Mykw8C9t0YoV)@Vcr2Mrfj{Tr|jiXJC}zkLu__kti=f@*yHK zK5y=*1Y7`z`Cc1*)m$-mu)#SPDy!iN5n@q2(1uIvl_P}Zp2CY}vRtquiX@y+vtT1G zsED*_feSWx9SZL-qvZ=p28@4uUh8!WtUUal#s5)fHJt)cqh6_D9`tFwyyFWjpch(L zj$k#CTc(MV34DxlD}Pxj^V8vRUBRw_ihQ^9w#(bI+$vH4^|Fc!=<=S0GtMo@5<-;Y0CY5woYR0cHmeHmg!4*`VD8`!@QTgT8d!Om@h0G1+}`6pdDZ=p}1d zaR|}N*fF)=^#IBYL^_A$T14?_WjHM7pl%)RS{?Zd3RIZJ3}N=6Yja+~Nlg?WH*^Zf z2w3WvdpMK%OM4g(yE9=q$Qw*-%IYOgg-j8@Xw=LjgB2bBV^EXS=qlAkK>zYi2n%=8 z^ePl+$*0O2iHwXa?8T#C7fMJeh>s8rEnuAsryX4v$ZNYT(hKLdc4a`ese@&aGAxmn ztfaxB&%p^Pm8;5MZPmW}J8u{C8i&a5g6|$0GB^+FAvWqeX}$TpqVwMxvjR3A$eG>u ze{VdN*t(Zly$m}KsFw1lZttVK6GeTFy1C3YntH(A@&9Z+Tp&jO1pbHz|Go9de)%zM zJ)lm?5|*UAvd-$cIjC&1)J^@Z3|!haD=BNewbn0vYqc3dllH4UW+_{~wcSazUvBl$ zX}fSp#lvQBGd;910)Zz!rSC!k@eYe7ueRP`o)o!bNTN{UU!ws-mAG3A1qg|66 z_Qa(J*z7HHK4&BUboz(-^r< zZf|O#uxs@Bc$CB5q;g})KPYs4pVK#LJ(#r@oN~fDV#a;kPrG`YLym3Ff9$*y^6T15 zumI4y6jd#`v-3Bq?Z1{rDV%Z+m5o?GeDinL+R^1h&5)8DiR`0p=LlVzD0@}i3cE7V zaGQdn^p!Dlm%I6mDiRz3ZIq;3?kbX%9CUC~qNHVepL)TYyM_eFE#&v0)xou2Y~TGkzU=NITa;DBElCQ(gZT(L1G^{!$M zQKRWF@!#&|ANTo|W-)Eo(S7c(l6x#*SPg@)UC4eg6nI7U9_Nkj>zl#0%?ft|g+zUO zs6fA&ygtJCZT%qq*Pw!*dKr%w%Ktb>4cEZ*Mky6DB*|i`tlohYTuT8Ca4O4xw4MSu zv-H8L38-fenG`Nk4EA#_@0**;r}wRlka`yA^Pp@T=_I|}4LrzZ4RoAsLpsS`k^_~u z<8Xf)D_zi^iG;!4m|-3$x}#vj)@qih)gI|cf@^=L_s_$RZsxWj%aeySeFmgEL>3;yljo~s(|1J6!5U{L5?2JTxdaY53Earss;HJac;!2dF| zOCpU2TZ1$nf;ELS9%H*kyTWylWF1`Y1{zNQf|MaTdV78}WaG_pIDicotx`-OV9P9k zdQkIUlNM*J=)sY(&Vm$jZkNl~U-V91VefI2Lgd_}i-)!lWw{N|3vNDXSDoe)wt2mz zptEJPN)=%s*laa3IZ4+~@IUq}@bjV3dvgKiv{gAy;lMRCXAr;X>)+h2X)jD6@mmYc zcy<*QPu%2*g$fyrG$xVi^d_yDQ*i0#W~zo>Cq#>1w6&}xp~Hqk^$z9$Sg@}wqJWe1@H}ea9SKV zzs`WZ55!j;o;<%m)L5!32rdVZ3%r#9938>UJkVY+76W(SDS|sUw=#qyDlR)%?+G?dQ+rZ_KQj-7i(r)n27Yx~R{<+(mr*CeAzQT5oNLMF z>5z=0fT#@Kpaf$Csz_=`TztIPcsb+<{&wlZiXWXJ-=2-t-@b+0%Z?CnqY@Ln+4N}m zpFyAmjVjzV>ip0&b!w4=u(8%otLn^G3HOu{-XenFOu`2lMGl(wLEtC}(VC`eyXGZi z4U~e$q~hEuZux99`1cl75Q{+lBPn2`+hYU84@C|K8=$Q=&wtW3VO(ZxQ8PnSh??jJ zeIz7BJYgg`lZ^K|nnJgxLpPEkNYGRW#jHfbq{7VagAM}gD5Db6WSzZNlhb3h}1*>vL61Om@xp9o9d!YjeF7zsIyIWR~)&^ zIGp~dBLg;6sLaJzyUVD)HU8*PUtXupr90iQ=>aPTH#4=|x&^Msyi=8(7ia>yRc=ss zgTL{IRX67S;o?TOViFK&G>hrU!ZgSLoX%?&aPlfPQNT0+4qXji!{UmKF$%m!1r#JC z)>H>>Jwl#m_@Z?sc}-w~3?`D1z=bAlyw*j6vM>Q@1>(_qm9qCJa$k_r7*kig#Mxo}#amt=`#*G^p)J#fb;BqK`w{ds$`S$c9TL<@zo*QR@)Ku%`!t>FR}HhaEx}nx$=#S^F!>1)TOg9;V;(9m_c%8ap-5Mtg3+ z6er~H=1n)A%i_0E3 zHeTg!Qm))#YOS?}K1xN>KB!j~X)YQU`NQpp>xk%69j2I9x>;~)m~K4z{Evs9ufjOH*0ugd z0Yj}lN3chJ7WJ_JTID3PuW|dJ^gWEB2)`ZglAqq$lSW?RfzxXEpS|n8f&w*o;M1_569{ff%(oa($2h?_AN)Br#)G*x? z7CpA+z`NqqdU&S9Aq-u6!Gw0JqK>!;T7}7?RUlXUE@LLy$b!(B0d4f9ML!Af;`73YSlkw$pq(um+bD;cTZUnnqd5T zy5^xAEkkOrvr^li|Eqd&7k-DbQ`3HThTt`UG(pm9!Ou?eqR&ue=x6W9UvFCB2D|IH zLQS8A2fi1k=T$VPoex z;4hkCxvhW)jllnuWJ4Y!xaC&Iabb7e8{)n--8{v}cX1m4SjUY08Ka)g-GVJ0#OhY- zQs_>}1m#w>^K4Z&x73r_yZY)cS8#qRt-4n{e8CWuL_(R!58w*Kj954d5DT#SnlEhw)Df3~9v5&%NE&o=eorueANx ze0kfa=twGX$%H%=HeXa0RY@$9P7)u*NHMzxSW57ZU=4wTSc7^2&VT`uA6s1j(e|=g zSRb_!si&I>ek$=&y(GSyGY@4KpAKwdafSKntRQx|9Vv#qbm)3Pg8XkgC!~aNk^e zi)g@pXiW*Cf2w3cYgVJoUD03tDa#CRrV8S)RA-b`!rc(9{1<@<126ADK@^0Z>)~zA zFqb>Hp<;xS99RLE=wCIt9)O?*Dt-@^Jenw4JH_~|$LnkUF+Ys2)x#D=r>)hYju{gi zfR>m>XZ|3-Zw%QqaCPYA3w%-0$s|sR*?fykHsuLVE;Nf0BSyb`&ROy*#+t$Sr?QJy z*ZXEUB$iK(0m?~>Qb%wTpO<++q%CT`Ftjx=h`Bu(dhcdCZa{ty#P~xDRkH$;Jq3?P z#H4HN2w5XQJby4l3@~=2v77_gr=X9*X$Sl@TqBs?ur7~m|BCfyo8Ht_d=fg`S_jHM z_6JFY4X_06EL2jo4s@KORqeGyi48DAK*(hg9Q!6+M$a4E#dF$6&`>+uZU!~UHyW!+ z0`nj|8HY0r)jboFB=s?D-OIhMY^|#u}pafA@ z_E8*T8o zt+jUc0Kyw(U8ueL4CSR3O4$2QvSW|NSf5$@;xVf~sQ1@DW4wI`=Q!KpF#N7UY&TL{ zpHsyw_d-nGF^$8y^p(GYw8XSqW36xwffiL-iZ8{`p*i7F9FgL=xgnnwL5wc zT;0;luyM!p8y(BiBOQ}2oZ(y5tbc!T&q~URm(08UD4uo3y}_rczT=e0_f3(-_In^K zyr-Y?5fx5x@AK9$tN*s&{^c2)4{Zv8Xw9PB4a#*$3Ns`Ia2(A3xemc$`okG4hY-mQ zfV*Ay{PmS$*Gnd+{MAnx=?0f?#yvml`K7qb_I_#J=TJfIYm}|=l!^PU?^cTB9Lj1x zxro)3LJ3PEzJp)CQqBPwO^i$g2tj$1U(0gWgYBQAI8m%{-I#OmF-8X%h{n%|O>S@9 z=4TZC2!|Y7a(k-q!^)@6hno3yHkH{hp~h+7n8rVV1yJh{`J=^)Ros(;^9<^?)5j2_MDJ+1pUB=)`kf?yfN+?tA!_CNN9|@v_0_#x>434;L>6x2xN(M6 z6Vjn?#?oL--%QV*r=Jy9jr;U63i=r(IrMTt4zxqCdDNXc#u+YL3z_HO+`AuN(%azZ zmZGkjnQ-(#^e##HSS&PpOUh?}-la~3n}>H!jF`K5L;*LDXZ9_7DEMHbG&8UnH1mMC z029v{D1HX7v7v{!kWoq4Oy_oUi@n-@y}Vci$s$nNV0R~(A#9C8@NV~Zv+sEj*C)S! zJd5+VZrAo;HMzHkE==zsucPy=$nOI2y=ITt0EIwF1?^Xe>%cEsEbyAgaR&8#U^I3e zer`D9kl3cf>hw+lC*s@B3f3IrTc9mFG?o!XcBesxdQ=(&TJIdw>_pMA@(Zo2hY$VA zIs9>+c8{G7M3KG|1v=!>Hvr~^DF?n$D%u|)?pO~;8q-_-!r(BVS6BIbB@)Rtl6Aa1 zzV70iJo>xCLb?;MCFNm^%R8J&>_(5h+DXh^X}|zEBf72Dmhhz{EeTupT{sT^ss;27XO+*s?CWi}Ri zFwofn4#|x6lDu^9vyi1+%p<3)Cr$ub4^FpwQ0LEpyAyWv5Uqi40BN3`dC{mA)7K2^ z8%>X6HQn3-PigAvt{ZbBEosQ@cM`8P8|Y4>nnO6{2qS|zAPegoPQ1KrQugX$lFK`; zysRB82pu^AW(h`#t+odtYFQ7frs$!d+o#b0h>VM27yZKnK2|qpSBCc8sS5v5tPb`` z+QIy_4NXqJI=$bb?kpi#-UVzGR=dwqWJB<00L6|_Tv`-Z1LJHT3amllNpF3qdEyv) zt7u5>NUQ>CrV4)IDN4+8CX|kfDh2at&2Lrs!r!j~uQjM~>JSsl6Y6J}$p9ouR%83Qhn=sAdplkV5q7*9H!bcux4)WXM>%>aRUN^tMu{n!2MJ1(cy8A6=8%{m zQ5g)VpPF>^?$`)zOIsB!&7J2mEZxg^LsUcIgA`8wNv(?9u@IF%V$xUH7zmvG07`5m zi-6#0hOX31!b%P2^6!6O2^C!62p?$L!jqbqpDHR$kEMFpgP&9thAyPQ4Pr!kT&ga3 zX|k(Yni53Tis{>=Q7Et^Nbw!S_~;=4-(@-lx2SP`Ps5r+z#q>tp>;XZAJG)zO_N)^ zeeLJ`6UI?d3CUxHnHSU0D+jOG)Qsv69jp%W;2yFc$ZUMWWFu6ZUB69`4JlyVhd0c@ zQKGJXS~t>_2w=qFMx}eK^wFQW{2AC!k76|d_O0G7B(4BdyC#x}u(2R+!!<$BLvl!q zu7TG?Beo_GcOxs{RtEn&Qs|vCeJmT`{OsUP zEL}{Co#!TEokDa5!gVBS<&Kd5Bn)1GD@Q$CIh23hFqXo~9OVqaA6N#c04oQoO}^D? zxOcn^2!ZuL4A^P2L2JDM75X7^0EEy19Q*eeN?7fzeHo=V1ab%Ql>4DG%U#DP#ie$R z+29AvZ2FTbem?57b8y^#TZg>9)v`799M>c;EajNyrkzYJUT3qxAb2+Yt-JN`)GW2x zxVX=Ymb4NKf~MTI>2SnF(w8h~#-C?T3J!Ok%S2hHc1zX?o@gmdY6y(awkib0)0VEiUf|twsIn zqLXRxRM2yVny&D%O|!V&j0lW|puoJCs>TJ62%&m$LKV}`IMTYc^-G6eg{$oQJIdZwR1Ys5dvc z`5)3*f~pW--XU+p0!F|kHw#r^N3c5B!i$OyiEm^M8^=;$Tp^^!mC^PZ^ zLC+F&V8-m~Z3n2OX%!nqI`VK*1#xZ4NT%vI@QV7=w+UPVbe;L(B9{>UKxau{6*96- z5Vf+gEw|Y`$q6HZ(F0QXqyQYm*{#eS6JPT@{Qw2L>>x1UY~nnsK#+>9~KZAg46 z@Y)67%nuJ&C@;bmcPub zBCnB0@Y#r!!Na3?1FCHa8ILnpC>{xCWzNv!dx4{?^w>ACZ;508s|}G)iBX5#1=LBBO`Yj;GP{L|HAdvx}g5Q~h^SH&cU-+B@#Hb*CmU0IviFPJ5+%_}XU_iYt5oCnEOPY>fKB z!z%irId%!8*r^KT!KBQ||A*KBMK0>SM&L+l3% zd!E1{TyvU24_i1?;+61^VV4qtgE}bPg=PmvfETY6sLE($64cCwc{lNB3H6)3~ zYcx)7N?4*f+c0v0;Kts{SX_3T#y?{*(UNtl{QemEp^1yeR~|@)Rd%$X9=lAUzJmB* zuv_rD&%z~(A)tZK*I@;dm?1C@PSsXb5KTweR&?{fefb*3`OuB|Xef{v`o;)rqSTe6 zcYcOb=8OeSQ_$Ih^G}A!yTs$ zML_U&OF$FS-j1`t7)#CPFjj`}6Ol^Y@nyDn%WVSeVmlY&G~oUf=iP>d|uxif)0ZACK|J>`PH11y+ASHnKPXM|FRDaK8o;A0NdLGa7s>*y!Fs$`;1EyUv%$kep8Y$BNtY) z&xD?yl-H#%#Q@kTqemFaTrq%AWl4F=y3Or}GAnVr9(lt2m7#x5z=iDqYLv z5?Im3#PScT@aL!a=~GcT-5LD!JQ_0)DI$XO2k4MZg17=GB7zMW2xFBCC9FU);rc~Z z_~cAyXEVM6Bdr?apaE6-=~$7+l&R2TY|nFxD4@_#xx{=%-B}1bj789X)Iz{)Op~3* zPB!BW93I&LglH0b=OE0gfe1)r zgyi?|H}z!Whg8G=+Na`g2@Jw{VuDqH*uJ2HN5iXI-JYKa23le@;~-K)$l7|X+ad70 zKk&{m4`Q_qbDrRfs@{Io_2x`Q*v03C22(0;igB^s>S#pvYmgWL6qj^p$d%r3u2{<7Pm$Yn2 zW|}Z9C~~q2(l5Y0ox*E0eJC!^^+RVE?lh%b(U1nlIdu0$Kq!;jqe{STp}0Hz5Wf^Zutl};Egi(bl3nigrtFnfG1|b0!35tWiS7&h|$95#3r&P z22vzq`t}NRza?vQV=LTr`OlFYU|}MMJIWEb9gs4%Gaf)G5g?tn=DxLo<4M!JjXHIt z(5VBaN7=oqW2WP7rS$0;Nfv_}#W4qBd8-+`(mT zf5XF{?wm|_5=a!Tp1{~vwt#3*3Ec#Q;(Y~zZ|e_X3)$iz`y^}9(`M6>pc;YP+~ z@><)|V^5)nQ>~1MNv7{2n+j8O72OT9GWRl)Q_)!c>3|$QkQ3YrL)5&`*;h)`(4oaB z5o|-eBUA9Ei5@9hyD|1J&A|$@vRdu~=iz|6wGSW$;QX`b46p%s-WJSrR?pd&+1k2T zx!O3r4>&>U+HWB(V6*xCIqTI{VHW3ri^VxW@wMOSZD+r#!M1@~dU3`sz0bil7XeHi zSB-!6#IbbP!8MEm(M=aD9t=N`e>#-0Kyg4+foNN&M`K<&W>6n0(jg53<)l)kP4Fj) zp+7a#LV@08oIHFeg7$1@7l=FsViCH3kh{JeYD+RgmZ*Q_|)!;8UL*B;bT zUbOeTY%Ga-COf=jDm`Pe-*af8GQ~m`yyJ3`1!`?1KT_M+D zN;2mVyjmZ}g>IRUW9=~WSN_^Mz0S=dI_Sh3Rv11!?Ofvj8Mo`spl!SAsPW#a&53t^ z|D*kJJF&T|e}LlNG5uqQ5?plB&vsM8(h6TuP>RL4^8xC8O?xSH1M2wgg)!ir!?@Yd zC<8(7^>XLnRNm|G+0$AuYhF21gaBxjF-a5ihW)8oBJ$??^x}o|cY$jv+rrr-FEOW* z{KRsb+@veG4~bh1r*&U{$NT&|h$!4KR;hVQf{sjJr8FE zGMaTCQ0;tBxPj}dv-YJ`t?TG{L`Ff~A&*pxrs^Uh1 z!)unY@)7rotg8IS1fK^g1bF5w6ajuX^?q9UoB(mVNdA=eS=9w#*v8i!8o&g7V@^9- zVZEr!mbas{1N>c2@bTlT0$U4yEBX zXS%u@yy%CncJqoxzDsl_ads>-@g!Lf*JR4#fa;<=xtsIJt8^DZ@GqAk-B#hosunS( zPmy)W*tL{W!Jot-(JB1z;7!cs?Hmz@)$8yd+F*{O;fI;1iV>2-V%CRm1 z=u0py!+CO+7v-H5qk$(A6gKMO^SwA5hW_9HFDq`34M?c`ihU*Km^g^DZPk`8(6Zs) z0FtUMb4w4WiuA%_g_<@fD9wUfI?1hqh|)wOcKy_F9^)_w0Q@PLJ4-UD2ybFslEymj z5ChI?2CvEN$BT&K=Mq(tZ{Y{55yH=jtPaKw05=Rcy0**%_ySo;I?k4(Tm#l{8ub77 z;NlMY|8MXQ$^cq4r*@u&`@jBOj4gtl-}J^QD?wV8J{NDOKwmCAezcI{UzoM<?PRy|++0LZ?%iEvGUWNZ>VI$Pv(coO^A!?FFJD#!{ z8G)*72;}f^VFkXF`OQR;r&vUMbufecrXk8nuBlN)`xdhxWmzw zn$rQK04&Vw55$?KTcCmF}91ti^2Jsrm^L1&L14sFt%4%JSz)0S2Npmgk&@)%JtyH zc;SzT_UBaqSirB(O7W!&e&7IX5`AYL*FEeW6yv-&qWOle4$kBrX=)%G)K!BRHw7q2 zHCv-G{yV1&}aME z`UpgygHLo6C=Kew!jtt6CPOnhMw$i+AL4}&UT7g<10uy3rfH*jOff=oll`%G7$jsA zdCf)9=EdsmKP%lMOo@f7N6vtcF}Jd#aH_zz3cD%Ki|6i21iOkEkC(&aWX#gyelB7~ z0}KUK@er^u;58!$5j+aW3ciRQl_9*e-sH~&Qw>^S$R?ngwYejokisX6122-YzQm}} zq;?k63u%&A84MqwY&-)qfeT|6uOCh}PkO-_5i?(WMH7@a-!v~YvM=;4|pIu?^_{|5*#M{ zjM_nf;v--hfSI7WB}Yosrb8QIRvdi206s=(`Nv8CU)>e%GET$vz)jb~&V7J&%@;mQ zY&|?OPr`&Z4O=6wE+gMoLpr=A#Q6foJU^W4Mcq| zgaK!Yo(u0UV5tajO3wo}u&2E^3Wa{U>d#~*(zj)!&ky8QL|sWLi#UdJ$p+U0dEkdm z7DIAjfkg(%yQmQU63ZGN|EO%3xW0{{CAik2w zlpfE`%f_yyl3ZrWEgl!^ZjZ4M2yl~I6X5ol&eZm$g zJT!qBw$rSHhw4bot_4_cK@}?u5W>oj&HMh-)JfmYKLo#d^LJxieQ~OL9L+nIPsLO| zmjt?Z5TxSL6}5yWO#|5}mV~+dhH<3z?y6Dd_ROBy|8#J8>g&2pBRw%5 zTy%ax)gn_ejG9pFfU-ebIgA}j17i&Bq{U$PCOt0UF|B z3mi0aUbIx%y#{cxsI&PS4|?UO(MSr&_Du8vohy1U*pc5L2kWg_1p=(1)T}8sj!Sj) z?RlEwuMz?UrpH4t#R+CEoobw<4_pj`bk~;Ciybzj=yB2hyXi)nfdE82^Rp-> zR{8Ek(dyIErb2V;AkIx0EesVIK$00?5{KktJ@{e&bX8SbeP5-t67QP9e~-%Q?7a;D zQU#jI;~d;fC}JsKY|qfI#K7PeGvTrW3CrN`<}xjHv4aICrE1)XFXNp!+X@_-Kw3*B z5bY*yld6>F>1_Bx_P1U%w|w(&Udvjz(4h3v;QwAo_E{~lUl~Ppr!I-I^`~w=NO7XR z9A&$fy1tBhn!4G#@c*QjfRJeodrivFe{Uq|Rv-M=M)Kb?8KS?TnG9@SE;f$Z_15mx z)h{feylLY<(?Hoy4Fm6X;C-9$Is4);@O+Ki-G85A|Mqh$qO9yFWh*rml!MFvaYF8} zE|O}8H#k5k-46MEJIB#+r@m`XsBv=VUmIKk%sV}vE^heXMJvA|^Z89@DbMR&mQ;Gx z*u8d;60_R0+djtk9Mp{(S$5a{s$92z{bLJ$<-YH{_nZ5U+0jt#y1_AAZ?D%nhWR)- zn;aiT>zs;qzq85Krp4j?lwqfWA{eiLe;NHZPp>bc9R8KW-sBvy8dtus?X{2ou-$#& z(VBW!-tL2c9x|3COeL8qt7CV5 z*grJkFs)3bOsotUPtQ%#d*-kk{yhFp?H4;iW2(13%&Us(0JY;-;B@ZB1fR1^lu#P8 zc`Ijbr&$Z#<>oy8WZ@;&W70@*>3d}%!d8ZU$Zqby)Jc!-|R4m>(4ZGij}Pm z6&hWaS=&LIou~U+>ldwDz5;VT#r;=Xzw7JlHbbqeKQ%7QWWPU<>XS~{Q*N;P!HPX+ zA2mT?UNkP>9%WBwE@}DF5>R*S^`tIY=Kq3rX&Eq6Uwv`ev-if*x@CJc8y!D!aokG* zN1zv*@&N?-KcIg8t-bvbN`-F}bOBOt!|QjHxaU2@p-S7qs zNfoI56^8c8jodW{s{f>H311nK1iWnt)7CR9+%xChDKRBrr@6&&%_g)XI;V|=<~-EO zvA>C?V8fX3p(Re+cA~!-BrzBGAK(#vatu0rdEl^EB!XE?mjn1EUJ9#JmK?g zLEC$iZ5h);ZJp_3PFJqz>CwRTOQ^$N*RF)7j+@`U%tSk0`ZQ6`T&VpbTkBLL>fUyW z5Ty28FNR^DFr}UpQr4GroCfAWdNW&7W3i-Fz?1vG?%9FYxo01HBFm(iSBbSDM+U1=H;;3P(EZd!X} zbLYpVTf+Ix8;!-OWluAB)u??OcCs#djt#2jYpNLuaWb+2md~RY*6P5^XLK4 zFh>U$IQ~5VPkCCq^Qk1g5t`JXS}q2ZsQIS^j9Tm!>yn&y3~OYPqp)^H`~LyPLOHsv zsNsZ#&*DJE{|s6X8aOwu;dmAsJj`wDubvZE*+^R9gwe8L!!r!aPjTf$g_L_a|U(!Dp5b8u09;8O9b2EaQZKmILV1TfL&^RW9S=N zm#*_GMW@U}-iRg&U`A98;Llo)TC7YK%9f8KAfzu7NUls_h=EkLxrXBmMB{SduefG^$oV4XQXhitFm9_kw#8 zukC@GBZ%+MU?OAOWZ1j$u%96ex&3YN-Wld3WH z14I!-eKMOKq7w|Ur_NbB_1FJaT$!@^&t>hBkF{M)vu+Y03FGSUZB6{XK9&X34pMsnphbyp4)z2 ztawwTW4w2g?U}PUue_%_Q2m}D25HgYs*gY(A3iBOeGwE>);0671ldAne9>}%q?>gW zJzL(+ByKU8Tb%{W?Z>e|)-1+0G4Q3MEqhg05@HE{qs-&vDC1KGSSAHAc{uA>Ho>pT z{~f^#(nvZiz)?BZEMCW3u_|S-14=0?MC6)G)GdrwD)h6t2Un6(`VLbdTG4mV)*~n@ zNW|k%(<)EQ_wqIEvr%T_H+<7e%rqEOM&@`%_5qPs2& zd*?i;?x@a$23y&ATOE3l}GGBjZP<8F+FHCt>y?){G3} zs}v;f_B?nzSXX+9clM16t41v90{Metg{!71lL^|X*+A3?2!cnje6kFx@{d!PZ@1-f z;GGIkn$|&Cdpv+hksl1+pDnGt{8N1svZJsuH0cIKUyLB`p-zF-WI?2qfv3a#EFw>u z8;OQYWk3B$>lDcs7KW;)djae?g1!@Py2O3Ix$&ZfBzO2jc54=c3$W zHoEKKEU{YrG(+$DC>Ucy^oBpsl^>`c_dII=89rlT3&_kU=b`A(D3W#nvH+SSc<}%m z9nTgh?+rsnL4Rxlpf+qMnil1siX35ujBkR62A`;7)J+6xxqIc{JVI9ir-0OmyG``Ih}Z<&^EW3tQ}-DWyXa=Og)~g9UW{tDs~Cr z544|)sB)VGPYTDPJd-s8^XP8;5v-n>yE^YW~x%ITJ=%+q*sae{H^m@8; z(s(2N;7s1-kT2XJa%KWs%@O4X>>2uN7obVBb@1|b!vTypDxx>fXC?wqK}Yh+@#J9% zdN?uyV$N`&$E)Lpv#f&PQE~FyHvWJ?+-|?K41^5Z!<44*pZkpN^%%6*>s%)Ke(jD91*IQ%DQ*iDQ|<^-wO`J1fmsXL+5dYL-6=>{kGqNOtCiC?b0=!Q-0a|gsshK?;5r% z?l0cpyiut4e1G$2X=S!YPdf4)n#zvl9RIrf__DNA5Ghit%2T-%_t>;$VB6;b?zlLc zm%5C4KJK{dn8T{X)MYHdA8YrkTbzSW2#?!42aGFnW5L+hqD@`ag47z@{Y@YcfvxAx)2+N^CFbPw8)UCIl)NRUp#QX!X_@vdW}7=+)g4L#@8LeZ6t4W za~!-Uuc|BdYBABj*2(>SGiCNih!+;s!yDgvnpw~^tx6nH&g%Ac|G4_*F094%$~5tO zgul=5)zKgJJlrDsbMG5O)808(kLrTAZsot*gg5=X_#&eyEQ}I1wb+R0X$7mYfnta? zK}K*D-~1v{UW^`~lLrV*9;#xWM&QV2;KF*O$`yA-H+W^8ctA95eBtS5&6t0EW@ z)Oa0SPI3Wh1jTZ!rD9;T&XYIV9K8}P+qC+l5ITU}&OQV!0q`-b{lTVo6tIFq&~Id6 zM)MRd;LPRRe&&iRl0FzOHJTv;r-h`)L@#42VS=bf@8un9yxh9NMy~d}2n_kiuGD6% zj^9f^qN2Uhef<)7?fci>?!~zheE-(lzDq{@%?k^a&#w<*YUSV}Zv>z{nT``boZ7^? zBBq8FbkuWMcjxk0!-UCf2ct_WWCL!D7QBsjU<;vf_fDWNd|* zY}xb?!G;H;G4i``Sagm6k-YUM9oQbhoWzbOC)Q~ zq&vE%=C@R#Zs}IjvH`^kHOD11C5^GY-W!$^vd*XmpR7CpdAE4ya3B){Q93<4muz62 z$ZCbdPS;QhJzpX4x4Q^YyZlo$v8y+&lO@+2GNyN3}t{}xvBkSH46j5A>cGC+K%h96VZd5#V@nSG94 z3GsqkaO#{w_I*^%XK}~FX}0D7UyRq3TdJ_Yk{5b14PumO9We33^A z?55&GPy-lOYBo)m8U=&KzI{mw((c&*OQuGMm)|VinvjOGrdqkfAZ=~)H=Ctaw$Jy! z53VRQOxswkf?;~(Z#K(kY(3XG+r71Tl(Lz!JJkN5(LOGmdfL9AffBjaWM7X`<$D6d z4R&-2(*!k_LH}-*bIw_VMsqLMw3nDszrIqo`pSf>=RT)=^@sCL`McM4*xKoR)@qkW z_^(U*-ZF+$M9vhk_Pq7)>n<(xD|~+5b{%u+dFvN_Gv^$voT%o|Msx7D5xlzl;VT~RFgSe~9ipO?ucJE&q5c20nmw9Bg{O_wmf{N7zNF+ zoc_+*F%-6{xwPILYSJnOhS7I?ZuY+f#|w7-q)-1mme`8r5EylT+_a)xjoIXcJzd|*MlyLFjuD*xIbL^^;x2-$7nqOg zc$D+maV*<2)H29@wK%#BlFVAiRc~Y01&*$*du44CP64gMcpXH{Pl!$SPCF#=h}lil zr2rhv6g|FZKUnxfa6!t^4f&-7$tMb?kHwK(c9q@4K;ciBdX>#040;yBEO@G}w50@r zx(ZOxQtvBd*N<8E{-EE#gmcp%Z6d|4k7_RK%r{SA%Ne-)(M)Wqav!i)`Jlb-CAp?b z#U2FIM*~>bx~EtT0_sC)BN(U%ZZZgjm0g7jYH2GbK+%+HqV|C!bWDIF%rzmq*8WVc zQwY3SHT!{UHVA`!u4k4AK$Qq{7T;dDbr|~UuYy||^g;E8$)fh;!p%OzC1&^@Kd#(H z9k+Ghp+D0}4!6NAEh+`mbAVVn&%`O5V>D*oZOlqD3)x!6dnEmG5`)n!HNYI7+?LkQ z2qFm`92IcqS#+^f85uXKpdpsarrNH=trqEWc9@e;9)xt`EwmhE##jZr~|>OyWTEpPQIO7MBL$@ruYs{Ns-L&3hu{KaN~j~9K$j~8vuiHWKHv!m zPH`SkFVI)*=i(3oZ5ZbsAm*~=u7@nK@^$|3EQxV(3Ed6vTW_ zr_#(opOC6M^5c0W#+C{A{~cy4jnd2#qgm6}-GOt54D=U4|KLW95cPuTw>!IX{uGPu6-5I7b%V-YJ>Nl90DKnI%^ z@tQnzyJ$Nqh(^fUd=Fo(Pk~$l%>;XGV&v0czYlwsAKniV2yfB;LFs~SrM2pfOVPJF zPCWj9$a?d*rtUoIA0dEM&@L{u#cCC`YK7@$E2yY-MJrPks8UF$tx`ous|JcJabc+z zWNHa?Nr($u_Nfvw0wG=4guAp#lFF8uR-_O^S}>#`+jDN(nP+~_@1MSEJ7q$0bMN=_ zIp@5OWp%vH$wqzlsF_5HNN1N!6dv0xE%BgYM3(A2W1$2{9y&V2_sP}2f-<~cxG`BP zT6aQAvCN#?1I0p8syU9zmtN7Ksw~Ly`!(+jwB6|XvQ>Y-`2;tOl10&1G=M&^2{BXn zfi>|1fOHreoz?+$s}%2=z^^wkOROHE2w#fDor@kz|x-^NN4*CMoU zH(Cm(Y4He*C4ag1)z0367rO8;t$FPc%SmhLbi~)LCG}5_SCz5EqqZ;TiqZ)zxVPYl z@8h$G`3vh`p3x>JBnMi?Nhzi6b?>2nkD8X@uIHQNb%nR#OOsvGJw2{1M7Zf1soa-N zRIcwLU$rY<$})I@E2!&w|1Z^KhZ-k_DrEW{g#nDi7=Ka*i#fNuTbYOfr=>VH_d)8d zrDqQE->LB`BXAirUG@BT%-HH>z=xw;BK@U^(mb&^LHJ}`hYyrKt{&2vrKx*ip94><)!orXdtITtr(jDi7nW&r7hR=4 zR3MG&7bj6F2iz_P_!SXsru+q3j2xb{-BqZl$EBNbho4g8Y<)hKfekR&TRg>&ghPsE z!W>pOX&~Cj^;pX`m@9XS_3-%*Q+{K_kO)8rRSyQP}MX3_A;u*X{ zA67bp9fr}Q8AcC6$B~x2EhmzN>z9~ld0mBCZNl9#Hcs|NSA7=+!Vs1s@&Qe2t+0od z?46vsK#oHbgPW!$`qsel`4 zmb~p6qLfb&-$&$RlJZFD=o8s|hBe$?1Ov-#{s4eN^b&2Zl9cH(sY;6C8JXNb3UUK; z*BFVbNZ|vCjenacMs+zBrpB7pH>8Xxqyd2?x3ML!U%cCQasb*I4|Od7mVhmApCt@~ zIl`D#7&HV!j4?imkU3oU+V?WB-LMqm`;4S}9nzH^vL;sB{mob1FYSfJrW`iLoaFoS z%H8!S7|f;K!GxP;sIT8WL8XuBiF!K}VLtVd%7zwtYNN2Lo9nf{i#ClRQ!qeWH9*oo zFl!Bz@sRQr4wWDOKaOHTDmvNNnwKM9`%`YtHfKK`HYQw^9)>S%-dp#PRo&-1>0PJD zOQ(*y?^E*hB+6eg(IH1(Sc`J$y{-vS@?`J7ema?Mcp9uJwkmJGXVfV;l%A0b2~b8> zj$6tS@4y0+AoL&7km0dqWWpFk(fwrQ5TlA_Q8FhxMn#o& za4vkFI9iVf&cXVRG}>qBl>Ms08U>gVhV+6hH&&!Dk(bK&h9)Ycj#|nc#jTo8RmQuS zi~}v_4v<%IKdI!i8C<)wP`KMYZAkMjAI0@sREVtPngkpB5CXM;W)-bvYHb^Z8~2Er zdw+XgnsEdNU#NsQ_(JOer~{tWpx8T9T#5%zU&}hGN@r0QAQ=wOgk|6(NiVW>?Ps+E z?d+4fvj^Yi)x4(R=r$Ot@U3fjzPM16XnNEz@d1kV@f|=Y=KSzuRX(nU1QbLX~9AQWcot zROXW(d753qSB+)V0!HMZuiR`e-ksbo60-5RN-@{dPxbwI;c>_-N@uBk_kSPr+Mr&P`}5-nNe)`==M#jbIvqFtO0X{#_)ag>m0 za#Nh2xy%*&GN`%&o{rxkY42W;lrGv>brhlYTvdV6<3ttMpi5tFQhO= zPgIrIrH%WC^N#U*3$zI%ef}s1RrKF0ygSlg=9^Kjj?}G)Ed57D?x4s%0c^_t`i};A zj)Ez-dJ95oCe8Oc#zgqkao0X#Lq-;sEAsL7ZjSrQoo#&7uL6Tf!*q z`nI!aj=J#McLX1-V*DF(HYvP~Gt^_&2;5!M@e)Umc*@^qR`1AZ%Cvn|7tr%!ZpRGV zjio%cwrURy?2x%_gT$=fdv#&9OhUFQ>E}|;5=K~NI*7;bb>zl=*|(t~M<2~&?uM3v zE!l7iaow_tg}vscAGJJOWPHI!TPKyOJ%J{+a@_jlCjg$5$hG6v3020Bllq8l0Evrv z%8M8Y#!(Q26(@ZDh2xp}UjQ<3#%CF~X>M)v=)4tqQ7va&4SKs0PbIbru-FFri;Zdb zDDiqJ{qcvCOw?7BFVXSub>xhwX<3Z>Ub}d`qhjNyFiA(E2#uGlE)ezLD-fGYUA^wjV!^2TejbY2^HD4wVi5aI<_j*%>n zBz$J?V6m#Na5&?a^9o8#KG=H5e1H7G4vPH7`l^7q#NgRS9X<3V=4iXu;$Ti=&WGxP zLz+p?<4hdpHP1t)`EU1<3c<27&AEFAJt%cw4PkbhM+wVq6Z91(Wj(yjX<{PFn?22c z5ZWL&<{0d*qa%f14;FlLyKibnIq})SUTR;?oDSJjOTU`g{tw$-)g$j9mQ2*xGAhUb z&+37{Tc7Mu*yq=hz$V0VoAo;yyw35;%y;NwLUs64QbAVMK)gOgDQK#4*uSW^uNw}w zdB&E4vEkq)y~H&Q?vg!shi}(+mssbsu_arRxRj^rZm9tl7p>RI1GpENThAq})*2eN z+Lh@5oNRSU>kC`zVtgfdP9oPqE4&+5O{bI;J(d((PfPbdec{B)6n;y*8K?8yy#wcA z=UX+@bLRJnA6WmYH|YEN@{M0j6t0Min32g5Y!}`ITJ@{a%ERTTL*6}6{T>9?E7kET zHWP_Z-hqs%7bYJi?kZ>Eg`ZL}#ho=3;BKu^%7th6v(_^CjT4je*?M@x&8;~}Fsy!A z@KxMqyVW&OeR+3wy_IR~K{h777ajq;W)A%os>Wf{S6?y`tbvS)4(S_)9Fy6i3Q(CHd`*+n*b05JHKGo@dUcZA|Mq@gbO@tAbQmFKD z>hciKXAcmPS*5Av5VGYt!Sm31DP`tR!6v>Tc#)b}TGE$pLCMA*SqMrPeiwt+YpHm) z4%4#t(C#!9F47F_EkBWZ#yeMZm-`yj_kBe%Xenm=qP@UJ^O6af+3Y;PWq4Z|qz}_G zx0k49$K{3CBXe!{WIco*8iQr!69t>;96Qk43B;B3wwYm3qBU@^ucO}f_Re3GV~Y(q zAD~Mv@~VrO<{1qe@2acqY`92psK4o>R`)0TFuCaXP;wzsIyHb&@4*@yowKd=NoT=*oV;a$|! z#LrRRE;|);PxmxQDzE8x4Vr*t<>yydImxhCH+^+Q?}Y-qoi3`aubNQ6G1c3WCyonR zgd=xQCexZrS1YYgReIk}g@7rarLT6?FuGjxQMUA@8%h@tE>eI;29PoI*1@1W*Kyx> zcn`j1IG_yTI7Kyp#I%VC(W`fewFyJ&H4fcEPAam!Il~2su%?LNOh!w0)d3jR@y8oXnS{G7&%XEB zD%VJ8zC%taT@A1KGFE!4B#k$MWHPq_9G?k&OD7-Ya$4}p;WGejJu?4WmEI#+(MZS< zKoFT+f_3^k_}#LJ%EWS2fv16{6*0X6wK!awx3%ve>YDD8Rf&*cBRNF9h!R(s$tr-f z&oP`sy3!_LOtrMUAH6iV|137&*FTZiFrVFtyi3heUSkhc6;reRjzUf-`k1x4T;JL^ zvXti)U*Q>FfwPlNpLg7(a-a>xC3xGmwQ-`bJBynmOFPblb`T&-)^rM5g_9jRBz*jb`O%A5|sWYNGV?k>-J z&g$r-WxRuJ`H_uebWU$YR4=UxccteAEw=zlC=YsOQgip`v5{F@av4+s@pHf1MVkzk z{2(D#Pd4zN9o=*OtbL|r%s~*SW1VCvZ-m+1a0-L8dyb--0XO0Gu|@VAH69IIXSz23 znCHfuvkn!ePP}STX^}N;-EN!ko_)w z={v)yh=_L}mT&;|O1g@c(=m+GUj1QWr!GIr_(y+%HbL%AZ|o6m)b@{-s1g2m#mA{5 zrT2+X`ZMo!)C_;zd2{D5)t>YiTmOY;C(nTAVM z$Sk4b|mlan37JSz95JBYT+Xq5EcWI zqqg|Q!)DO{0+=2|q~_+Mgfxp1Sd0~qMQgEo`2FX)$R!LeDJk!*>iVS0}Xk(3xJ~!^Dcuv(@pMH}0a9i>cRD={|Ad6J=0Z}KR)PQ~u^TqBz z4B&E#ADWGcp^~au3PB29TAKvdU(4A8EsuNT zW&Wd2Oj)kH;Y_xECg1bmZC9La(Fy~@p}3Y|>!T#vp^n5+kfx5=3+9`{0if8!cMq2* z%zR@1eSh>;6@A`|MNYZQuu#XTI8^SCfr@!JaR}?Ra$>NHlC97dmFSql@i@_@mEqJ! zN`{x@`L=4N*jO0U>}}r|tlP<~o;B9r1*N(Sdu+&?Uh}=O^{h+!qLvv`V9&@`9AQLa z$KdrLUCs>yCe7i!+ng>mnOS#l@#M%Eo0uG#8x&ehW?5RKd&K>|j;4wyaj##0IaaxP)`7E=zS6oH{lBK`*+tJZ8{dC9+CtXM8RpUBSjJGXd!uhqLbQJ-}sg$6)i; z4CG6X>?FkpNl`tGK*U4Z|3um2cU;r@*22FZSmsxS+66ipomCZ zazR($nmnG`l!qAtn1mqJnmdFe$*ji1$INrT2 zR*Oy3$?%GL9h8A8r($vc1d(nBtcaac-SY9ipe0(GXBb^e$d!Pi$<^;4=#@Vymg#45 z8KZb4lvc3OH0ZSB;|s@T!vDB}kO^`nb$=(Z##}Tln)han;*pZ za}f@7JD^rI=JieD#L};u_~|E7ur55q?fk{wCEA$gD5Y-Fe;-v*DJov0_4I@b%ek8R zf-OCT@b>&S@pew; z(ygaWK&H5wj_OP=Yk^wGQB7EPGbd~uJ7czbJXT4Oz45=i(qou|8Qaa>|96zW=ri4C zs-Hj1#Q}@utf~G>%L4Xe6b^_En6ZlWX24(n#(MU@wwqA=kjwZXga12B-%$Vl{~M;Q zDCWYTIs>Eg72iiDSo61IEcIFVXn?QJ^1$VRj|GOW3wZ6pIo2AVG?a6k@Mm!3Sw7c+ z7ZbVyie5(*$4Jn;3;r|I`WYb$eq9xC`msa!^md`?# z?;@XP0|Vz&1wJ3}*JW@}f8z7OR91rj#;`{LJM*c>kbfzlC@Oj^ctOy-{@2m@Wje$HC%5B_u3PCj)H%!}rWi*cKtjCuai%|0(Ac^=vR1<)SPTI2jfZ#!n?iUapR zjo#x56kWmqy*SMN(4g;iP*EcuekRZ*XGs`YI{KB-$(cS})|G9}sPCjfBe||w=jB0b zEw#VT%C6k|+MF}Fe+S@W$*i}wi?;vx{Zj4xp2oeSMfYbw{UR zc4p2>%ACEo=kHgi1}DwgWP_m>Ch#|RB}qgQzJ9{5&6 zV)Y&M9x+dhrjAWQ+NzyW?}tpgYY?W6sO0k&>gvgiR+pwj@wa8e8~^1UpdVj$^Wkyb zqR)w|k>iA4M)yRLc2vKuv8Y4(L{$+{iy@k*G=AQ99)%y$!Op8|^QMP6jlFq6k@C$M zuF-1eLujq59{t@KSmMZkOdrtQTlgfCV+ITfbst}P9yiPk;kX`33SNS~j3@_mMe&mC zk^16q`AdOgqNH`W8Knu`fy^c#krv~r>E^{0YEhKn2FSY!cqw2}E3HGVip(cFq)SU~ zzVYL-!k?^_(9PkNske8?8J`r z_Jd_DeEd^%e4Nw-{Iz};IzIRnWFCi>~}n#|LhO|4+xq zmq<27>FJRQmVWiUz?ine$z>Omx?NMTIhQYY75+3*>aR6o_lJ~&`y@@vO(jm#&r=$< zjen%SYQ0DaC*G})rrj=`g4MI~P2!n$o6(j&Aeb`pa?>{|6j%P-FeD07uA`(nj_6R0 zBOAr6p;e1A1y_bYw%=cCF$>h)<%kGftL`7GC#veJyN`WN9B@|Obq!SYp#{QHb65ES zeMLNDUP@$*P%RG?-kQ5s*GMX_*X;%~wJ~ABUGs7iIpLUPdHrXR-jCCg41H@Rmu`9kP3d zr&sYXgXzDps|Ei&me`CnG!Cj1D(GILEq{(~RqW6#LP17!s<@&wrBoVpB=s^u*^WUv?%m$fHy>%H{oUob08J-5~v>(D5_7pO$v^ozG@c zQJrKZ#UY=Jj3h3KC`pZ(tYoTF%;M0jiKiNYbqERNreoqeJWpbF&zx5L-ff{xFww#@cYfsqOir_`?EFs-fQv z^i=T5SKF(m7@e5V8{}@F3;`NZOwphoI;&WPS_9uKGmJPP^ptryg5K! zjIfj)Hdo&mnjkmcg*$m%Fh3@fu9U($$;fn(f{hl0EGOze#w-6r{epL*iLT6Pm>YGH zaUweFhX%0=1eiSp?g+JX=I;ho4qn<6S|N?H9P>QkNjT8ARjdHLq| zLF;pIK(t}MX3Q+uQp0f&KfFQ8Yp%m}t+OLya9{X*TpW35MHist2=w<>MDT{_b9|-> z#6n*_tP$Ly?hAL%U1J?=Ia{Tsl<5#WCwm2F*7Ft5SH-@ljYay?qAq>A)4M-zq~$r- zU|P~R`Py>axgeX!ZGc6NXvZ*xPSn5Wu&G|^!40N7n)N_P)FSsx2I>=0u^bjo%Tf!r zlsljh#-`UDx=Ed*n6sYt4y7WmmUp%55}2?^1T2&H=3OY>b((Yn3(^!1@(R#a0S4N7HyeYuC zXH%JQLTmF(--TN8gWoWsu#q~J$|Ro*wSBt|IU>q66i!nCx*G$ZG~6fU>A3}49P2%# z+f56lTq3t^*j1+G7~5%YKceD@iUf#FW96LmmDP@I@a!`=* zoPz?YW&?VupgzSh+A*|B#cLPbR!z;795}#uqOuOr^@6lo<)hN6i}YT~MYkU4xrlQxK3CiE;uxdCtxpKed0WLA`m_-KAL` zs;h}H*0-HaiPyIkg`wfyrl%g0zChK=SAXjP)6K}Cu4-^6LW7QIqiQz?Z*;-2*x?5? z+f8Nu?js)2#&pKHuEqa-Q#BQ9g71IlLBV=licl2e@%EHYbn8yU6>O1)F-mcM)gAf^ zo&jE)FeK@n%tz{JKbwo;w=pP_J;K1r$sqO-sk( zL@%*tP16esH*)IfGwph|;$E;ti7|J6a#T z-V&^93^&ykB=VT=jH_Vt@eDm7A4YfLr!6OW;`NREaem8L9)?}{cshB`5yz~JoG+wc z*@4u)>A~!^u8HC!LtHqGVMUOtwax)>i%duGjju^%q6xAUiEx)nv!sQ`ZZ){lC75vy znj+7L13T;Vik5R!-Fpo=L!U(zK3(*E|4Po+9^ClMVHTq1xX~2yedL!N(){V%rjFzL zk$ny)Yk0|ZJt~{w13W&lOe5m#d+YxS6Ydk}(Y7-&5kUJmFozU8v6@(Z4k24)%t2~{#d%fda? zMQ-;>DUF>6a>grC0M!<~9*^epC!&)DAumhsb@UBZa74Tm!WN%g5EKi)!pY3h$1Tmz zVk=Et0wRhYlshcUKTfn)oz&Rq<{lM!fZs!lZH&u~r+QTz@+Kl3QUkL@FjH5!*m!#f zNJ2aqw|c&UUCNI*El|`!cX1e@m7l4u0zx2sXx-OyN&)i=KSf2jH$|sC-XtN z<$6Xn&T&O~;YmM-P zXGR_C5x=?J{{9#Jmis*)_)1y8HWrc<&j)<)XyD?#|25iz1vO<9|M@T8d2V@#58}y> ze|uy5AM>17X1p}X%u4~jn|&Ym@mo;onX-WO@D4ZYF9D&5GsONGTXp(h35?0r1qv2o zG+Fx4BM&nX*XbLc`C|n>qmk%9|#n@ZilV*;j$T779nRou`i8%;rGzQH7Vrt0ZG5@|j{@b<%KD8C8_+{fW4|Dy`0XB7c z(M(i)-2QFG1Ueo*_Mg)n7qp<T^9!@NVfA7!Xcv>$6Whm>cVJ4}JPwIa#;Cc7}W&i*_ z-8-*8&dlpiOkEDr*0Z;uh5JW;!idQWw+FJO`MlBKzj^z3;2PFBZsnA~7}j8w-*5i^ z`gqKL=N?vtFzA_)ngIX46Ty?O{~B~qJYzvPn#6vZy;cV|yH?B!3E2nE#fi~XMrKpJ zWzl;+J580IHC3#K4?CmAU-Dn!9^UK-V7f#W24vK*c3qfUwfcbnm0(QGk1k=I`s-DA zzCRw@``a`8U?0{$Mjr&dHs2u+TQ>6&d*)i}ZTpMwnu0bdHsWGjG-J!h@9)5z+&y13 zxs)3p_Nc8PIBL$Rz(2<2oVXqNEAx^so;hB4h8KN{wKO`P7qBhnLHMr+SnuV=p-W4Z zf1Gu0G&Va9j78Pa;29yK`s}@?8Qv24Zd2LF#V>z){Q8`oqxzhK!?SjSEcKC1stN{H z)3Uh%pr7M4Q(*In2p_K0q+&yy%q7+KQtWCPc z?(gCjuwBi0vfZJ)ejJIBGf7mzp`Ezd$y`NPChC|cC%BnaMRw`XeMMG^sP@LGuV0Jt zHz1G3SYO1NBKNRkfUJ%a5q>qj9pc}Xel_FHKM($Rm!uHpbr3ZTVYDuKi0}iSOnh#B z)sLif6(cbowOI}f_05qYQH)6Gpr9~Bwz_7WncPoSgEViUL&EZ~$2F}m>Mzy!x z-!)2KdkvFsJPva_(JZSS&LZKf$(JfxMf*BArL9Ag>kQHTy~5hVJkdex?ccd)?1FDI zfA07SyT?v7))D3k^$9hpRE`z{u~9c7rQd&UA) z$+2sKS0~E4qhjZ-Me0-qGQTZLwo1AO4KBI-zoEJ%LnR9zjN4*os|ueM!TgzMCjTMc zSK_p3sxR|z>%9fq!*H^(*>S|i$uZKeJF=tN9ZEN@{rYu1n3j=*Mo8DFMu#Q}Kd9*r zSyMhxJlxqK|GE3=Rd5Upi1gQJ`(7>H`ZTo8q&KI+U$@6i%2xD}SFlAuw%d#nCq~I* zLOd73jt@}sBk(?@f-w}2`hWyV*66jp6IZ0TiMsUQ05P|f+?F%z6M;&@aR(h-3_%fP>v*z=QM_MpOLc;uk#7x`#5 zCEjmX=;$PEG#k@ECm|ffP|h*;;Sb}&SL&IVf%q0iVzc!scw^|biARel2<4br7G1CToip%^8Q?0t-AV_j|TE@=4Mg@L+1X!l%kGBD#y=-3@!1}#!H+E_)-6W zi*qc3Cz|dyXN*4Ea)`@F>rO(PojiC`ExAV4CKwX#hgy*0E2re#leYeR9$r_ciW8PV zeVPf{jDSc})083_;8NuZsb~{FXeG3o>Wtx;|5VdTOn)J;Y#5a@7qGEtsNPg*C=Tw|5Eif-=1NXnVfBd-htxC@DSxUYesN zU(6hB6AFT>Vz*)Ilz)tyt8C57mS$@~9F|v=`bsPldB-9|5crMsS54TQHIH@XR}_`@ z-}s~VL7uv@l-duk0aa9C>ie7uFsUnEP2do*c50}DW2~U$PTeA>#Sm@p#K@a?$6CD- zOU}eb&98D=K7LRXv5MK1h&Bn;nooMwc`ID-AH=7Yg32nZ`?`#Jzz)N$=|9k)1VSM; zEaPE-cIPU~-Vtnbf-X!bavJ_SLFXN-x>e!<1WIW>Q0m+7+Amr!Tw$qCaweP#DqcJ& zF=CU_DKzYq4&0?zpgFJkI_>{v>OgS=T=wi_%&`iiWnN@IM8?jxNE$Q~#PN zkZ&Vj-je{bE|%%=Q-m>ZGbPs?vDAH9H|Km3W6#DP!=x%!Db$!hlrp((l4&3Eq6y#& z$nb{Mal24mf5A;{W(GjDVLrVC1Q94 zq%31HG*hXm%t|`glCNSf&5uvLcUPs~?F(yoi||Nd8c&7E^VU`;4^Sv!7`GVUFADlA zhJChK^=bc+aYYh4iICehl>a{F7wsZzDV?QdZoeA?@4MYk4@Ela3i179wmf9z?PN-J z*wa?lhd0b5Q*+9+tE^1jDieHOXI1mU_a%t5uq+zMXDL6%k3E(+F7xCb*k7y}y(C zzQ;kUw3E=(<9pll_>H<*TU_asJj@z;##Pq+UG&S^cn-Y4Y$mXW^&`GGI&a4XjlxRC z+fG-Gyy?|yHKL7Oa%Xz8G5?#MssZ28NQ-m4sqJlZnpCi9&mFo> zts@RrtX1uWugzWAXO`1d+0D8qoo)TxhB<4GcyPOCv{-b7>X>b)w7TExY^(>T2V4m$ z>|6X2dvhZsXoJ#|F0~E)WMp2GGP_fjMEj47i#B2}EYn7Mh#FT$`n`@};68T83D`<3 zlnxkS3#eHs9lQdS-lBHSyog=;{pOFF=&R+3Cb6xbch^tAif)>zWQrDgi#H8v9{e7| zpSuaQ*v9`ljGy=M@%t+V!V#Y- zFZlg2^^Y=BKg*Ztp@elg#P=m6T~Q77iQh&mNLMqqKQv`W;CxJjY)petaQ!#V9X}U% z*=KWLAoxb-wv7d5Jv#M8A8$p%%z4UZehZ%Z!}JjUfX865GrjlgoHegMwiay(JACH( z`2rp?dBqZ%Qoi9UI7AvZzrEcjB{9^K7R!40mOE4)5c-L0*{y(OyIBm0Y}JCmm<4xy z87J|v_aEOhh~%&Dkq!IMig4Qz);Sp{w+2NES%T4DZ0zGF=6tFR8XWDOlRx{;c1Wfn z(#KKl^yHWGujqp|&!6P);)jr<2Ubm6HMQ;a&wlk2jPJOp6_OVPeP8?{y0iVk-07@^ zD}{GZh9o30iyx@C6R-`P-E0wgm{r6V-1!rUl=^T|kkTYD#?D-#Wqbp5!Saw{Zfl$b zSfp6h!wtAfhy4U2v2c(MyMs?)`Xhbw#lm8{Lh`n${*|8VYj^zaQ|4VS_9pIOW9EB63Km$txx-edHYA2iO+~#?@2O%HRh|2tJ@{ z^+m0!86g8DgpH{^Nhoeba5uHo1V*kzyWdyq1Hx+CCezxrAzTl&z z!a;OLbP*C`CK=Gsi?V3^DxZR3t@y3GbU5@FMNI3Lgg;K*lUVD!O*9;)&E%Pj?f=MA zRi#?5+DXu>H(PMT#gc@oiqr)BK*&}yFe2-;m2~OdlQR~K6N-kpYftWUK7%wej^Bbm zDiD~H_vq>Zh5ThL(?)`mw_lZEvmF%(`0w##=q>#2KwUPIkC;R@QmWBA8?^oKCj$xN z6s~hw=m*$bUTZL3zjXRu`x{-|FB~QOM#2*eC-1d;qT>GC&iU-ec8+oGoBrSJT&Uk8 z0aFkAJi%Ig*l#&2{BXb{tXFpUtq*u5VB=xd+=l{|v!37k-`2KsK0ebKFT?%+UD8n# zkN?k-?vHT1??a0aj(1Oacu4@z=swtfEMvaEi+=Q5w}kbq&_A5DFX9tcrXz5d4{sl9 z&o&oJWI!>RDCh-!@a82fOFv8S104GeK~erw354A%u?ITF^55e7?}>-Zo2Nat()tiW zo#78XG!=1(@tIFu@!30d)>bQP^QRAj-tpl-6F4Wq#oAmt7F^3J8iAE#Pk->dZK$8! z@%Ug+2)7QQh`DPT&X3IaS-&iNWY+F#7VF5K(2sQ}n+;v~V#mlYexY|`cTMGPv+SCx zd~^CvH{XL%ltX16EZexjiA3H%pJlnoyf7?5gFLy{+ zfBT*HUQpe(?8d)a3a%3@6U?#xI+Sfp=1{Wb3$EBmmNI;1!)yJi-jgg6ZBq7`=t z!D_N9flwyplmNwWov5x1*l0u5I$gB`ZE5%|PXx__ES8cJ4EuqcIpU?Zo?V_Zdu;+L zOZuUijkcb$zH}p1wz3g-vJ9sBoalMhRM4rms6SnjG!i!jXF7q2DG7A1$SQ?HDk3xAhbPFU$0ss70IhK=;foCoUn( z+a-$FiK%6RYPL-V7Lmoz!isPUo!O;hsyJ}5!O%)$Qsb?>Ox>&~Y;~2<%d)we!O4}n z7jHm{X|!%Rio|7OPum$20Qj!$yepiW5n*qjEseRpP{@Z7WjkWVpoLS}8O8^-@xY z?xh<*ofj_F6dccS{`e1Z%nYfKhOL@Ey}`s_35`=nEM{8XS6#|-M6T>C&Mg}nHkW>p zGerAaFyY2l6Ut_%;9w3H?+TK6VKyYmJBf3>VX|jeDmIkURjVQqL`ADylzc1B>q?mR z9nO{%U2q8Q(O$HV@U&>p?6v*5f(^s_mSq~U+VdEDg?#;;EE@Qc%}ziV2ddamuHWLx&@hjk^t&ial+=qh?jA07HUdR6 zXjT7T6EGnVQkUceV5?`%S^-_0@lyUC?IDX zQVk(J?uE@mQrdy%9`yeW_zUZPec2d&r_59Z9J(}>tnF17q{ZG*y(Bji)wkm90>BPV zO7 zLodF#RKcq}-9fTedQ`b+KP@%)G#}5h)zh_OcdzY~0+S4tj#)~|RiDI5P<|*|cH7HM z!(Br=5U1p>*INWp!UP74la%*?c`xr2(J(Fly}>5m}#cq)%6C8XuUjJv3Q7* zh&Ud4@dJQGfpR(!8&?rELBABE6-4pDu&^c2SIh~s)>s=!F%u-nYB?>P=w=OQL7_Y1 zsXtK{%4_hZh|*imh+|SSkr=wVGI5J1-WwuiTqcFPq--ZlviSAUZ*AkB@#T%@1q@`k z>t3*rQMI2678@F`U-&G7J9Ixt9qCc!^$977?Fg8o@oqvq>6G2ONUc+K;g(eI(z0>g z6&1sWPD_NSm53|hxM^`3VlU>_(;{XY?PxkI-GjPw&fo+>lt|S}_zh51ViVd=r7$n6 zSFl02-Ua4IYW?3;w~Lud1hF=Si(F3fU0U1h%nyj+choMBRxB>7*btj#$$!GhY3OLL z3t`vurqRrY?GUXoFb-l)+xhb19kxz6{{W~xlR4cQ7!5~{m?}2dq)W3?JT0ek zCbu~o)HI?{TRkb>yL#&>xxNIs9a_wGW7Y49PcJ863G&TxQ{v9QkDR>Ub3Nfa`R#Mm zI?zRjb9usdcn4{T++~>G&8fD=O0El|Vg?D`&E{hIAbD9{e_=QV=Xx7?X*`ShriUc< zl5aHL7e$RTJANTAdVlk;kWkiYDY31Axs@lhpEmCe&9^1AotLv?e66-P>T)9vCayS2 z(zq9dCQbV(Q8c{c@mZAEl2GEb+9ql)rPwN?xMf6`<{mX}sZS`+O(7-A{2#n?Q`R_P z`*5jdLWrj7TdK+?-EVnMMjH;+<+~bOq~us!!R8wr6De`+&#y#oekG^nFB(LgFNsP= zlug>~2`M5Ok;?b@btl*iewOS}Y4Khj+@1Uzu z**)8#E3ep~yJ=W(z!g_<5M_~)7?FGtp#9QvS@O-cee1R4<(v_X+V6ySbz3)y!+~Uo zd7kICp0>x&+5(~E9qnj$=owotZkP|EVi*sPT(BWQgU*8^O8#%QktIAY4ny@h3of_9>JzG3R`<&y?qx>HEoNeEx{}vKDS;B-sa3WMc?^bY# zq0SO;bNc;#LDy<#MUB?5g1EP4>#9Q7lZStsv&lMpZTZsdk(ysav++>n{V?TOi)r0Y z;mkg$`~FiiZzTl8H!5G7Dvf&NNQ%$dUp1Zdj~|abJLX-Ho}Pb2J}0kv&Q#5mzca?>#uY68vK1E1iqNKa8aRiwt2Ha+ zti=aUJ_y(x-L!(WF{OF+x`552nrGkpZpI1LXUe0G?FnAeAKm`fpli010;6vBCV5@3 ze)bx!sWlGTvs(3V^z0}DJgM+DZ+->+Q~krPX|c-~m=IG*Rs8&J{G%Vtcms=^Qe{6~ z&FpO~#hr)9OE)rFT>X(9;yKIC?QAEimz~>wh_rHkQ zp^6EnQkMoF1kX!tIft|DT70Ds=geLMYEmTrWNA5Nvd-QFaUgZwSBuNVywr#pPI?XjxeA4PjM1-y`TDZa$nr)~aw4VS9xSps@K(mf^!hukQ4z0AASE8u&B5W3sKL zYOP!B=EA3IxNA``R?H4?Av!GR8uSvs^Pn+<5vRlg~l7$pdsGW)&=qbS*{wi)2Xu zpN_to>BKd8j#&zG{~*?3CBw?0(67 zz3dlx;6)>q-Ger!=$Q+&@ZYpNHlSo0Yz6C^m|udv}2YyG}og(YgJKVl@r%UO;}JvQ{GEI>+XuLV;)P^ zh}9=kD6y6l-Ju%02J`OSq{Ro4Y0*wJSEEN!O^ee_6YlOA&!}c?LRwI~lh3%s8#TXK zhgUaAQi)o1TL#;^7j8Y}QT)f)fx<35{KKICoC@bkcKbI&qVc$r2ytUvH!#i@+>;I$ zRV%1?q+HR|A^wpN10nnzB_u0zMo;#KEV^^*A=)s%X9AqDYr^Xjx(T{Ag4cRVg-)7I zOrueXQf^0JZu)DS4NuxpBSpyNuYRibHEWc~3Zn z`LBB1Hln$NMDbCvr-ATwIi63w%dyZU)?VU?W7=-id%J-~JMD^74ULV?x{a6FtS+23 z;1wX8onkZun0lz{^5QD%IPviTAutw^jdgjkFnUPDxRDxr35k5AHlKC0?bX5f%I$jU zc-T%_I6%K0fh;AXytU@o^t248^d)kfC~Vyg7!~;|66dbfw5Bg-ZbWf}bcFlz4XZxy zeG_-LKif=5M2c>{uT|5=GXww5PQ}|h8jHnCIW6Jlt|UImaNqrhz5cUxhbk5su2n>Z zb6UO|cHV2XWw`SKNn)Mee)rB`WTg9EfA7DH?SeN=M=PQ{1NAbF&QhNT&bzFXd{PHM z(#GCi>YWL4@qn7D7;2I)&rWI=*kj>m7UYU11WUv4UgEhLt7^%q8Y{D9l|RL9V1N&) z4=(eeKfx{RZ0_yW##lsAwusoHJf;#?Ys`Ot^iA(_P88+o8!7c|Fy_7AY{expP$s9e zu0dY2ixBTgt|oL1o!ukZ$>^)3=-QY@;&P-z`0krR;f7cQkDqW=7P$zM#<4RVQdbn-rCPcX;tamOq6xxB+!?n&k|y|Y!vJ0%jUA(8A*5b0I*4I zrEhT(ky_px%Co8s_{LGvXdJ^Qdx=ZoUZz9)jY zYqXu_j@(yA_chGfeni=Nvm3D&C7vkpspMt#dgf}wCm}Vgz7g9?UN#@tihw~bQ9B92 zMVD0e)*6rDYZnts8z5?FmEI0+{r9=@3my-$?Be9Ik(vnQKaSgp7w=GFyik@&;Ucbm zD0pX3Tij7gh}jNx!K*Q``)RRhZT@AY>ni4l1U~hM+FJ}PHZ$n{GWwE!rkI1wke;bM zr!G}dl(@%>?x!v}PB@z1V@k#{)cNoC+pRos+$5rgeWkwa{(-u`n~xXvF`uW@SmcRi zA0)(P7q!>Q5k=+nP?cSY$v`30SFEWg#MY7f1+VTk;uGj3A2Z_-%h9^uQc;%-?k3N# zdztSfbva>3_`2}=gNMtJD9P+*J5pjF8OYP_uF^Rhj38R{%W<)f$OKXK)YV}lnZ}tQYkTpWDxWYHT#A&oE5?QNC8ZTR zyi&P^xrw=nOI0U5!u0|M%CBv*#KK*#_I^+HpmZ)3WmC&MNBi==Ju1lX3MYmMQ-aWA7f<e_m!tyF7KtEhap zwY7qZI;kz5_ECW;1-7>66d|jMlw&$1pcS;UM6@Qv3L%oCB1tA8NozS+xDOkUq=F=y zl~MvBEvFQ6`d)Y3KHvTR`|YtuAET=_CU@@p{d!&3^}JfnX2c#2WQSQoN%Iiws|pydxj?X|mw=r&(o#H^Rx2xHaB zBeE_`nQ#9mi+a?D|6r~gc@z6E?8I1(|8E4B^~}!TSu^K#GCZqG!7l{78L%~cW>D}d zST`XPg&kt8nVI%<(Ek4?2yKUd_c(r^AOAar`%fCvV!(`7naMGX1yk>g5Gc7%YJKNC zz3j>S_}7_BA=ZYxvJ7V4u%NA@@4(HQHuFz4AtApEirWd46-3;;fbW7s&ea5b&ZJ#` z3MxdkZ`aIVLFcS5=PVa8*n4~E7b%PXl!(mhPRWAUh=OqLUe>(t0J?0v@ndM!r7asb zKC&?pjJ-29C!?>q@rEN*Y+lYaBI4y;_e`!ZpgPcZG^dGCck{{M@c(faj79~o&SLGY z@YKE@!jJkpWSk}C8-KB7!?%I|Q*`~+xdC$4t!Q-j?r>c$m4@0Q3+8>YW18PA3XQP^ zVqjeU&b&j5KKuI9V`2XK-YH$fJ7H~-pg&bUk+8nr%?er`h&f z&e*x-kGEKj;ap3JqG4B{L~EY#4jt#@Mg{J!k4Nu8?1;A+D@CQA#0n7Jmpmj&wdmw2 ztG`K!we3y%ru+2(M?L!?QTur1RhjXX&HfHt)=-4`;hR7LOHbaf8-4M!&zxu@&ZbzR z$k37Gfkg2-7TWXD1SePZ^7CGlZ=wacS84c*cIYqsMa@516I|9II9VTj&LYg+59uMJ z{2qh!FSz)Pa_+KJv|KtW{+VtJgPi-r5aZ#B^0NAtb9{Q)s-)#bXrTpmW2}XP5Wa6W zEkX{~g-D}8eEiIp)4V_zvV)yNeSumFxW?x1$(3W+P93>_^XC=gJ;$-nrT*?+i`bQe z2pF;6ulwdDST~u`aR{fie)4+6cLsA4JN+|QXs$c(dM{5V_-lu+r=X>mxcMZmt7L%v z;{v;J{swccCpck#Y~Ki&n=6aVT6@4~_g6Ah5p1Hwpk6gvaIl7>a~i&5ruHzGZvG2= zC=w+}i=;SyX}Diz1K4GYJby1uu#x90AOb6?n`@6WK9YY$3U(z=fO zH-Asm9bV?niMaF7UDeIA2<}+>4q~o};VscO14?uKvHFtzL~~1yf;R;kGkmCP0bB>- z+B;J`XSu?zY;}9qq=W(Y2}NFG{fG(a`7d z;Jt~1mSZo~r%&t+#Hj*h<$1(SGj3(BW7+zBRmATc?#o{qy;nB5iPteJJ3LhNxUaDL z|3nGz*J3=O>pEQBpV>NEM&6?@v)ROzYvy-0GdolI<~PI}v{$fYwDpqt0Y?9|U>b*< zvyu|(2z>(y*TpK_{}>)AySYf(jo6uBi|P)wXp*VtMW9vp#@)tAO46``qvtSNa#!=m zo3jel8Nw~{@g2VGCrk2{kBy3w2kFev=;gp-3lrJ^5z?=#dbW=VUt%Chp=uP74sPu0 zKgaZ!A8EfzBX9=G7NXJ!wM;mUMI!q`ej(@({p*Cc`O;?Xh1VPK;~^}6>AK2#>WeNU z1+xqiTiZ_DF9>g=<8G6XhEcI9%WP$J*Jl<2Pgc?%F5tGg5cJ?s>8Q!y@9ljxpASRku`rrvFYVv#Eo{JDDFx(BMbo(Z>7u|-a zoz3P{_heh!emxy%y)V5LPQRFg2VMtR6Uh+}L&;HQG5{uZA5rI-)X1b(!3V2Tr|7!= z4pKDw9M4dee-G3NBn zKv8&7D9K>r?m6))?w`#mZ%tXDe#!UoW+m#(UuCWCQ=d2b4U(7^**sRGO}~nPMX7R>5cF{48;XBCz+4M-Qc8c*pP37| zxA~LJ>84+ThBC6A)PsnH((9TI@oP;Znv&2IXciJDgd-L6HS^%N%*>JGD!Ct?sJrOX zrUUWW`i{861-@SC_B%P)( z&`}AwVYM;5h;3|-@GMDX?p>%lf;G`s=u%zMt>i!}$IT1AIS`fIo)qIfmp=rO#NWbW zSbdJ-6RMPlLGgVDt7Z?l%lKA-Z@}s0-cXkdG*n4wdN0M#kqV<#K&?lRM}&-Fx@v)CaBiKmYD<)g z`H{Mj>Mt0f6uqK(G1Ere!(7x2C5jOG*y`*`wMjD8xco`PoQ;5WUKZTQh{Nn*lo)>o zc{qp~;64D4PSMNIupI8s!*W`BN94p)-AE7h(osn!b*T$Hx>07aZ(|GT^;fj7Wy=L7sZ`Z2I+vNt*ioNMovUkw>l0i?4g_E&$&D^0VrvY{e(V zV}Cz>v9&l^k+u6NCWxXO=LLD7uB+B*t)cElnC;X9k0?BE9TDf-Wu#c;YifSxTI+)N zycoQT6;ua-=u3iII^yoXe{v$Fc<~TBQka&krlfA;QV*LJ*Y)&wSHP*c+1K!Y`dfaE zj-+Jl@?#!LbFHJ>L4Tm^n!Ah*L%O3`xzB8AZtu_YU#&9LYR{5`RmQJ6SLVQ2E>|_m ztKjX}?jFhX5wcUrRH0a~_`}4yGT%sMG$A)5sj7|9(lF_<3o=On>c2yp39w1wg z`t(VB^PRee(ZRWkaXFr3W<>OA81vlC%FYEF88D=1FT*@%qn{Pqt5$QgP4XSx z*v2s}eq^f_a#&qNL6tzj@DePng7{%~3==(hW$c=x8lBpbXj3 z)V5%+CkY_5;gVQqd@U`N8IC(qzsyVd-jrm>Qd*miGTUvY$%omxKK`6S;UVn39fAdK z!+S389Sr9h)WYav!Z+?IdTJkzU`Ft!4^h=!x_7rd5!7MZp4wmLvjQI_+Iev*F&jZE z(IEu84cvQzp4u#B(*>VIDZBUG&*Qm5s_MDDMxdJSHWA{72MMvxK#%i$2hl>8uB_V9 z-@UXy+WhdWb&?w@M#`T~qN|0|P4ow+Cdul$`q~2xf?YoJYdlKU6F;CD8x7`PnnHL= zjIu_AW^fOd>}ug4GH+wSO&{?@+1_Xmql#%F3jK5;Yig5vPM z#mb;Eh?O_-@&5Gx1F$qYWB#Q8NO%D&U={vl$SQC{-$4~t05f;)3)+4j?ZpRJ=XS7y z9=e2oaNIuf`cBNn(Z815EFeUXpTE<-ol>{@?W@NsCs7j8<$=!nIE$* z*TIT4hI8WU7|m|R_B$z=`C%i1pq;w{8%Gx2SQhYCZLV*tf%VJUsqKF^2mj`;-d(KV z@C%;3tHwiib-6XaJQno#PcdyS-&OPWrKkD>p9YF4u;|sIK~;`^{mW&0gbhcYkJLt%|ezg5!`dojSzYI^tszruH!=Vfy$%Zty=u zzS(mYX(#Z%=Zx??PcPg!lG^gFc*Qzqo>qE7%>T1N!4L&9s)N ziSD9{+3LGS z95?scxnV~>-tyU>w8!&p4hFr1MIpPlejt{C{svRmVC zKIX2#HmZse*);VZPPM7k&DspQ4&hcZ9)Ge$VGV@hA|W@$4U903$2M+H+r*#IG; zhK6a~MKKD%8;p&EkS6G;TIYlA+P*K#bpFv$CgiZQ@cP9lK@Ksj@ozw58 z`Bk)>sV>$N*VVK_H+PARX$HI2l2hNXdp`;rZ0tNps7;x?+^k)Jee9MT3#m-3%WsjG zF8B&mwbwQNN(p!q6?lnRhLR?b;^XmjlYJCkYP}7(W-l>!NJfc`*(T%CW2TwiODD-X zyE!H@uX{;$3fzNt_-P3S#MeeaoIFTM0b0GGL+^}*Y~FX8Y+BtxU7sSQQ~w74>}>ux zjajL0#0jBLEEjA;EJAWDuek^Z_h}dsXiV@#>TA9>ZRb&*uH zreqd}v8>b8a@2Pq(z>Vzq=zZ0Eze2RH!j?kn@&HcBbEK9R&pjxboGynh}^FFEmMRxL5p>lDn( zX1Dno)s*aVH(>th49vecwlb5+gjkRcR*a0EMWR5~oiH_-X@N`SGkD!;MQT@lxxFbEXF+u}VpkCVQWkk}<|b zvk3=F9bi|qD!!0I${4i-hT6SpbQ=%&k5q>HqOKf`V;;lk*}+&V@$mm*8Vb)h;JY;H zUv2|g!?7LbFifPZ#*4nj-r_IY#ocaS4{D$?%Dj-jE7~Sg9{=O+9a21*LF5P+b3eLH z>j^Q<8F<{LYXqG!1;5=OM3XFLL*(l>4yL9S8bJ} zQU|PNGu!-oK`Mi8nglo%5$^)_FiX4>r~V0xaBH5KVP1dosqaIo#`%DfAGN$Bu8c5T zyt>bPxqGjzi5s%>8sP`i#%1vT*6$d;V2V=_a=~WyuyyM4Wle|X&zo~-xub{sgQhgp z69K!gpUGa@#mpk~?aArcOPI`jui(ro#zaEMc{YFCza)Hv@wFzLrUi`%NoTQJN^$_> z#Lr@=#PJ15r6=SQfOn__+p=?=T&qU`dA64hcYoiU8l87#+wd|yfv;`i$h+ujo-DgU zqYbk!*E#u_=CK&QEBKq4QdcBa@e(HCkx7HX;1HQ@RUazTy+pu~xLzcZX0oO^-_lHWq-f_bUTY)96>Rkyg%iU%cV z?DjTpykv2zl?uyDZd}2U>Z*!T#2X(>dmwr$-$HX_E4SV6&+$>RK6o$`lWoQAViY!_D>NqhqblVl7RyTjOVqUP}7`0OG95R)RJK!HOAt5T0M@V zgOwYq=Pxgs?%|(_Kzw3^V=j@|VtwhLDI~~uOikwvm4@!OmAlg;o6jUm%BH3>4d5C> zYsC8E+PcTm@+^CZpSpj*d{t`Y zAC{g1Tg9+hOV-5aFta(@r*xX^>{WOxbVQ9nP0h+oPNJ0-O3z_@H%|Jw$oMJuSy9)# zm~2K3a`N7%rElC#$7E+5+A%d`X)E3%Exen~c|x{UW?D=1cS{~9fWLZ|d6C{>?*!j% z&^d-laVKI045q8om&AXglDhs-aVxAcGq3;tU&FM7;y|82<2t6rRdyOBT!Kx?csibdb$S0Xq6MmTv-oehV~HE zhvM@prYiT$+tvqnw%$q)N!w(}`N$4r3Y8*MuDB495v)X|WZ32D2rzF}{A26Fg%Swo6b@c4fA)atQ^TTI+AxxB|k_MKJxy zHfQBcWE?T>@D;f10V(YK{|Ce+p+Pz^uZ^Nj6`8Q7XEI{sYxo;u{}Chq1bkFT;96E# zz$`(?D>Ju-2fse^*K*dI!7tPVe8_r+8xr;(4DkFx2#_z~*N*?U06D(<$FP}OmX1Eb zMP0|gBrxcgudx=txb4+|NQ6gUIm?(>CuT%%XRyG(?F@W|6&60udOIL;-&3spA+O{H zZv|=hlYmnpgCSRfc65fE+xyd;dFP*E1*sD zpPKLYE#LOjvVg8Z-|dRsfb=$qqJqOaJ(~95m36FL)h_+QiI9Cvq%7Q!vHe?fe`DTR z^xVi_4VgX`2RKfM#}FDfa&x-nz4%bOAv8w6fBq-DP%BVG8)0!>b9KeiIsRhVhYNl# zK(Q=1z0$dHg~{_vk9;f){rGP)2TJdj7#{!n74F%x;@d?xKP*+QE3UJ%mU5LyIcd3d zDpp#FGAZbsb+u}-oONmY)qQi9cvh53GeTv>w^QaWp14+a--Ix@xvcm7d0K$55a7 zD-hN0wt8~g?#otQZNK;Zm2V$))PA(`ud0W}rALUFlDsiX6x;OMkvHz&{Phb$Le##5 zkN#^%AJ@AcLZ3W*l~uMTTKAU@`I@Vwa3Xm7WyKn`Y)4J-xei%)S8i}zq657Vm*TP8 zQ--h9&G|sNrXQlz>%)n@*`3e-ZrnY^rvHZcaVXkb{guMp>+UV(~)eCf5hZ z@Jk2N_jWgnR^&KvKviuvHLAHQ#w4#Bj`d-krln>3O1jMGW?8N~dv)*Lr+j^|5`m*6 zA5&a7o(}(=(a^3OYwh#oU2d++AVMZE{t4Q(x0)A5R=s(ImJAj~R;6XxDfu^oZB{r>99QHqLse2AMlA@ z!(otK>Ne50u<&hM+fpJzh0G*?5sk}pe0?n+BQ%GhRe_3@My0&=h9K5Gjq!LN#`8wx zk-j=$GrH3O&v>$~%%)xi1Tea{?L7o6ZWHSl_Ol;dgDP;_Tchqw15;SH~J*U%m6MOtjzxA^WRoA&(@9+fmvw;m;`i9mVsQk z-cU9u51B>MzhKic+j`5V@sqToN1(R)s?2eXGE#BCL>3*R%NIQ$M6TnM6!jwmj1QKU zMj&40z)ziXL4r{9GZ%gIm83DZm!<&?wctJjd)MWSPm!^Nk5@s27fPo3S8Y zT~I#7LA8S%5^J`Ol!$Rzq^9B@oNVrW_yG1K@NH9@9*h3=h?E|QcHcvwGDNYP4DNd% z%u@P6_;?G)j7vuil(^F7={$K*IL0V8JY5=`Mb*0Un~@RJrvmEc1C$i1LU@Id{4Ju; zWz#r#9YBSTy*SkAKZIBBWCQ`VV9ROA;)7PE6K8&m9*;wu1f}VC96E}_#iJ(c>4T=P zhsO5?W`X7_I)HO70JK<~oH2r>p*`&-KcTNn8Ex`Wg&AK)=GXRt#_Ez%+mQmA+TLx%Kify!%no;^&OlbSHZJ zdkA`_cNmNbf6oYmhSqIVx^;sGOhol+92pCebW%&(_H?EvZv$grqdySls>+HhHf1yZ zuq5uR0U7ysXGTl0;Lw7%6XoGWdfY9n%I!W^dg^chm`W~7>8wmqMiCp+3=_}VJaJ*F zFN2l|IheexZ0?ti&dR`oG|jU*cSZiG$xDBENCr&cRSv1CS~MX9^~WSy&KqFlW>_!D zU<~(D1HCa#iF0#sF>1bnOD!eG!W*izhu>RgS1&!?(e(gB) zYloAn?tuH%mZyZ3ar)v>w0Sr&yebp-z|o1#gF_?OvR4TQanA*Jmf6L9T0je)?S-9K zcK*BKw!Uu=ndb4A6pXw}ha^8BrRmwk%+v%@w!u{r+ou_L6yFXlV1SgI`=NMe!Cl?0 z&maBMwjPbH9(Cv9!VNZyDmzoWQrp+MJq_4yNl;PW7Gl2nAc6(qTcgg{^aMU=uY$>H`tpu#*m2 zvMPcURf0P)NlThqigL773r87BIL0Oa9yU=FAi)w5t{N=prVAt5xH_gym1znqhnsZL z{B@6DWP<`A0Nh?kIYQFziGKc;N;JJD=kPa*bo{M{fH9A-HrIzuDmCZ#75d0Rv?X^7 zG#8vhGNSHbrRFN<8}e}!n#7W+s@!Pb^tzWiG_}$+VsZ#At}zUF8|@^85A>%8H&SVN z^a_!czXg7V8+CqsybQHppIR!K2FwdL7?ViphP@5j)2ImnI~jR-Q`Od^j*9mSB;iE{ zzepvF(Lsy$VS*@{_OE11Lyi8m@qn+=kZ1oiV54Er@U&>7o)c0To>rFojiY(rS`^YD5ZM*k)w6)vyx*> zO8~p{OJ#D+Hfuk%&((2d%XvX~@&j<=(SR;?Ipk&4J;?j}qBy8rVXMCt)Z=SY>AB#u zV3Wn>tr6DC$wc^?skWb$1xJtx;@~_qS6P_6V1ugp;7tp(Fjl}FNo~h;dXktAKE)F_&mlGT0XVD%sXLC!c|@`c&<}`D{e?lh~0vQl2luS zfs&mbus<*KVuX#%en8&PLh<6E__c6Iuq!c%qK|@k>_y3pd)ByB$Vlm%X*zq}eLu@;dfnnLO%dqJ+bNxuhX0Yq0G8ZL+=Z zjw(AUuOxOrX(ttXx1qQzI#Gm!?%>}8F1ujg1b191f31cvP7Bb+B zu%!%a_8C8Hc4Ee=fTcCCtcHZ4u5?Gh(hAT+f_ALihhQVCEDRH2Sw;Fk;~jq{ zc-Ia$d3rE-bvJA8Ad6puY0&f6?LWWMKib3$gA$aUelo4QaAT1!YtEMv_)&D+2XOe*Fm~el0rv2lsD(wDJp;zoA8TWu<93N&Le4E9Zqp zc0xXKXdxG8qT3r7aWHKOh(l4?^wZMF`I%k)3vBa>5pV2={=XBu1?c}D<1egKcMFvr@V`BC)yK-#~6UFOpVd8_JrA9H^ z>6WvrjLVr+n<5KFDs?M&|MH?fC1YB}O&7zh!f|)FBwc;xOBj>TdnW7j_nzhB0?%o_ z-E;ruk1M|U-Gh$}|9VXB1FA2B{)LwA4$mIwj>EHSX1w9P^siwGQpVm(-t=`%HEc^V z^P>_GYiTK=8~#LTDw``!h2i1sQvW!R2Z$ zSq)~2R=%c-F?^JUE3@7@YNwQkw)?WO^M+Zu1qFBWXi405cUCsAwVMlC?)u~O9N`u} zCvR$~^sDyLuR0oeL1XRmkA(B3?X>(iuW0lust(}GUGYG`U&wRhQ$fbGs=tW}kte2l z&YpcwSk)#tNro7izF9){p7EW#Xl_kwVn5(DT&VcikxX1q&=0R;8)OIdy)M{qwQ|%) zdr!eAEa!c|7=bi~jFVEP|BPSMu=t>DJ*hy8n?%!Ee3Ee|C6_3NV%@&pmNQm{Q~`+U zf$8!orMj)SYr5PG!B%F*#!!!5LIKWt3Hm$tWw%~#evkJ7UY3uE1cOO~ z-%lmmH#Bz%qlCJOmr2(&pOJzBfwgqy{GHQ>!nvs8sL{lQ=b+(U?uy5y&#-~x;akG9 zb?5;4G4Zo&90CT@h?ZnVGaC0xA+o1F&P(kQSLv*Nav!`@@hHHQN#8|AHiw!yOP(DXrA4f+Jeq`^yQb|-LS5+cLYkHg z&3!cyR8kjtV~WuU$l7RY^Euo6jp-b;BCZuSGPVosq%$X$=c`QjcpySdI2n_0th<1; zl`iXX;ZGP}5j4>UMovm!P(eoJjOihJ#r+JybN!tCMBqU}fyMjNs$s!4MEUHEZxBy8 z(@2?}ajzg++OURPl&1QFvYuMRS0B}_FL}uiD-oZ*Ml@hBMOTY1VfNy_)BLR|h(9uA za!i5W;)By9c^m9>CG+xno4L7wy`U#SjCi!!e`>9GFH%^bwlU0-y6rv*CKQm2xL@_} zEB7({OaK1!V7u%NRo9oHIZ?S0#{y!*pj)`f$fiQHk%^WI$lRwsT59|q3I`j_%E)J@ zhY9)50*mwxAu}DR9AB<%BixX3(aIK`)Mgy$P_b5v<}6XjX;~k$`t0g%VtlN4avxMQ z!E3eA&{CYGh+o4uFlR*!ixb!c`d0w1(q(|3PB<~so-&2#Dv;nYU2%ouR8XCzHtak+iU0qKxb<&RU=PY{O$w>DMu%> z>}Ke+cyrm5%x=cd_SQ3%5%Fm3%flk%0Ky~aaWx#8Oh`t80IMNct_D3MhCBDP9}WC# zt)cj-{3DgaJRGF7)2+*_GDql*q-08eYS4YT421~;j2vDZsv1RXS2|~LdOClL zUt?h;17`I=O52|pmeCaSMw7y>KHcR{L9#6XJ6LRtaN;>d%6;uAn8<{r6qrp+3M z)(`rJ#ELmSfWKAA6+u>KO8e^@DQPz5{5p5Jxa#b)i9cPG<{GMwsz&S$rISvk4p&|E zH*=pCV{{yu0##-zgZSowLV9;s3-@59Nw_D3XJBramG51q<(edX#Cb#wW2<*C()fan z$u9AJIc#)^@Sut_<70jC+LjWO^Cf5CM5s6b41Y$+iOT&KrjnfyWfi+iEdIIETKrY2 z=yKo??LKoT6?NRX5%(4DaQe-hpVRN~x8({vR|S%jrr#gweoKFcc*;FsIX{itaNH5| zG7d0E4tK7~;Q=!}SnXLdPN$?PL|gEf?VjM0TE-j5P8 zL19YXD`I@V-Crj%wW6M?t-XGosF`cRy}q#rec2L@0j`$<<{Ne_d3WHG<*`e+^&}$` z8FZfqeTT!arTmI=9Qs*h=6+&kpUX2)7o7^bHFR|J9ZmQZN}^^ghb*B;ft{Ms?;&L; z9K~@S^r3yBnPo+@!MY52M*k=!k5kRtY15FRQD&WrdeBZ$_}OW@;7rAe96hItlAb0e zw28ZdJf}^~nFTwhz{inGyGy#@O!ckCLL!l&8-uE!oHx)Q?n6*qsERgj9^(Jz^Z*s; zQp2zDPi@1d24JCAM+pBkaj@*{rk&JNKCPu<8fS5VFt5PoEP3ltYJ%n?fM?Hn4wJW| z8**Vh&hpyn8q>An+e|}iA>9T;jNBxjw*jFrQ9g4|(vtGzI><hhahcKTLDnNMP{b~k4xLq96iGF`9MK(D3TJI-tW(6grXpT0uP32_fo zuuF*zjaF5u?NlChYt;O5f$MSu{1|zun(5Z&H z7-O%c_=FwM{A4zvP^D*8JmnmKZq?NArw)N|LHx*CR2Y-;0W~d#tM{z2k-jfGVqC`7 z67qN#H`eZw4}7;jp`~1$iGPHiS=zijpu8H%v z+*0z0%6Z!mAIryzv4&&oX5K@5DS&D8-)Z7bc5J3?1 zJVP49nNChIuC~SrpwV*hO^x=hyJWE296}AFV-%Irj-k6zn24Qca(AQLAI&_c%T|u z!$5wpwZNLf=7jJs_;=y0HOsFJwiPESTY&L4F&J;TGuPHeKlb8+Hy{n_u+ZQ*AN9VMX+%g-aGxGM2u={#VEM+aklm>yAbI`Ca$3WNJ8gt%!k`m%G{<;STya}Iy2p}paw zLT2YgRUJ-E^fr{ZTy9K<;Ngu-qS7g`R^XFWZEJTF&vlL}v?mX8hbHN;3R=aPLeq7m z?{x91bY=s9>0NTCwMeiaR&gktgQJ_QGS{=?DDVkU|2soYL$<^m$(o$8G7!N=f3|UH z2qQ5*`7fhlGK5X%f2)|Rz;^-`2G5x}>k#Xi;8m-Fx4$!Uf52|mQ#)tQVZFI?=B(g^ zXM zwRL7%&^sZs15O9zGzRU4Ij-xc;J++;>gkY>YESURqqCR9q2V;Rj8lPz)6hkY)vP%h z)I;ocu!@(zxH);jHWhPx$qiiWj9+iq|0C;@!8h(TcB8}PAH!=|?u6c3*ryYwqWbp&P5G z-X+YT+meSCa-M!L^Mj?!!*^bJ@9l42`7G?Jd|s*Jz}jbdVQt>o*S9}`^nACC6?FRZ zW=gcSCgJ%Ty9dMvYJxjiOV^1GFmRLL^bA(qN4O)Xg&rd@Y?@a$FHulp?Q2IkqZ?zphY z{k|-{c^6`xw}HiJH^lwE=_4q4mGn(Hx>I3@1<3(q8x)bcntc8u(wp`tMWlHE<5V@5 z#?4*^OAf+#yk)R-dec*zOK?8$X()vX;_?t9i@xRdT5;wde9LF><4#=MucQ;EDIYTk zl=%u$A2fb2#{Z4^E)^hwLovEtm8UXz1uyjQ7f^zV7J=kWOIvIdTNur- zJE9F48sdiBi1rm`mT4|a<#|{s3%4cu-6pa%*+bi>8m|qNo>vZc&Az!S2-pI7lJIii zh*Di!eG(2LFYaI2cAT|ccUt%Jsi4Xc!F@0mE5Gf(ceM#{2|SB!15WG9{qGXVp40sK zUJCg}^D-V9Dk@BGXgDVymU|SmY`C?&2~XcQ$iq35dZ>x~V7!X_!i*Dci*eu%B|5(o2H&;b@Bu z44lc*Svd0sIwELdc5l^lS_Wv*?;h-@h>%eOv9Eg#e?R(fkwak!KXP5$!f@D`F!gI-+*vBpOz)5Hj@C{yQmQT zD8WfI6fXvaPhAo@kpv^DY3ZngyInX9>mY2ff>H7upHfw7NR$F?F-#H-V}rrx>V+FB z=`;bejn_7;SGSc@2Bz(=_~pH6ME&46Dymj;U6%f-3ZlM00Ux+-qM8T)EFehmogqBV zaj7p2_=Ohyjr4fzaPvw(6d_e*?Y}%EFu1R5`6;~8b^hmL3nL+tR8W=pwBwUoyMM=@ zXN3eT6M-7vW1K3T1!}&`nIVYEP`3RkxfcVff!=a}q2)p-&u40WTs(7yvEv)P&dT&- zY-%gCbL&t66|W+y3sy4+Sh#NsnQO7)z4%;a0nQAjr#R{fZ}EqN_HL`XG7waIT8@%r zyoE)f$GqSFYlv*h6p)39dPr9X3W@bmz?5>>=641y-7}By(}Xw$z_mT{d|jcByM5d% z*jneN&tf%FEtR9Q0Z7t*$OrqG?*>_&i)Fr9!Vn_#Eob0BA5+UB_JrpctrpW)nYaLP zo>sWzZAAwXNX2U0^Ruvs&srTZa>)U=Fe=znQ*rd`^`7Z5e19jgahQ;{JQA$PP2z^~ z?C$DQg_O8Xp(|axCuQJAt(%ncA3oBKap9yy8-blBYn_wS*{R8@DC-nen4=`m;;^*{ z4!V+l4663WkH%IspH&tw&;-X>1n2fJJpd8(vshG_74WATQ?RnZhiiqYcUKr~Tk6!EfV4g$AFQ z0m|r0zcL|43D<7ozkS@4d_#mFrZ2}cdQ^KW8;y@qo?6E!k)Vh_sL0T8w}5p1?$e0ftWP2||DtZAincUa`sT%Z0sf{`MX%ufLc3r`svf#!0|Cv-QFZu$fg3u3IWF0# zCSSZmtQdQMJI86|ii2e4Kre(N=3{4*HHNu$jDMDCk%bXGYkJ{Ed&SG9!Ie3BO&d3H zaD5XTg}s0%G-~DMuRC!#=f&ZQ%=s;LOXm_kY?n4&2T^YMY?V{J%F$8?+r zf!V1N`wG6{{%&;|ZiBLtw?-$t7i;BfbcY>OrhP5BC5Orwl+`|@F6$3>VJTWXi^Hhj z@O4j(&y^wB3Z&LD<-Cm}nzjyFBTq=(1163gw>|}evQ8{Q550jU33H?NRgCVFAJ6yo z4152 z_cV9t&O{!eh{qP%nPw?RwbZsl7JiE8q~&aZgTFPKktm(6$`?A+*|r8MqhQ2?pVNpN z;MFZgAS16}w#@GaZ8@_u+1p$u-2)j;32uUw`(d64R%Gbol>GI34rT^)h;kECK48N0 zScBmSCj^)#7d50Rrt8zMaS>8oI_wYyDmr}1)AVzDcFNgWk`{h&{dTe&HKh*o+mg}8 zkIy%h_(md>q%5njWO2U@NbD@2ut&+#{r;GLZ3dkoEJV`7iS6wXn>a7o(&f^BOU&a9 zd0NYiw)uO~_oC!_aXNfRST%2iBe{sfTO)lkV&Te5&)W5rp0V5|BD6cI~D49 zp|axre8~=iAtj6Y?^j*CjW7or?EG_N0^< zW}^z|ArIH`91rzP5BOV!cta1FPd|Lq-Y74Z?Hro_X`#WfD89e^uK8FDd`QSh`|#!N z5Gq#m%cx6ZnHOR>luAH$u8nD})jWPwz??7J&KMXxcCSt)Phw*JboEhiN$lkdlJ_hb z_Unt(bB0s?^BO6ObpB_Hi$}M7Cj%kIUDkUl)`vAN6P@Lrpp^D)jmB;tcv+zORRkqF zbJ}Gek`iBD(}>Wj$(Eu!#pg|?Lnf}$7l$9;A5Artgs${We6HcAaHL~GO@g6$VX_pP zVBf^mwxTBb?CA`_E}fkID-iLrK{p&PR(wzWCwSvEUryFb@7gPF8zraEuyW@=gdfHa z8-n?93jHa-wu0LF@xRB$z*!$-Xq*w~Z%l!@`PcCo_<#NVnd|=DpBa!{^Au}#@Ht^{ z$lmdwvi&~>ZM+l^Gt&!K76^}fXR;oNX1^*N4T{|t`ZvT!Y&U+IlM3hL!XWvAnB}kS z#OiUceeqT03hvNNRyg;-{I?5GsN#a_ZGNC&ZQ4qX1p4O({E3upz;byDjThZ8%BEe0 zL)2D1W9@tU&AxbLxqBq~>r0k^&uqW){dES$M)&STox!Vr<*oR;G-&T#PgQVtP_~V= z>x!%F&HX`sQ|xk9LFn10{$B;29ldt^z^g%?MwYxQG%ow$)z+^Uk+l;eGn;i#1`=L!mn+L~CD6nO|(4bN$4Oz*i9uUGYChCusny!OsNv~W}zrB{M%^Ufd40zb=Vc);~^UB+2|5pcn6(LHGqUlR`c*|R+i5a}^ ztuD?h_Z2S)s|gH??{>!){9|g(v)YGT$-8vj!6w_J;r+Cx;k@riq3*#36a+v$)Tf)O zbmM4V%rLlD?QHn19~Ev$XYPkbgf+b0o+@Vd_ZnZHZhGqixVITv(HvfEI&@MTpjmiKdt!Y`v+&= z`r~84j5VavMN4eic4jo(MAyg{ZkX2bmhu^YKr?r;4#|?q z3o}e8*Hfs6XO2U3m+Yo*4q{IKd|0&3?pD0^dv|4uUdWL)a2zn)`51$srOZ2yO2ene zDu%`cMDRcd%q)WK>(hA^lfna0PSegx^P7>01S`9JZWs|zfYtEI>UPBv{u`Fkf;3WD z$0x7LPW9{fQIA`{w%4~1cUsH*SaG6SByTgF0{Y_6#S;(O%I`8T9AEI!ta-79=5oR6 z_!UJHivDR#5FSfYhAkeJ9K#{FU~&faFp1-I=WIxpIX zDlYT|DZK8A()cuj>J`jO5~rkg7-;&*FLD_3F?w5@iwYDqa}S_l=)$iC zhADZsZNau4`i7tB5;NfxFF6R>_?Ld#cq$H)EAtCuy6@?5tQ)~LqK=(Y$Z0u)ZK+M# zTRJNbTcc?##yKh?sZs+1kfYTz-Gn1M6XuB|#aX=Kxa_FCl%&wSM33$LAt!UjP9=)G z`cKy>dGxUUYQOICZ8JtP#NL3Ee8?=s6LgYwzRKH8a~4DY--WGQK)|x=_zPhaYi?hq33#QB)^>?d}N7PJ49A^A*RmEyV?O?{0MYE9jW)94>E_ z|4zb`WEkC!Txj=ZSkp&n^$Y6;+-LeJI;ewjc$0F9iFm1Q_OeU^*_J=`c%LJFevC#Km3n9) z?H8Vb>_mBbCNejoe|wDKvFgWk>_O&9Ylev%3x2_#GIwgc%k*`B9D^qEG7n}<$pfI1 z$SWqZ2kM_)$UbxXSWu9XPCNx|ylx|Xv(KR}sgyF!ee{5!NuWmUWvpR31AGi_ZEu?} z%4{KP3Jo3^U-q_u_89|k3?0;iDZg=4$DUf%&=k0 znZ-G6p8>VZr4wx3S9cK+_O>&TR!O;=F{7k1k=$t~e-V9|5;yK{!lp)k?lCD3Lp(T7 zQz}mP6)$|Gi4#m`5Vag2JSy3oD_czDz)##+(Rs$DQ>hC}lR=Yi*QFhA`?WAVx~*8) zQnoyjS}!2*j)yK`(`~wFOvJbo|0lqNbJ465@J?Eb!{bEjo=@*v!8LlTwjA0W7!TlL zwG>p3Z0vVdLCcMI)!cB5^j>GXhI44piO;&Rz@bPHhFs?~z z>mG7r1qGV_JO05%s-2j-*uUynu#GWcOU9SHm&Y%X8^bxqUcp}?7|#zO73RXTWlk{O z!^_1~f;(~C@tlH~@6hF&HCg;?>I%>CL*)y$Oc$ZZQo1Yk*2}Yu*?Gd(aG&`Fr}yK~ zi?0Z_CDvb0iAW$6L)qPl*$HIbkhh6na$5*oRWlEH9z7H2jGz=>KM71l?r&AE?jS(+#Ch zUsjbmC4~{RBBSIjoy&AOa8N|RQ+tr|vsXoX{PrkH z&S_-UUrDVcTHKL=;kSNF$8S9%SAtOaFJ#;NMs(#*~K^Y=g%gnLqVM z$8hs>r4JRK9DQIa>F>4V_Q*4Hv|y)Xa^NU1^fZ?oGMJ}E@?eVc$Jy&26<#DHU==*Y z_;7lO=$!*Z7wTYR}~e%C(!Cb`(|fh zi}ZNWlY>ga<@5cg92+NW-SESs^M;`^d1dN9pDwJLKhzHWl2`nHIXm~bChz<0BLuJ& zwQD`hO{!JI3RQmV$q6cIQCmE)iNFMb&6YAjWVHfA4spmqD_~~{^pKEN4v`!y5*UY& zR>PriA66lxf{?8h7(qx2LK<>z)H0Cr$~6Mv{V+oyS8R(O?ODv5h9fZAKCWc1v>X>K)`Q z*ydoW`|p?Dzh6FRX;b}Sd~WquS=vAg%6KB~qkCzJe~AUP8=F?pew-)S!gIznjGOT9 z$e5I}MevGXe2X0W%FIHL8)a_R<*K_@&qTm-AR*0?5t#dG>Q!&eT6iZJs;YOqy+NU3 z8qcNTwrvyyog>S19KS3~)#Q2XS0nlR2!0R5ntZLe_u@bN4KJ&6E%{#OCJ#8;2ick= zTT5MG>b7iggHf^MwHv6-}WE#R-km6ii0r#bm@Z zr5gbz(3|WZ@7c+^#ae`W64WK4Z}~np1KzrG8F7`rZ4F(;MMg(FGq7&fs6AL03>jjy z{miJ$TJo^bZG49QG^O)3K<}>@uYDRj!^%b~2$?{WAFN{K!kATu9RD2;1rRakaTHou z^sE0RTfTztSpFZ`(rvZN&p3HC%h3NnwG!6arT-3FdR}?jWyb9qXRF6EE^BNaAJ(A?3?|zRPx+L2EPZMD8iX!a z?cD!Nu)D`9JZ$8+Ai~{N{06R}>009o|B7F0NcfPXuKHX<%!rFj*G{k8)_1^>Q$dau zA|x=uWE(y*wdz;0Qimn!?u~6ORjT(L_hANp+s=OxIwjvG4EcA_=Ldph&#>G-k@%&s ztn}uKI7INnS>*%C|lK@deJQoZT+Xk1jglJgtA~+ineV z)~l=-cebk%k~tww?N)+fQ6~&?zHUn{TfWASf&MP9wZo_)0@&u&j=Y++CusU6j5GF; zj*BXv?DRq@Zf?`96OT%Mc%krDgJ-y;AJefgtuIu+J7~>hZ~0^5RIKV{G8Hvzxo?e!&+}wd+2j`VV@WbcIW%wTE(JSCpju zcGU0v_hcwURgbGNnQ(!FKU ztgiP68qztpQJ=}49fNJ~JPE3hs%*TK*s~9}Z00&KdRd)+If^#=COj^VfdbJ)-p0g< zqA&cf$pZ^MYIame`~&|=vX_wxFuucuW6ag=kSl7IND5AGY_uX1pTk8{i1*! zC4`mFW&r`IXOsibJ|?D^YCc>!0Y70@OzdY3gms3{29dEed65(APMBaW*$g2#aaK*#c&N{3iP+~XYuTe!@(6;ur1iEX_Ec&?(WZ42v8>}pHGIz=80cK`}E5n5nm zzIl?!5TqjndGT>6nFx7G)uE)|B{%<^SjV*>bgIyAJ*R$wV?WGd&2%I{Sf-KORU_J63pJ<;n(R; zNt}l^_Qqpa0%Q+2x4}V3X3H$ir3oF5mb7I2{HoWrWN&w*qhR8|=|QGWhdybeOmis$ znzen9m4r^DX0`(~my zxbanY{-U6aDWutQ!$;m%SMH0Q>0hsF9=Y0Xf`fa2d26I0BltvTWUEvdILfB0lbciq z_AOoeqr#1RuT2I5f{?7-r#RAoN3u3PS3yZ5L@3P#Cju-Ec@nlDb66tc!pon8N8E{v z!(yZb87EUrSH36$d}Dm7uvMm{vBW7Tp;@0)v=as^sL~Vpt7~q57~Hk~;isxkJ#rvI z4W#Nq#WPfmke{Gz9r|$3ZhQ>zpNedPqWxO&6gX7K8MTw^vvBPYs|+B{y4~^gWTqhe zkOzYy?KGLyASA8aLBM*FhOaC;m2-iFRgt9%F>8m32mJV%Of}&u6zQ=& z!&;;xFATSY1zXXQpRn?drX3MuR9#T8wVZrD>xIXnBhnv!^8^3)apU~A#=!!GPFxr= zJ}IT85q2tNcQXBPB7lW6wf|J&9S^2&D203C$-*1X-lJsU-QFOICJS%=Uld5-nuw_t z>vYkk%=Y=tOXnsxWs3pY9sW;Km?i%mQB>^f!-g|?mMOvB$cZD1Tj8q4dCKTocixsA z0ZH08RP0Dg8|Ocp*r%z-N85Okb-@6>Dfhc-7x+RNn~H4WbF^5=Um0s>9(JedQ@RxZ ze~k^A1r2Oup;Zo~dYcrlaEN}Mtc48SP&SRfK@4LGsR zvvL33y5qYBko=mPcJ4$pmnM(Fyo}|1g#G+kf)~Kv2D!@gI%VG3xqh6)z(|TNbB#6K z?|JWCEa!(BUKyW3hBraDOO~r>D75J+8QGsV^#5Z^a{u~_DUy7%Qj*78xVtUvBI|sm z?|23+h+&_^mP68)S;HZZFj)FgTOVw*=WjWUVIu{sLUNS1#qnnRBYZRGa@&$YHH2%h z;*=r+6EGmL(2%4Ta-4&CJyqDVy z#GpHQ&^kH7RB@ebW~?OeN)=g?o%tu<_j_?dC$x_X|V&VIQCsJlJW1rZjP37N8Jk)^IS2qQth&{j| zUa?>Q(3%9(@U#iAmbnD&9sglS6hsD%0kp)zJ7t_ZXimc|C#QueY|nrtNpTi;-ZTut z22=hQ;S&|ElRehFu=q&AhwYY~qCqq}qC1j<%BC)ULho=24RTc>Ldq$uR5r_gtT#@L zRG2zGzn6u(lOF1vXxUnMPW$)c5C7R@qW26ot=NH~B`<;)m^0`)@#5fCd_K8h zn!k_^RTCqbl^ir9{*xC685!IoX>UdL9YfTaJiW8|b?VWgY(u{p+b5|F)*8D%K2yfV zj0n4`wmFnXev~OJHv}V*QLR0-|5Qh)JN|OJX#b&6S}TN9tgLKQPtM|jG{SmgffiKH zl*DI3W=&zMo3_Pw71sd>pG;3ybbZd9z^3l3b6H491_)&%0LWzDY;-?9{_%kU$)qM6 zk7~#+L9jQNx8KoXa0=U9;Mm&Jfs4fh1fLo#g82J}I-eF>W5&9tkY0{%)0Ygy5$peq z@Q>l3H!6Z#8;gz&kI=H9$-)CUJmG7~dL|(-c1ZF3AamW&Mk)LqR7zBAZgK6nmPA<# zR7pgA66{ljqoEWJBUEZ)AJcyJbi@JkTx9;cbyoh39>o#Y$s`9Yv)(UnP!08aW;t3) zFh2Kd{9{|RAZ-!rJ1h*-x9k5b#A~&fnqtG0tRhv-_vy>z9~%#njDD37HLX85qC0X= z3H1ZX@3|qRqQ?Kfqagz-s}Q51&1KP+pM*$`i<_&5!)^V0i`+>we7?&w-W>E_KyF*) z9=gcg-F5qAq%gq)dhPYOMK8JpR6pTyh~xdnEax|F;Y61Np7k*&B*ca1=^57Ie&uze z=M|3jkW08HFW95TJ!a_!%kwCi#G354q-64`qf6K3H2mQ4)yf?US2?S844`Y``1c%2 zygAcy(`~Kv@uuuord1sF@O3A;EzEWQ3pg7ZCI6Z%G5g#f8T=-q-x0fi(ScXs!CSDW zi^FP_*LK*hRSP^eE33Zt@cGq`CA}ign0U_3?e~8TZfn`HyPwNPudn!@nbw~bKY!b( z{@E34O~M-?_)Uh+5GMo+&90u+tTmN zH>~w}ydvM*tU8~)s>twe_aDyvEdvlHy0(~-_cXkN6*FBs#o0*z0nM6db#CD7Wu!44 zAx5mJIM+;as_r;EtZ=M(tuakgb)?Axr_oq6Od=3I44g{;RHN?RuPZj?rL{7O$b$|O zdf=wgQnC?zllLFA=A;yUxkFoGwviqHoq1Sy@)4<1-us{?Si9qn@1ZX5F)A{hb{Qr` z>YKlO<0p74v-vBzMwCK%w4@HN-TV>dD$eLuy!eY6O476L1}(A~^_RtvHAm^HEIjji zbFR<@8QZ8&;lgDkvRxhdHSX6_W7NrJdU9a-IwgC9l)zWAVkdx~szOaBdThjj!0svR zPlj*iqNhvR9DyV|s-7%#M;`763iOd6dnrx@en(P@0yLzK6k4k|DdU=T*rFLr*DTE_ zr(Ep>-+J{c(HCJQ54EGSblnsRMskN3j=px}y`ZbzQtz95)L4tVa9%g+4o-})bx~(k zZ})1947(=3VPG3w7en6wXI6&uGl!534$ZB+_AT|#HM7TouLrQhXZCJ z=)uB0`bk^5c)s9HB#1&r_PYKWBQgdivo0rCX=52%uF|jLvK~{Fi84L&P-usH$YxIXm;A+R4#D`C&>2J=9e~Iw-T) zYA4W9Z!W8FEw#$|9r|!4v?YvWv$B-a}TLXlcq5O??{l$)0 z#{eaj!|Y}PV=y!~yu+dTaL?-Wje=`{ik=(N^ae)h4ypQg{J>8*mk|T!66dkSCsRF-ny(h$>?HrneNzKFjdKUg@u`}NW+37TG&1y{L;KUOHve3b>d^-k zX?Comi@dVt(0DOg_Lb3QAAc}~B*BYJtz*DG(bB_;4w00YWKggxrk#<3zMhtvzhBwX zh(4HY(v8W?lNHdC7;wNRJ+C*>zYZsHS{$?KDm6ZVb2!lu<1(Jfxz31zSOm|t5o)f= zw}+rMF|{;dL8GvBU7Bk-=rH2kaeM*IQc!FT)#!j+5$xfrh+tFCf2wDm`gve5&Cw(3 zaP>RQ2b+UvSqvkZzB*qN7T+V-9q35V9ZrIGHF4mO#ZMvJTc&!uMp|<(qLQtiuN|5> z%dCUDI-Ti0tHAOSQ^90q5P#_!Lppxm<#l?^r9(=>;p`~xomltC>2_jojQ3&1`w1f- z@X6+qviL(}GEFb?+F}cF;GBwURFfB`2KCBF0(``@V6ERj))dQIkP7$SrnU#K|a?c*gNc(+*zF3!5v|xT%BFOA${+#`ZB`60J8MW z0$~owxd8wz;3$^N2>udIm>k3r+DOXeBqO&C`RAe&|F+aS!l#rgf$d2z*q6i`pwv#u zv%IqqDw58IlTkA)jTzi|CMzhJanCsMc}5qt<7h5qoVFtGa20h^VCm)W3KDdSyL8VT z#U{L`vQe*QMDh0d2A!TH1_R@}ETnw+O-&gw6KA-D0K>&_=CJpd zpi_^P8s{+c6I^!kY(v$^SQm6UX)=$hYM!M;GkWuA*ZA%jMvj%qBt2ZDAEKq;tykU4 zx~d``1(y)SCZ%2Zv$D;3mJ!^Ioo2kOn$L{d zmz1f)so5sPG@bitd)K8_L<9B1_(mMznjnKU5%IvCo50`OWMd-X?3M|B_mGl}534%$ zG5n-dJ>@>!JW>jYL)P~R%y_Vc=Z><^D4J|vKJ4Tv`o7xV<_ zkiWzqLvqE!c?fXx^x7SSmS6{HICgs*+~u`KeK>5GEn2cIOSy}sq<(48|Bqlr4gLQM{DWf~W8I8WxOs>A547OT zI21Rq-YzTta!7A33K7{T`D1LRrkZRzroDFgJgwB@SsFm#A0WfL+TS(>BO8}|l$F<` zdMJ4ukCcSk3jSourQ`;1LekXbr{>7$Bg6}A87ykOH$cfIMhf+@N<2ne>MK_;@#&Xq zS?g!fg`a;vItQh+ZN(IW2G5?%Q+n|9!l+wM8*WgBjnS-LWJ z6R)gCV6tB_r3$uGqy|wkUgPCCdlMCXJfZqq_|eC^b0dZ-_XVhhaUWDR2@IxU*;xT3 zqv=P2^`ZDYB)78HZ8#`zo5V?z+_z&uCaZj2iDNqi^kL_1vGbC8h`%pLuzH`|*$B(r z5He7%Y%K@PM%)>r{tY9Js)J=Y#;M{|Ul8`xK5c31$MYX#>c{W}z=#1Ar*?ukS@GEV z_FGx85O&>2bHh2cdbXh`E-DeT2qNIeh6ie%ywFO^Z1*cectaX8~uYq%JYt|Srsgq*S&Oah?Y$`H=}Ho+F4P-C={ekG+mRwphaiBbE2nZ z{#-C%7e=aYaD`)Qu^KaJ)4>~e8mgfNT2vJsX+snPyo)r$EWY1W`>}C?vsgOz)oj#! z$8(A-g^1DNG;ONy-hBo&64_zEwUaT^EE-X?QPJV4H0m&G)?tI#T_)`vm3Q=yx5%4 zRP+uPbq~6DSQZ77m`KuWW6VtKxv4W2$J0 zYKBSZiIHs_>AZDTkH?%5LF*ys{f4PJHcobAQ7d+uhUl2_pQ62)5-b)1xdxvC(7fbU z@ln_Iru=ieRooYdRAWQhBDB;or^_9<+wANR&1EHLBL>WRcr27zb2yF9Hw0yc14=`8 zDBEBX8enctOimR%d(<-U@+2@0%F4HGtubC983xb8k2I^}$Fp>S>PT0nIx6=*Vybr-yDrQMkjHIFx2{#J+Ao=BC-qIVeCqtF zo!UrY(Dt=zYb;IQZUc>&r5AFhJ1iHvw3?j5j3kU+`30}h-Rhyzc!cbj6s zESVo|I9mbxgZb4`dj_|&N5Y7P6WP-p!o6p2KR1738#i-cgZNRQcZ~OT$we2jSnTIw zf=+ z+-;es`{#tria$y08USr@vx|$ni&Goivet#;;<9Gjo&Q@6`OD|m!+=VL!ebZ*J)U{l z;o)O_gX7~8;FD;SNp5yZ%@cOuWeV~nQNsu@>l6uCFL@C_F#AIrt{A;UsRy-+WP~+oz_dw zhP%6eLP=XASA8IWspdPnhLRTdV1@K6>gT69>p!3+WEph1nsbn5Bn$4vuhKQioUg;d z9>l+QT(0q2H#rR2z{;JLSWV&NiX9i;r6mh1ccPH-a?7Ud_9Ki0)*^MZ{TUH>;X_zq zT{ADVnu_i;lvw4d(~D?(F)hKg+&pq%T@t*O_!0Qg2IC|g*L>s$6wili5*(~CXc*Qr{ zQ9A2c{0U*Ye%;R25itvQKP@U;owe>#@~-GRE=g7fpADnLqLur=hlFuo`{fouL#9w9 zPB1LtkH%JH^=XbDakZsF$|yc(5|^TG4tyDLiP@e({I}5G9?+W-JFsBtmVwxF^Vgq0 z_Wka06#=+XqV~U;9NTYG$tNnGo7l=O%ze^-xV5oc(d~VcmNyO@nwpGdrJ4NIy;8$% z;qPv@ohR*vZ56XMoP&De^D9R0#|-LK@Ct#FGHLQ3U-0-4u?d{aFfME&@aK583K99U zfN#Qvrby|(<0Ov521!_`yEOU2gVq)WaZ{%Jl$Qveh$*^zqEK+_L!|DWPZ}O^M@C8( zSGEM?=bl>ubfm*VySHv-6~AmT__G-))Dwma@cVs4xf@ZIq}e#VqMjX}idy%j?Tq=+ zn~G)oxQA%PC|!G|AwQLk-GmKT1r_@hqqqmXlPd@$={#ikKGGCK!fhExjBm)4b`&Z| zl_Mps@FNc%5JaP2RmZ|%R_8R#X*GhV17=+#Ef0m?Q`cDdMPIQwehyzF+0E6#pXjG8 zcO7s49YagrRXchG#V9)tRJ8$iP^<2MZdI4j#Fwa(2*5^ND{?QB)#svWukBpr#D zPLn?#?#qoJ4nN&~Ynt+rs|+tR0`?PGANjf?zbU%J>fpkz^WR1H2rbgj&GVlL#0|N1;pgHkQzpdaldaJ6jhy_g4fT1#r7knW}+v5aZ<{M!7MFX_Ryb4;dwUC)67J) z>)vP?raW>^bSoZuOK4e23{z|RX#DH8q9sw4h1Ij2@uo(>-AD45(?$xH4B>Kx?{}Ub z93EHprkNOj`Fqpiz)eqOt3^@I#sl3bg~y$IIUc@E2m%{nckIy-Lv29+U9H%cOHRkY z_bq8UmfdKI$@i&i8~a)8f?(63Gr%O3I;Iu#Sa%RomeH2C?%!M<-; zNpb-FcZUT;7o*iSezF1w_@pehb}j~Rhg^wZUxdB=%m`Ie-uNdqILWs$hs9%(v}%;| znB3|>aQWaG1U8)=yBX|zMg6!2;wY*3IIdE%i6+7fzLvziteyjo&xHvjGk%+vbH z#Gxp=Ao#Q;f9?6$^s(+{X}A2>!D^H2`Zx`Iap}UtK5(tglg7i9JVpqgR<#twP;#ZtXcd7hv-98}19J(qX zhsYvaDBt3>C*w&;UdJUzd;iOAHl#~y;x^?)Dak@~xZ{E(r%?ftNL)?9gBFy3#}lAa zpp%tENvEQ9jycm9G_k41po~h*P>@l$B_rV<{|wHewr64?Vnqv+t(JBLL*-*|v1Q23 zXBO@kDP*iPty1-ti8mgX%e)_mVix3Iax|BCxE=yjRv}yjrt;mr6U(Jdh`}v0#gVOO z+3abyKhdyqM>1JFk~kQm-YVZbAWDGg@hLDw0T!XwTvSA*X2+5nZa7hHHGdfoKj?`4 zNx?%DLso)K?)<;<&yCJYzDu4KJl_&qyf;S}n^&$Fm#pkZal&0aec#Rg*Pkkow77 z|AM>90=*?Sqtt3jB$uvLk`YI_+hs2vLBPx4!&cvP*rLNx#eOmmmoGx*i8c6 ztIYsnOBGI@DH*2Xa@A3Qyw`*kjjE3>o|b6KT)Aqv+k-L+A80W-%Mn@_7M5Oi1Ud4T zrZs9#si!^+HrKQ(Rez5i!wbnxu6#RVi2nVc@U1YDrJ+#Wg42L5v$Am_z`;s$?rZY= zQc8tvwIElL@5OU3ZU@+pOscY4zSb^?^XKv^&CKJhc=l70thM2eIbL>38Ap=I7~JH} zK?~nN+XneYe7iFkUvO@&`Ml1m$9koL8(wV34Hlq+vF_k_+_>u6Dv!+~0^(@*@6(pY z@mCn|G~%Wd?yqQ_hn=3se(cDVfaA(bvq#BtUI%lyIBfSU4X}i8F-ybkyvC(PG00QxXgQ6V z;DP7rdt7|7%*Dm)Q;ds?T)a7pUL--q zJf79pb)zhU0bJWx31KF5WM5wmdPhXp8&7*F8N0U6ZRH zbE4csj=C5B6;+O$kle3b$L*ZH5Er*c4iD7^&Qm{ne*T8rw1@kX{z>kyrg37*Y|Fen z4+n-?-EVUE%Q1PmZhnF$y}`d-GU@B(CgX^LqEWxd5eIl(i}gCF@Z|6ILkHuqSFmzL z_VjD_-G2E|$Tu4ceY}0@y#5*BXI_zC_sTCe-|5@7T)62ix%>Zo4Too$o9nBu?0U^7 zFk}KAAOVcBgGF$N@-p@x7wo9d*rEXH>mxK4_L- zgXJ}jer=)D(Jq>P#Tt$WZNzyvUZE{WL{qOi(yv*gjGb>Vj7wTV_s#B2ho?R~{r+g^ zkCgPy=e5uGPzw*5SB&za^$qzg@fJFjVbT%xVcM2)qCG~l{i8lyw_6v z`7fEea(yrcQ2wgWTi#$W*L~{{9V*D*{TgMYU|*v>^!hU2x}Haf(r8=mgC6cJ+1m||-~vBV$lpR_-@ zyXu)kwObAHKSnp^WhhCK9l#wUnKw;$%w|+2GcNInr}%DeK02hi3-UbrvE5IqMy^f{ zF|rTV3C}Urj_C)NB}Z6ECM8bST*788^E8m^f$)T>G_R=8K1C{dQ?9$Y!daid+CC`Gqqd#fCso=>ld9^ejz2z!k?!SvQwZWLeNK)W9kMQ9Fl!C`smM}P>$fw5EaN24p{1z*~ zIc*A>f$;x1sXaI0L(f4?5!{$>QX6ZSwl8v;?oHk1zZDgMI)rnBc}eR&%6L#PuBoeM z^XmH#@l^fK4J&nrzoP4p-52b?O)1)_xL!uaB+xf-?3u^Fh~riQE3XM(wnj%N8f?94 z#ZGY&Vy8otT!KboZ5HoT<{VDq<0;P$hrbhYRa{mJo0`i7}+2}?qah1ZymsGFqY5=^r}#ybWnQVD5|7(!m7~g zAGq3IEw<}2=0D!|h?PBL){N?HY8D4@We-)I)((FDo2D_1Vi)B`GFQqLocS97qz#f3 z>_=mHoj(-2Gnz{vYW5}wcBGP%6JYCM>}MFp4`BxYr3^mE3(FL}*C@%dP07Ulp_&ou z`FpbjLS>C*Z?YNIbc-~bnL=GN7tg4s=iKI2)m-qZnil^z5I9l zRj7Zr@1n)33U~XI(&Yxi90p93XPDZBrj9TF;kurd7JOU6MP=XKo>~fM)hA+8?01ZM zeqxx7fFrDh`{#3N*;{QORHQeJo40-wU~e#*O-(<>fr_tiB7LeVMksk3aZBSDo~Syr zBf=+>J5=P8tY}NO)-nwso~Nn%?+B9uSOowPEnSPYe7Pw#UGtp98R5|63AfFGuVsYD zn$`~@N5P%(diBhSium5VrGD)o_{i9n>#QOnm*DbNRCi>Ros!AeNnCp}5wMzYM_;N4ATree zC51gYPwRZj)!dj_h!4g|!Gx-hiSPr~Ie=4jc2ZSH5@gBnp6OdLn~yXGZA%=xsxmxc zq~V#2NG6%#H_cCdxQEp~$3UyB!>eQTX11<}x!jwa6RKt}pCn^yFS^RfE6ZU&O`-p( zn4!nY&@cefvt!oKb!pJ@ugk3FQUEu-vjk7xtEM=9p5*cN?wHEgl5Js&b(Na@xcJwd zZ3;NRoNr0ZgrT<}y9Gu>Xa5A*;t&UKT)~$~!$26^$E{Ie{~|51zVHfI*!{B)QLb6@=C---J`36YOK_ z>OBVQ#st}FgPk;ajD&db6U4mQ95pp?*En#%%q8_Q&Sf@^ggx4EuHIQgA=ne3Dz;Bd z>M1Gr6Q;_p5_pWU(hf+lqo|azGx=db8F>AZ08I784!}oPP&*S@ySk_JdE=wbGSO`1 z-W~{wt!ObMsW1~;r2~9_bUUJfB(y`Yt^>SVJBY^tU(}7rU$`d@F}Ds8ENRK_+wmEW z!Usdnl@y#awU*pUon>z!-el?d_FL{4Bi)^-wzAUuCGRcZ6T%COSblJnO6V#^*(f)? zaxZkLKtW*$q+ev8gjDtBASR%Kt*Us$O5aUUi7{|rh?jR15*v%08_*0>50DWrls?@jG|^T*!r9%vkZvC>62_Vrt!DzrSn}q!h7qk7zg+g2ERwa^cuaK5$+94 z=q#R+@opcB>!|c&na?no685$1k49WHkqN>;VI+noNLnbIgx3s3M{7@_UUcn59{~sV ze<3-(b3omGMi~#|AUfI|y9q})27)$MZGv0ei&3YZ<%6NW+ZPcca>8 zi}U?b`Fpv3t-{|%j4Cs2N$Q4zoG84V$*r~X=i))4?rDEBnVZ{~E}K%HnT_eFt=r1h zC8*O>aD^l{d2PT*FEJTb1}7_c3<0+0PBPN8``Q;<`!{Fvm?|Acx=d|3RTs10oI{W0 zzY{EI{6P0rB~RWKwgL}GV3T=2GssOkOkS}rfS0ho`N=$$g1Ly^^2YFAyq=M7`My1FH;)ym4xP(iYn z*s3|_5I0ijWf}AhpsmrSsa~{P5Jk@T|M` zYzbI0M}^P_BsR7xKF3+=f#`#hd`Fi5?!8ZHzm{vu+%qznT{}Qp)@RZpHOt78cvP)y zvR+wqHX`A&DB{NrrOiVh#>-DovL<`~wx?B7`_kmGtn>uK&(t%|+^6M|M;#SB*|)+G z0f(!=iWO4icoFpfqmZ$n|NjI3a9`w+MnKqEkYq9L+px}@3SYHPmo5l73WB4We<{r` z?bWuF&Jr}uS~EcY=f&+e$IitG_sW2wjZNvyZ_3h2Pr_+xNQ(URMVAQt&js!CE_?8Y zyZ$FX_d3Kf4_B8J_gt26esRz3XPk}K-Cpom?Xmv4$6gQL2F`l7^FA(TJr+OVw#4&i z;r|uh9=o`>{sn)I+y9Qz?cMwoiVPQRc5+)>F&SYF`bjn;qtJCv&MKF+u2>E%^7YvG z*C!SQy1w}Otmjtz`(jQUC&cgAV~(Fkf{(jrj9Xmbd27OM8E1{B-|P0bPJ8$qv~edFJP)6?q`zR}99xf(YV(yH z>&Zyv>iX*G_o6v_hB@L1uY*B;=Irxt@IqI3dQC-4ta|UPa_Jvda}A<}lE()yR@GF0 zQ#HBn#$(@eiWT{m4?ZvW{MPGkw;FPfgf^_HZ$H-1)8u)tsh;C|O!+`5jpiI|_!!PS zW&5j~;sKQp&UBFtYfgKu@q4s({Z_9de=}&8;~+JvTk*P9(y%mQU4pvf*j0t{3SVxllJpKuxE8dW53xoC+C5_rI`qyc!7O{TrQdv^cB<44 zaK#+1atTYh0M#k!^!@s|%g=T_RG!#DDRw`9)jx_At93^uhp8vxo3#hUuXal|c-MUM zOJ4I@J|$W>^0V?M!*pGF+2;h) zQWocb=vpViw6Kub2&SdDleg}K0aj@d@aY7xYpiygj0hf37s^i$`*LwEF&h&N2+4g? zWhf8?rWNX^*!s}0CGjRaz+m4(?W*22*TmLCkX$&0L7ZIB?sK>h5fOFg5aX`%io56> z#K{$RkwdOT57(z%y=Q9Nf9~rX6N>l#u`E6snkD#i@H z;Bn=K$5M|F*J6mMZ!F%ue68tg_hnBUds2$jQJ-~)=8s~7C#ht%ca^B;@1&?D-k*jJ;>ey4{s@l-EDV8Ii z#1$2~u=L?Gv*P8U)n0vGh9K?gBGK}l_M4^^+11bFdHk>@sSA2cK4ZeSlT3HhN z#&7=i8-0UYl^M z)%#E3`yE`AoGci}AC>MIyuANk_RQ&1iz=)PAGyKuvIs?fZ9UPy6O&FpZKNY z=TSD#ZMHRV*V;TXo0vMJMq?eE*0$5fmanyq+1)7WreyVwT$OEUeqsWd6$)hI{cwtZ zGU`A*owk*!iv(iv$dr9)O6i?L`yD#O6eY(?aitf2IeK1ewt*5tpj`2p_dd&Js&h`z z$9h=tOPj~Ps@JIRQ*7UT&8_wiz7;Wx!Z?e^Y0nn@z`5B}>bQkr-R2q@N!dEdz#GPe z!_FDJ@nOVUkUhUExQiLQ7pOX|5h7q@p5C_Dz6x{Aw|Z9pkkRn4+Bc)F`r1w#s} zQm)w1J~VX+Ig9Ke?VZSl6~SlcU<#~dBAJ{@U$70^$6h##?6% z(fY@5e}l*6>hiOvpIG#y>x%jPob_{yR&H?dUd(xZzvuc{&d*40?+%zQyZ7TqVR*q)be#A1UsJo#Xgs> zH^$n1Uf#fY#_`;5j&OWzs}rL+t2~{xvOlhBsPTOQ7IycW?)W_2@O{DOAJ4v6uqX#N z>ZzO0FMaxk)%{?r3=e;mOmx*3Pu4>cJTcTZqLfU*;q5N z_9LI7qies^{}vmtiIqiXul(lY-+bZr+q*v74F0oQUVQU-#2d$dIQAfF@*X}{-k}kb zN7R#?5M3l56<4$&Hx@Yk5y$^!02e9h!Gzbe?)bkX5bk&>YgXOiSe*&+{M3%_nVUfT_b)Ud(EN!db-3>hrk7p7u?`Q%CYEc zR=MFr+^!?Fj)-6ORLwH=sp>nqvs%}n?)qh${~0spR=A$J>C4~xE9Oxm$-5RTl(TJZcviqO(L6KWf>m6oD+2UD5(E(>r=yzeLtDw4%;@Yq3^0VA)1a}Mk3{0 z(Rbt#fh^qd+y#$80z`2vC)FmDG|sCYKsiH3zv`#5P>E>ePDz@bLl}5R3MG>$WF?e< zPdd0!2hET|ZiYg7Htn1!Qjg|;8B@MEHm4Qs2fQW2Duiy@w=%`({cFC>x<3f&mVT$w ze(Lk)X#JTV2r+bEh83l$84po1noZQ*(l>q7$8OzRh7;2Kz&mqe!zGp@tUQyd9%SWz z>$n1UxX2@M0NZ;hkZUm(`YC@~yH>*%rMfzYiXf))A~-T2e9{#I1nSG=yL3SAR{3HqgJzJ4*RBZN*eQW^V}yLdC*DHP;?`O?R9RbXyfU$Vry&@Q6y0o ziXKM!S)hXn9KRQJtj~6fQ$ODQ>K9)`KI~Y6){q!R)T*E#3}So_^R9t$WtgsZ?7p*~ z%M_cb($n1j_;O}!m8FXJiXhJf9A)6-;MXsvhW?WKu;A|tlF=bbUi{G3MssMs87x*n1t{#`FQ!d z7-8VQ{q6*&lSO?7z-tubAw}rA6KdWcgu2byIrt3JAU9yr<>o)_+60A7J>z1svZ{($ZMM>C{%5Wjqr2c{@{DUobz*JtEY!M_r zHZ!X(DEJ9!O&6D#+WOZ&gu4%2L-!nbU|E@uRf2W89yE>;up~9!BW`!I5FkViO|^OEIf@kVAX%Z-h?qx zr+oz9`8{*_sep)bcYT&{uThIi3SN(t?Ogu2vE!74m=oulF4r;W!BPoU3LHlsyiX?C z!OF(oIh2k1IU2&>Vm=z3>AaKyBll^WCof%fIZ2{&wVhCTPdR%#g?lq$>m2w`cmVm} zbz>wa-ZSvx$RxqN^7k^bgKz2)76AFWr4>J7L<yhHxjf+?c?K1)!xqDSfWE%B=Mp~8@W#5wNZm9u{I|Ca zk7M+avR7s;6*GXc#I$u@8)B~q+T!?ow>a33u`I2wa~dk8@hvhm))W3PT%#vJp)evJ zu0x%RWFDac6{3z36nYIz<~BNZv9cPJdR*XYF4>%JSr~=2Edy2sgb7_r&#gA=rSk)f zyBN2OM_7_F)Mvw)l*nwPG>&+v>RSJORJ{~RA{YqbB~Etb3o6!+vV*4F;mwy#<&MYBuC1;~O|68_7wc*eYqCWx~>H8P_ai0*+TQHAu>e!gN{v zDbv<}{BunA+*W7>$6JT58X={Y$^C^*MO6(jAotKY;kin;3tW7P$cQSvfY+}>DwAA@ zb4i3wuzH^a(pXOov7q*U84jSYL51iE!KMLR)+dYG31R?=4B>+KNp8^Dj~{i`8qsOr|+`TGZLxDX}Qu1hm1%-hL$ zMR3W^+1r?^`Ja}I(o{{}Al-FA-?C~)5`KIEiMp_p7u2viMiUvAsvE_@ELu%UGw1Nh zCbg7`u1mux;hH{6R%(kxFYRxGWErWt`wYlakE3Ye}Bv zA@9Bo&#rnEWywle@xCx|)MC3_`w1*iro#P0QO&HfUa?7+Rq~P0iekrcHbqTT++!nb zwG+VJc5Z~Kf(fVc?GxxclI5Hrc%W2GK~41b<|t#KGWr0qVQcayqY(#BfnV(WQP+4G zJ}MT~Be(MtI3A^?|rY77r-%!|$l~sD8<}}yP)bab^3RWzV zb_r)C$O$APLM7a%je~2C47%jXH>2M%c6G3dlvOAyPJxsttBEJwg+sC@)lHQ>+wjT- zLD~@O@Kp7MG{4r`r)Ql|Zd!3jVa+q7zKd)c9;%70u-B)K35DD68M*Z>9g>>GNM-eu z7{#qc!-fEm@DlmErkH{Qei>6}5P#HFGH)s0s2tL)=Q?uPs=7A*o-$`qFjNaoX;$p& zohtTe9$Z}9C{j=DAWvVPJTSIpj8m4kY4VPP!tDG8>M5Yp_uuV=fB5<#cqo+Y`Y*l`#yZqP`urq&Uf*K5Wf2I{Gu%wyhB`lF?he{;qBob z__V9<<2ugM?gzu&uT{@_9(OOs2)@0ab7lYc?jr~;-@rJcUA938EUNH_WtPa5)IrXQ z3lNoCZy3Dn*Zu1`?vY!c75Q9kKeXZe%l41}m%qODtmC=QT)i=j+cww#cWSV1ay<47 zoAl)GAv5^i??c93f6CQ0wc7WEJdfu*PL%jKQzY)@#h|~c^3O+C&VsYBRlid!1A?<>{C&zcMSJU zrz|~P-kbL9qrjYGXq{;irRU?|`+aUc-v9z-C^hhSqy|+RBpV z{t)@Q-L-G|=wm;xE~X^j!ode8&yNik0e?|@BL7c=MHz1v#Aba!{~pjF>eB>jsJQ1| z;oB)Hk1K^$M&vFn`O;9dr-nbWFFj;=$}4?xxj$|cs#UMs^+mP11^h&~hx!&cVmk`! zHf0*pK@dgZNd~O82h6D1Ve1yoW^(m~Urt;zJCd=P!Vkg?E4tGP_g}!Zp36+NB0xty zhE;mU=37tV7+cA@w;!fGB0hN#7!=kFC4Wu03E+{89e%%tOP!%F>QAv^X5i z8~FUT6?ERBiv(2FCKA>ai*^~)C(t&^io=~Ue$~B&rE5uJHX-tKaLc?;v~NWr_~y*% zEUfy05kWmTX%?S8TMj(!%%%2;lstshqUy?ATkSc8?IK%ts)_5x z3`5{vEZ{zYzbP8A0CBqwNb3@-grVfn&&~SwcK(O^c5&%nO9Aez5Fo%vN%|`q3U%!` zQAx)O_X~`(!o6|#`F_2fWBLU3TTMM}G5(H$PSIJ1>Wmhp+GZmC<)eKa(w`IayY__5 zF4z+^w{oXalP}mtY>1JCUdf1B>tg!vN(N9MJH<+C9>YhQn*S~@uFat4xwflK=-_EZ z2G^+icyA(IXE&AInZ@CBwBGvRJQ^9zhDQD~S1DI>ay;IHjh$PYKsafg=f8#YtF&Tw z1^X#Z%Gi%p5wWhfY$lp)UjhKVCf!92uZCw&wKP(S^CNOqoG-jL1@D-EGmo|K_l^(v zW}DELQjr`sGBx?^#N1mao%To^fFDnk!lACc%U0mpL_-43GYDi|$Uz-bdj7e(!zK18 zo_$_;o40q27Uk`r303PT6|8-wz%@hTzrV_u^qtL1#~uzM@>) zG}XoHGtyEe+j$ATU9U~J)GjDVi6rO;7WTdoNGlr*_pQWvh`dx?KLDHL=m1TSFo>N@ zYLK3tY`GZWUQwIwZn_9X!d3u~$UALpWF;8{6{VKJT|7orfe_~kwy(N}aAGoTW0MyY zlvJD9^o}Sui}yq%DHEk-M-xz{8tl*Po9g5#vw~Pz0>~jrKV5@rLfHb_Q+5c7-w=YK zC}@ew0#8_0a6oVFf6j9J;{J!7&zCSlI#g_TpR*_ZNK(?<)N+nO6 zQY+JQa~dM(HHKw`cSxSTbX96uQ+<)|CfO2M8vT~Gv(Pd=oJNQx2xi78dGB*@frUv! zEQ+JaC$;}H(Pne7m@M;0L7sK1H^%&Py+(A?4HWnaXQV~n9b5|z;Z*CB9aX#v$2)4E>_q6 z%O)Eys8v(Mr;AS8E=myIn-ch~O?e>w>>;^Ypv7m8AH<@YS<^a7Mx!_6_U93|+$otv zR2yMwo!Wj$qNB0WMT^;+ZB=`x=P_jJCp^1RX~y%Jo`M^NT;1c->wVyg`#&^Bx>y}o<8A%a#wHJ`S$ z;$cWvhAOLqqUvbc5+S@G+>kY{q#L6H*ft4b7P6P_&!-=-C#BZ>bJU@GVUCVP;2Sh7 z2uIa6o;ql25Q-cc(G2!vGWI>n5M`d=1uXiHNWJ|dQq0K93d1d)7V?8Mu93hsBvjeG~q%3Yz z6Z~t5dHpaKluFqBI8iG_cJcZK@(bky!c@Tziy3Pu_#1c%Y2-I*ehA0S()dhIdTzGN z`>m1`uIDWan;)RF;;Y_7Lkk;2akXN1`b({9LaNM8Sf8uS&{Vg?2Cxwz22cUoIUhX* zr)3@aePf5cn^6bu0R2SLg3`;F)DovtWvU9~+VgqA!BkwFj+6*m8!yi(^|6)~Jt>H= z*!otCg>*@D+I5Xk-Q~Q`Ic8)|;!hUbf}P<=E>0V>z9EW3YyiAAhm^|w_m5kOn43-1 zdmOq=)J#e^^y!pCR@X#s$O5KF5|@YSSTkA8aUV-KRg7*sd7(UtrDjRWtma8@>IQAv z5P35iPzGh?-oi<7_b1l&fk~x(tXIho%WR~sZGK1!T8u+|k5Vzwj!#T%o8uK7h-tpZ z3p+kyN*}EFyQ@{RceIrVj6Mm#O}|fBTHQ?m#aEfJbO?3v_s-E72pB`LHCN4X_eKF# zCmP`VO>f-w8kV>?1EeFd;Z}#TvrwLxn#)S%h2hw=#~7gh{~3CYhsQelFWx?>d`SGW zjtn$6c6fZ+-X4|Qe#fF> zwG}5TrCI8B7^{EyPHs|P2H-+OquJ5=7ndC`X~`fbg3__Kg`4CE9_6&{3foV4p|9gO zNMP9r$?{x@DpT>%E>~(4s4} zSjHl+1uM`4`k}{ST6pz?^8Xlf^@j@={N5vIpJ(6bPfmmvN*v*ii->Tc)y%m28xyvM6@Wy!7U*H@Q6&-p`jU=L%jtT2?odDaG?Jb4GFQS%Ih>k>e*Rqlh5>BT|Ho3AY$6xU4-Q3qKD3u4n6sQN>EG7(4Hkbv5O-f+ zeT$Z)ErwDo>oy-OJ|2u#zmj838u1KsZ>{~Y%#JdnH)pUUcN*qhl=H8+sTDL63&{%vw0`@d|7GZ5~GCG%VXOg=o;g21L?pu2SLH^JQL_n<_+oSvN zXOolwC>}%H@=uBnl67esZ!5a9U)X5q!znIftYUCB8q*{2cj>}|W4&5THDd}#D31C~$B?4ySuSP_ye-gLt# zC7!~x%9{18S+cg74q1ZG0{5D0yGib3e47)#;iAh7#cK9|D=DquSsKklr+gzYr_QPh zRj{aA`te#{YIpQc8;jKCH!p5?RCrfvp0k~4f724&B?!I#VD4J^x8E0M)FT-Wd*@-w zH$9#wICY*_=sM7Kcl8~WM!bu>;}{vGH*v8Wh&zVX*Qfg9S~D6n(ywI!nCivHe6Fjd z*A(DQL?C*rkfp}aP>M9Ml4jrlHkrM=h-N78_VRky{D2LjZD#p?*EpVq38dHv57t1# zD@pP+jJI)=*j-Rp)Yj0@okzDO2~8Z`_M7>#cOWUP4tLGO&j{I zW9Nj0c!n*1t*Fm$o813ZBtl@l>brl6UO{avI2)yH8(R@F4jHLW1i+|belKy8ABTqFJ#>{{I$}m<)(0u}{JlCf3y0Rhp~!#5*hxN$G&5Cc+)SmBy;5T5%&vVpcZKbs|4p z!-@QjMNR7j^r*18qd>7S7ZjDfIf?^T=og*&G0ow2Z12U$y{lRj`CrC#ig2dBaDP)? z3IaE+CwRiv#-TInPZW8ZxnU#JZCO3<=ykG#Pd6vtBt&#pQ8S~CSh9vKz&cdI+XGw# z?{xapZ(<#UTaPHp{a|Ukl%(E=E_VdIYiMqpW5V--pwBvwqMcC8G%8u^CybMnw<+MYJnotQk(xDAy^vF6Y|x&Ev*BwB+q6Uxu*xoG!>V_8E4ho&KD?! zm3zXyrqDI^t)_ka34r!kHdPY8CyV&7xddXaV@*2vLD$J!9I!efXcIpQ4lYzsg8mgD z+8pg4t&aS~k#!rhM2gOfak!47-$6Juj(X!p-IwR-B@}i^1H4kewwiDl}V^TcBq+r=aHMp-QG zLq#HbX+|Y;44T@hMDm0U(oS?%L*{Q@eSD+E>|t673WR8UPDo2W@@4D>{Q>g8Kc9ORS-$evNDKjTO)a5E*^=EZVFav+P__T`t&IIoq4M7 z#Suz^c7rfj2rhDf2{RKi;{-X80j?gd{2EQ@>PNub5@oc%M=wpYVfzP`(`D(* z*P)}#JV=NK9D;u*^U`m1@9j2mvj8e)5z_SqZ^nFHL$2Zo3D-|x)d zpXaEeB=sGaAAnt}CzCfDaEYfgbZ+**zhuW+cDVolQCcDnNI4ue258M6q8Yk( zc~}hnEVB?##K$-b7oQqNAXC?Nsd?t)57_79fh!KI33u7tRp0j@HD)Vr=dkY8?#!#4 zyv~m-U+i$8_#1#fKh{XMbZ|O=n=za&!IjXKQz#I9M zO#X&DpFO@TB;`nZ0ln~aU_~U_-Xz1LqlYFJBh&)P5ICn2dwRdE_Exag?R*f|r#{cXx?ZtBXFYzh>kUQtf;~e`Jh)=r5fOMVm9>buiq8f0~y0jB}uHJk->{ z<&cz2F5d}hixpq+BT!I5H206T>gyQa8#meZ+a{Jh ziS1h;=Db^WV%x!4E9cG4+9hjDh!#L-Fxw*(X8k03FJ{TESTRzXyBbO zG2k+kWU)DR{naG50#8=wDBgG#!Eoru7}SFkIG%Aio%tg4Y{s}ZIO>AMy+$nzM!K(L zS`)S++gchx23f5nN4liB*w7_SPW{le&5>-_1)D|Tu)6E+&zi57I>B?hX&pNyX;Coms+Jih1&gl9ch`@DuR@fq)RaOf^&ZkS>2 z3s}f_$usu)G-I#FQSW_0(M+EmjN(x9dherNqr=`e05aq=7@CMh-SbQ3Fd80DU-J3< z^02Ev`(4m2S(VV>&Fq9WQ3ec>Zhe;H=mJJ}^tQtrFS7Peu2$6T`mUu9`MCAje|*BZ zHK}HN!+8E5Y#Z~x`Sd0fdG@cv@c8kqZ`ZNb9@6(L&u2Y$ zVrL159b;C0%k~S8H~2`Nep8yxIwo8ea+)Jwv>{8Fy~*qB;h61Bat8zE@EGN< z=5SLLm;W#L2o5?I)P=j8Zs{J@J$=E$nC;_8sgV_*|2soaxzI(Do49RnT1nDNTi7LC zzpoTYSr&W|)_nBjU(Y;;8_g~W!66N?g{G~#XqvpB8o z@<4gTJ-=1WFC*y$x%vfsh4ja?z4>jHrdl9dV2SO>I4l z%D96h&n|pkyL3$&ff`&o_toYm0F}z2*F6odsBs;C1A<%RhTKfK;uUoi^pTvZJ@Db@YPT4RPAAK?=GepDacE?E ze)w#G7Sux8fq$@43pO~dY`8Dz^*k#TBcLczBQI>(Tkkbf9VQErH@(`@TN$msCl8s z2|e~KB4~MiYw9UcTr4)eK~Z$U$|pG}rbuqTT2J1}yh)1afCF`_CZ_F(aOo;TX<$Yk zDLM@}pQ$)Z9zkO*F(e|Wfw=X>x>$FYxY()mf7>-VfjOMsMt z77-6U(I7@iQ0qru&)TadD~A?0KLAL3uxhc{&~f4j7Qd1gGy+!+amQx1^OG$gE2oGG z_b2fAVah4)>TXr*+jiBr3D6NwCt(8s?3L=R{;bZD2%07(Nom=Fs}~UV63uM~y_05R zI$0iqaq?2!7-+9pRO%(z=4OZL?kkW2I86_ZsGUUw_tGp%TaEawxtnvGn3E|n) zh4_9szUhs!GePLxC{6MLnB4{?h%teo`*nkUMT_ zD~J)j5r)=HJZq`Do8fSr)x##$vmeDX^f0!AY5-J9s4r+sGL_gTRjv0oyhRF|Nd8la ziKL`S+<3X4U$s0&+Ff)YaCnfK>8#qDbF6=z2$}vwOJen+AW_kha^lKx-aQS_8|=Kz zq!jQEwn|K`Nv9>dvNfBJQD2xaJ7v;Zw~QOyK=o)!}{8u8ZWNnMd(KABU*4)#` zbhzJ-fVDC($~(-*W>HbPW;k-GK+Szcj{CuIB3Ugs0>UL~S$pvSgkjD&8_36n6^13L zLl`oYL_5zC+X{Ej?zhYFpNb3zd}o{{Cl`Ftjb2j~w#<47b{b}2&MX;^dYY!oO%cNx zgk+P8yBn98J0|sXV^KG%0n^9DypvOI^K-$iiO#P$DKr|az_)*A8qtNG0B|kWs8yGT zovoAv(RySdtK;RgcuNR-ac<_dP}Q?W2zhfezdUdQqX4^M8PDPm;2VW)#HH_V3(7?2 zA#!_?Sz68sL}EN6-)n>@Aq0W z8uX4IQ@*Vyxu*?!e#j{rkIvoWUgN7TbAr(@NpJB{N~ab1hoW9#FK<;6G!X#$D&NxX zZlT_QFq?%Brwph;p`-l7+*rbIm9YFUH9nLvzxJ%RU78We!M^OX8qAa|5x?uChu8DA zKOpPU(cif#w4BT$Br>x2j&wL{)f(D9wrm=yZ<1mhDAM%U}l-Xh?fe8dS^kIGe zyP^vlFB?RMaF`R4oCE>-qw~y#=Q4!FND$+n83xsCUUjB(Ts_j2**te+Pv<)MCv5zL zW)io0bN(|s*xckyMVEMmnVVP%SLX{vt-;Dou4{&_a>PkhTOmME3gsOna3#?rlRs6` zklG9!Et;;)hCK24njU(VD_A^obbXe^*7n}+gbi#tumjSqhJT}#U8DmgA zj~4Zv9Q|40I!t(4=rp9Gsxg5N^}U<;aNwjy1giFJUWHLI>vP?v=@2;hd!px;u5s|5 zGtgaA5*X33Def)4pGVpgX=^xA-;uC}cV1E_f)RtE)2s_Mz{Tv$OVNF$2uI&0ZNQ*6 ztn^tbD7Bi;!j}g(^Q6qw))U)Qwbqqc9m=XC4k{#%dUOv*x<-OU+^{FUPr{Ol6pL~J zu`+kAh#NO(kk7`XB&*kmDL!?JukR=`Q6E;~IWA75KCw9}w1BfE zE=m0>P)#=owsa8vD8gyRd~+O)bm~Upc9a|i;$}&aZ`2rio95as^~l^5YP2=Y+p}Z| zU@58Zj}6}=Yll-2n>Z_Kdm?ib7$E2Fmi85~oW=|`p4tPMjoNY1L`i#6yv9@q;$du7 z#a*@HYF}PPmu$bcr~IV}>IT-ZJP2+4yjKF}8t5AmYM$>YOWqKu<#ROc+1KSae?AhlN&s)f3&vNMPCN zf>2T@o0pVHkFy86Bqi%=rdSVdKwl;#H3P&0)v&dpsL}?Jxj&1lmP<{VD|1%q-}vV> zwZ}+xT{nkxCvDN(Wv+@!(hm(!9{mkMokmK{?tFhoKL2c*GF7>iPZQxBXn)NchON|w z5`w5mPuh*^3c`&dGp()Adcl=7i(TSxEy@zpu8xzL_zFp;hQfm~(RpN6Ps@u=vmX)? zrE@DGaiorZgPlwK2vx1zc90b0kc}f4#6m}?>sl35&%t<2t!=B)dXnf;MA4tRhRlQ@ zGkpv$4-?XOI=m?+x0kvzpFMdY+-}GL(O|gLnv|M%s-}J#{kAtlHECxVd4-Ay7r=zFV037)WF; z_`UZtnD=~{>!3OX_%QZ*?~9)HS?V2L=W~Vc<#Q##qnPRWji>Er=2P>`o6)}O9Vpm* zY|$z-sXQ^fbY-~r@^x1it(x?YN&Ma4g#KZoI}HCVeSpZk{!;)O&lTyd1>0*sI_x~; zIiHt}EEe{-vsW*++j3Q<9yb@g>N>XHa|57D&NpHujNkhNyy{5GVQ#Er94fUZbVd6_ z(XlhnjUPXxhrVxaK3vbJ+djuD_~~ZM%+kkYD59Um&Ue;$<33nB=nyHI<=LvzbE$Q7Zad7}u zb8YO&k#2D(QU6^3`aZO13STC!|DfMx(7keDq^p)YoblNebd9Y3c!0P))TCkbYI?fd0P0aZ!Wyv8gx zgR1l!0xJL6M5Es-x;Mgajg+23<_e#Ud^#zO=7X%*KkL@q+F4_}AEt^oD*|$bYE3)a z2z_V}-c%6b=Wk9FeOV*sXdcacmNH!GO2Xh}L|>01wb(i7)Mz%HL|}w$zX$#Qbz1rN zSoaM6;_Vxa&06sF?l(Vw|2w&GP$Rj=J*p(R%M6BNnuYV-`cf@uk|#h!l@1cZb0>#O zq!cCQG@jy<@^cXtn%W)I+f~GSb5(olF$xAN_5rB58On#rvE;PUPu>t|O2^OcRP<(_ zFOW8GyqJx@#l3^>CGj#hJFwvuZSw($8~iWNlwq-^S}s%;4x#-S6Q32 z+j$k?UF#q+(Bgviozbv7Jb&qRi{#2p`wx6)#u_FP4fFi@|ZgaIOCS z7gh48c5ARsdwPoo1)#MQk!1mVzmb%j|2Ti#4&&Pfss`Ry?DT@@Ai$%Un%Sj-c-*1D zkTG-KtU=jJj(BU3Vs*2#5eX>wa*N~on+0AlA-lEX_m&< zhiIzPu#TV7usMZ`Esd(Yxhk@{7gT3N;ZjuBWb~66Fhlw-p|We~aFML6N51Bmdz+3b z&4IT3NYsM4pny?W?vLl#%HPbL-)DEzDx1sjacRGF5J?Z$03sdO6*jA<3DI;dSsSG0 zg+GD=x1Ok*Ghjs61~R)E0f|O#K_u=AmE-lGBjxde9+KC|c*&m;LHdaNq|#Eg=OCSR zLqAa-e-FZXD`9*z_am$ah%YT1cjWWp*Nmw_`-!Bs*1@jPwsx;zI=OcN>U5yx! zuu6cUN}n01I=P$u@z5*5!Nf0GnznR^5Rd9V#NAaKT?J*%<@~;5sWMxpo*6nuh-sHI z9ws0L&m@ywb@#Y?r<<3Do_2Kxv*I=4-~6di+|JZHehjANv76NG`BakO0AG5%o)YWm9ZDcB3PWzwbJa_d z+;7CBI03tc(}CF1y8+{YYTs4k7XF?A^`Phq0pw9(1>_YgH$-`*dugE z54iQb#;5fief6-4&+j6H^v~UPiJd?%2HJ~LhR6NG^3;`uIuZI_jkMX1wj|OKL&z$C zdS}vgfQr8Ragz0H5vo)j4#-lrBWJQKIfdsGk-W|6#!By&92Y5$xmmtIDsyxfe2%iu z!y3<%$O$0|p-XQfyv%?_FJISE5o0O^tmoX&x0x{V;-)7~;!{sWPd3JP=yFI^ zR_L&d-T?9Up60a`xOab;*5YZ`T*>JKV8Ie_8V054LS$}w@NIU#FhqyXY6>c;*dq6i z1()8wyhaexS@SdDu5>pdP?G;;Ol{#{ikd)03vv;mInJNq-)_M)8Kl*bb@S|~zWbwH zf?w9~l1J=aqu=B9d)hL5RSWHBEVuCX$@Y@EDarOYm{7BgCB8~Lm@q2w%coqhiL61- zpxoW`O5Jw%l9FPR+at@x(v0@VVyV`@AIq|i{Pf9~3tTliR`O&Z{knm+ZI>R@(d!>5 zc?$R0`N`H#s9g^T zIFJ%jJM-(%=^Ce`-Ow~h3J5Ht77k8x!Quo+k*?X~hPRZv$eM&KqWu)Iil~p4gmm7U zwLR*Rfi#hGa->VGLQU>*v%XYFBEA9~*rrh4Q(09(eCWLcvFh|O=L)!zH*6j;17A|g}ttz0cvA`g3Lg9=ZKjfW_Z5Hs zXuq9}Y5}vB?sVwB;n;#8;lq(m3C8bx+<>^27j=JTXYo_MZYa#|=aYg!8#l4{AwNW9 zDA*SoH%Mc>j9S4&$7ds!>OF~i^2W9#;-5K~CCBf{K086Pv*_bS**omsi{dF3vBr$h z1y(5lz;s-aAAy^6kh@oz9kYQp)d0Y%{c;W>bDj~0NlhxgE~wFQO#E1vZOGB@4!wEf zC}*)y+K7FIMOf*l-cim-GAV))`d&G}lP6{hw{*$!cmXG6C|PbHgyz;_$si3XR1e(P zTM9d8UQX5L4nSk;?x|DBv{@%#p?uhDQ>Twuh}#E~8(#0Gh-xns~>?`siPD+a)zn=J?IiaYL}q zw7P;XweO4haa`rTS$*=9G3kiC;@q9dEvB0hXsxXwYLW6%0N}{_=WM8TJ!Kdmh3hF z18ldzrZIvLM!H;{kt8~Lzz(W8K8$5{t+HUTVGNKzEg*lg6tza2n^!*DGW|nm6u#T) zfBeVNI0coI!`-bgPqeRi5Q9ddQE}mcOao@#Zn7p~RDMEkuedjW82&NZMxLK;d%1c= zjo&t@q?3%n)5OdUrD~M)PEYgn={RA)Wdl`1{mLqrt%t|r0$hZbU~v4klhb3C2Kr!j%%=gnG~(w~22{f3#~GfUs{>{j zPkO97%m{pJ!7D-Dho7M3!e^!hKF7WHtwXplopI&$pBRq>-u<_k-h28OzX3^>tU()E zgSQ`0Tl2Sn#&&Ai&V-|0tIDIFx%~7S>=`p-bbbTd)rYNf)PjAF2c{IvzWqkHiD{BV zdArVjC=7G%TPcjX>~XK$wRbCwrjD`j-OP=Bj6>g8_gyvm{H5Qg=6zZ#Y)achkBu!Q z)f*UBkvz03{-Is++`Ql6I-B3&7{BoK3`aU+!VN6(|G-_i>7Sdj55pt(+rcL-zvc$hb#CV@p<@t{H7xDoA=XBwMmd6ysPxtN*kbjj{?R_!&`>b1?|W;h#qk{Z-jOEC%g`nLV}POM+>=tG z{`BfQ(^`{Sf3*cA6P)TNYj~7^*J4i42*B2d@*yGu->W!B#v~^E8zSq>X0K$7A8EqpQPj%+D6~t3@Sf_bgXom&a zCH+Sa=L{s7HMexHWwIJIfYIH?h0e^=uu%>920>GK<4F-(Ch2uDjFb@odcZBxvxMdW zndoOodx2?oS*W9e5J$r9D<5$^&stAkx=lJz6HP_W*3IsBC7Cor!AuF_Wq=sXlvwH4 zV>q7sE6aJoopfzVE8}WLJBa@T*4!VOVMoazm)h+_|E(WKR1iJE{K=aEfnF z0y+Ej>IQCD86j@xm60wdzp?oRN|fB#H~O+hlm%^qQoY$)bSkiMD5qhcNaw==)DX*g zq~mH#Gk&Rfi&+T0pv7FN=$oZ)xoqAZ#4qL@>bF>^rZsMbOA8Qp)B*5!eW#iYx2K!= zp>;FGR)Fy@$@60R;LhOhF~MVMh|Bx#h`qdjD2woN;@wCsCj&#vzfJ!^_ve&AYSK!O zP@Le^uKHW%=veFYZa<0JxynbVdukub)v0abf55ELo!<|7oUi3;1}< zE?H&5G^ty@GiI=0v1w-YF;m<7)(aci2HdTIpf))2`tD~BV{(JVdInbOG@uN+xd%^+ zN?m3+4MmEw1{-YF4%GjN^yQNHQJ%ljh~Xn7_< zSZsk&W@MsKmT3Ua_l)NKJ{proh&X68A zM8CcGTryA_QM4DIHh&h@%fsKwLxAk4aU)yhZYMzVK~LFVksp=7cA1`ul&UKacvZ+x z=A6-P0Z}Jc+X-(L5G%=P#7-Vrr*`Uzyn~~dQ0OIkM*jY$z$ndU$(kDYA*JW7tshKv z^jU12Eoly1gmJqNqfC}w@FPWbE~hV?YSgtRPl3&QwjMZZnam&aWhab}!L_^?{Elkg2rRISg{RZJMWZ|n`t(}_uHD7a6(Ou9Pm#A5ltuFCx#ZMTBt2(AI z+991tician^V`fxlXNE?UT#yv5sLJM3h9(N+=v8tWDbwypHl$jYmuF~h>ki*eBGgi z&b--jPeWS%7b8a+F3u`&hl&Rv+mJ=XXPKQpvS3B#cUSF7(V9oPioqPV;^?aIF4%$0^*z6nmX$4+v?1h^dyC7-3~pCAZ`rk`P0~a939u$)hGiv zPKTvc6Zi^t{MCkhU|?F?y~)-ex-wY$vWB{8$CwUCS@D>uQ5K!2hJCBLc@Xh@=xV4D9)kpNF}wCJP1DMYJ^dB zvVVY{Em6`qc{Y?E3Wq9SDSclZ0i=6;66Miu^M(E5qVJTmcMIRm4q_~H{m?m-ie49h zK=Lv(%G;$6`VaN+tKOIuo!5UD6HiL&8mrz8Og~24soSRJy&Z!jqj8$3J^|0#1I<5i zheL|#N}3|AvX#I0c%9!$sigf9hrid-lD;Bj7VB4-1GdF9uL#L@75<$^OHF1Z4&hUs zOnYGRz*iZbM<8gB4ob7t-JviHp|mAOA} z?U!009rxF5EU@Vt!Xj{?SESIvhKjcLfJ|^WkO#N*gxgtZ)t^@4DsG|4A1#`q|7hvj zCPRTbUIGHmHZzrfbWRh~j7py43Zfc}l7@m<8p@v%s;PC2K2p#1A3%x)7=F#~6FYxt z0fIdQ075ylzCN<=z_aqqNAjj_VhNk zUuumN1HW(40uLO)Zj3YMzspICT$to?+iNu9q_iSE3_dPL0y@MSE9Sb@WDI9pAR+$w zJ6>>FJk%CMXrpG$`3n-E(#OK`d>A;zWeD`tj1@HF)+||A-NaZ z#J`aSJ$kW{-hs=w-~V+2dI1J_ow<;?n7O)~xzKA%Ir9adBRZem*I@?S!dO`7NxJ}P zOy{zN9v)te_{W$2Ul(BZ=od6)@VQ?v^&W0oEZ8CY=!^Y=1;6j{SkCag0#XR$S%2oA z9=o#OkZ1TTBlZJa?Du)TGtKZl?!y9t5qJA>#+9{GK39gli^~BQ@mq0Dg{F}$z$T$>q+#Vj`ohe~(e+`ViHt}0v?D~dN42tpnexDgzh_=pKm@~#e-A1<7 zo7({3;HyV2_@xS06h$l2G(xYu^z?-+<|Ly+w)@G43XV#hDfY~L6=e_r7}SFOv*hb zTe8;O5_kH{0>_G-L9AFU9vP_&bkn-Fdnu3p^vH`X`CwOm6=L&t*58 zApu{VWc?L|j;>1TPL>uuocN>W7d!qws`*s4gUIRVs!{&y%3t3Z>AnV?=#A&JtDDf| zNcD-EhPDS+j!Nw_JDZsPx<9K|b;$$P8BV|V{DE&DG_P(pJz5epJ^WFol&a6ouLZt! zOF?Z~`%n*apRJ&?o2-{GuP6#@xk#WM%$L#khF;gw`K7D2=F0##ckQq*Rjzp>&rl#6 z+X(f#xIJbb?q9MVL!w&eT=g)28F$KaA7u5z)LqEzuI!|uHY1|PgXm{)v*WrS{~jf) z4+v&29PcYPHt7e6*C$(*<}(@}wn<-(<)N%*&8^(NexB~2q#4wchi#>ert}F)aHg#? zRq|ah8h>$xhkL4p;vwXQ2_J@#mFD*M5|^ws;NRH~J4Mc;AC9kn!%&4h6HV6#pic`s zjS7H1JXJIkN<-$;9YxypmmTAWJkcg2ISPC2yuR>@3?V2S$oS5Hc_j->soFIgUoo*c z@WvkfC%adD_VXit`xhE%YNDkemgKHT98T4UMUC8((T+&eYurWLY?lV5^VWQeA*Vka zrZi!eG@xZ``)6REL@0i)AlhCgrSatLNM?kL26FrgJ*nd)%3x3vZvoSOr;ycli3941 zL$bw9J>fYQwOvYP?Rtn(?^S`FxHV=)oblZ(v z#P#q(t`md!!fU_6o;@Fb6}qj*3jalnb@-;P^8^}nt3Oyj&olNi!Xe^5!Q6sO9zMhY zK0d{spI!giXQ|gHvrP4lHf;dBCnz~%CdTr$MKHzlt%%ZhToe=p#@ zT~B(wAG*H7uqkEqVpRSs#RVZn`@dnV-j*N#QNgo}y?MzlpTYngvshlR^kKe?gXe6F ze1Xt+jUoRoI%b6WVs(T6&hg9w8TKT?I#Y-`v*P>a^*Epl#m4sRda5XmW_BWUj=RVNdNj4 z7Q)t!H4a0%J!ih;g^TK-VSyN{0Y^dF49j3H4|bEf(iv&7^1 z_?jQ^t@wzl5kGNKQSk=)s8VYN;CYRJv{WHmM?3ec#9R9@uh3T+J2My3yFnx6F59n4 z3P2bt%Hh%xLLi4>fx3eB`Z?Abu_e$RgDST~Bg;jT8@&V?EEaKBCDB7Ao z-qi@W6z?E2+}yYz1s^wkL7$HKO+5Z%KEOs=u*Ue4RM<8Sky{iMwBG#EPmTip@9MKIZP`nL|is9Ibv$ z-PpTfHL_LWd2|3b{xUp#uS#(Q?3(8*+X`EcD1n3jh^!qcrqYP_C0iRSjfj7$_MFe* z?%hoPKT@B_rqkxFsU{i}<5aw|Tf6EQ?ak0`%$jvJydsQ2uK^7L+Gm|L<*$=W5t(zM z{D*l5DH$AJqIn6*=$nd9&1uRa;;4WCvV5c0?xIe}f}8l0XZ zv2>+2aSP$#1RFRpf&DLXo<7zbyD{rzJNSBZ%ObkR+)Mq!wdx~hvtrV)yNoKcCJfv1 z->r+Q@WdZTMhA*r>6VinTZ2QLqrOHhb#z{OuUaz~?ez$i}bBktRU{Oy| z{*g39#$5ialKfXCEkfCf!(?&GL*31*v@LqBxJS^3LAGj-TKAHgCPkSQ?fFN5G7>>_ z;GiCyjhvAI%U|;mtrgB`apfQy%GsmkohD_~6STid0@`hK=$xqN7>BznX+AiLxU-QF zV9fUFW zr9kjlh#DFk#B$!FMA38yD|u_WTe-8NJnAGVN(NVPHXdF|SmttRtL!v!hc+DBTBncJ z(CwhSJ%C|niL%D(j?}d_&V8qv;RI@5dXPpo9H(jTy0&~lVs86vJO)u#<-%bI!p3Ow zyMDJ76>IXAN>5o%aKU}K>}SD)>oa`UkMen3f^EE4roT-rt6I)ePY`$Pv&)IQEt%^2|6sA}`Ay6nN(hB3(eE4GA~P zoa(ci?H5@ex4W`ay2K#?irA;WIEwo`uV}Sn{2u3*Bk>JIqpJFUe^)kqei|Syy+S51 z3|}6`R|mr{F9;)&T+eCUl-&M52mswe5K|q6R5;X9h4eJoE_aj~)f_ECCpZ`q|NWEr z_8sJ}zbHLzoT-k%GcynTXW@?aq2vdT5UJ_>Y`4vM(Q0W-NaPR_MYpEbo<`i^$p#CUYI*+wiK5Uq8}Hisz^can zQG80plw=wa@VSn^PrG+SSIj7Wsju@b_gfDJQ2aTV)f1P8B@AkAkGd7!hRCr#SU+jg z0gdh;grl(s?EQJV`@fPq;B7-Z!qf@UE%IF8S_q8TAtt#JxqldRzCWlg&1cyfq39sR z_wB#iJX3Jo9d(j*qIr8+=kYv>vPv%3eE=V_-u#D6v+;!o%t1DO7^gs3H&OFK44lwS zj#l<`TBXR69hYAZj`sjH5f68Q;w&vzRlYU6+Z_diFZIIuEOggYOODgv4*U%{__7+1 zoH9nE8yF9f%?O~e)dlx?109zhwB$d;ui&#*y5z0C{`FE)UBQVs9+cDed<; z^HYz08ZcPpg)*{guR6K^*}A-z$(3)Q=TJr|lV~Dric52Q_Lw@Yn^X^qa4m|WsuL+W zP)Finp?`>>{H@5}RC0WxMbJkbv7G5q?Xoa58Ry#Y`Ro%x6(7hy=VS?^78*^2bat%5 z+m+LzZDWl&*|c&-$}yPRrpdww58FPNY<2xOggyvY!6IwdpD+n0ouul<^5!g6f0rQ3 zJeQ>Z=Mbe`OCg$AGr{}ZVXmFaQ~?tf%u~K)R;oHJrp|)8S)Z~&O)}_UtJGDAF4}ZX zN;$`|{076NCY}~2tM)p!r3d8h_>;&@uHWSG4vx4GoN|x<|K>@LgNMjA#D#-=WeQ(K2s1ro5l{zeDAvcR!;`NH);-Qp~5gqb~YC zB_vRVR(d`@`#c&To&m-_2o#d%8T*)fqkVkh8TZ5Q&Wr#t?s;$TM1jW)> ze8JxNiK&cPvi1mb$@}9=)_`)6bkxf?Z+uzU&7YZH=~lhT2S+YxeXgN*(%)fRe{oX4 z@Xhbrdds~maqPFR@Z@cEu4k*ZWt&%d3@3zg==R&$604ziB4J+8{=&iPuwmIDAbd|R zc$t9(nPcnxn+IGU7SEsY^|*P>QCC^cSia0>qqDC34lb~^$fQ}HXc3d|MN3OwAKP(z z^_}v(xOL-Urp4|p6!_E~^u6@KQc$tQk&HX6G2i{cTK^Ksk`bM6NaoRbZs5FsZa8kU zcdEZ#SG=aCFCcwvS-$JhPrE;BebTEzHQIPzeu!KDWkctA`76PS787Hg{9lLUg9D6b z8hWakdv`PrrZAGxJ>tz#9TsmJ^Ie+_x6cxpN+aH8^j($RTkSc&Y-is20#UPnh`z>) zvn&)hK~Q)LMW+&8YKk&B7j1p@!R$uliuSo+OcY?nB~zsqegQ|!_~bBEi`A-m^S!n< z;?tJ%^?7F-K27ymOnFY0bV=(6IzO9#_mRd@iVJP;^XG|04u$5%sZ5AX^q^F8fRL`@ z&M(==w^k?RoTq(KvdsqNoUL>FnYLY~_WfVC?~cZ0Rzb=X2Wn`Jd26bhW8P5^%v!Rt zr8NzwlcS>HKm<4@X33Udx`hc?oT&AHA(3~Fhudc@sDYo=w8`TEjb2-kDZjxD}T}IF6JhVdWTFXT7hdYM*Ze!~~abN2Q<|OX6LfC9)-g zw4CB^Bq#=JB*YiySN|}VzczYoV}VLR$cE+*c}`kP3tDN1dXz>|13p3CgN{pXgF0TP z;p%7GRis7>ldYTo0%g{C2+ws1?CW^1Cx2%B3;dvGG@_dwAL{!L;u7}d8-!2h%Dz8S zL`_0Ve>g01ch~oQxblmyDUGn(XA8H4%B&swVEhnWl=10+tnvrVKR1WATR3n*H=^R+ zyG#X~xmoTt3Y@u_d}5TAH9Cra#hJf9N=K*TJBnLo5K#rXQgq?vR&Ao{Odc&5U_+Hn zBP}7P86j*WP5&rQJ*O}FW1~K+GV*aD%w3CVz_fa>oN>XG+AY1OxihWQ#8!4w*p~fC zttaNsk5;zTgvR$DjFW03PWKZ`4(?`iBY#KzfvYfk>Tx*pd>HoTwnExCkvux%*FqVZ64iSBCt)bV}( zqCo7o9}-XZTM?i~C3FPK`rhL3)wdEg;+F}5!J5BcLhnBwYVLF^t0P7#Mao8%#G)c) zID>P@&L$HfDaU>V8+;D%0}A_<>G`Ds6IGo{8xn(|WUUBwe-&vqf5OX6U_E6X4`92H zQ5sMCFWdJHGP_^mVEz4nm3O-RgQojF<33M4A9wHQ9$T<{t=qqS%Hwgy>fZ!+n3uix zZSeNl@tW5~&%-`tp{A|OIIn-lFn_4_@p+ALbK9`rW^Czt8O6=8Z6B@i`);{M95$)t zo=aBxj>r10U-7eq`PU`S0frdn7+-(6_9y>OqF01CUS|Zb#{lWMZ4U8|+nDS4#>;oq z2u8vOlLdar{>_G?Gtq7_ds;xBMg43zuKK=EwE=aOv{g>rbR!_dj3$hYrr~ z%bq#~3Y23JXWc=@VRiZA4@&om8T$++?P9EEG5<4)^FAtT2ht@2`!8RCq4*0q-7r}o z*3JPlB5c--doMPKNIkOeZ}Py$?0Hl(_Zs-4LtU840j z%NPAp`qs$xCGXO8JlYK(6Apx#9?K+taj>z(Yc6Rs_WdMCAUrHPyK1Y})sFE9@#pPr0xtNm0d`J5#!^9JapKCMit+)`GP;6d`#^d1X+3FsnF*_Mwx4l)#cOqxY3TN)We= zx~&EJl@jDYIR>O#I7bN5rR|qM^kJtFf~Ktk%kolmIf)nm-X#Ii|xi98uu$so+bs9 zb?92FZr*fgsAM(=7F@RaEnMgWb5v?x)gHM?B_5)2FRf=dYB4W@4$5%%z$Y^ReZywZvq?onT4jLXhizm7;7 zp|YEcO8|}>(P;PxwxWRkiVnj*CFL;t6Y+D3ivNM>c8JdNigYT ziYn=k?Jl0vozG^&J}5ks){^b74-PS`PFGVS=Qdg8ss9RZzHZ+~8* zfeLf7oRm!4$EGr?5YNukUuN0fTSUQ=J4cDS`zl~^FKQmdjB`>_zd1)E;%NRkLh~H) zHk!y=JPb=TH3vwpwNtu|OH-uuh;hZi@m*zKnl!TDG!-=N5Rsz%%|tidhm>~9b~K3q z%<083q8i}8a$ImGOE_2fE|tZ-c9KDCLzx#AM#Y&9H;O`wI zcSM;9A7M@kndhp~?U&gpQ3I+oRis85F#u5-)6*HbX zzsZ(-fueZ*p!+?3uwa!hdkGn~9uy+#^^N4HAaSo`V!!`FL9 zHI=u~zw{yqDov^=0hDS%R6v@bhNeRu`lw)|jVLfkQ>ulc5rTz?3IQP`QAl7YA&yE< zQ6L~7U;&Y?R4F0%IlS|G*ZW)R-aCIdYpDw%$vNlyJbUlY9(<76w}`W{Lj=J3)x2#X zl<@rVNs5t2AcJ7I_JtEqjGhU8B_+)T8O|l9!=zeb5XmWVh(z`e8)YndajY)pl zVsUhKIiO7>0Bw@aWRX9f%RhM-IxBr58PC^D$E(uj0QQkv2gS_Q{h8!NoHa~iczE%M zkq;QpCRoJPcxD0AHxoNNQ?`cqN-KJQ?1bfB7CfDiO4+^)>ydc{c3)jciL=USE;MaH zF0?R5I~oZ&&qJ7DnhPz?>ybTJC&ksA5SRu#O#+?sX1*SZmj;m?6O>B#b3NAT<)nC-|#*99o zs!nrRWs8$6{KzVsIs@z;n1~cX7w6SXlJq+fCp$UIn#vyUj>k24YQ?S%t{R!=bW3-G zQ6icPi;9&9<7y6IZUJk^L+109IB-a30CSO;5`F^abKX@jdVics{aES?O{)2@h&jM2 z;&?K{3}L5`Gt%5m8W{R$KKS4**jwPWg4F0ZRLppABbh`3NSJHv8z5m8UXE^PXE74m z&H=m{kuV1eorB2Cf;d!5Yqg46)@OQRmBAnUKzZoc*@y6R_Xk7dKw4l*9(!X=Tkw1>0Lwp1FiQh zZ!@4NW9YLz@TRMlF9VSw1s?;>0tBbZdTiMXtp(Mylt_Ez6G+c3UFblZuUI0M!R@)HF2QZN!ir;C^W7$sqa zlg>+^1FUx4v=-KyxBoJ$D4#{3ecO>IN1n)&<#g9~UNYrDIZrO&UoUnHq(QeXVYx0@ zIG3fL-^PCC=Lhof0`qAw_*o5WJ8P-P28Io5HE^8~o02<9RZb7SO*iC>Y9*44hgaeL zvJBQ54&fM&v^ZbDTA#=vY?KeNnX7H7^(BCqMr^IH8Z9f1iOO8{@JeohlKy!Va1927hZS(w8Wy8PB5!LxS0QWpYur1A&}3rVkEtJakuZfnXjrTM6lW zoue5|x@ZXa1;qZ>`T7JI{C_b(dc)bSF85OJEqB66V>uLlqY8wqUTBeYiviOzIZvfK zy6{cabQ!C-%bh{e@n97C1ERa$1bA(esW$oaa-5Y@AmiRJQ04OIfi0EiA>&9K0W+&V zcryke7Y65OoIkQ8Kz8wm;wB%Pbgv`N;af52*NWyWU{6oF8&xCXg160*Tby@O62TSx zLU6E#6Sc?uN>kz}ILu)8<6jTkA&;nqbO5bGBkLMA9~K!~zFOp2E+L|M{yCSNy4C)d zlvH-qB$F@#7sX=t0<&yswlO)6MWrrQM)t0;5=^`s$P28-&}pUtEaMA?T_m@0;cty1 zOYE3jbDE#*q4^km1Jgj9hNBtc zaX^g9S3`urpFUZ)O4UI;3CPWwoN_5!E4pT(F4zMA*?5ES{QLQo7nq__00N#((L)7<9M< zahDjiwDB1>p<}5riADbmZsN3@0b0b>ZaJDG?0*rBFoP`!;c*1d1bI3}h1_ zTRjby92%o2e|n;f*D)~EjPGNR;&a&LC4+ZrkQe#>cX;j z(7h3uJaSv+cJA|X^Tt^dVZQ4IT9(ID-KUjNP@*paC%R)ii#I+1JYOn<9N5BfyM4*hKPK)gd1Y`GkomOdoeJD1p0Jftd| zdSLgcGo1wt-O%ARTaA_6e|z~vTmoa)m;(Mo5JZk ze?rbyxEK1=z{_eOq%S4DaR9on!3X`1KA}Z~cf_+Ee#!f;i2GcSKPIH=n^$XqYvKx7oyGAgiljttl6>>H zj|w-pORLNqtgU%GYZ-mwTD`t_*4IeMHrj<9!gXZo()&zq6_7>Qt6$8mFVoEuDUvh}=-V zF$t~;W^{^GF~ZylVG*FKYTmn0@G1iTe+0+cW2@`fC19~}APel*y)<7jk@hC1F;PEm zP{23(^~PXEky{T-XgQW$7ISO+Pv&sk6r-_o)G?7mFDxe=#s9EoG=A;y{ z>@pAeL*4EfRuDNEoMe=ay28T*$|}2IIPGH)Lg$YyCB&qu2gL=)R;@ovV5tYyX{K@M zOI09@0YNS<4iYZ4QH@+KIAJg?`7eHc{mkn?#9RiQSdo9OgUZ-Y8)S&P7Xs63ZiqT| zjW&_TN5NH7d+#5)t@_H0`QlNhJHbU3>j736J!VN@R#0$q&18d-c1+{pD%K0IquyMo zvNbo%4#GpG7+k1sU3o{~fa44JxN+n)pJJ9HY9q3ySyvw)TYEv#GYkg6VM=z&MF>4G z{*A#&!UJqP+{l#I7iUwoYt|wO#C+@jC=jm)PBWj6SVS=d;R*Y%tP5^-G3TlQY{?*t z=oHz#ee8^SmqRtIuAK65W;rw#(O0Xk(3C}_Gw=Y&01rEomUmZ6Ir(&of_n;+1@P#-AioZlm;kk!{%i4DPmpd-W50LziLr%L zOYiCKJ)ESa-7p%=jfv-dp&52JS>g6+A zXx&mfs#s4)pj)#qS#sD-&Y~)})ZYtE8bDkhc9k=$N^keqK{Rs`s|0XqK=qvjNVVG_ zG!Qx4^7_~H#^lR7v?+C38~3KmayPH$08*YKTu%;eCAdQlnql3BV~F6^CKyE50XXHF z03M|Zyof;9M0^)_@qBo@&G z?U1!J{J9P%W65_K!UAsHO9@~A&uu08#pW_dIe?mm(13T)^fiek=Kilk_%;jp&iYu- zOIC=LX|s?=p9Ts^+~i0*qyvVT-Z98<%|g-OK;G6>H&@aMW)CDtYQcV%)6k)>%ksie zq9XhNzQ4kj8Af7-VZaiD0XF7s(rEj(Kr(2NNPhqfb&!x+g#%*&@hYG+IPPFA2dNY= zLrKfPJ>yj6TT+qhZLqJEP{Anv*uxN7>CLw?Sz+P=b@O&Y%hKWD={hDj5f-RqLWK53 zB%{mOHo%L_Kf5%*C^Pr%VLS_h^{>w(+61iM5nCp0l|01!X$mD!?1xCxCoBv`@<*GJ zBOth^TPk_LU-q|tnE0y3I#HR6$j26%O+px?+WEs%Llh+oY%B74!>BcOHU13ahtZE~(K_dlfdBB`6GAZYre1myUh_HkuB0nqi(>Sd~gIgLBYnW|uuC*W%jJU%|H<;X1Q zo+ddAt*Q_^8%J8H;*%wcJ7C2Y0!1^3Jq`eLwLnw%2XPqReiS|zp!0IGmKH%erwvRE z4Nk*o+lRB#rDY34upHKEkcG@$IowQKU|u@&HTgXk3NM_78KqNo!!0w=Oc+1jT1Afc zbsuV}hSkT_kxDXdrIJ}Tke_=dVr2q*VFF%>LDs>(Ng5^BGjnlxmxV7dXflT3Eni4FYfM;505VxBWmh9LouW zbpjP+u?>NLhP2R*!aa4KGmsWejHvq8#=$6KQvn6NQn9uWwU&9mSU0P=lv&mt3MDsD z3*VYcU=-fcmEWIH(%M{hb*)<+0{kbaxXN6xUlE`WJ@G0JhG0af?`0AOMxbibaIo@j z7d>qpoc&N%Rj>|42WrMFOL?}QVrd6p7&4NWV1W+?s%FD*m4D2mQJI{v{FsWJZyFOtK0Qj>Xi}J-#bdlr)3E zKqlgvDe$Ml_M?|oV7Lg-9Ow`#;3E40LRHi(gk#4MsFZj1gbA`niN~HkMCVAyjzeD( zH_tMB92Nt;4Y82DFNM>&r7IjUVPTr&@3wBsOp#cbNBo!x@a9w%vD{YLnv0@=VPD29 z_T?@#C=7>@fp@u(YD+MwO_RuZ+r}f9j#Hwt;X9i8$WrWLH;pg8-5eAKuSykDC4dz| zM21Gh?E-hubMWaQMaUoL0GtKxvyt71+6g(+pm|~_GJ}=6tmj=j-+0B1WdeW;(&psO zrM38#l<>tE-YI68S!hT;iZoDYHRsEMzFuLk?szB!Zeu<-NuV|u%oAvoRCnm#(S`|l zVLHVof4KtgSrFJ*Sx6CXeauqr624hA((M7eAXY9S1~n$JJ>kX&HJ{dt-kq;{DNc4d z?5`g22!snB9=gcu2X9A-_t8}k1dxuvkB}9Gt}m23ffu7IYc*;S?z+Xb{aLI2RcrM) zdf9!8UpNgqY&+V+`VYpD2AOp=on1|W`1tOD8?f!3H{n&1Tp3vLG?e-m`M6Yl)i zp_JYaUJ@L<0E?ATS9yNJ6-$)>)~aF_FOJr7DJcs$HX&yr)rQ>-iR_Zq`n2$A76Ggc zH^J934M?tmeB6;Z0;EOBA)@7v9m3$@MuoPl9b}}Ah_f4-ZvY1ar-_Be#L#)5B-JxY zylt1F2LEfJe*$-P#6>Ij|7Lkm5D`OxMg-QWxVF<=b#=owNi_`puglD=RUJhH$JhpKPHxzm}*56tS4` zse&G-D|!rkyULl3%z$te~$p~JJQ>hC8wC#Qv|7P5cN1j=gRn@ z4CpB@5BVxs(==n({>!^M3N!anb2h5H7nZ;Ff8q{Ps93yK;w>Seqjn0mQAk6x|FP9=0yxcAsD!bx z@6#xAj;{s4iRk_?wRK;a2sv1j&Z{lp*J^~#&*0#W_AhI}&6nDz`R+v(@-BQ7+JxqY zP5w*6$|~~yPblI~Wa7R%{Rx@4?@b@qxBxn%D2lkNX`R|=#kLc)8k(!oqdzC^5aBGcg=A*%Zl zU&A}%TUK$F^bc3)rU6+UJg}rPy(=3Psa#P%=^b>C)Hu+!OR)@s48%90t}Ebditqw+ zsAzwfZJ}zZ-(_-5)U>&Pi%MC)2g4~I5BQRr!>M%8AbD4zixdg*=|-_KNR3<*h}P1W zde3j_4JTgDWRyVqK{vnLnJ&;r7aQjAPx&%^L%L3`xeDjicG}VRv4uSjHJ6#AvMwra zr7&JnRY{#wVVXtu(*9Q{ zKn9<%=y(rySt-1w18M)XKc4^1rRxl!X4Y2VTnsAl@;K1`s#+hjS;g(S42KJC>@qX$ zQSZl$0Y`@5Vo0Z2?Y<^n0&MD>x8+rK9%nHGT}uq5Lr?T;KI%MTaDrJ1-W0fB)-`nM zHK?Cs6rd4}we*5TuHyf+tTtTB*;rN&H7jI!GeTwdscyuR0#YktJ}^w)k)xS17T=Ld zsPF&k;UvJRYDkLV*ev0%E;kGBM! zr*=Y|C!4Y>hDC^JN?MvbP!~he@j_@xfS}K&0pkz^Jy`1kr8G>8wo_HBMqS8$ z|82T|j-1&tl$dyp0(=G@=lyFLlCkN3kTqlL7jjN7mO`2aR>@t(A<^|+3u`4{xvGW7 zw=aPkNrrAj*U~`&`d+p+oe>MWeX#kcXTPswkn;P|RO2BxSX51Bel(tJCNx3oDjXQ0 zb@gHt{7l4s4CS6m|LRq2X*jmNhE{n#!mr_ljxMt?j6u?k6HZ^rEycsq5RNL;^${E< zLT3b~0m)Hh1c!m}Dgsfd51#CX!SORZ&OK&v=-yF8nr%q(BEl{hMCU7|VTt1ra1cLN zm`1C}N-c)wn2UXH6N+IlgH18a?5k@m27&#EfnssLvE2NieZqtB^gqG`Y+ol|8?L6> z%*KJ6u{F;s^if@4UE?<&ck&K@&)?K#Cx*ZR`jE#4bQ%?QD62^Xr?0 zrX(*iXNk}YF+aq!QC|l7Ewc!s@Iu{@4C0rs6iaO|n0hmcNkL?=R^#ql^_OvW`~sF% zs_kGm?DloN8&VYMyvq(5Xu}e90;yXKE$s&E&!7LsbU+r?p z;~b|V^*u<|5bz;U)pht_79j+;oBeipVU3#L4GTLsPMah&B$2_k4sTf0qb$0stVLW= zC!GTeJXq<2WC0}%Kv{Ct%cCQeC@~De{FqU7JZ?=0dg#_qn#HlzQ|ntVpV3s8n75b^ z)92U6fLy=8AVw0iB>+dawtz$O7OUTyE=RwJYG4vAs?x;!$6%yjC7f$6+Xl$`SDO3V znB;|NT?l8}$Mq!JlEVP?-NU*W%_gCub1rL}vM@NJGi`uLz1j`6O08g)@MijqtSeR^ zhagKj&}!|}Jbghb9$DXvHl*O)%|CX>H^MU&m`O+>U??ZH8ZJ|OM;1s0U2nQcu5YX9 z2(KEZboOM{YW)-~u7gKwA&=WiFAB3z#b0!N4H9(fE3d0LBO#Evkn2C z90}8m(jLasIItDaOh7gIIh4aV!z>Fq!N7M9Q&XvH@Htv9i86(v@5=hq6}*CPd3&e} z?7M?ukBxfh5jxz+WC>k>>A+!MB|a$666sDkH=c%?CgP-=iq+1OxYS56+20@#+4$Ho zt>|8oUN<7;0~f{>6jZ`MRuLfw*?aOj-*AcxZI}N8(3e<*XGIhhU*K`kCI2NIf`HRA z4=qQs?)Q()rb&cBool}7Vy6?1QQSRsafSM@(|xFUI?s>FdJM=QFy`q1gg$IF z!_b12mdniVVFk1=3*SU~sq?KlcG8;jx>+%%Fhj^&*DNIO@&o_S3hX&uz}!2wm^0%A zS~S?I%TDyevv&|iO~?yPP3BqIL0w`EjGA6x-&h_4LHROx{%XhztL(qkc4vimL5$@~omtz~8<=Uws8118VA3-Uy4>l5aIV1TyeA zI4j-aSq0ULtQ+lq?2r`z4R-aAb?tykztYfJy~+x34#6dckl7D?V<;Fh{P6-ZKa*Ky z5lCe!9Xk_==+_*Cfy*chjj&xpAV%cwqdX`TD9bhF1SgV_{xIUS05aSYAh5jv3kK5E z1YoDvQU#_5Gn4E4JsD-U2k~ABtt_HRPX2k?@+#%_7q)mw{z7rwd@D80B5ImRLI_8T zZbfX$Dm`S@8|-Mb%)$x)A0QmwqU4s81j^ZSe;Emlw9~=7MxQ_*(41gFjxwZl(O5`Iwq8O`mcJ zfx<3$S{p*++8}8m1ITwdEMiqz_m0l6Mulz?6bS-W>Bc~ci0KOZ;WSLiq1}=65EB?c zrw2PVxzc1X!+6M9^hXY@!U1v{@*0{lh;F4So`X;$R|2g+9MGRY+AZ-g17<;T__&+) z?e727*p%^n@!0}vE5xFL%cXE204=o@c1Yt>?8eXGkqo7&I)(@*@YNQNRk+Ce($tF0 zPq3dSEEGYuX7#?+nZhHQJfL-XrW_rHW&&_5-^!_C`YpsW^K|T)Z=)Ne@zC2EN)*ik z7Sup7Jf*k|#WR6Gwu6%4dGEKW1ms!T1(1FuZ2`MOx-QZ=+nCWG&w*1F{015H|9-fO z(jl@Oq43`ffjbP;hhfs%`hO49f{;m<5|I_z+%BYm5!ox^$R}3F=P2Yu719t?g8ZnE zSc*{8f0OApe0&0S@I@5=ccgyS_rD|c|D8-1=a&K3>ny+O1wM6A4RF0G3hbX(6|sRJ zfsRPvzd?c@qWaE)S;Cn8B6#5dp&UEOZSyWO!ZmhM@1)BtgfurQN?ecFxb4_akyqoA zJ5GQWenJ>d%&MNIUK1iTt%P=E5xL{OU~#=Qu6bkJ*+BqFxtc}!T2I~LcTe^@#_LbG zG6l`hjsl@~t-tVYu31mq;Cn;lHdHSq)Ufp+gKEC>kyR99liLIK0Tx5jEw{P+p6YW3<*rIT zle{!Ic<)LWo6?H^ZLxgh_reDh{8N2@`~$GzhZH?sDHit<&KlHl?Lw5Wq6dc{F7T-C z5)v2qwz|bd&U&x{SO=x>p8zcJHx|MtO6kAu>HmLj*{tYRJ}pO~z2f{wO@vRv2kL-; z`WysEgsp&E7X4lz@TbVLWs$6BBEkuU0>9w$*)_9KB~D85y|B~+q#YNjG*aL#%P|n6 zii@CR9$Ni|iho=QbdeQL%MN-N*UguJZkR7%r056F{QZ+Sxi6uKV_pD%_i*8l2ekC+ zSOKKIIygLD)vaj_?g6!?TZ?=J$1m}U^bj7l(NR?q)C$o!NJQU7$hlZ3|LXeS|Mcbh z;9u}+CrY@KBUG#}8GLogtYTgWvg4B0{t(ABAC>$fX=bXk?7GiQZO3+){_%*~u7wKs zl27i}|HJ3g`bVhlY-DY4xK2>bU#z-#TI$v+z7QeRI-k9?^I#?~o(SRJS4-V{UbOzo zxX9yP+8>h?XJ`!S)}o(=c{!}^lAlybH;H12fI+^ckU)2sY`FG+3TVONUfXaD@I6TP zY}u8gtHd>afXqj6LALJhTB4@Wi`~GvhGT0l`7-(DQ=17I%%zqW_&!$WV4lV?3TrFaqE{X;MM^6>eW0|kX!`zQ>e~p(cA_X|kXZo01~6zNQ?o^G2?A^YAHleENfk2L%O6UB zLcC%Hvq8Y@0QrZ$0Hu%12kMXsC@gjR^jui9e;<>e({ID`UoS(8Nq&{Xi(U(YoXa{? zr!H^X#M@0s`T^HmQh{mvawk6HYvEBO`cm{ZdzMw~MrVi=lN<)_aE+Tu(W=jx#7KZs zj_NC$hCN=R>51yVzT+{oaE7Vu65W(E8pl#W3V&H!F2g~=4&i{wP!Xc=N}feDS6T%| z%u?`0aq6Ky0Tr7t$$mD{0UbR^?GchQ=>kd8?IHv$}J6vYh#v*l@PZ9GZdN;ZB>?2s)!I*Br5^Pp4hVckf*4Mnqx~Sm401wYC7oU6%nI z3?XTxe9^=oihR8p!ZiZ}cRHt=OMiB&=dy{o@~->bzGU0ssWR|a`Q?@d4L7)u_TwvP zlrC6zBdKUck*)>lL>Q}J-RPgZfew{2Ff{5!NbMjZvjs46)qK{s@`%ouchPWMJ7tWU zD$!Acx>3u4GPSVIx5eGZ;Isyg|0@XfJo|J$aNOe(;5Vw7nQL)W{ImIszTG?kljklr zp85!9YM^TuN6p9J0w-bYDGPaAIaykUvvTQaOdd7M89P@OIWo&4bRZQ+pqoMe=nS(U ze7%k|-?A?KdM|5?J+5$Q3@ST1mWrH5TxD&c{Ul*}G0(D%h`8O5!Vd6w)8m*WIWU8* zOeTkSk7EUvL%l%(hg14;73FO2W0oKfTncZ8GDa-Aa8^C6VrOoARqB%I*Jc8k;`@Q? z)rlS^2ZK_cR(N5B6%gyq_9nyp52{dZD|2?J{(f;qU@Lua@X`F_*negZA7vQMx>6U?lG4T`XkK~RxJi78N z{Z=F6=wR$`%UqlDk8XX$W()bnt%1XqdN>Q-)5yB-IA(G8ngOyufJI(;*Y?>kMiH4# zWbwk+Qf#8Z5f>4dB0{A-wrU4s|3J1LO!fM;b@?W6cEryKXAvP+#z~J~V3#d<{HtSy z<@^ERRO%Q|I6%h&*CX!wLOh}oK^N$Hvm7l=9q}ZXbF;!r9RzBD<^{giZ{;K4iSU6T zvesgH=>7aK#i%L_bja2Gs`M8>fI7F#ta}a6FTu6efgAI{I-#*T)%tLhh?W#v11_T1 z7!ET1R!R!>^@hx1Xm4`)*aWI92ll%BcL=+e|$sYMIkR};3-^Ck*;@1^m zO(f*hU8$~MUL1fWS$B;uV?#tBD}HjYGS!?1%HRbEJafV*A8_k6C}pVf%8!vt%mTtB zv+Od6;M6uY(P{lEhS?k}op8fB1YqQXS|W?sf!B^*8DJIY;Gl}M8iaZ-l5alYAi(9u zGf6tWnV{{s!HE|1|0l?=qB4ZRJhOK|{E3*8aGZn}pv0`QQS}7_C?=kcHXG@>Fn$J^4)6)vQhI zK+lV2NtqnhaXFIJi1QW?kvO$$-lsUS&-#e6So>zd!hrGV`oA@M)Ca%wn{|D*-&0+? z_Itrx$~`RK$^7j&&7CN%JtsbPiz?#lB<`39&K4%y8K+AP?-jd9s@p3TS(t3Ee@3w4 z@8T4mOMn`kvH>gVnRM))>VCah)=*QKaOkBHC+_JWZIcJzU7Dgab+-!&``3G7#7|h8 zaW?-+P+($ojg^X72UV0bO)m=u>Pu(eJ9p@{K@tB`KeMt=H2)l9Lj!S)V6)jyhjcY( z`(h~vaXAX9BzHPD$(pg+8ovDit;bpL($U!sM$<3Cv3%G~SiTn;PaLMsDppvEpE@6` zUv6UZiSrsQHJGX|A%iiW6OszS`?(J$sy0&uUu9N$iCl6b)HwK;&)rV@aX$7GS|W49 zQRUwAREDaSK82+F%5+LnQzCOo&n)$ivdnE(id8wPvX3eY^1ALisA8ow`ASjZ348Y* zz-v}F`h8Hq`BdIM^Ya}Bt-iB%ZOdQFKYCU_Oa5Iwkntv3zv{YaY>QaEa#9^bPjE(4 zs8Dx1rB<7h{#C@)j34uepxkY;F+k=_;c@G_AF5(OCyJ{+>KjA^$OJdpsVUZHSMyD# zqK-Q0#oN2rNQz>cRbGQWwIAnn5+m5K?OP?~UZvy7C$H^ZseKw={lG~* zVR{tf8S~ZR;%(F>H5m`_OGD(y*T3|MgHz4=lv`o}XwPsASM1LjnKNI>&A)%1czI3n zt<00G*S0x{1BG=qyRAe~4m;nPve~*tlITD73)Qt-Gi1dvev*NurX}whKJHI#H(z#0 z$H?fIl4V0z&82RroOah{ z#+NL~Rwc70nm;WZCpy^`?uwAymXU&%{E50L=z{+H-Q8Ci{B>X7MORm$gr^1HkLq5J zjS8N)9iFlwBlrA!8)m*K=_5u%NYH)vvBDQy9M9(wtk2vZ3VfXL&%1W7!zwd7&rGP7 zA9O&)XuJvN(Y?-SGr6zWsWHzAJeWIm;Dp>EbBY;SPg3*pt`UrkHo=|v?4IB!A>l3F zH5|Rf``N>-{RN3{k1J*cN2_J8;F7<~usenQ^CrHFmRaZpIPgPcY9blsomi$D{0oH)R543O#g7Sl&`%nBk~M6E4#*C_WDgB^FL^b-XhJI zq{A|{g8NaH1N%2W6{HV*K=D^nn!_>j`FtlO6oV^Gx7gg1mj6^2|0BKVxY6q^5g5sy zZsLnH)u$3iKRs3LC1$IYZ??@=pt#-M@a1BNYV&Zb*8!rv#$i`9S}#Vt%zDIrXrHS8 zxa;qQl7pyLSr512(A69)zR1H!wL|C;=8uE!HyEwhy^a#jpGICk$sR!Qy?#=KwiMrT z2#{~K)-SHj-DZbyxBk(7s1-|vx6-|HU|?|F8kP}*eU9*n)?p;O7RNz?BRn{)+l!#oN1 z$(WIp6W@GYI$(N29~W|5siKfjqht1z)-L$SPh7(7=#Q6G9MS9r@4tdD&jo_eKEc@C zg{0J$`lt*bBNxcJIatI?5)atT}?`HSTF`uslVYo;x!1Pj4pn zxJZS8xU)>Klnh#TNwVnFg2Z54`?-FMjQ+mIeDsewl&_1OBh51v!6mp>O$kvE7qpa+ zOg>-nYogu~j$2A(|4EhnM|@ME(lI$TthS88Uw^Eey-$susqaSHw^i2+q(8Oa_z&97 zRHCWAG32Qt*HG2RZ3>H#We7XUZ#A;bU(@)glwjC&lhRti<5^ zXSl~p+YKdyu`}QN7n#|QQQIpQEM(HEBydY#j`7C~J6Fo? zM5uBEIaz|-m^_!K_ zMvH9{o^?07(#=c^m0L_@b}Hu$t0^(0#TNWqB)CsJpUFPg+~sw;VwcpEtP^F^dwsUZ zFN|RSpNEF7czvNa-C>IhXKi-bWf^Z2RV|fSIIh{&vDFkUIIU=NCGEl$EPDL8fw;(; z2qQ#kOX!37Tl$Q5mk0EvFN!_E9Z1@6N=*GkgOaS*Y1P0?$(c_N3tph*c_L!yP11#* z?IkU7i(3TVYsAVs>uwD+E1$U$MoF$D9oD?C>8y|^+Bj#+U(D!}N(yt^u6UU}L|wG! zKPk&7*`G7K+3Y$lS$DT0jl0-0d;flV;Jn=R0_D{Gra4+6Rp0Y9jWJuV>Z>Hl=s%Tv zpn5`eSB{jQF$SY|c5y67Q~rVK3}xF^RU29Tg=|_C>N_hlAb$rsdEb5YPrNmInGbgI zF-LjmtEu~X&+jOadu73zC%cAdYP>VfK^xx`kKEFj=z3WR6~14~IP2h}$|;nd&Mk`; ziCXjAEMqGrEmOHSK|c6hQbLDsp!Xk?k+ev8x>M-D-t@{nu4Jr%HhC{rs5-Vc`H0yr zrr}6?aJ194Q}C?`da5&JYa-cV(n`8myuuq5mZsPVH3^zfUH|6Iws-Ws{Pib~nxRcU zMqAC;6~4NDt|d|^=&+K(hd^!b75?-Bu}97*bSTkCJs39R@g#vtZQsYE?$v$W*jKF0QBmR930eb+>% zZuId_l8R|}^?#T9hoPc$P?kF#^4PjP-4`v>DAR)R{v(vBaU1n}#E$G!%29{<8`c&E zR9b$!JHM1QAb@r+!$|EBYjQoOmvV2*wQR@NrlELFZbcqGnLddUbl-5f@7Z`b-M2L`k*Ok=` zDuGsf_eNC6KIigN)8d6uDwnpr9929f@1erptaRVH<hy{c$8wQ=ie!;P zDfaC92Tat(9d3~f8w@Ak&cIl7R96bEKbOQK|E_e@JLL`bsPWoX!xpO#LRr-PIv30y zif{VuaPD!`$h*w`9M8fnk3RZ%?WDzHqf(X76RNVtNv>Yoq%Lp8puTS$w=bSZH+-dJ zPo2C=zN^r+u0wHLwzU6Y5#EU zNvYCzr%nP5?(hgo?BTTy7x*3@&VH&(aDArmnekB-|3xvFXyIwz^o_+428EqP%6k7DH*@gD7J@x6GnlkYc z{GaL&hF{;$1y8AEezCd&oNXw^~;=J_Cw{ur+8s((IK@N`~`=P6;# zRl6Pk2>QGWc`OGEoN7)lN=c!_K*!eWUD!Ff_TB^Lf4}r(ok3~cl~vAP;iEYPi0_#C zOydbNsJf7diZbqlU&gO|1?= zpV3p*9E-qr_`7q!0Jy3m_Z(~9BR0qAu5k9_X(?h{{LZN=2)>IMmLrr~=CM`EHw~dA zHth`7erLO)`;>Yhjx_yS`XhE~geF>OKBVBFiL(&#$~{e6wWrE;2~n#*D<_VGn*?9R zZ&Y#ZlJ(cVUS{#V{z>~ly=}BU>ifPz^zPDqM48nsN2>xKC7l)HoTdgo(pp5hBy5jv zdDEHKG3VCp{ANN(__gqr zGuU|X!v*J_{e`g)^sr3c zhPgejdeWV=-%iclH4!tu+v&WVbfKv;>cpQJ^%+=usVR{PKSsZke2tFOHgWkOO~tHK zukTVR=YAE-_Z6z`bx6{b{Sn>$*_3(r#Nb+Z#iolXf9tF4#hRXqXur2LdFjF+c7y#N zV#Zk*1D!v|Ldiyl4YE5w-^dj4irpV$=#@Poa|pAqSZo{Z%{y$V+^pBR*9o8Ob?>2Y z#mOK4ynlK6!lAa-w(MNAgtzX?Udatjc88U+ADSJoV@)0iDGW<(MEN}ze661?a3o(` z)!%+TPZ+PTT4qkw^8f`XeIYSr8OQvNE7#7QYR_(S>a^CDNYFGdka_lbY`?#2ztF{Tv?AnR&VR)>e9KF}W2;mz{{2Cy1ba0*z31@%3mWf;aoLrv2V7hex{Tc8yH@RF zB+q7_Ls!dnhDpdb|9x-kLEN`WbIo?wFYPkE+VvQf(q&xutz?PIHQuV`SOXo)zv^(e z+S{cxg@PU=?>sG5<-vTj*~`Jkb|i&1$!zkTEi?%(Axhpbyp!oTI{ z5BbUTeQf7zhv+R6T2l8XF3k8#nOf}wFyr!?wYgBq5Nv+QEh$xg!e z{cgQORlIxbM&-Ftai17Q*Xoygvi$W}Cg|EU$pePle=xa!VDs8^qyo^oTSRTL-G8tf zEvcshKB7If9%7E@OSti^73#f;Rai`xI*89>ywBneg^L}2HNAG@+i&tMdu8IOOQK30 zzfa0B2HMaHuJVtcD`Neb1D|t>IqFZsvg^D)3^;0T(ihAr5&J1TlcU;}SMq1q=99WvtLtv7VBUL^Z-zQP%! zYXJg5&!bT<#-+&UBonXW_EPsh|HP?rr$zF%XATKA)Z_<<-JO^?%WZr0MCt1}Ig;k1 zT=f+6E32<5=}Y!{jr~_8xCO(ztNJU8JTQFkDW5&H624Uq?EbdYkJCMyq_4Md?loF} zw~*&E(!;<{>QtK5_t$bQLDP3eo6!MUC=vQjFp1KGMNu0Ja){r@ZvSDJM>V3WyjGfU z{khXauz03bSJw9SP`kl%)K(W^jMmM;6IDwSP0{&YcdW?qN)S>;KbUUQXex zy)H!^?Pd1QzS9^L)eYaNU3Y$xHnm8~?9F_NIpn<8aq5;?hNAv4)n|bIIPhds}+!x<||GLM&}`4InjN5E^$h7}$;RMAYeT z>Kz<2hs2>)Whpc2GtZ-HOX=IOBE|7pD7E+AD1{Uw<-AS8fBPCaVv?Mvvpfk=^=s0o zBYk~)(V>bDqRae~lsB{f8eJGt|NY)ei!4IR!Q9y7hgT=h_WY{7BcI;>Vu$V%~8;ulc@fxGZoZIKcwv8Co)He?I>%XsCw#qvGfd;Z+_ zrvpnhEr`Kr|&S2%Ve~D$Dq2lq;3D=DtiYlc2q#%wP4%UpA9bh5}FqE zyhD#fcS#sD_G`-Dk-`bi^(ZUV6ufei)-}r%p1jq68-7pP~1BE!!`FJFk+Y z>K`rRk!%*IDRsn$qbZqdiO*4cpL_C^vYNwvPVhbXGiG_;g7Ww$WzS^iVCPB+YbaT^ zOmKj@+}2BZF&KIA0-uP&pI?(B17wsRf3Vk@>RsJL={l^Oy?sD!zcVhqVp2J0$kG*S zXD_DfCDbkc5VPeD|AZmsheq*ap}s_JL9No9RiJWCZaLPxW2LK8kFQMghPuYdQ$Zw2 zFQLw~(QaL*xIzvtJR%9MPp)J0hfl#cZARCI8cTCt|k z7Hh1A+pbXl{=gh9x80*C$vYCIilgvX+&$CiODIK0(}&pyj;q;}Z@sG#P*&-!_0~>)DTeMWV~jebacJ{ay9)w! zl14Z^wUPL+UCAm&=i%Rw^4_AHc;u`}?|qfic7kn3GplufsHHwetIMMl?PX0;=MG7{ ztCQZTY*t`=zPOw!pN2B_l;NRO{56M_LotywT^{O&wR!MvpY|O)B@ef&-w0!R9Shd7 zv~$|O)p5(M8v93 zp77F0c_O_inQ&=0@eRK_+8t@sbSmAOj0hRmSDb~@)BzRd< zYE$fMP3=tERmw?QxzF-y&T&#fHe+b7xIs_eDgycD83U3VXQHpjsfE2e37PRZwu|6qOlf>e5%vy`_5`gKgH z-|dFSA)j`a736A5xuK=}WKe>?Fb+?zx|>l8HzXP>wQoH7I%(%0t_@{n-QrZ037Nbh zL&2O&xWkWLY{|HjbmKHeb<^Zt;gilo$6w#x&M0fbRGyO05MfQQSM}--q0LpCO9X@N zpZV4)fwiZ<*-w!@E9pY>8ktWfTP6;ZC8+)Le-E;3`M7W7*9SI~w}f1T^U==aC2ncWRp ze&r&7xcjAbD!9AJJ#=P%5t)!Y>cAev+&GSw1{1> zr5`n_kgwY3&dJy>3RsNtG{xjrI7JpbeRew*)&5yc3Gj?3*m^D0spuIyR+|@0%iSCJ zy1f;Jx}kfa@Y%&F}!2!{>h*iN6L_wvuTCIwp7Nmm8EeI(fa9eAs%5WWk%NVN!tOaZ>gVuytAcV{n z2&q7l4ou=1TFFp`B=>Da3J7U|kcLd(KJ?yqz2EnBt-4lNm4=XdGAWx zn8esOz1+WO_tqkEK;|7cw4n-Wwnae|I|{XX)~usN;oCmm^K1ORwb_=4l~0eYczL%w znqLxscW1`z-}7kQ7ax(>+OFu5KR){8AEEzZ1b*p$uWA{(`)+DSb3)gxgCDK?bo;8` zcWxLw=y87WckXl9tqn)kl}-mR#{%X)2(G?;T;Nl1`>oXa^7dC9V~%G=+GGv(|5^80 zk>QQlV-9zY?cVj!-@b=6dMEzmDt!GL$5;NaaXz;EopA4_J3Re*!DML$&393SM_A?{ zdvfR2$n)MF=~*q6x>cqvRPS{*2j`suelOj+m*!La>R0Qk*~ygpT`l=PMel?*y0zlo zKLey698@VL?`-*eHBU6#`#yXF`Pvh@4WT+&4D6U z!@t)(s6alA|8RTyY#6ov$B4b}({~AtVLk~TFOB-{>>IU-i}@2B!@nkw&b+?ftLr*g zX!mS?Iknz-BIgi(`eZ}dULt98U-b-G`xz>BD;_7bSANQ)>Kkl~K zbvO>oiA(GGhIbsL9;$I?dHeXdZCig^dhB1t5iga|1zTSp{UNw4P|$s@#nW~y&wJ^f zD|2UrL-^;f|Il1?%15I({!*iV;o$T56L&~!Uv^xbY5CexzqrU&IqbiGXZ^ZY-PU|? zQMtC#ZI7qCR1uK((?6S1H3tJ;z38v-_Pv;Oe9iNC+&}QnMOt(ZYy7pAHxPPSX<*#0 z&(6Dl_&E9%@|kM|b%!c`65G7izTR+85oZ>iPw*eFQ7>iv{g*PzJCdG#A?c&CCaZxx6N>?FWxZl=HDvAFS5U|?Qre44BKGlzla$8JNO=kKb+UvH5qzGR+Iurb&MlM zPzPHK=Spjm=WN$!^v`P~yUY+$`Nbgf%ftABCWLEYJbRoeY%PV2PKc%LqkFz1`tWH5!WytXl&J2~1z7lWqfL`=u$YV+VM$)LPke9CT)F>{LNne zsI>ptgZBR8jmW8Oh5=k4cxIL^*fYOkH1`18k>S+74Ko+jw`{j?whV~P6r_39D9q{=rR z!feArz!emqNCapC6~_5D;r@0G3D~n03}4 z*rz??AdqL|EQk!mU&Q3Vssg+(9$8hpr`bE0^AO@pKBu~$d@41^Fhp~ehlkjenuS1Q zd(kBW*OCvMFF^7WX?xw)DeH5@@u2A1vfnp%+jk}Wd0_?-gv-^ZczuZSONbP<58XW+ zpi*oE+*)*OacH|g#N-$~rE1vqs2i18TJf|of^%BANuNjyly@XAyIqg)Ix5BB22`CS6yW*4k1jJ+>xGAF@#7( zniP5J_KBVv07ce;qCgx@`1ux)f1oaF>(*Mrq%W+4-7V&zK$JnCHg6fb*D zxk8XmV0q2NHeITFDgkye+9uWg zBf_Xfr5E++lqC)b>pN7(lQRIz6O0$v=TyB^2{Esv)%*UdCAbUO?H6$IUFWdqb;pgw z+V(~;(#<$IELr44h^Lo2ZE|!c{B*!gTLav*ymd!D=jqMG440cegaZt zxz#0d*5yRMG4hA)F1*)><$#BU{~%Vmn?GyZ>Pl9TS{bg6e*m>BHBI)UL&N_vjE0q4yEgyrN5n<(w(rZ0Yc4Q!6weYg;q_VcoAnJJ~) z-gddSA>XINSp49>7X2pe_n zjP{v+_@?j)-dKTLV(-LrTCr8T%Yw$pVTZaJ&tdBm$fbJpOb&!k8<56Xa%oj(F|o*$ zhX??MqyxvK#1)j%bgi&H|pybjm4zrE8B6-(=v+&cow6u z@NCyj;SHH(79$RbWO32A%-sz~Vk*CX3Vg_ZDNYh(_lkgv_NMPwui}V1B)Q=N0=nKfP&)!wh1S!|*h2@S{a-uU2N|muIN021ZN*GHaO%Jv zM9>aJwx!V^D06tZMsg{9Ea&s zNWh#kn8A9b!eq74zPpfk+n&6?so03H83;*A#c{5R@s`C9akr-&Q=PJo&_5Wp0qv$r zv0gI&{-2eXMl6aA`6&orfYT2|s9OKI3(Qdvpe4xI4W0RL$-7E8WF!Yha6!(+vWV7> zEfhCTwaVHF1Ug_%@yAZD4qmu#QAgf`eCm{uzjJsT05wEZ9v^RbaLTOh{^Pe%PAbiO z2>rS;a+wgc2F_G@!&lO13)KjPUi;pPMH=g)7DQ%2V@?BsSmoUl0tg+=9L>wDv;2k| z<4`v5LVI!O=~zVd;+pF(icLF*P~mg+@BUQuPxSyU7(3JXNi2Qe0PA1zBWQgN0KlZM z+m_II{TwPBiFVtdu95xGj0vI%50;&IKupNoFagF|A14%)9#zBr78cj|)4ZU81=J~c z8qBayK_O~31>80kiY+Nkp4g_cz+FI~0ja4CL->OU;1SBk91MjB&##>kWzk!H8`bBd z&e`|0W%Ce4zN6m26d{^h_9R%utS+kS-dOzvt&5+mIb2N?t7z@01ox}}CsS)z-(`@q z0&zYu0cs@zD5`>hJ7~lVb5J{E?s+5!O)uaTV=_uMu>@G16tdG`XyR0sS#0(>{i4`0 zrKxR@aX3IqymzN7N}8(-R-2&4y7WMokCdhi2$pbOU@T1*a^Gz1ESc2`y|qY7h1=$~)*d8!pd&pm z)6Eku2?RG*lOeS-{Gl*_f=UmUwZutOAkBkce@15!J0i@IY=j@)935hZn;Jp*yOAs> zR)ejQEaL*1B5yuY)#`7S;N&ZyiA#ew#8u$U%?ImSK6$tG@+4rV@2EG*=O>FpvzY+c z3z8#s`DTo7KG{h%_pBa~0J~jwn@NGY&76HVK>T2rPEUCIs=A7!z-0qwIaajS8O=h# zyZZ)(z32NW2_lRVMLN#s1pxiLpaT?mqSI|@G`q6Y4(lDV{f4d%@xmT6!p{<@0T~R- z2;wq(8{T^GLJEC%^+6s^O$2vE56ZtN0XB7;7A}i12_wA%{NKL>#VR*LEf}S(k<1U` zu=Lnf3`^8c&Y`>giJfCm*p7Fgp813uu?h=fYnoqRJ^jG)Wf4zWhCfiP*g&ig8{zM; zJmcmMb$-lT6*>x^x!H+jm6#h?!nP|{;Gi(^17W*bEK)Qpyogi!m!<9S$VO-utb$5SBX5jLeL(0J6@N4U^0x|Ca?^sRdC6fklZwkRLr1Q?3027<~L(aw(-j;un?fFX-&=? z8vTX6L;4|0%cBW3hc7AvRgk|2@(2(DLSTi$TCyyf*n93QI*`cA|K4o4ZGp<0$6TQL zt?O&FKl^Do?pg0-0F=zBE&l>TI-fGCijcLIcwIACFSaO@2d}%J zU{~isSjnyi4yNVLqGN^i~Bs*$8jRw*It5RDe57Gc656EZwy|>flr8bTo;1yHS z`c~Z-(q%Vf(T|9lT5tBLt8m5(tK6!8-YZUa`^^p~iSZ7&zA}$!p0pP0HDZ**ipmd5 zs?tuv4RiX z+WUw%M?XBcfLZS;O0PU-;p$=II*VOqn&3%`E@SN0n|8{uBhj*;~l~;Qtl`w zi_!MnuosB7-fSQ2E)9~Oa0c`?{l+O#>h+=Z&yFLMEATPH)nu6uIzUSTc z06oT^8Npp-TE>a_g8$vu1&ti(A)NU<9SBI*B44MC-h}FCk?YNk4o;3_`=VF&kXFtg zCwV*hkzQM6Q<7rptu8U-f(2rkbM1Lj$I1aGz?GGI-6U=N*-5v}i9AcLk2E{ib5>+3 zT|>mHUw%raGZwdntgLr>i5>5LeN}7Aq+6^z+r#1D(oM_e;5-?&d5Oo6!QK5N$!oE@ zeMIuu z|Blb~-$}26)_kJe$@?F0Se(_9)&bsu_o-uu27F~#r<~VAZD9^R0G_0GtXa><(PFb7{^D`ZA*@ zqrLj&M;`gytX}c!Ynez3*4HlnywRr|RXBP5IaBP>w(I3^($nXPhEoA9YZ`s}v2TH1 zh%~K1d3AUT0JIU#E>uhaw9&?9OhN#(@dhFC&D9laq;T{zBJEuFKv|;Vhjz3*8W6K- zT{Q5S!;M{fJ_h<{3&@I+?^*x|R2Yqa+jh!voI-q{tBSva#a_^|eY>!&c@Ov1b$|18 zr2E5(<-IKLU*%O_FFiZb#wbO2oxMHX1^w*^3HHTWOxSC-oVgNGxR=Vfu2(p!$@+Tk z5433#fAJV~(M;@G7oc$sj8jGSee=L5kcC27T&MtP-^ByO!d9rm#XJzV`TyGH)j7oJtL*OMk?7i9Q4Yp!x->in(C`6))L?bVbra!|@QKyI<7r4O+^*mgm)W*DF!v(kI$X)xhY-%? ziG7d8P2kzd%eZ@^&HGc>_jJ|)c$lN8bTmr3i$-V5O$K;81{YR!b?4cX493CafvS5s zzxFoXd5nKExZ;lK=j%2TCwtI>=<%*m{9)Ib}7 zo7N{xLfNt=#5oHf*jat;HvG*&j2&SgMZzv=lc9^dGgz@9J4tf%@L zhrfp9y(Jprvuh~yXAr1j9E|C>3Tgf3cQ!bx)lkYg)A9E;n zxyyDYCuKU4*Jq*N zc!%VEhvS>W`%S;+tN|YXw8*_O;_Tmm`jV{}-+R8T;w$iR>3nWYZFb--x4$GfNm^-L zEjvK=eQI-mPWFDs;VvPpKo(E-kQ&3#4q0_aCJE4 zc2db9jX{?ySm`z?a=)clkmx^|K446^9S!&luIt{u8((dF`{yTLdDRM5mDtn)q@CMR zKmYl<(=bh8j@9Je8UAFjQdiuftRiiR)jWBs$t8vO56cClBibhha!7bjEUDD!r>OmF zOh8doO}KKBo(+otEJh%t4%lANxF4P9`e@c8)IRP_HOE+2w(aT2E*1%V^X>80SHPns zO3!ty|8DF@J@_x`CVkd^@#pfNYi5Ch2DE`3t35|O1*@yN?=!!_>L(Jr1OPGc{XA;< zbEoo+NQ3X=-!FB}-bb8deZy~R##U1^e0w=-YwHl!2Id(>WeV<;t_)a_HnKc^2PsjA zH|(H`+fl|LdaGVqn9ArLrOTj+lu^@tF|zvEymM(QGrxiWPQI|YRGF79|3;R^b`yEL z&D*Q^Uf?yI5mBKhik_^l8!R6HPVskS6K(ne7Fpy&ZA*rgSE~@`z%sb5wVM_Vgsi_# zkSNap;Q9*@CExMXgnQU86?>;0@8zV-?`-bn{QeB>`xE7~;^f2(bjdiTe(mW!#Cbfs zmp=_HCC;QWY>ZmBvyA2D0JunpmSt^xeQx3Tcw8`7?WOnf_B z9@Ma#J<@N@m%!CRU1~R0_NAiCSCV4j1bBYS^Xqw4FQ_JZ&Z8O3Ii^}$282IeRiCJt z*8M9(D7t4X-mLOO6Z4egQ-nIYvT1{^=#Kz>=+m~w49Xq5f8hrPi6vpAKC%wRzmIEePe%Os6`3Hg1+uQk^oyS z8|N2&d%CU6zHrh3+xwIaksr`J}5Agt0e0LN5kG@{fC|ql1Dsa^R(lF7s19b-8 z@J7|f2t$XHVk0cNi!2DBVzi%55QykFv~EGZhSf5gQND_h)6+(!D32NGQYy#c2@;e) z9&>^62d`y}=NWh?jUX6wNUbzHP-Pgxc;*ELUDtI2@Ink21kqqY(#>^V4-&kv$?PYB}vWM|pc zai9E)Fh^l52$2xJB-AuN*j;?t*7{Zwads!vFVd1_lq%R?Crk!CH>#6BhXiDQ9{64i za0F+iv@_hT83<`&5)pR&+VWE}Y<9OI+%o`>`)~@l7P`2a4=2qpm`7q|==EtdAl6iK zK;MDA3E~Af+msdxkENT7TnXL=6|W->%E0GGY$tB-q3AO5dA?@e_`EiJ~)FkV>6T#6>`OJ2 zFSGElzL&qmc%$ih$)$6+@LV2pfWZu6%ugbXXQ-DwuWPkXF9#f?e=~^#nWsjgfHNJ{ zkJ??DA)S@@C3lD9b=Tx%XoN#Ofs;n&k;u62uk(_-ZshcY>f@ntQ`i&oh+rnp(GL(^ zaqtnz7vO$fMVYeGJ7A7SoiEfuPc_uiPSs61@X|q5kMd8q0drqcbU6a%9NZ)@AroBX zM_HwI4JE-=u>T;^sLQebW}^3U0Hm01#@LGNHc!uH(5G&$T0W%xaTAPwV$(FYWN=W= zWdKM}1~bUnRy2FRr< z+IPG9%WN?tFh&&=Etz3df6wd#;@${eKW-Ek$7@ocA9TQ|xoHHBdLPgnrSGU^Rv7;i zL@U_;{}%pmUf*qO0iwVl%DpnjKNcjy`IS94@E)*f2 znQ-$Urhp7Eqvh)O+XY0QS@r!DUKmJ|U^D=7L$kH##x#_bT>Tv5aQs38-`KKkXbSo2 zpxrW>34HlapCNp^wrDZ$YGRVOc;X;5<5K8>BH0V{;{jTz2KR5G_CI-UQ47tJ%(VnWuu~T_u#+mc1S%h3GkCc<%`a1ph-_u@h~!1 zpJOe1qWU@<_;c`XZ5j)+Pb4iIE?IT#y|+Iym?c@D>LaeP$uVH4$Ohs)hhN6NjbG6W z(!4V4fXg&b2o#lo>wWc2di^EPr;AN&?zl>D)M>TtuF&d)Da zzc4`KbFv-AAivO)5~txr99JAFuv>Bd^f|@>rV+iV&cpd|B)%rb`F7xLd&Uo^? z3wKst;+Z@FohemPO{);c__^5xcO??znvpuQg>m@u0@nf;R=C_PBq(%J-U$3))5IhM zt;HAGvp|LCfTt=L43}F0+$gWu2xE`@K>~#uW*6mwj<_W^tp^LUVEh0N?v=dh1mmHTkIIXlEU$oNU6$i(7 z0)Y0pjpR%AE}wHbQSTH*cAj;4kLN5r43*&4MGqc8G5c@Qjjhv8Gf~@|W=K!MEY4vZ z=SPulA009a$j?Yj*{o}z*LCfDGp_|^Hr06$wV{CP|9nCCt3vQzFwx}Qut{3ceF z99Xn1a{*wrGhk+I?8~#B8QbVfH|@Uq*L-+Q@!D*|_BEf80rc%z@3?V>v*Dq02q3-o zWs*Mm>5sjMS&W~Uo+AaP0 z!I6KC^a*4reQe5E<}+&p{xA@!Ps1NV zL8zCme@YIykMIJKE;GglmlWJtiB2ed{%6T*;zEYiWzVlzqv`mNx7;%w&hG40M`e4_ z7Pi;>5app7?@umn8onubqeC_)+}YxjKSd>QyP+n1ZZggvOUtp1zL<16IP}7qbK5S; zz=1ns&A06Q7E}^k$%o9!Gt?UHq_RL zN5=~wrGTyk7ULX-{*5tmc`L#MsSSunPTH4DINu+_MNwSWZ91G)zZ|SgJ-2x7p@oqM z8*tX~m@iFr-B9{cDGT>7FegKOP)Kd4Wrx_urHMk%u($Pu``t-&ZPET1%ldKizcfe!W z^t{6;%GL+pMiOQ1IS`;}_BLc!A%c`>a4}ndW7>^4+gMq0Og(R5ehsv=CgtX7c}Zv@ zGy{ZBN9hNAf?FR~vz+`Bu!m;G-)0a`smqz5}$v|oW z)cx163J{qD4!!dI={Ap}7vN9}vJKvNCLHYrHd23>r2wXo?8tJHPMuKl?RkybWQ6gi z5N>}O=3jWanDSoEmdXt3^k)^n!hhOa;+1R=Dk7Tc$5N146NUr#3sM1CZ$lhySr5+B znrqIn;U2@gszU4f(5(1#_=EES#Mt+C;ghajGs-i~x|Eu++Wo}y#dvIZzWUCO#}@kj z7;hIrMFY}YI3eySUj%_LHn^Q_7gggWo3%P)E7WItI1*iLt8|uYL1#3kapW@Jyyg%C z|EyXH70iCPT5tS+wLWqTtE(ck97OLSWai5 zgTs<;_y(K)Z&#u-@KwTevE2zS;Vw>#;o9#637yX%p<`II!eKRGx^SEOe9;y_bUJ#0 z*aH4%pD!kPkdF98&A4Qc&v`F$Swp^~A>Z%+gZy#0n~STzA)4&W;ktcO2S~-Mu4^_p z3*3J2P#%cad+cBL&b&vkpC8Hfv2qtQRsp8?J1Cjj}()qQroY_@DplSEu~v z`Ddzf6}i9G=j-$TT~9RtWs|m{V7i5LByDLD`R}5_GmtY9(XtHWPyF)FXk0h@Q^S?Z z*9h{@s9im!sV?!$H)Ik3Sl{0BuSx{9lwpVUHi9MX~ra@#7 zMi=0Z$jHysZ21S-*3OqdrRj$-uH`h3UYlcACC1z zL$GbcL+swKe5nfI9*jg5Q-r7sH%%S$2)yNRUg+``+wUkYu>$&sZ|ML$nToK|kflbzNU8#%_GV#EZ^M?d(a7mnSzs7KW5#wr z^Op~oJSvRDxdX!B94h;ZG{Ip}Q&&T!;2nlmaJ-Lbz*hW8$#wI@v9Ac?S+LL_q<=t1 z4sCVY1&Sev>r`$&C|&=NH*LN!0+4Oc%!4NnmFracOcxE@;q7y94sJ`$Y0tDRZM$s} zY2lIui0t{oi?r{+_cS4!d8!`m8GKUnN*^H6`F;TiJNr3K<_01x-6PGX69Ndgs@|vu z3S~X@{wM~TMdE`H3|0Seo13+sq3Wmu__jWfE~_}!U{(b74o5l zIEhOrhM#2^B2*}U4r5<7<}l82fRD_80@+9lg{T8A>ciRnTC84+iq2uatSgu(536q{ zOudLF9GVh{e!B({0dn1Zb#}wCzN=#?fa_TNM!t#6GH}JPz}0=d+H&5VSfO(s(7#R4C!q#II9adYO5Is)Yd1 z0#UK@J!wUg^+1gKQJ?_kn{Tqd$&dvhh zKIALHG-Gg{QV7vy)Co0IzRG2gwC6^?v&)*9lK*)2? zh9ffP(1)B>AkbTW(U{?PsrHLTT!CvpERrI|VK77{V~UM~4917qQxLX^T0o}qqD`O{ zoI`Ftfw_6IpNQ^N0WTj24Pxf7o*dW%xH{nx$dxj$t75T<96QQS9D~P#&KsE=AZkjA zmxuF?SsUHfSpzgKWpxf${s{FwWiTk>X{UxD4HXa(LwX6*3D z5L$mAS%`2>FNbd94ZH9~>q%G&IC=pLgn|t(bOL|+R;mrcNl|0q&Vx4q{0JjzLWijM zVGQI9$^U6E#j}rDcyrx1o=8)#&lf^pDH$52lPZ-ZrX57`7oQ-m_p!S14#v?)aNSHY zy~7(2Cs9+&mwgOHh=>dMl$PSqL|Y%qr`!{4lh*7V8bXg^{Jld!=NC+(tVFnT7C?Le z-kk!xK6(;n?*FY+;T=(3`nmA{VId^0i~6efuS|rUH6j9B;7c_p5siG6`iFMt|DNWC z*a7+pmBCO?5$DA>-~6Tqi>@F+q_ocm#KPg7CfEiOfRCAmEQ@ky8T9~zOoc+(N z-Qgw3Q!j;Nbtnl+xmBBCTt(Wp-D#K0);lvU>m7EG!)q3k{3~28l1hVsu%6};QvZj` zI?`Z-%k5xi0r?74*xRO^c`EgD`7nJ#kQZE=FRIRZ7yG{T~B7;a-q+= z1y-$WBYFYu`AXV=(|Xq1KX3WWlRoafq47`mqI&c{aMm6Q)bN77qq^p?&vfsCDO6x3sE|aw-69Q zrpnH7tT_|EE~@OtSj#zt%IfT`Kd^?WEBHxb7&M^z7^+XC;q2y)@B0vvAx5ZulWk17 zvRn5N!|O-czFy(wwLFAR-#VPFy|TYwd&}5>3s20}wa=DjBMj51!YcA`T3&vKaHMJ&)#3VnU)1 zN%)2!hc^i6T)0^1M@MCA2OB=CdQcJi=OS*U8#fCV>p}e~o5s&WDw!)hgvYMweOQfi zzP9|&NFVPH^y}2_FLQO6rJ!$x8@BkVGHR{V<9en?uPDez@!7B6B1qE?MRnhXd#V$c zGXa*p18D$8HXJ}9;<}K9uHWB`ZE`M^6`*w)&pz}AhI@NgsS)uIxNe@;AWajig3Sfb zeuE!lq5u`Kee(52*f41Wk5VEgng}(S2PSJ`1{=uLJ~ZJ4Lx>#`$-;1m>rkLa5Tt~g zGdw0n0R8r**Sf5QXF-FFpkL2E zgmY&V=(wYih6T*^u1LklDX3ud4DP}Rc(tubIX3$U=UhfS;;`2qIM%dB|YKoTqe{lkyzK|6~hh&B$Jd>cn#Y3f&d%l3B3JzHLoP@?`;T1_L(39 zMl2^(Vb1!Qr(53F+_Fhvs&s<-2 z3oT$yNvnUzm%)+{zJCPTPi=g0NxuPJG1#n9R=1R7${@TEvlVa5YdxPKUnbVc?u5e~ zlBmp~)asLa1}ll48}mpo(KD7{_5DQ8I9m{qME@jiYfiSf@;xRcSVd&L(+S}`+-Js= z#VW{iD*a$cNRjBB#C_!-T}=azFFaOP(+?-NV(hCU$V2(u-QZupJcNJtML87(9!|Jn$ zcpCjkbP`g}Axs|akYR;|ss!dicFcx2xioE*i1gZkFR$E1UuezuW4yLdlW?xHD7>I8 zEr)vu7qD)dgi}yI8F-L81=r3Tc$v+8O)5?L*>2d0NKN8N4VyMRn5F zWdE@WpA{9hkMs^RYK~B*6&s?H8#K3qq3*~$htlV&c1N1AojDWq1LrX5$aG7161<`l z(2XS&Oa9D{iHdZ=S7oTp%XAMWI-LDp(-QZi;|m^P#x())#H$c~!q)smanU7BZsJL2 zV$Q+xPfVjJA;B-#70WKS!SG-X;b*6nUuw&`4Q{ZkA}3LRd4zcyN_m=h$+DQ4UVa>m zkaft9PqJ0d9*-TAKl|B)1Rb!`JhP3ly+RAZpKe!A&1bGEA_lcsnN!fYu8#yTnla8{ zQKr_4_aifkT&t&1*`UOA^SBXP$-wyq<1z4@@dqLzCou<|RY-$b3z1qs&DImz%=)1|X6ZHz~oMHZge)K2JeayKK^3Z?$ z%s5i`2xSA8o^8ptAq`{DZ`H+N?D;37PtT!zX0QmW)5LbZZj#`&(*qR~ee%K}x$A~; z2_7GnQ?zUZrV3(kw=ZlWR6dkMua8sPD&7|X9u=-rrG_a&(msjQN-k*9bCiWB&m0Y3 z5ECn{G3DSb!}u}Wm@-%mgt{|b)N;Twhn$}}(_^hhdD*213*lK09Kn+ey9j744;C3Q0XR(r-8Z7x z?r%>)A)cwiCfX&cgGQb=O}}r%!g}L-84;ru1&+)siS*n^(}*(hsCpba?(hDm;kf8D zG#m-J?w0>|!;$n-1bLa0dlZDAcHAMq>2Sm$sDWV7Ua4Px`_K@(!8AaH?|-+XeM(pu;1mpsa2iAPm(_DjnbE=o~fXmbtv0 z;_72<`Gfq_b=$bY{iwCY*)78>d8%&8%N6`Gkcjf8jqPLw!3n`SA8Pl@b%J(2q(5f$ zA1s*9jyjK%isgRz)-?-`X# zl!(M!H{j^K(Pz)#w_PDWJ38iUbDj;g93pYZ^~tUwUM6YaS0vW_Q`e)}ZL3S7Tz_G^ z2I?Ehwub5o@5VXnz^yY-#bW zQu^(pvfT-!E%(c=&5}d5mgY{9j+8%!vCD+b+;lygS40btM6BPuOOWDwTC6h1mrYin&CGY>bFco zy}aIa^d}A5H_&I$x?tmzl7HSCNy{2;Ww@8k@5Y5A{Cfj})MozukuqtqpnCEI!h$(Q zgs>x_-ierF)QJ3u^^aZn1E?wUM1Dyy)PNS<(^Y}S`!Kuk$uWSG2G(tfvtB${U945z z*ZM;(#srk(7gK5~*!Lo$1m^$m!n+ve|Nn+RoV{fO*QB#bi**X;pDeZMD6PmXP3KBR z=X>k`XxD9h^idp?TAd&0OekqQu|rDbt}YrwojX5ft5+R`k7vGqAI1`df?D0bWYgrcOL1ZL0x?8Lo`S92 zm<4+a1`sW@;*Ai{)lpPm?m{~Gj83b05NoKeK*SxDpTFB#GH#^5Je}PwZLUI-3oGzJ z%&A|hIzEOGv8jjeY-ohzwrm>Zp2pC+j@rTdNNtDgL87+hr$JfEpa>el(%&cL7XNBW!553q@DICE$dMol}=5s|j zhLb4g@RN@*$LwsO_R|diyTLYvS1ZbSh8oATxZ#Oih}T)LHBw~7V=QuTr0bd%iNm0; zm zu4q#v>xAPx)Dv8=3bvbx#U};9Hh_1+gwAnasm+jVy1uBZn`=ly9c@h*H#+Fs(}jaK zmOVt9-z|36ry}#&g|_EyeMod9HLK88znFof1r0AasqSotn=w904G{Q~NIcm`Vj`=ZI zzy>9ov(Nv^v#!k%;~wHFdu@ie3OhN5IJhrawEULK7HAcOIK1xc;veO-$7MT+vroE& zZ&^&r3UxX1JNaycLygPHFc+6ST<1IR6itb52gVKVa>66>f`G-_0M@I_&<#wkJ1~^oo6Z>z5>N^VVZ3l4rQfPGZQz z+FS3O8%tt_S$v+KCq4RXAzN_%%{@1NJ~#ydQ;#=K4t~CD$yO(_3-*-6fi!_0$qP zSpV`rQeC}MQ!o7Mc*fZ@3dzeq?bXUOSJKHs!Lhq8cak#$CP->Z>Z|ZZqGY(XEngFV zdI+W<$*D^O%Qx^A5~c-F*42SmC(~S`KE1vAZ4J-+x}NQn^pB@-`*=ey4Y7ap$LSE0 z@}#437wDqR!3^7y5IeVxm<9(gf=~f`joa{Is|L<2F%+!rD9G zVq1$=cKZ;@d=(#jXZeNj5sBZqKmjs6wx0d4`jPFMKPw{hJS4l$fke#{9TkY z4dNKuqHUb1lRF|36r*h_w?GD7=bwNu&3MDRzZE|kMB18rZe)CcJ|v&hqWfcOFad;q zp~I?%kq+`Oocxm>;wR3qiyPPAAhSvYQ)IW-lk@Rhx&dzz@+bD zreO`r4CV(TJg_!HF&?{tuB|Dxnf{#IgVDuFR6@m-$NEB!@+K{Gr)P*PFNO9Sl(=~; zyO`Jm#(DQ}I^-Y5feHf$Wvy`YfyC8;aBUPt6Fus#{G?+s`sXZTq=S!$X`G58Pn>&`(yizIWqg)1BFXu6h>_NtidX?(l z@ZN(s`X{)Tpqidj>+yy@ytZ$&>l0#6aRB2EV6}Y$IM&jGBjfN|j>2sXT(}t$oGGq; zKEwZ^W%YicmJu`**Lj@-g9w8}c7d^w1n8trMxP0e5v1nGbj;wSv2v5`!W_hSwKtt^ zPu-VBSn`!I@D_j#Yh&g2aX9X%7GsS&^B70xl)s(mc{2rJ!G1CV0xRvU`8kYpPPKki zr#wcXLJc~924Tq1H8W*PKkQtZH-s{^7?;=rpJVQYU(!=za5B_IO@P%XVgS*gcqBl0 zr^kHr$4_?Uz;2FS(s7X@^3CT#&`aHR%r1g&*$+-bnfy?32U}$_U&upvYT#N-;Z=7B zlWn~mzNO|IGnn}TbDOoy_1G)ufl67B8gr1|4bFa{*Z^mgk;$5t({fc4&v21?J9m7JyZhvnz9bvS4dY z`(S5*5jIQAF_3;-5N1U_GwX4#AevZ}C}c4?aK5I>;rT3VcP8{XJ!}a|ih+2pOn`I5 z^uHSp=;;TojFzIz@$_K4akBWWYV$svHPR7LnaKB2b^o+07-foUf)nEj6Z8Y0yzU8D z%a=#Ftx?Bf%mYK!zwz8QUnF+>1ww`nX;6>BbvbUl=%1|Sj^?Dyw!OUMgS79j#;KM+Iv1eJsx5=|CMFoGLlp#jZVvcaM9TC6b{_&7E9V> z)da)*0_R?~VXgZqh)ebmT5&NOak8sW?tXLeTd>d1+n#_Hn$Y)n9oU26R;&?P6$y}R zo^H7sN&JfC%zZ5wlPN(OrNn!%^ICj0%B2dg`ck0*$TW9!-O7evvRMlQCt^4P$H$*0gqgjL9Y8j0A`|KsLy{v-6r@wNi(0A}T!sg@aak!hh!v2#1wKq&(0E@{5{d zFakpw$NCFfW6WLG^by3&5x(#!t9XEtR`k!xBn!OCn=%GrBXhF)JJ9K(cgy;C5Mty z{1#J4)Rnfb-V1j8fwczXwxaRbCX_QmtRR}ZuT5C+_Gz*=k$V5bLNZQGi2 z;h>>b4=;R%0mh$I2q)nfSOSxJuK8J0bJR9Q5a;S`Q>Fx>oJp1}J1pHM=cYJ}KBTRvxxk{vN^Uc@ij1~P;&|LD*LbLL; zKHSb2R(_AtgSD7>AI!wX(^ zlQMvCsF~aqA$`c^oabHt4_$8_4rTxT{|_^R43aJTdW~HQX&FKxLsW#awh$#LLiVz? zDRo&ZjLJ5~n9?BYwAjs3*6iK)O|qA5vj1Mw{dvE?pWktOzyH)Rj&fXcEwAf!p6B!V zc#^}n3fe(hc#cVXm%N=%q6~X=7+^vOK!G~V)OHIYh_?dU83lN984;{uRxzhX4U}e% z0?IVnYValp)!>o(^AgyO%#~YpV z=imw^syY;e%0;}s!J-rWXa$SzHCJ_6#O!Rdp*9B5FO7L-h?zJTzD5ho2WggmjybFs zoCyZFS1)sV>Fe*o7+dxXxRJ^aaT-n0g*VA$YUMC6kc~9oi|JiGx>a2{jaV$c5((Ze zQ#XnMTWlcp>{SAIQx}7Mct5RorGA#2aBU*@~K*Z!#+f{Q(okr*eWxsP%R!8uNwoK`@#N zU`da6gQVnW#_1JD0CK9mln?SkJzbk_(_N(j-wFdZxfd}+lbX=;B0qg<(IdQ{uAyPmK;l422H%O8_kd5XY}IWur=oE zpkV?IfX&1Xj$|;18E<16Y=Mp@@GrAMk}7(|D0(5vI< z>O?i~x@*xT^wp<rpU-!8)*=uxDDr+wB{(6Zh(`$!UR89k8(=n*BMssY9>!V zqV-4h(Tk?uZjBv}W?f#{Xj)v)VdQ|dK7vIgzXgkD21jVmDhlEV?Kc>?_8gxGx#6B8 zn1HX%jseEgU46a~Wz4L)iCN}}}`B}vg?jheB=js`Q`{wf6mo^9P=G9cOUPXR+ z0&aX#S#LTJLLDJYZLo;J>7^=fv-g36mLdlPuwHPc5_MEz?ZefmElJT4W`lIQ@xK<$5b#D>n^;)w9nIklJ^ z>i?FFy~rhJ%d5zPl7JBh5!~GSL3d09ee~#0^lm)Tkmm-F{E(fCWL>hO0sf*5W1#zsN!-B#bD&Xr3uYF*;}L0%p6ms*zh?kf>i% zVit3p`<^o{C(N4jdYruv%FO%R=tVm)wTR(Ngb33QQFfbQTPL}AR!OO#ihpu=WDC!A zctR5KYQlQmRsBikc734^{^q8gcp4J3O} z0_I0oh0JBh`-oy(0^^!d0w#q!DnFEWW!+Eo zy?XcBOP5edKrvRlU16lwuU5>cbeL*FRX8&l$|wL~m!#eeUXp4gDFh&uELNrh4$dSz z8~<&(5!4ZqH8Oc6a?KWO1Z=s1Ssw_(BNAzLT`S#t|I0ZmMj(WYz?D^3azJbf9Gr3*;5f6IRe*5aFp8`iGw<|nCOISH6$Z@&^QEIWrQBZ8Y zm{HBkIqeU?w&1K|{r)AwfoI)h!~!L?Ww!VXrMY&wLx$SE@)Lpqy#n}Q!0jJDqW@-B~(srZhOXoLzATnx)fE}<-JOH#Z z1rlB+PnM(_v9-EO(o?4EtstaEe3*hdHb6N32|hOaPHaMm3ra2_RwF(HnA2Sn z!RINSdoGb@@{>|}05mH+(kU3My8eP5J5740qTDzwT8Qrs6LEckl!)B#)l0P~gC-nbe!VKU*t>3#zaE z&R7m?A!ezqVChBYu=LeZMs02A;_vU@3c9kRyLa9nA9Om_`+ej4;Qi2+0zH}h_Izf4 zY(jv~(0u>!{Tr1mqSiqC@}Y#Of%t_Q`ZMi>#X?|wOqiTWT=WCx^qLJW_8oHScI8Ru zN%9#z^HenU0PxrRvu;K!xN=^WjeOy88Bo5#89XWhZ@ zC25NmFpyX`(Yro1%qZ>zs?YlRD-AtSzu4n~Su9q*ehVoHV(n&?U|pG`bHH4GIl1cw z{Uo{GZRqY?OF__3ko*^Tyq(wu|6f6C(6B3Ls=C(CFsEY{iUCZeA=&}BjMf0)sZhZUOa{W( zYYkC{f&mYbSzOqy8teFn0hG1TK?2q%z!;b~y1tt8eKWp4d1b&b?h|YMOL5wc>V6hw zzItRw{k~M*E&n#^bk?C$-gF{xqn|mSWA9_bq!_8S-niPOACbz)PW?on&G%a0poquM z6G}QJ#3FGEEWSU1+JsGxO9p6ds^|qqbn+beXe6U#oP3l5)S#UDI-*n=jI{E*gcQoj z%4zKs?S7Jkk)H{PcNqv^m$#P><@N7p_(|ln((>B9oD~5zuqA z*o}_zJkz}clsJf9`4+NCj{-1F9AmxOi0-nQG@}1@e9kJGXXK?4OigTRgMn47mEjJw zwdQY`Mc}vtSUc*nObGUXXXe2=tr;_z2I!%sIt_^gi4G63!$ScKk{#!r2g05^ps-M2 z?eud5J=I~VSuuxeG(#{cJO${cV7@%zY{%`Hz@<^eEnb({R}s0%v3CT6LL6{TM%W(D zOiMOzZPYYxT-IWe`sjueSD46=8qk{wX>fNWDKxY$bK&jO9hHTi_i>B7FV?ksASgs!XU3qzGHjv0fG~@g{>d$O^~zl_TbC2imH1;vAVd zIk?K33|{GLbkP0)JHPLYe9*A`GYPQ)hA?boeFc+9#m3CWaGEg#@ruNf;c~Z%{9gOW zTA+|1*&j!iCK`LgMR`$qckfsULQ~nGhIglZ|R#6Wkl#yp@1J_DG%}G1Qu3l%IO9N9zcKx|F z0;^z-9;tV5iEP9Jhy|Mf{c!6F#yjJN}xMs{kI#vxo zm|bTOv%BRowl3%$oXKrDC}!v*YAX>Fx?p5uwzD(hHh=KbkK9HYq^D{_vR zIt6TsU}JmRV~OKW3}TR~v600yv~!Ua-7O^*94lp5v>%fQj+WF9swEmW0VK68@y0QPh%V}Z)3gC0v7sZrWPCAkx^vtxwv#2ofuS5Bo$6T)j!7s|7v7A|4=?Oj9D(Aq3j7K-?qG_f5 zpeq1mj$7e4Fv)15mAY`0gkb+&wFKmj0SUCikW$YLpVa1YW4cjxxoaq>ql$ZSnBg%C zOdjXW>>Zoz(MCHqD7!9E5@kZ7KtTYE_rf+lIi_h9spnv3O-B1enf4xL!!YAqc#H=l zch?_p4mjNH#0W<23MZf2gHAjMI^>(*&)LZ@fx#kY+7iAoX}&(kPCpv}NVGst$|Mf` z(K9#S(j`3FTAf`6-LE4{vfn zQ{h-eJjR7H9U`b{rE$hXKtZv(ow(5uw>TwXQM{3W30Z|=DI1_d;It%24AS5qp(~L0 zkS$jxBU}OS@k$sC=%G9FEw?5kmH-=P4qSlCpclbj0D1%6%A%5`^*lc&Wf(K56|sIY z8~(g+?t7Et45JjVBc=fcHspJb%Ft%r!}xw@r!21sKW6Qg6T!Edm18&Y0Jv$F{M}T!jlg4>Thg4lM!M!%RQma(T{VnG&L!bE=v)HftFq z!?CTGoxn8rTOFt$SOg!}7%;l6?Nyr2F?AkJw9xGZ8d(s|?rO5-RGtyu&M2rMUtedY zE!Q)e%NUgTFvhDf8xCQLNgKseFXIqcoS2kdoJ!7v`Dy~R;vE6e99X_rJZDnR z6OIRjInS}k6wqA?Wk)BxzwEvh0$PMySAzll%7?BHL3)%KRLLs#sR6?Aio{BqTW?NC zc_L|QhG6O$(Q~x4jX{b@OaB!!1)S)C0Sv-2?d?bg&}(c?Gw)M{q-J$k!~oW-%FCd{ zpJTDgJAmh7lTMndO*>!9S{y3v1~STlEHmKV1NOZ5px|&0Z0AqIJ)PTgW)4lZUkA-^ z2FddeA%2Wb$}nakw}5T%-e}`C_qU+mUwJ2EawDEAdGzN{{lfQ_wv3#kOI0J;6(bwb z*`{+P75VJBF6$MowL2K6;pX^o-898MX5PdgQ(>6(YKlGjmrEEckHl%egN*>2{_6Nr zwS>v#t+BtdIWAV1OfPt=)^t&lf`P>^gyUB*E7jXAwBg^8s$Tj9JGn0ELUc z?m4x=EX^8gSpq6NG9epU0y;js@ll|K*_yCn_c2zAD=HE--B%c7@&Yv^Ak-zZL}HaLa#Zy*4e@Ny zQvk*G8Bnn!5Rf8bYir%BelM)=tIh**YP6_-?XCS5ozU&-t*9fRiI=RHRHwZ^LL^Pt!5k$g+>hg?$;3-FzUCps_7}{W|WHKndc$txzOP{ zSBuoyAD$6>#zt>H!zu@5t*yiJw&9P*L6+NWztQJD=lk05Jv&F6mp%%QE4P)LGdG-@ zCJY3Y?zQ|>Askrt?qaJ*3r3h$dwkn@4QZ5%{oeVeaQIfXux1nnK70xN9p?7cPa73a ze^#12b<(^ZmJN5OaKV4Tj|LudDjPwqN3`^K%@8J4#*ib`; zj7m%Ol#$HIf-4v!xzbW~{yzB_-Wzt_$P+~#(ED}+KD@|%r3{w{kk*&waRS8ZI&auL zh;{%i^AOw*J97wECUWuxra)Ispumu7XrppXatO|C-Stu{A3~rp9(d7GB}?1FkLW^k z`0KSo*}ae`&&kx*Bg0zT8n(Re-0(giiXbWC4f7&!E&_*hiGnP*n-+4fV%~vDx_m~Pm9mVZ^yXzC|L3I%1)2huA z)V@)T*OYO1+lypihkufQ{0=&qni+ON_nW*IN&v}>rPTGw!&zHI8rQ?0#))-dxm*`Er zuskLeY3;HYrHMR@d6K~5eT~02d|n6^hECtk>!?dnX~sy6a?xJifu84L|9*k__58q) z7&h@VYUwFIS9~3orvmA8K(Vtc0AFT`Y1{Gsu&m1KyyzGC=G&p@yprE|{xI1%GzM0P z)3Fd1xFO~Yr#m2%OIFb`O)f%XTsE1^zgjvjK9iY;rtBP6@i*Oay8};tlu@KRb$9C+ z9>uF3)-uPeH`-WaL`Rm38D)Uit!^#aZTi0JO@b) zgbm3$8SXsrsrM4Xyz4Q3kG6D|VK^)%qbz}}g)VC$*XTcPXE*7Y=fBK>wR9rx8OG%u zDwj3GHXVZA;gw_4o+Ev){ru~0+w9)LkCPydYo5M_KX{sq$Z zxAn|2R`{=deo~r=-_M)l|Oo%2NNv@g0SHH&Bj@GHnt zW!?$;BX1a`T!)<`xZJp}Qr)a7ux z*|kU<3c^->TW~s3;*|pbS-)%jy@0|bviH3I8X(?S2IT`7O*Ip2j zL41Vu-Qo^95@Tf(>4ZD@o{MnE(-VWp6L}FBDWwWmCn?c5ucHl*e6dzdk zbQ=Y!AwQD0PYEV`dGi9@?&9Q#Ylfw#ZI&?DywlY^pRzhd3>-u-3C&kAX;FA}tK9Af zGcb}_dI%xiHFUK8k?oiaE#=YMXRz9^*@yUFvV$R+vu}`F^aA&l^p;=S*r}**!gY{* zjsy}f+#$0jm+brN+l-DsRk$GW31S*yedCHUEOzDKBkT|RQ(Dr)DssFRZPI4PROuGoZaY`$P1#U0+z=qu;8#DH2)?{HB15!M=-_-s@Ka{-U}D(a-8s3Q~h!E>kF zg|{24C6LV+U-jluSadI*0wIg}xA2D$qR;t$&P)Dkpnj0^3QdU9ahOyaNat(A+dMIS z{%WtiSe$G*+;C3B*FyKBoLAAk)9pJ`+`N%0Q1=oLQlXPEL~jiK;DT`SS=ai~^sH9~ z0+tYW6vna&*}o3G^)Aoqu8W*k!CukGv{A?xxVApv*(VCK^a>ZEotFHaQLI zcskD<&v|O3{Wf(dio!=xdm!uP;47DHfZf7Zn5Y;pguuH8jjBbddErE{-B?kbJ-?7l z1v&C9oqY7QX_arCm}i$xd`4;f<25UpLtn4iJ-&He4puJ1m5SLlpmm`i=`G5iyHp2Y`FUjT zsZlS89sl+?O4=GiMO)%=NV(9npCW#94em93+}L4rYhlnFhO|0v_LzUYKkpcBOFv)^@{Xaf^W+@owI2 ziZ0mn=6`U{G~u_3vNhBpSg6f7Fdmis(`xTKSc}HT1qmZmF5Tm%p|s~$=_!h*a`)BN zBDF;g@rvV;g~A8o*Th^}wrTgfSfFy{TP! zHRn)=AivG%QLK)T;Box(sDd7KJrN1S-d98Ppq8Faz5UddOmI6>+`)I|FW6S*rFFGT zK1D0>yNm5!yzlf6M{$+J{TOAHTDG#minJSyfHWKTLwNmP#-A{Zn{${9FPM*OaW|xV z&@hHDb)eW~?-LZxQzSx#3)0_*YJ5<3{B~)|izg^;s|yx$*Z08*QHJ~t`A~cs$}{I$ zLa;Y(JokI&l^l3C#Ti2Xh88AY7fbDC3|4=DYUYQnh6yF+bNQJ`ky}^^o(Bfli?SAJ zw}%Gg`K(%o8LqN!uuP`rC*iZ&%NP*nF2e`g@bkU%nAX0F6#-jIXZb<7w`t1oyGIzG z{gqIC!v0Um%qSxk)Q#U!eL(c$Pz_$2N5PDL@Uy(SarQmf&g+PxWEGeYOU?DQiZ@kB z49wz1{<`>z+gwpzhr1|c%-bW=%O>d6QVQRo3IjSMdgR5W{UfrsJ{V=l>&xA3sVYan zdRJ=`8SKipMRy=k!#z%|(lAOFi070Z$v()GH!@pIR_v>NF_0zM>iyxthv&QZYM1Q) zDS!A(o0aOBUcAVs-6u{imx;2TXMI+_l@j9cX}2Ap_&XbTjy~;9ElC~6o-he{{-9z{n_}(g z%inkVplxm1Z*`ylxuI=C5nku-}`@z!)z;%VDa zQ<>5q&}lbx3#|Crz=N+OC`D>N^2BbdyZI6}A#ZnGfpLH1$`c;@;ZavHmu1q@X)hts z(f9G&Vs9gv?(_d-`qi~tr+g}tx31kR>pGZ@JTW8uD?!f%Hk{z*!WlJ7gPWfrp0ravk@DW(pWz*{RSv@X-$di3rl;e^@%lJ^E%^HP zJ=U1PYZukV?%Vv}+D_@Ao`IE!MI-Tm+r$r70eZVdT=I_$LSfXvCjX0e73r}9r?a4KuEq-6uzjL+f!#VfcYgehZ+eW`gGS@gnY#;zpSVDR z`><`)AUF5cq^s$>`>i}78Mg~9cp1;1ry?G~7k^9bmo0uA9L%>z{Gs(buMi48g!U2E zY?262hoEgwkYd{wTm+7UoY1ilk2~q}*TuT_6h+}UJ1?%+jYp0P+rbBN_i9V`qf~@L zv%hH-2E)R^>V&*1W*n1GhY(6Ex5#oF$>ZAv9m|)sHh3{pmVuYrzw>=j81aTuehFng zZDYm>pW-2M=dj91xQ2HeENr1($HRo3xVWXwQF?kY8~7s;zFKh5mhdMe%>ds{4BX2e`JQR zj1i61wn*{2%eY?$L2N;WhGeKYRUyrSTSW0(651@N{??!#e1UwrWAvKy$|tBAy@QHh z-GNNYy(i)!WBWa$03UxHt7Rk*qC=(ff6hj|$QgQ~7>o5ek*UzVf!CT*^g6V595008 z<@?wJYasQk-EOCED-f_xdWTg(ea_hLmjjJIK4Yv`+~%r}+CY7XoV7WB3Y?;b{GNbbn{>27HP9f^Lhg1@(gPP}FZ zfBNs1@*O4}1iY-+Oj0t0s;o3gVW=slQtoC@R>G zb>rc7!pmb2jSW~EgqcAov%!^Kg!MPYBXA*bKKZ2~hkJ?x%$Tqx_)Ew=3pWr37{?;=!Pe)td?p$K5yo!SC-~NK> z`koI=j|=d_uc9?&B+hz$PD>5gp<=2)m2(#SAy%3mt#|LcahOo#vuen&;>3{zivtSs znM?bm5V!6cv?9?1ywBsT_$~`CH+9xOpjgQ`oqS+jqAk$Y!mW7zMAcosyh{qIsRrM$ zLBn3q8&|CZ0b6(RPa5M&Tm(OB+ME~FF}S_&G%|gM55E22x``bQMth%7xTpw;FN;P{ z4fS=b_OC(;IGIDO+|xGJboENDpLwV4j1AIHq)L>6mj&|rF`Rp{Eb|`uH%u^QqdA=i>CT(+}9VHBTWdSKlJ{)ZJk0U_p5Nl%eq7)c#a(0uHV4-={j zQ*WtqTjLVR4xz zCKn7zUlrZ1fvST1eR4Y9WJ1sp(cN7)Tx7Y+khDyxGnvv*h(5vzH)woT;l|SG55h;k z*T9HxqW6VQX5E?>d+K%hL44mgg*Xz|@Rh+_IR-mMziR`D#yB!EJ?T^A-b~wdiAb zs>#$!fC!1p@BWS#qWlf|Vkct!Pdl!{LK32MiBU-L$dN36BS#X=!FK+z0Pih>je3~} zN;*afQrdVq4ctNDlkzS4$n`aa+uD^36M1RKC`6tQFXtpFWJVay)<~CboTyC^u|Ur6 zzWp=y^VO0cJ`dP;1L%X|@M8xRqS->%6$P8UUoft|_ZrY2J7~8IL_(P8&PzB7zt$I7by*UN3-x@2C@2q|n_85VxERnqD=S ze?U*YwL%yFz(gz1dilJle4y?_<|mK*<#a6`+s)l=sBSG%uq0NdE6nzcmS*>HNTm(+ z4fm?YvLN4Wdr|*M^PAWQyM4?0c9Tn{A@>2hD`H zyH6DD4QuJ+n82H=amQf4C#Z^-t&mq7|KE6nxoxSMFFz|Plv+SA($^tY$_&y(JX6Qr zHVee`q%dK#-A8yVA|T8jzEy>!gNjs$?kd~8L%mf1ji&oJhdW#=|vQ-KCnk3TL=#*bpc&Zg%z#itQ?R7)35#97Vs*6A924( zV`g5C6StD#K0y)jOvnAL_``GN?7AaX%SH68j=&GmHxrmeiL=?6#KSZ37BaLJf9v43LCmv2dgMJj5oL8ztNSh=(N%~Oma`t(KjF6WyR1aUh=+U}eB^?P zmQA5-5=)VqtDSAnQyci*5HG)D8&@FuW3oH!vdn*1X2-YkjQ!bbI(Wr4)Ajuxde-+= zaPVVTMjE3GsTg2lTWxkC=4eO66{+o9=#M%tUG9zsA}X4FY*dM&Ct;pYM5b|#3)a=@ z+ZDvO&^HHCH>LO$zV{MH_YLAwnG!B`kc7BW2wp2?+n9=L=69|9tJuVZlzaco@;{Qb z)xHw=Xne{KhUFA;WHml7^|)KXNVkhZG9O2CjYu_5gm0tV^7PEy2w3BDTHCue%1^n% zetV9blwne@?8EJXb}b0Y;NPNx9t&&6?sQi|7Q1hyYl)DGuT!3?&Yi{|p^LtkZk#1g z=G{aKJiwYCxM1d{w5`G$MnrfEP^-XR`Q(%1%S^Kp(X{v;(d|L@) zmQU?LO~X>Q{6BwTb#d6_yvL=Z@x`EjCg2=K9#i{p+m* zew%Xm94zWA>~1&@BW|^p9u>WR809D7XF>22Fvjzx8m%T+!lFEwcfPUE5j(R8slYq& z!S9r0w=<5W@c__sNZOZH<>rC9{S_?_e#L2LrCxszHUo-SnJ#SquMUq&%0xL6{A(x-3KSmVaV1py#5A3^RiXB|}L8FCFCErCo0X z&f)cwY9}rJf(>4zsG!m5zdewf5c(qqMa5C`yG`XsQ}^ca+F;(n+uLlb^y8pM$mbd7 zPKhd+CD!6iZ?=i={`;sh<~07+JDe->IjqQjveQ9fI_Zm`lGU1yAl7eeMbx?UPT-W2 zJ@U*fBCtiFq$^j+=9VwB2P<=L2dU~KIfoxYp16Cdm3QeNK2Hy+WM}1?5ju~%_RZyV z$*1&P1CTnzUsi8|KjO|UElTn|)#RUm^{5oc#q+aqBfD`hZ4xH(Tx+PYW z>spYTDhA73m!v`RLXz!x@-2IXXF;%pn*4Q~7Q{b@Z1Fui`9tVh<={n0+q}n(0zLVc z7R83KPX{rgzt1@rCBYgxP%0m4#ZQ}x> zE8t6#wSu$N4;z=?s6lzoq!eo3;xlCajTg2#5y@lQ^q?#CD{i+^h$H=t4ni;amSh4^ z2X8x#s*o8f;l5p{R>Rt+#5K%Rig1Zz_{(2~_01g`;`sMI_+*DX1R>3TASU=^-Q~+m zFsT`8>PoTJcQRTdvVWQ6j!lu1S(_-DMty^-)T$BNQmqYcJ)rf!SN&1KuktV$&o}mKf5Vpp z3)`eLvf@g8l^%WGXluP@6R?_Aa5{$@M)YHhA+1pvK7ZNpe}tZ3dPI=%D!Y5~8bFuW6&erZ`e&taw9#CU1zyi~mM{u_MBOFDDMLdkt) zWsiYvq69hI3(brX?H+2rNXf*-Bgrql#@luAq7O0b zv-8%>PJSX>J1+UQ#WiV7qog8EiKv_A*JCsl(i@d7Iw@KQCB0NOJ<#c1!S$i`k`mZl ze}b50VcP~j+Cy3bn6fd{+~1EI%P-^@=s|@qF*Ve3J2~wpj8VF*%X;cgJG+NAm;@2T z4cqG#$5P)v>~+!YR(aBK&BeeB7B0d?4tskER}cJra#>XL(&yTJ;_`vR@KXc0gOH*v z;El~R#qe*Vboe@#U4kdi6)T6S@^q$hOCbf?li@zJlh5VAv` zTSmk?dcIXgw&s;d3)O^Jowhn(g;@x5}6sDP?uadW0~`+QAkzjnhRe2QUh^9SlYg#1^M5_gpJ?^DN+x;X4`na@I&BdU^Va}-h@B5; zpCD`?hL-=*@v=LR6Z;d)Hm(Nutj7T2_Zkq2%+_wMhpw^-rX$OM>07xq(K8Y~*0Q2y z!Xo+SRFGFU;>|nzK$vzL>tZ;KA)3+8^z!5wZ?g~TX0YxIO|>l|I-QsbM7h+CTr&F#pe z0wbjn`w=R6$U~?dDLjh2);*{lu{^fi|1lbf+k*c}2LDy_e;W4GLO+w$(wEl^n z#3&!+g*CZR1``-}AuU|6n1#tNko&On@GG=L=+e#u?x$v>e8IvhI$ng`&B6kj;XEIfVQ> z;_=mXnI$hX`;a+<`Em(4Oe*s53i(a>!p4cz3Rdy{Tk7sxyA~ zlRop!Ow#8*M!`xFYxrUF+lOC(G~K*DsmbWkXEM({c5-tbFuc*DpKSjCt#zW65K~c2j-*y3E zG(f%|ve@d4sH?dF(r`$RR%SgcR(kgWBuM?4&uxu>jTP-(zL`J3DwkqmVMy zC3e4nRm1_|v-5s|n4|=@4Uc&MZF%#w4AgU{rMhOt=1NorN^YRLn1UOWra~_A60*?wnQhGvM*SOM-5kHP%60-#;UfWmh+M8Tg=uXbLh=18&`& z?GE1Yx^lRzi@gLUQ5Od&s~n&EdXJT31V}0gqPzCh!Az!qdqnaPUBKt30Sn^WZf#ac zh8=JqCLLkB{K52>R4r{+;PAuA8x3iHc!MSO`2btO;n>bK-9FF_;IM!)=Ajk~;(vagQOgX!SNhp+HjW7@Q{C|**t20cwu1RqtKai>f;Et;xFWY?*8|D|#7+=mYoCYw- zG>fhJsg15rOMu%}yukvfehx^mA%1z{;mTS>46CFP*z~7n65hK{4sio;acM0zD7ZQV zpe}mo6u{dp@C4w#&7k22!Dm>cO9MStQGl`ykkwcMqjf z0D|b=5I4Yd`GeOXn9`iZ4|uvZ$B|Bn9j0ZO4IKm_4ND&Nixa1yFz`9}Do}wgMK{G> zbp(L+2=JS`kFGCmHpfjl{>Rq|Y%q1b>yzQYRT1M~+hoXiwY9dHiUZ8IhQG5-SpXdz zLN8nzqbAaTQKZDrC($yE0#2V(MMC~Oqr_z_=OC?<=V(MC>I^u_Qp>u5EK`pD*k`gi zzLNbuKDp(a+!l-G7EyYorj8oQUfuqoTg+|)WG#kw%lZW{$dy_g-##lp?YJ0-NaC;# z803LEgDOBAY_KcG#&B%CE9^CbSz%XHMelG!eDx{d();tsfy-e(6W>=olJLoTCM|6; z&Ahih%*f;9#{)3jLL>b+CrpdYDrx5+N-G(8b_7ng_1L@6Xu8)* zFz`LOGV;b;PHNmFZo$%*@TsR_iWfw%8Q{9Og75ZX6_i=T%v^=jYT3GF~!D# z47UuBBQF(fQE0(S5!4VeKu0^*aae*$1-k%{b`}Jgk5tOKO|t9O+d-NGCqLLZjg#~S zSdGBO7fngM5LxW3;Asg$mw{m2{^3e@cXUJS>c)sJd#W6SjC6rLH$Y!F10c8aaGUsg zmQmz514(?#BF$t$8vt#6G1$AobFw`_ZqhA-Lu<_P*p*EvC#=qtCkBDj8C4B%hyBZ} zXH|v`k$^i`uFD~~685VB)o`dQ?eQ20dE0PeytEcG0(tQz6Vr`d3uHjjQqW zPu%r=!O$Zby)-R6*zgP44zqUIB>x2nh@53JD2|g?9~FZ%`;ocCdK||%KSM8>bLm~# z7+J1Np#YCnXxMt(MEk?|DaVye(}ipZx5gnh=J*Ua4{sFpXI-@G_5R*M>t~R)vL)`U z0Mb!a)pBSb&}wC~HdAB&;U3nPa-vbFqb2*&nc)u)gdg&TydGoBT_6R8OpHmQX5 ze8H9RilhS~S3&6aWrw*X4ym!RZFsCQ#M47a7KFpbXM2cQfOASNbddM%1u0h10B|xC z(nQY(Xd7UgCs#SDMs~0De5~SF)_~oK$g!+N^nN1SC$BiOfk=J=V8Oaw;{(a;Wxx4Z zkm^$z=bBtn>)2^>Z0_OKWIt6qIwA+LQdv+HumN6cl##4M0{}r$v{GMNxM>{?o?s@E zJlPeIRXL^U^gqZ#1O$qngP?xU{!hK+3+g3a?g@aql7^7lJc@XP2I3Hp&?S@#NNwd& zMec9`=)$D`72OIzSx5`;8+87ZO&S1hjX+4vO`rH*#KM1ly$Ix!KS9T=(zufLbL-bpb^pBHaI*T=fKG zyYfuhqce~4pe>0)SQG1cL9C#Z97s+%D{*+!7eu-`0uwqaP4<~;%<8M3sL>A9u&tv= zC=6@Gw`93~bPhF60W4^(aBkrHuw1vDK~EN@r2(7rQnxMFqaEa)^-Z^=b;qs5GL6lo zji55!g7Q~V8yUj?x`0bp(hc3p{~@9B<@>m071iDhei!B>Rdctf7N$n>Z0Of2*KN10 zez%rB6wK4MDm2q8U=(_6H7jyLz@#)-Eb<`;R>_k5`f1D#TZrHJg(enX|7tlT(XhpB zRqpc$i;~t>5JWF&V&t<*eNl2i$j^9#N14;;!-jxrxwX|loVpa=7t+%YO#^4{mfG8(UsNe;N`VR|1dvi7Nt081;j<& zsUtmHrwp+uf5M%6nS}whA4{VePR`QVfTY5#>wI_n<5<}a;B%2wulZ-7$;-Rfw%U!! z>pmrZKd|dcc9$h!{4tO?HVXkltD7K}{`)I`)62T#@>d0IJ$1#MSHN3+P3s1Qh^JI@M@Cd|HaanI_xgh*yzO_;sx%ql6)K(F@4 zzaK6f;jSKLkr)2#`P)dDEX_!e-jPm6mx3*21f27>A>N-=I1!!09xr^`Z8Ox4a;Kx+ zvY8a@m_mNH-R8cLhn$!a&9~q}ym>=^Sx(NJo6fQU9(gvimd|ySp8F0Srd^zJULOex`CCX1pq|71GzA1Y0H{9<2=z#WkU2jma7a-ZA@u*F z)Z_j`sW<;Wl=^u z1Y6uFrVyaTi9f}DfYhtdE-pifYHaM(k2$elOBP$6IzJA4a9(NE`IujzWx)Ftb#kU@-R7pYTEa#p$L>7AT~W3K7J$98 z*|sk^*d%>c5hZE8o|4s;1t4?!Ww&=#5IU)arFEbB>n7bEwUnvdJI?zZnW#uFg}RZg zy<9^z&6R^p-X##xr#I+ZN=I%cwUDFH?SD3ryN;!+71wMj@zTV~Gx;w|Z70yl= z0jQEm0LOx89JnN=r9|a8HHYCFoQhZloTG+b;5_qQxgQDM#kumjTjDFH7tb5 z_LgGo8QG%G8CMu2t(_&udydBRedX0=miW_OT}oyZGhUJ-H%?FRnE5xvruC%vpy5i! z={e(dy?k_1zz8#!<0xIA7p)2smsz=g45bWG$f~$eMZAi`O4oRgT0&F+v(zu@7&m)u z8WBEpbcGEH>JIbuP#QQL4j`Ru2w1kk^%)9Ccu(m`-iq>W^V!oxsbe5&K!A^-nosLH zIG-tlSF63{GM?aP{_Xm*o&U1=WWp#SVj{yW&EH^PW&l z6b*paH&nqhP8{p`|HyjxcqsGm|Nl1k7~>dIDME%DIVVL9VJ2Y^TSBF@owtNl4qHMo zPDNqRRt{T2j4>UYj~$%S9CmZs(y$#ZLMh2{zSnI(pWoyAef;*19=q+NnS0*v_jO&b z>w3SQ*!h^iD*A-aF0BRJfE&y>cg+GQ@#Ro%mQ4)P__hzpkHprJ(4`m%kSma$Qr!ttc_4(+s5=dQpK@lqD^Q(u~ew^-p z=H1)t;*a}T3AmNL&W9&jl1HcX{ulXC?hhUX)$mi{9`E>Ubv}J^wr{2}zGYwOYeI8p z=jHs&SB(OJj1MSAf%ZV_6>th?7xIsX<_qrWK~+4shw1cT_B{VVR=G)jM9YOh0e5Ue z*~yuz?3u=eVcbd&a0SQnw-|#TVIX+ge$Qok)vdBUXUHq}hAYo=jj3BF!OG$sWJ*(@ zI&iBJPahbKsT~2B@zn6u`TEG;-mky#nsZzV4^dxyNk4FEJk;)^i~HfaB~eI1E(uwN-jz=X(af z_XKY5@5&83&Qf(VEwizxUoLiM_4{7K+hlL zl^0G53U@VEgFC%2k8bj^TZ`SvbTT*q5s%%_Tn50q%KR#Rkd)G;4h$8IHZ}?~UIa7cvnGXiI&(om zp3ZTY%oY}WZ|nS8x60~V1V=wwc-zh!I~y~XXMcj7c_gZIqcqVe}!(=6UJHKdppdyi7 z3fcEe@7kH_+~b~L9#=MchWE!=K~n9f4{g=$RNry{JiHWg#+EMLrqc9npc)08cc9zM z176I2p?9_iqz|xCid}#rly(Hh@se-~#aNUT6>%rjK@?QyqUt7kP zTJYJafsYkd3t&bE2&HSm5m&@LO^J^I83SH)CBa>=({f2s^Z7J|cU-1iwxDbZ$3`8v z&fjRkBg1i5mJb)y2M_oG-b2XIon90K4kWx832Y9}9n80>^9F|zwig`Cy~2WXgYOUe zHKz|PVVH!sXXg285lgPc6*Lc=J~i&`2zP2*Vk5@O^65TxF|FsKu8JcjK1pz%3pxGZ zh(BQ{zCo)2np%5H!mP3CSf9n632{N)PA8UO+8w>no_!an79FTgF^Inw~BrNiUr zppt%7$uEd1Ed#X=Xpxp+d%lh_G5Y*Z-@ zjOcHR_0Wm&1wly6_QO%#i>Wgo!oaQ%TDOgMQ&A200|S+hy|)ld{3keY?R>fj%$8z; zuYGH-@EV*E0N|MV+SU)g=??;7QTGH>?OOBKmQ_Jf(649&=AQ{Tcd)q+IlJvXwB{8) z$g>U};1GLp~EU#1cr3GE!5x&T}moVsGUKmu0GNOfCEc!^$Yc{Q)Vr(|Pu zG&hg-ovnAiygDZ~x)F5oQUGCi76*f4PRqrwKm;xQPh^D4_ug0M`{oitsy#Bv;uyH6 zKaeM3Gi}GC&3(+@bvB3A+{ugfjM@YK;7t$s9FP9qAZsxwU7+Ae>g+FW3J z{GPT0k$!^1{Q{k#0b$va{Y6`RuDs3&7)k&shz?n1!F;LOfkCG1MCgc4OaRWiH_8W^ z2{;tz(5n5wSaK{cb}DGU&i^3hE5if8Gier(<1vlyg!0kd|5NQrfcTQXo^$+CS=+C)5Aj~4guR}{AY)O6v|p+`esct2iOc5n}C31<3} zewyKPtGYY93r$v!2Z~nrEn#GD2MUk5wt z1}sf`r82nq24|iIucM}ZJb6~O4*(PJVQ?C^Y>h9p>#g4}C>wQ}nX7JzJ3j(gwrOE0 zVA)3MKYmIO!iIsiT-^wG5e26#f?-tMY<9cIw(Vd9WG+>u-`};IugbUewf|w05E?9y ztc^Z1keVgnnjT$Sn8%+uU_yzA%$*5{%opXumtbFU+mBOOd%jZQ_@}Jryc?5?xeT7* z?ki$SWs2W_4&VMKzyP?)v06c^?tAs?Y2216xU#!hV_)6(3im!-uWzh!3@g<=JHlx= zC4yO)=os)y^Z3VCH{P4;C|-Iv(6`XuVLS@ZN@hu!+y*2ufa0ea}69PP^m2dZCcdDd%yT8oJ$g zh4&pChf1k1=FogY@_aV`_@{D<&?-UsnBWdrb0tGAktw{Bi>u82pBQIxd%%{&;?vch z{(Y-G)c3@k<(FCE9ya?#=!(hvEVCti= z0)+a(X^SU~e(gu2s)Xf`ZST&UW`E6WiCD<)a~}`nOGTB7GVQur#W<7L_#u<7rwa~}w9o;l0EQzhV5fRW@v)}bunea^0BYn!juKD+wLguBXi)|iJk zLdUClTpSlS$kg^I)Qzuy#d~m?t(O!%g;)QTTO&o#3R?sWXE(5af)kpodalfbL3?)s z*D zf!y$b%ABJI>iRoMhPtW7(4Ib;u&sH~68x^9Z7`OKdM$GPF#uHW1V4$38|dd-XN9+< z6fRSn-BMl-+)ys)NH~(*6E~iNbbKc`&joikvvG=5xPTLlJJ0pz#EvdG&q1>Q3=^C&fEp;Dp534 zQQ^#&Q~k`-+zre(gMn8T#}^ys!P$S+(4YW`0%CSB-(vn<3LyjfU*Cmy=dVEmI{v&e z(b^lZA~^1MaEM=4X%DtXe$%3_ynCMgys{x6zy&lmEOLw*%L_?;(|k4ydZ7S{@`dHe z@dM3t4s!NxU~BpF%j$7Y=D?Qt(J70Nk&U&{Tz;8oR0q0WxcN{^oOl+J(1mDz*^HMb zVPPo=t?qv6c@6Bw1{39igRcbDg@be#Wz|{s90n$Ohdw<67}hmGQGVTE;f;69ZMmjm z;p5$TbmQPY>Qw*7FJs{2$B7DxFW?IA%;R4nwB!q=G6sceZpF~I-!CkfT?UOE&TAYI z$18Nj-TUB+TXOHKZ#=ReZzw``=EKDyG{QD!$$ooo&na+%gx;1X7|RXL72hsgN>1=) zn<5r1%)nCn#G{GM3ej=ssqUP~UpX2*T9p`@|GUG1Pd(I6yt2xZ_3_)zH$$sRz|o#u z1hxT}SJv}VczdtZ)n;CiAy?3Zx09;{w{w@3L{Dc9m&&X4-ywFfSK4#oN1h%AH@WZK zk9Uo1s>QK;&A{+HIoigYFWE<*!<7I?;)}ad#naQ#uo7OR&V*G~N5l-I2KNM}ES7R7 zdF-zrc}0R0=4cNNE8Eb}Jb3m)2Us6n;k@#OtglcYx-_4U7mgeq*D-$C#5^SWL&AdQ z=mWx%5;-lf;fh&!S?%Tzjd(y{&rGcnE=_FY(7K?39F6BpE5UvbXCXu3zmFP{k{<5%N&czy;Z_ z{E#a^`0Etj?OIsO+47<3(^bx3maxqGTyyTR$?BW{aY<)4W8a=cInI33VNoyd#vC+b zU-Uz#!~=KqY)$6TA5tnBQ~^E}>ijq6_K?`_k`waKxI zh`v)hW(Td-u}py;J0-KPSwO0d?rKZC$s>$U=Fwd7On%=$QUu@`N4;#n4CK;m#De06 z);R9G5YTsH6Gy>_DtFmhAZ6Uft4$z3i;KD&aHRnLXo(>EkZG~(z1Ku?b=yX z_J@0#D@S3Vp}x;$gu}b>PJos~-h7dx3_wqQnd?x_7Vq-GitfmX+#|5L%M1==gG?$Y z6IN##MhsMj%>f$D;X-b03GV9XimNu-E2$^HJfY#=3u%ca-9Y)0Mlx z#s11dZ|2t$aDN4zgzK#X)o146Vqd43sYciW9*LvPAOS@STbK}7+4b;yuV(@2-5CG4 zyYz|}SgkNT(l{Pf4&|m>HB%ir;VI0{n8h0j=LM3Yz@k~PK$P|k?|1hqGpC@PKPG5r zT4%MTa5=o{^S!*PlCP~P&+}V9_tXlTP6a>_TCn8G7tNF{?pphCLQuf%(mNZ4haHeO zCfefg>`NpXs0=TD;XFUl9@p#%+jp&Hq{J z(V7kF+nGBXbaLq#^|)Lyz6DY z4QH$}$bXh z%ygaMy}dT#Wmy~L4-iL~3IYx3&X{%BKHKJ{kui{S>QZN#UKQSssq6)&I83xeK%aEZ z#%6xs#P{7%<6x<4I=BcEjZIbGZk_{YwqpH>d|ID>qFM2|=E8AMAcdns(49WCGqa*? z+ET{^x>=E)?U!b9t(%_s?v?!)qAyKy1`~8=sb93d5r0;fqLE6Zl8Gt$lad;_Qh2ioJSshj z@+u|rWp_UlvF>F$xhw4J@+Fn+ee~ojz9=6Aa*K%pbksL()E{po>S>nl!eYh6j=(vx zme|(4>AcYd6uXY%x(VgmTF75d4W~WH$;5Tywv&!Tt3~$}dtm|@w>!5Y>3I;{)#nQf zpGkTy!&!j35?5TRwBAc*Pd*3Nq34^49QC=}vg-=lN4n%kw#;U=d|BlX9kwEsBX1um zT6zBJe=zJdS!y}6y1~UWMr-<3B!)@Rjux_7g%{4DJK>BdX{w6}}9k9V+l>s?>_4_+!3 zt=4HDm~MYrFCme(5b);Zo`YxQO5nGo5AY&p_8=aiH~l13sm-U3ee(-0Z=GhBI|nW?mzBe8AIrB&UJcHs@6{elu8JOLHx;y1ki6D}+PrL6Q-;*%~&x~Hzr zi{S8|SgKH>OWRJhy!L*XZ(IMmx%Q=yeM~RUuh5)__B7@#Z;TQ4Zu148O6J;pXjjbE zeitu$kY5FF7LuFT4^7L*9yF?X^*8C9fXVm8#x*9v)uKWLmGZ`%3zZ7vp!`hZ4U5R8 zLwGq0BRW&nRkUh|%i&4}135?ChJJTw?a{o!XE$|G$p2HB5ag*1O!a3=?dWW16rIMsmYyX&07m;N%t|0NamndJ`T z+6!?~(Lzh?E*y$jEnrvb#ef6m%)&y$heYkh!TiRfzj0dPKlr+@8l1@A%I)@0UEpM{ zSezUCL<}u?tu#?Be6VCAJbB6Uvfx3ju=&eNVR=8#+VwaXK{tO*blU4Hqjne7wC9!k zb!*q35oI2j;NJrt(3Z2jq7sgPyT7T-b^gj??>SPkr?42}*B0SDTyh>`8oZbVvv+8M z`SUyR`YCd%FmhoTH@YFHG*p@1gSV}sdfEyt^#}8|y7jkQnF|A+4Bp9xd^+ zJW;AxqvM`^j}~Cj4Y@h-q2r><6Yj#hGlIg}?X8!6+OAm}^2-|qh55LZF!Wa+@}Eu4 zDyV$VJnYI{rZw0U^~09bFUK1qCcd_v z@Skh@c}OT^`{1cy1?uLawYg8c^49l_N>`xN1DEfJ19LfB z#;W+`5s-Nc5}fR&jtlR0@|RCX3s?jEqzr*xmS7;ybWXUx1Q3lk|C=)ZE-bWLShjW` zlL}+TTHF=3$G>ZRis~Hdi1D4^6_#}J z2%%6sg`MmhNSa>ErImaVup2Y6um|OW@R@60o_+5&<-k0`bIva~Y#d-gMv(dA<%mQE^Fn0R~mG;L60w zff45E;rV;zcel%y?#+~3z#9|w7MT(v-+JLX7|8Pr^NE+@8h4bL2n)D8&g&WR0-&{d zcfF?B6D%AZ3j>^TI3)0=Ca_a`$ zQw_m7d|R|97~{fQtrx<8Fg}}`6Ss31>J~^)z|c5_GtUo$bM-tvh0fK9VeiEc1emy; z+X)qFWagnUFK00n(fHO9asBlP{8x(Br4`j+!P6{K06Yb0~ zFCfqQ@Q;o9;COXm;V_Olf&v$2Yx=@rS@pt1*H~3}GmLUYG=dsY7Yd`LepibdVNUbF zAOP5B!(fEiPsqii!GS%Um%sAw&Iea)$_FHO%(WuHLj6f-*?FI>NEmCL&!c%XXcv7S z6oXbU`c+u)p}Dbnu&MfmkD#))T3F@})3251{(E{8I48rekmRqiZ+|4j(VZ*)qu;_H zxz~tYFU*6Vo5IN-3vF?}#YYazF17n}XoIt%|I0+=_B@R$0pvIS(*FQ|i{CrX^6!pM ziw8Xf9YrvaUkz(%aHzwsZx2)5l3OI$x!~VX_JcZ)7B_SZfiH6N$7M1qT)v`0Q_W>ieLBdIn#f(6j@_ z_J0$_a{~4ZG#VEc+u}g;iS=H>{edEvd+L1MQt1yK;inC&KbtgEu=+;^CB+~{o@Qst z&e@FeI1Nm)xar~hj=hz<@*V@|PWIHTOzr&8VX}XsDq`V5-T~9bgl!9@uX1UTSwh0X zOlP9(T$T`)^Oo%WV{OHi_~F~QgoldvAIgnr{K`w8#-#~2URg1YA#9KL=jz6mL-eV3 z7MI%pKNGVykZzm(-xIS$0+=cw3aDfTN+!vYbTd#I$)RfB%0s4Po*R!$Ww~PD5VW3 z?=|>c!#qgkGo^kZCfj8Yr2^4 zCFnC<(!b`4Kbh8$(P=Bjkib0^YS|IkuQ0sqf8(QPda*oUwXV>_`^55Z*;KF z+3P4&0*ybP&3Q7AQhuN*r?WA&0Ov~amHl#FTL{>rlF9w^r1Rx1$-9>6G+!|rdd=gq zSHUoy@D?r+iugq(t6&>;KLXSA`d3#ZJlK}C(bKJQO%)DHinXxF7499)F}2v=JS9b3 zn5vqK5|%A>h^lXB9vA4Hi_Ec&C~6Z6g=Lm{_O*wObsP1yq`b^uF&b@{dnD#VN69H3 z+i>l5!~COD52xFTDswCIfqGc}lBZGCvij@G)@4>Pt*a`V(sS{J)F+i4k@lF5@xm3k3D(gZ`ANCa=mn4m{hp(MQ#qWdp%KK%<)I}IfB|D#TK z{u8_I-M*h3VK;}YlSfw07w*3*dC#tJ*>7^WS7whGxe{+j!SuV$lyVwdVGy5(5B(8V zGOOOJ2-~{+Z-#b`+HEX4qHg6S1N6cSpvp2)LPB}cN+pAlZ&pU`Npgo%bT1j@T&1S2 zflIx*@#2T6c0|YMhgTed50tf_M$CxL>SimftqV^q8^5gH9 z0O+VOaQRAl>C&a8g!?DLEE+htHg$4rQ7tTN7Y;XqTbL!=32YdMTbMpG-kJi0BUnTw zc#8pOHHSV5iU!*k!mK*Vl=W_*o0ls4cbyfLpL4p6+asO{(NO#EZizLuG{yalw%^_DJ;t>`{n3i{%s-KmJ>X|@v&(nIi44shE^ys zxw=djpYHd}zfdBt7Q_Ilrtmp}rdu!I`O<+h(_)?TbAte();T--s?78>?C!Iaa|CH6t%ANv0n!2f@4ad#R7rbm(tmY9acN<01x|0DKFzb4q< zn<&Kk%Ur>3RuH9#CJL%r{tSJ4*S>&$MXbCF#kkTtT4nT9O)~yg_(z|O93!_!4r3j( zV+2+E8zCPvtiR9vgj^=Sn^VT#)3W1Ky_T7gDTJV{JRLe>Xs&~pm@BFtOC@24sf2qv zYCGB#5kyDbBudrsoGhv42#R%BV?-%IzJqFNvelst1W~f87DDs&^1yU_%|cO;<1Mmo z%Q2LJosrmt7{!KOxu9B+pu%`hV6b+t*GXfYA?u{&*{XJA5G8m7jD|50TIDc$)1`{` z9u0DN^F`T!NK1MqMjv(B-PKeb|DoKZbrKc5eJ+RTynVw&Yh1)}7myhOi=v{A+!NDp z%F2jelPPq{yEPQbUlc;kUzZUVL|28jPC|+Zqw#AWI4DUn0txqYk8DvTO5HMLq6~8r zySStv6#IFrW18;I(oa!L)2Z}_B!eD|GR`{?rLb7!5PX2WS`y25Qnh|XvEL1anC|Zv zmC>LhfjJsGU;gHZ+^aBi){F4KK0NkxqQx<(4ZGJm(Q@Or`2 z9gkDi9oL^{qN2O2%q%dOf23DwB8Z+$CZUF`C-Z{DsLx{fP!F;ZjH#_%tf!s)jw!3h z^HHeB9+x5*$?CGMo)sX86l>5mlD7Kv9sQk|Bg&w8* zStatJNBUwa%Fum8&EiX(M=#TV#3}3jI99KyW^G%jDnY%uXWgnjYH0|vZncsd%1GN@ zYvGrCLNCGh+y|>wXG2pSJENl`@p27|Pa&xIjg*Qz=BL zix!<~N&0_CGBDZ)B#55OqOD5~YSL7@d3UuWmaJ5cunG%KrL8O4BP&Zt{jA6=c zrD@9b1S&zKzslw=roT!bzB8AFRevKhCqwBs_vo8T$2uU0zInHLM4Gx{rgA8eM*eBd z9sQr^4@nqCDJ;h%YWE{FdZ6KMu+7|^rf!*{E6d8H>0dH`LDs(%PF8nQGW~QJt*J9{J3piERY34bjlh`;xi z3t}L+s6p{e(~$oxT`%(wQ~R31w_BEu$jxuzL`AUc^-#@HLRkx5ItGLV69wF!S6ZFi zA7ZFQvr#kDDnvHI-?1%|gc0;z5X5jNwIJDWr-pHw#?c!;sqa)WZ$YqKm)$fCca1+w z(m1B4vGY2C^{Zj<^AH!qV8(ScC@G>u`T7kMBcJukG(3x5an?BhOZs-PFg z$}}81Bd2LN7OSA=*m>9|B_9o9iXWaf6rZpl%DVRqJ21$psyWm+*kML0Zt0kjiqfcT z2=;N@N|q9ue2|A=>(&@68m+UN)NuTq;>5m95Un0-a zHw%q!B#!17-Pp5&h%#*UKYE7jSKWF4n>4~IwM|EZO3h$Dq;0F!FqS^&h}5*L`MBDs zJ>g-}+C7$}niBM0(p%z=w<=;L8gxouL65mS-RO%pPtoX$UEEqMOxL2YfI>7Tq}L*d zF`XdAiV5_8sSvl*n4Fya3xbhp?r_Ll{47y}8AM$k!i?>tuRy@CgFjom{#bR+tr zdT*__l7tj}rRQ3g+SjY)^{$6&A!?WQBuYz2UGZJpqIH;r-IICfV$5|XN}YH@mkkkR zAIl)IGL2iP0l&d74piCf(SypNS`SdhKecPp5!RbG*0S|!i-RV+4Vx8B5L9Fmi;VBp zr0lowV;(+gqGg4^elyWZpCwQvH8I|WWW~cWdXM~_(FWo0Uwc4@bm#&(sffXy1IMvj$fN*@UL&2*q7 z^DP==bGz@o^G~ zaSeIBB9#lF(XYsi3~%|3Gd$zwnWrJ}B#s-Mps!F5MM4 zHL2QP);p$tBe6|h zH)k`7vqUuIx)vt4pu67ccO`^z_&L!m_K0r2mgf0{f7QAM&0;Uu^q5_EFDY`+GN1iP zUXQXT24Unf&axz=tRmpNb^eXkzJX9PI!88ZST1kYNMA-`?2A`$r%2l(j9#j&sac=0 zfs0nqNfPVXVLB4@V?oxGJYz><#Gh0ufANpZKN~RJaH3T9CKs*Lf@9T6N8kf&a4<9{ zZg9|u(8|}5cCszFy}>K_L=j@-b&IxK)}2iWy8cim`<)Vc>@e&52Ik@0BvD0=NfZWu zBTXxrXmsh;16c8bMXP*inSX8$Z(zOAF5Zwiuv1g3`;fvvRP8q#N+o8~ksYNB1(c!r z?%*Tjk~GCY8{Ht#l0LP-*=$agJO)w6EON};Olon3wvB?;Bgvp~*l->aF-VYy z^ugU|jYmqd#Ek0B2^4cVB3+DN#3NNS?fpasbv=P4Jq(9p&>CaRJXl{SOTZ4h`=oSf z63r83x)Jk4%2vgdvU+DI=M)HrKbg~6{R-wR+7-I2`?=>D#-y$l=9OBh=%Y$~cdBei z#!pH~3>iJ@B65$}{I%G;S^6RqvdME!tC%*e=5Ll8q?x}-R)?on-g8&6GFi(zuHO8- z(XZy8Z_(hWs8O=8U`|usZPdJ6qPbD?2}y)KDb#JzKM3FH#`R>lA-;axNt>tTq&qx= zGU#ryPdB<3eM{3GNXmY;V*?Xm>~Dla@xcwW#&Nh*>q z{bu83NYRWgHzONqG6YQOca6t%?d=|-chAh5KzlQ;Rx%4|A7u_j+cF;>Q(`k{oH_Txo*2i>oRxl#uYNL2f$O4pgE3s}a-U`rHwV>k8RvIz9&G`nNX|-aS&Y z;IJ-Q5ID-$bq?2p_4iQ#53G8N9IJkJPSc{sRDs}l;=(pt!dEFp3!V;olP4|hAR7|y z%AmFo7yz6|#+3--wo{!P>x*BCX_$2IXk+G{~wl?k<)ZwnVA>;qZNzUfDn=$Ud}m z_DXTFbWT4BX%UyDD6dyCsDvEbM>D)_xi1zDy2kj6zfhW(>S)lhW;C39+-a50Q>}Pq zNextuetOMb%hM|3u_&w4v}T1Q8PQ&EiBThWSYmIam9*CCNMQu6wJ76q|8C6kvh=^G zDC5Z;k*^cD3+2$`+TV5+4>d<*}OF5KPM71njD+ni=r ziL%mu-DY;&;5KHaO4fUFcX`w1yLs0fbT6z>_(zL4`|$b4oXrpax&>ILgeG0t4NB;} z<}+_M6PkmvbW>~oWk|9@ULZk9eVMXn`=msxb8l-&Z?L!=y7?`2?&9Wm{uP*RK`gSt zk1FRlu^W!*iTmNOK%BEb6Ox_bsIwx;KEb2Tt zuiTXOrjD9#ZN;?t!^+dER?+IGyf3=gzXzp5D52Cf!B+d0dtz4mhH0pXIpMRti?9W~ zM?UT4YITO@WUIUQRN97OebcQqNOPp>F@OLk?c%KCtG{2QMC4? zDP-%V|EcUrp=lztUy_qU5vddUsZhNX_$D!s8dv+cda`xoLz;CY&4;eXl~<^zdmXT@ zHMB{yu04i)huHNLy(`JkD~Uyd9J`~@o84wFAdl&lJTXX-(IXz4d9!uOdZtKOQ*Xjl zAv^B*gpC3#ZmW%g$}{+&^9!UJEuxyb~0waiC1}{e# z$%%2hZIWxB!dJiDS0w_DO&hZ$o4hoXk(d6GiL(4WEG}SHM1(E}$#{b-xzMh;-elvR4z&lKC`U9$V2dI?IZ|#G`$Nyy7y5_ga*GrU^BiK*W zt+uv54d0`pHC;cOe4>8cVS5U_iP@gI)rX3(k0MxMo{0~;Y_lY#h#txMXaL1QlI2!p z8(V1j&9*QMDI=;NJ~OinfD3bNbju zzRB$qKTDGdXIcV8>5Ni{>_%gJ9==CqKSLgGzI;Xy-Ly~YieLBHZZ?TL2mmM7A;w#vHy zS;Yu)lOU4`YD3T6w@`+tm~6=KkvB>5uz@wV%tu(qxonxQA+M3mcA0)4A$ywm#PGeH z-K~8W5yZ|uxoaCttr^H-o5}7f6a!X4hrFOUJ4kT>bO{MFU)4#Jj?OK{nqee~18Meb51IfmxF9J}Ls2DbpSFKOoZDwltlEegB;J&^ zP(m-;Z(vADy-|UKvnb=<%IoN?qP-m}#@@s3{#CRliSsi_6zWR()jsGc>S7-x-3{xx z>WKtbHHQGp3b9Y4K2)<$KWmHU-6ZL3#<@p3MxiFH?l-irzSXrH4f>aHTbaO+T_D?c z^xv@WkZNNYOd<@Q;~@7kT7<-#!ek3+v}Wpwv~uVfC;NZ32GK{u2cu{Nks+ZQ%C_qb z2un=uTJL~-`IClX3SXfTAsmcF5C?tw%bn^D1|e3k9dyb`EXu_NC~c^7M;x35NQa@7 z5#%`;nhr;Z80Cn5Jj!sVlxmP|d>mp6WG*2N1x;%;4S!zcaU_nF;lQQ#fYx-+BU#b# z*dz(N08!!T@+OBvEmGK-(npv~{njy}!$;X&4j=1i>Jf&?T^bRNvFPli_Bpb{r0zMx z^dky?%Im?`N0Yz58qNor!o_5otlk~pzYzxt#b8vQMmM-DPczW(gXFuqSLq$)(x~}w zG>VfnY=0-h6CGK@=RWHhl0nayh#K>-n-=Y`AXC$Dpj&}JPn21p#oP2K(&E!ej44b5 zRCeMq%Jm)ANYM3*8e}8FrF)W?TAU$`RQ}YTRxU05S=HERnbuVcze{Kky_5K;vqLf{ z%}87B`hHboWrL}|Bbj%6h0c|tOKYwk1s*2P-T(kdxtAs$-Z zELe(-YsTpNuiy z(#BtLYk-rj@e#d0NFr8-;@0R(bqL^c_6)=6X#PgY;PyA_=-aI~tb#+3pc@wzVo}W5 z=TAkyBL-3Ut1J6|+DfScF@&)e!Fh%Z>TUm#;w#FV@dENL(hDE0wD`P8N#46h= zlEPd<1s+|aZ(X*2X8c4ZU|-H2L~F2%LQ>6TNur~i$~1yFQsmPYQBkHN*Jb6qKOp&Z zG@!17yxU+$1W3oluOU~1mF#GN&24)=q2ZLh>Dy37SjJHjOXWU7a|u_;1jSFPCh0xw<}V`T7>jDSdmGDS zw~I;6ArgzK2_f{}BlLsX{qavG9o#pojTPXzY~#EAAZ`^L`!=35E3-< zG$w7^2$csSeeZz(qU;GpClYIu6GrM@7b2^Njrd3e(f_2P_nh?l%`vBS$#bCJEC^J3 zo}^3Aptf^zYoJo(o!lN9T$BkhRdU;G+%EUD)yXkv8Hxo-e1`>rwcj=V#FTY(0=fO3 z)1@naIhbzVcC4Dj%5W;C`mJ*+R$@Z^=GLOIb6J6z6aDF$y-rV^64Fc$&`sL+crcNg zeVL)q(o9cRZ$?1Inn3lK0D2;+@VA9Xs!@Kpcx^E^J=Q$C+F2lZB$vp z^#>XspVSj(oj0)pHJvv-4yDTKan>ITq{=!v@38U5oOkRX!+U#lH^gJ-ajiy_5x8{~ z<{WsCgfbkvG3C%E6k{@FWt^FBIIv>gC7&3@UTm*VU^-vF>EL{Qcm<>zU)CxRk{+Q! z0@iq(v*0Nb4f}mDPN80|%hFl-V|tPjS>~gM>Uu|ojk@Qazc(PWeaLy=|6Rj8*fh9I=oo9r}38;OKH&~tp)(}U8OZ?yL_-kh+9Z|ZP? z)#Q5_!p&u1q`h^DL0OatN3jU4wRUlR1P0Spb@{048kJW}lyNE7VuC0^Mi5uJWq_`$ zCC&RSisdDDrlYKY)HK&!*IN*y)w^C&LB6_w(?LFjz6Nm(k^UR%zL5JLAQHE#LZF~~ z30zZc{K>AVRW!4|wSKqodyUr6OJqY_OQ_2sxBjGLc7Z~XEZFTzX{B`_L9H}p12gd@ ziRF~Z4zChdtvAp_@VTF~VL6$^8kE)hZSkcIG#eHV?v5phHs~h6J7feRh+D>*^au&5 zXF1E^u*o3Wtq4g%)D$iMkU8r{u}mIBvF%!M9?5T^(B9?O;I>QpGaY3dl(4Xf_1oeW zvJ6VSkew?HHn@bI|BdXfN@l)CkEI?bO6A-Sf*xVwWOR7QG!wa3GKR9qrOE~yZhC|~ zLuNlaeni#U%wM>;wOxl6aa#H3pXKWdGHGt_0^D5O-oYZoT%H6=d?7Fh=`Rq(9ibi$ zbVrJ8k~Z{=9n&5rT-J0~dqk8%tNz_Ed@7l?ioh5_)yVdva_;tPi>5YU^B=AFTC!TB zD0WCC803*d9_8kaihFePKcNg}?AE{CV^93XB#6wlW2Bg}Yu)qfucW!>OYZ{l!E)EJ z*Q|`k?hVfos(V9`Fv;Ati&Qg#2Ce>^eU9kEBltH-bM(yM|6%OS!=)vP%AfrByw@e^kyfaDA{Yvw$ttP;Jl>qh=qyy5sIbg~b#2oE7 zp0Hw5VJY^758>?3q^t-V18aC1poV+UmgIG(#~L;7C9IC6iNS1P!UV?Fh_V~z>UCnp zC&}+l`~mXtI7xvjkmm>m`IQB>dSDo7Dx4|uN~2KcRhw|(;v>ADpbOsyM74ExV=Zdn zZtLEUi!W^LnkdlyISIdIAH_(L;_=$CVN7^x1N$M`LZ5Xs|4qyuu<>@0a+7gM1KI?p zEd|=%CzBVcW*QdAao`6{EDDChE(;)#2!)>_qPt%OuqTd|Y28X>Iu zG(-UYm`4zZ-im#+2)erQpgQfSi=ysjaMEd=z%c|KPCh*qgmY@{OtqRXGPbj|iX||F zy6vFvHm9=u>C{?J_ejUX8H_I+Wv8EUBEt6{Xf=JPNY2#Ar009X`D?C8Y5JpMJy-xW zr(dLhx(^kOKSTxeSlph`g$w4;W(9tAUc;1Wb%T=UGbb^@VqeAFRM2%$+Y(wpJAN~H zYSr4kh!CXMfL6J=Fui&o;mIeokCB=R_w?#xJ_=F`CIpT;_;4%4XFwzL!b?J*m}!S! z0Nfb+;NE!t{~~}4T(_INeRog{`L8broDPU5C!8TY77z~tNK)P3{u{KgY^R^!?`-f5 zUijbg$3LVz_CNAR|A3!?cu4a1siii~KOVH+Z`bbv{s8*NWiLJc{<5|Hpo@Au1jwN8 zlhXV*E|A{P1;%|G6!fWoHdvKzEs(DtCa>5j2;LBxFc-*Pxi$-wP;B_i-u#u!OlS+*hZqm`|oifj6LPeht( z@3g#DefVyN+fe)mzoB{W%kKo;)sueT?CDrddUAD8_>xRE7L*#LC*7<7Y2(%(mR$yQ z5NM%>-wrpLQc3&>(v>sLl?lN$!j&9j@bo$tEqMP)N6Q2+_{Sw%@F7kpz4Z?O1-<$G zE6(CA;pfFLg<9CPN)|qSaWy0RG9~P?EFhU7fA-nGT@m&&?o{D&c1bP#}8kZF%)werk;ueDya`?0}&M^>YFP^tr9yb~m6CIPzQ3B*Jq< z<9L8wGX7zC7A6?j(10}4`hKg7#y^{U)ujKlh>J_MA4i*p5n(2+2C!)tG?&HX1bfTp z9Y|k92DuI_T1xW!IIu5*YI<-^%8Ipeq@{E$WZw-f{T{>>+(2&)g~TeuOO`;)NL$)c zMhDldIQD+l5r{-)x)f-3-6l%C4Jsuwr-JB602uQ;AEj|mmsGmi(V$LqX> zTy(2L#Ch^Q_0xms^?};kw>B*PAP;LpB^GvuxSgAm%w=jhbd%wPcNTd(T4Jv{hvLhX z{kM;<>j21vlAWXH>P}cAvv6q_5_T53^;BQ}aU}l+dPk&wi^K3`WdgHIMeihTc&P3;!phZ$y)NBSMu;`j&0FadpHIKi zGW3?!eAK0q;}T}PcUGz=0%Iz_Rv)kPdVxn-3THWO;_g`m%6CnoemmfDIFXmkXEA}E ziaa(Il|2558c?i}x{apOx)YKCRFZZYZ8=-B%c^)Q55NnoGHgy2K9|mkN(Y53;giRC z4TR?t3^;4{jWb_2nL}fzcOz0xc-d?LWnzh-3Pvi<`kW>1>P@mE-flGw6@j98w!r5s z=&g({taZ={HYR*+%Why!h&+=Qvo9}S7mMsY4GMh)`@sFRwn1;rJx;AIqYMwSXL7I1 zfyG!p{?QcHFZr8s^B^j2Z~UG$&iQhjl8#HJW;B(y0a=9V-7}NuIcH#}v;V>b zI~UeeO!#LpQ5ImM^9omPAaEF9QY{|V-QAH9`sijcVxmh&Ztc`ma>K8*stHFo6_6du z+FOKY!>(nd0lUmxZU^)W*i#4YcDiM#e(*4>6A74>^86e;e673TS`rpXabIOhcb@{Tp~IJ6QD^`dT($Zg zx6#Y3?^n7zGv-;hi?U`=@h=aQ59)vwVUbr;xHzBXY3IC!nGC21!&=>=9ny&&3-E)1 z>W*u!#dQ8)*i)c|&fPd@9J2D+$u(@v7D5G0BZ|S&jfnrMUb56r& zm%L!=(O$Ony?r4cXM;0+gas$Dy!vTlCw|AcCcqqec-mb*XKX}nCx+}#m5FT$#Ff=t zE1U-ZSZa@!<}-6cio~h1&Qwdo>*^t}a@!kG@i=2RRiCN3C%8E7Z_mLb6Z?DL_m0mB(ORSbu`JfVd?fCIU-424v!;Lx)AVMiB zb`c-}h}YoY60R|HzkU>b!nqp}akiF7#(OIUeWc5U-(utt2BjAFF_HzukD7q{*ExDj z)#nQGt2#1u4w@R3FvsB&+a_dDT-@cvIoLbJ-3svN|5Tr01_X?3fT>M)pEjKE%rX8v z$eH{$b$SsM6^~aPc3jHBMGm})XR0NEuwTRlrb*TWdQ}}Yie`-VKAZ#;+;J--m}3mx zr!R%F3H0_+l-97 zms*=ge(D#@u)~uw5mA)0rqWPY{;*$Q;=B@>+JgrO_xHD^={I#EqD&e4OQyP={Z@Hu zCRm7Y^wm=IePNk69_W8c_%RlPXVi^eog+SMG~O&;6=v!vF17aRCJN!1POCX2SlDpd ziG^7K*GU7gg)!G1d!Hb-jBTy7Pse+$a>Ly$@J+FRs0c?bh1CZu;1OXph__voppP^jRnnNj<( z^72@%Q-L+3|1n$gPVMBa5K&|Cr=)B43m5S-=`wH$4}lXPo%IGM!5uc-_zE1DfCUCV zURu1%zIX3`tLGPh`9@UFFK%4+jQ_@E;O_Z9%=+`!0|Nf&x85)07+3&;Hh&C$AHQdh zJ+^F}-_Z|(pxFK6#h|!mu>SxA4#3`eat*-q|FIOj_55Zk#D+U5O{ zDaV@?g`xBV!#{$v=lc(x&0qnDNDQf_^LLSA*KVVJG|?MS|8oO*U!_Q1*-}4u;regA ztXbyIz{LNAIa~kp%cMAU!|X8Y=VmB)lj~=RBBiFkW2HcX)|&|)qhPx6^jPzQ?lp-; zl`{U;51pCrCwVXS=M7ceAs$1Ud(Be3Ls*PUdDIeTrsqk1yjT6`wS`ME>s)qawT5S_ z@lvt}$`GI}_Ts1d@BFg$i|MZk>A&ci&B)^v?)br}lSrT&OaW`b+#}2xxvJHk7_;?^ ze+V_TG`k~dSa#&cj*}&y06#tvvb*9M^S970kzLgZ{ z0nee^UW$r+NnL9E6RREJ7h3S-Z~H}eHzI*^V1)*__gYkH!G1Pp7>}o}*S(kp^~;U% z50>)#g>ORH{x8-YRCHGVvY<5A?m3Y(77Dc*uLeAfua%~wykS&A82hnitff&z(7eTO z-#{ey0&BoI$R}Fjn(IpA>V5W7&3ilaA^U+hyw3(`U9j$F0^_HrN(A7PLVE+?vw&g? z7SV(nM}`qKSF4kYgp*~O%h1$AEhClGc&$4lKYu}jZ7W7vO`jqDP(_~H`pTCEc3NjW zKJ*#hvZQ2Zmlbwm!jKK0K)6^2yN=USH2z^01b-5i( zGrge`y8-bDc?q5Ii3Ho}0Nx5F?<^wiM7c0Ygr77h&L*zjPs{I&pCp`~`J$aY`Utv_ z@S_4i^qx5%uu8;rKj|-_)VREELtn*?49IEeL$)Goo;K9UO0{O1owOW;Sdz7s>dXV z6v7aE4pg|VfSw^hhTt!4O(mR_B3bg*vJ9(EBTyLbD4y?{w%0B*v~DdkgD3T(07!Zx zxahc`)*+9>iW=)QNJUxer zw3}uQo?2u))03Bc{{w<^Fo%6UXJEYn94*s?;^thhzJpvdjy9QyQWi)lMc4jPO4vSe z;bdA!tUaBvLku+-&_$&~;bq0p|D1x8(W=Pf!#yyDPgd`yq%qouD`2ny`V8w3_x@AC+~ptnrxa<89}D7R>O0| zS_F=JDj4Vo)NeQR>Fp9FCt9u@A5#GZpuV?~i=i9Nry<8<(y>MJ$_>DFhPxXa-F*lJ zIs*Qn>|ikAO^v3?qq9Y|!3sEhwAzWf_4@aj~zGumE|fUQ}f3)&qf_ zaUqGy|89D3a~ut5ZTe2ew{7R%u~QW1)P!v1?@b@`^t6=jd|I zp;6tY1RC(%twi?N1l|nStnrYuk0~`Vg3F1oeRf26trOi~{hMkW`>E9A=^HfLQPJSk z7P_Pp=gkd^ch2>mGYSAT3aw1{5tmSmG$$)x-9PQ?6M-3guNDGtGz_`qe{#f7T;iKK zSbn_!7S_@;+V?Gs8%OEn9D3dM!+~^QYHLk-R`#i~95i5QwBvKSJD>d)$JBVJyhQi3 zFw$vR3xq(i7zd_x5f--xN53fd9DE0!nX*w0L)-?95G#1+w7d^x;o>n*YRED516Q#x zIS7&P6Sh=l5H0%_2nvEPGZXzYMzvQ;X-6GnFpir_26Q!Kpe5EgYy=r?a-`OCF`wTg zhZF)M(^Vl;&A6xTP4>6G7Vd4*eq_!C07#UudcAJ4-qwc&TquV50u}t|g-}p-6%bx$ z=4S7J>>p>cZ5}RQ@rDT4M>RPLkZKdjE5nQplH2p_xW#h3ZwkoPa?NGwDX^sz35cQp zNjTG7ULfrK(T#CpxZ*y0zoF_pKlyB>eUy7hdNFA^BnPuMy8uj3N^nKjppIh;eR0pv0U5m)=@ zU`fZse1evbHBHU13}*x@H{?Z%^Wb7~DDaVqY$Jj~$v&iyDqOSQ(pxcM0OFv9D1{C? zD9SztWye@Si5?vMT|?lovoA8v^X3}AkCN7UdqyzvP7|Pph0)fIT{Bpy#q_X$4+NwE zMWy2aON5D&OSm~g+=bOawwa0!gw34UKQX0{9%teLak{{ZeC|%io6HB0b=iGfP8P7; zoa$DA3l$j&)-W87z(X&w+HqO+a?>ynt~dx^W}Uek zJK5VKt0%9-F56}YWm2krl(CK(DXj|r#Q3y)$=$Lzno4lE=Fuh#Ud+ssYAXQ7DIVx; zjFbj%BB0k3_;scU`bXk}yV+M{cr(R!VF3=fLmzgU%6yZjTOA9DI3-RoumOep4FKAz zph0|tc^o)sz?D|DE>@o}5X-ZcvYKcD#?SRy(@`6Cu_7XbkeTX*#!X1 zBSH`Rwlhr~MRcmd9WWtIg%Gs! zk@AmT(m1$PaQ^0H5GSaq^6n_l zeZ>mlX6_8nfT*3lVUU9a%A6v3@n})UyWb)rdSN;HxvqJXpJlXme6EfLdct-6({iuU z^mh}boIs2BR+vg~v2{c&J&QlRGZGU~U7L1CVxP0%J|J>L;qU6Ov#;FiUb8RPiHK4r zWdU+ug9ez$%vgiE@*Ev7M1{raFhLsvTwb**uMpDpprn!Ixs5IW&Zy|{U2|L*3!CWo zq)_{BiN=nOl;1uMKk__xi!tGnx~Bf8jw)FX@EX+@i{R*t^}^4y1aHo>V(-&Nm969G zTLY6!Eo%-{QHHT*OA4T|FtPm1DyW=7>^lh5jQgq$L;poL`JNZFIo(9CMd8m??^Tx* zGYu$NV=~G+frB}=Q=dU2xhumoQGnAqU;UZT{wG9WP3>aKY&F-QA)&j6g9 z8IuqD^-JTX8230MY%<#0QFV_Cvyr^mMwxLE_^Jc=n|W%_s$Zy%-v!@HFeHBU4xZuY@@Q$UVyn2Yfx#NtRRBwPzm{}&p*-0St4p@H1HYzx79 zd-Pu-8jAP|qM-fu!vFcw|93a@4mp(cSOj^qU*yXW;kG;|FpsoxJ9!=X?Z?T*LA#Rw zr#W4K=JZMU*Z2MJ&CI6E?_o3ZpE&6N(o@^~mfHe0#zW`2lDsVFx*yoq{r~hlY0JX< z5PSX7IdW>MpZG2@e@b6JUM^6O>?Fp<|Jr7X-p!c^027W1cY}1Oc4}K-T zk+xz3g{Z&Ikk;&5z2BBHLwf7_`fr~aTi>1;mS+=&9Czx&EA2!65$gpn^ndV6_*}x? z!Bqaqz@<8C_`}StW!}&LW%%lNhidlc+5CSmP)q3FEsOZ0Kz0?1@r<#3AN;k-@5A$+ zngc_mC)wWjkCJ}hvUK*yJ@TIgq|`Uub)^eICoZ_Ieen*7{SN|3QS%_hbkcSey4F8$ zTk*t>F_PjtCz;i{;?<WV^7zBeUp#15*4(_!=Rd>K0+)ivf6)BI8B&6}VJ;nL zuDqM+KrOGI+X=zJd!&&WE7n>>FJ!`<>ki9Y5kVo|S7YLrrozkAX|go}*m|6->M+As z22Yp(%BfNOPW?5o#+b>sf}d|aMc{}?T6?cIk)q`eCZXkF1S2ROxd z4{M-#6%MGLvk4_yEvh50`>ohE`w(()$8-s%&+dOF<@AgGbTb$chO@?E?jM*s9>Q?L z2xUO=oUv1}UCw)4q1B!l_z^*;M_Npi zt2fyV>czy+M2a;0DlFQC9VY@M)9&8N`!KurK=+yoG&L(|)SuAu1Po7$wRH~3^HYk&x6h(YB|GgucOoAVm<>(c0M>MVDX=aL z-Y7*24hZK>WQ87rSGwr@bU57!^$=X}l1s)a5tO@52+l|q0ylmXa*U_XLTnV{LRyKY z!l6$Lbc+cjh%YtBf2sUJt%s67kb9@^4ILy>jB*ZrfD5@VDkeEN$r&wWgr!bke$#E3 zP`icQ*;sYJhK*OJsH@`X7YZ{S3AHsodz_!92Eq)z)gx zb8Cp+NDeTT@oQgEAanvSm?dtD_R_d0vKUZ-&Vs?Jo?$5Sk_lAc{LhSkWaTvdd)?0W zPv?^NL|+doU)WP#SijOf*|?pfc71y2q3erC?>`+-keglA>(aQKH^y>zvU7^%{o-|W z-Nq7F{fvb$hAR(CtzukqF_Rg-eW$V$fPow!Bm0^MSSl^b8e$+`%c7|e&R`Nf5wDeA zcQ+lAbX^SHZ)?z0WD>!>ssbkL9>+|X+KOD;*)n*IOQObruSjKlHIeKpf;E$5BCW<{ zFZR@bJKmYH$D1j8*#RXar3ASZH|8ty>4tCWw3~p*J2R_~}>^8}~0@-;4Kl34uYF1tJtyR$`!C--1hY zOn|A%k!Eh=pNr3sQR+fs{dWhxjfkO-utYpnMQ)Arm zULce?K;I*ZlmlN$C9gUk!+~-;#@Z3BaOqgl>KaBG?1J*W`1x$?mRvPn)3$W~G~kS} z@efm3oVc+a?aH^+;a-UwZOUjs-+T@h{HkXrVO+%|$h&Bh-MlJHjtgN|EE(y%9y_Ug zgGIGM$~m0sR)|)(xU^TH)Lc7n-dd)T_cAX0cdv~K#YEl~fa1i)6aH=)flc&5$-RJC z1aJ&wgNcT*rUiJ}WJ_Tq%G9u|^M(W$m7UG)flB!db#|?~8~$J>nqh&@W`|3Y1ITeE zCrswTIxco1LZYUIIiGp3BWbRC&9Pi_A5u1oN)oqJQu1|Lp-3Mz?`x>W@6yEpeCuRa zBm&v5JBuLuWpWP!&YuF0zVtXZLS5%j^%Ua*z&r^%c}|p79xeQ-O(G4M>v+yP7dl z2@9Mv{Gb|>h9>i&{6$6PRU2S}YImYd(i8~UB-&Kl>R8z$#lt0d&>^KZNx79ieF=EW z&<&pxQ_fy-8{lwEsP~5*V%1j@DXud3_gHzU_XBMfIL4pDx2ii*QXy|hxM~wTt6wJD z?jWKhweh52px*s?jhNQeWBD|8n4QTK;i6NX&Z@~-H%ufm@z0$!XiHU|PDtc-$i5Vw zt(>+3#cycUTB_!XFeP|{TIJ@Pfl03g|7V^)WFIWml`(}7bgy}j59u(e`IyLJe1!O8 zB|%y%Y=&8hbq*TMS$R%=Tu=Ohn3G=bKV}-f{_7pjgELg+tVse7*(r$9ljNB@ssL0g zRCXF(zRyBi`R5r;pgrrEL0=uGHR7#=h71<5a_lJ?uzsS^(=zVCtV|;9wzq8b2c3mnf9 zc8gu_yac+1VLaPn$67dzv00EJXCUV1l4C{bu$9I%l?gH;(;N*uE(avE32#n>_@*Tb z=g@f&6y?F*j!Q(Et6NSC5np@jA=5l{LpreJ^agqHc0I&`Q-R~2C4&Ge9pH%JJqBQM z$oE_7e+!j*gKw!4WKTdq8@j69~ai3_Pa1XPyT6M!*xxmy>MYol3 z;-qcZt-;c2ej9!rvtn_Uy(pKMq2VH<18zj390ITa<|SUiR1rDq;+ugEddi^zB$o_lQRO zn2|)rMT{D6GO&Obe}>pSaHF_skkOHeiS`u2lLH@2lCq1dCR7V_Xiy3C9B>nj;=>Lh z@%{LRU1o@fIKL=tIhL2f^auF}fa#fmg|b?&Swb5SdAvVQjX<18HamnTDaKQVC;E9# zkO5?QXYXGX!fY`aFyyR3yeU%MxSTSAE`S_g<(mJGNP#b>X2>LBB7g63~uV2R_exc$`{_Z~i|L_Z` z>bb!_Xsuwm4{3?SLL*B-<%d2(f~ISU;&jX^`g4~af_0#RR-1aS6hR$B^n<*kUNclz zpew3Y<`wE3YVTX+>ZXK|IS#+uKpO}ccFiyJ=Fa|AJo5G2%Q#NTcHS_prK9K%(4h2 zISy@_7{gi!iaGOjN~hxWlO4wez*`@!efBft76rK0D*T_s`BKV!I$FQswA8)AxCIJX z$(}qL#C?}CHVG~!`qQ1bGy(K`Ca30d%$TP{-+)VDfoP(vA6T9TVH%(HdM`n>vw!Q? z+B9}5Bo1-vzu{Ut5xxrt4PSaXC%b14l)eKSZnEDxV;f~J2nKLRS_2B00x5hqGaj+bMC4#48&X)DzDTB&Yjm`pps90YOj^qPGmy%i(Av{+4zQ2=a62#|r@ zOc!Wr1fZR1y%gJb#fQ3tnAa3oE_-j7tGeIGdvJlp^p^;gsMrRpS!v`vx&u-j&4ZfT z=cD@trPl{v{OYSMKWQ2VQPC{gO2@IsvN6i!l7;=H+p0SzAd(a_vEP8BDPqDs();!x z$X~3Bjj`?UF=6#aHU4RrC=ZU=ox~ckZ;&?!xv|r0Vn^_%Gek6CF~qb$$PP{S4RcG0 ziXN@Xhr&k!!~6v^+!5oDbXh>}hF%5Mv6_nR1*4-7;%z4N@Ou1V*|S}xuf25HFBSe1 z2!VTk1iLl*zvHoE{=1fu{ry6&2P_X*NBUD5dHESq3_0Nx`33U2Go+*B(=WsCr`-*T z{2z8}5Qvw#@GbWL?-ALa@4q5OWH1)_-WVkAe}|Uef!apwxaPu%?9+7tFS}{VVwg$m*0m5pm2D68*%p@qRlc-ajNk3cEY>?~l-ow-&=K;V-V) z*5?j-Eh%=L%A_X(1}+cFh)h&;Z{wJs->%zrt!E;tR+6~alRxcXJ!tz7ij zg7-rf`+@g@V<{-uoNQsY4j5CPxbS)Sgw!h@9Ni^?m+-`wZq> z0cZSoO$02zzT+>iuX}TQc*ZX|{$kR)3=1>+F<>vLzU?dRnv|Ml;$UYZz* z??VLJ^jnw+$-GpfIUcrS_CD@stL+zrTc0@hOMT7v^T@iJBljO%oYnmtePO&>jkoL^ za(xDwmY^Nb9();^Fyx=lx_;^L2yEo{C9a@*ppQjvC6Jj?kPpzRp5%j=0J)`D5jI`q z4HB>3r|PT}a#ru3F8XEZ$iI&U`pc@G+O6NpvJrXgFBs(~LYACk+Pb{6KN7{91aC_@ z>~d49dZYD6z1~M1&m9G1$a!~H_d&J3G&s;U49`?P5|jbjq_?(yM}J25!VTr1_0*bb zCid7wjB&i41DSUd8@Q<0BR3w`XX70MXv_a0^Z(R&0c*-WkRI)1n|nYh11C9foB_kHZ;U42)?6=Zw&QA}0yiSNpv$L?)tQ)2ecHz>A%9c)qHPfd% z%jmE$CwC{IeE9ggF=>zccV_}`n9A9Q5`wqcocwX&!YWx0BBg{Jc6;~@mw+)()GVdq zJ$%sm=j-}GAHI}Jg|+DOT}w1g1t3mad+Z<(FYBDP!}Ja=c6WgZ7xXZOmxj&N-wae} zerz}?$zc3w?}0^RYz{Q=f>|Q$0%D_w>%U9z$i7k_^?ECM%QD>#BN+$*pVM!&$No0R zdv4ee9lg`AX$<5sJGho=#qi`wcwuS{I~5RFUjcz!5*LK*(!_aCT2FyM9CnUGmj{~M z0{1FGiZ{ql>GC^@K9ir)ZSVmPaFG`sn55*EUzi7@xg858pA$uFAK1rlb-je#@4_IKphL zx&L;*8xsIeyIzqMjLCnBDjmtEUqc zqzshB_kN8}pifNNCEWxYHC95>_| zxeVr;kel3Q-rEJK$_8IR@Bv&5B4x8w%(!rBcqY^dCWc};H_o03;(3_uzg?r4Ukd&C?K z0_A+Tc?fSejll?`2a;s$rZiS@+x5Ahdfh4r5IZx0@dV`{SI$s&R2fwubCxweXY-8R zucSCR2YGW0&_76+gBsRAPQzi&VlQ)0S-qUT6L#J%LbvL~MG6h0u=U=WW2sJ%!`i|t z-7OQ|l;`gfUrwaK7=&ujPIQi$Ia!CM6N#Y>xJeSumeACF40*y4@0iK=9zaEBq^=^B zX|f|%?4q}hz%5yP8c839$WA(3pa~Go8)Y4pg)S`hKI{n8CjH@g4)mj!VC|TiBQH|U zLEdUMZ{-|zbLg|)4}sBdpeoLC=kTUM4k1WKgvx~=5XpRZfykI$gxWO+Z?gE3ZZLt= zjWnHt6LWgDlN(E!nPOZR8t!FSvg#j$lrvm94)YqCb*UG{$wQ#Ml8M<7100m^RmEBn z)4PnvSTRB(ykx_qsq%2{7#w19$&Ah0*>`75qO2w-rhzV{9kFD$yle68k2&uRa1Tkw zrdMwAb;F`|F=5-l)`60GzaF$W6ylmG3V4~|E%D`vaTdX-wN##j-sYX9x1OnW*eU6Z z^U4HBTu`HJJW9QPR#s8tHs|1{ak1r`@EnK>SV%|sPV3CW{$faj_eN10<$9{&m{>9S zV_Fe*1J*iG6G|88NJ1114!JGx{d}y+qENbNzJRkYhTwGyv#nH%=DjHtymCaElJ4uo zY{>rv6_ZV_t?=gB;=Pa;A(={nv7j&g#WJ(3Y+47pL3pNMv*nCdw!m$dvr>M-S{NMB_g~`p%dp7}fim^L|KCus1rmgUZF!s= z|1s2TLD`$;f-QP$^V5}epamY zrZwdE#?Q31@Ck*|_b3c%XnqKxK3KcH*_|N36 zI?{=AF3vfK6QBgLeL(`tMuR`){-hZ^u%|mBN*=L}HlaQIbG+cp6W#wQoXut35iXbcjjurrsLDo6uLqBfbo7H%&wZYb432T@%JzFx2@UQ#r5IFMQ;pr%l`0;?%6GPPBOgeKQq!1#NP-l7@(Mt zTUdngE2Gl=5G9|ATs0<{XnoOe1m42ilMsKW=C^T_dse#?-GqKaiZ5pTcp3|X6$2IL z-heP82qwd5zQ6>bXzmhd;7kWCqfes6csr{07!;R1H>W_vJC*SZ7 z<=BVL=;OF(AG#JtBGb{P%&{$CIR*_(c7r1a%y(GR1k_fe^^WcO6>IbHrgvbW-T-Tl zHT%3o^%#0sjo#VkhKx~_?wf?YYBeSl+m3p(oSKSDWATnGQ1?T!fLEreuns}Otc);N z?Zt0_2FW{8`Sl>L-rpJX;oY~jZC&!l*n1Ny#W*f{cI(d1p3G$bVUejhZ&aay)5YT- z+Ti_qY6EwijYLt#uV%p7bO3!R(IWm~UmlXq#opj9qE8ls6vB?bkxZ;>t2Sf9*!x`z z!EFvatTbcIAsL9h46FCaVNmHye8if}3z1x3U5E(Bh`Ny8TOkjpd-8mPbjXGxbSu?g ziBq92w8Kmwv(`(o)VZc-QAMu`Yn~P%Juuajz54(y{S_5hn3@UaF2l=Sh#hs#p~4G` zY@Kh`Km7TMcI=-G$W3M^XTq4f>I*t7F)AgUnD|18s&Go=`IiMu8PCdoIIXHSi(LQm zZBp=N18h=?6;z@jfZ^yIdI@1WA(Jutrh&bf20E^&U61 z!~ha-*#ASeBFyQ)ew?w`!tWgaVaUNf2!kFkE&FcIGZ19tz=ZFVZ+87WT{^1&hm!py zcWEALeN(qVI$sr!rxJBda@k0cs&CJ6yvYNBj$mBu6z9Uk`yz;`GkAW4%nR?k=EVM0 zO0=4c?UXZNr)}x%I6f4xcBi(YGy@Xh4TxwOMm8B%#yMreN$6)_jTU38^V%#`s^|>8 z3q;$)Ift4&A`+NV?yd8a+PQv`s|$S zOOw^laneF7-7)s1g=4I7nShCDrZlMS3Xyb<(r z6e~2gyRGAsGo>nF3EUA7iz@TYwVAK8POXj|BLrAVSU*X@m`UVU3y*p|5OrJ11iQ6F zZVgBS`L_r5{`cUeDUFPDHKwE2C+VuiYUVwXB@>bU_#^tnC??5eLcwc(7J1B`4f#&( z=&jL=bjFbh3=+RjSGEkuFXT0f_I0A~WJA$?zSo~vVZd&D6cKNSyCV~Co+5;bpv3Ja zZrZfI${3$tL7KR=q)@4;WbV;FuYipa3zWaEF{kbuAvhP@<&ZiJc042T8_H?$w84^F zoeNtRo2=str<)yHHi=LyZBTtq;9pGJJE{h=sF-k&-IXxP&H?l6gUS-b0Xc~fgSw@f zOSo7S&ENLwhsv>!yNH#=X-NJ{3f=(y475t1pY%L%zVqLeMR>^=ZuaKX&-3iMJ-1}x zSC`655s9t)WT0dbZ6259Uy&9hE3_RJ2r@Ww_e8^{OR{-F1l#yV zE$=)QR;r?xIyq4a7~T=0Y6IHrpah4xJ&ffg(JC1+u!EkkYOwapr#v+ zK^ZLhQ!Oqn3wBvq*?5c4B&(tqg2lCPT2Xm;9?X%g1J#bqa_u=sXKxPZvzcAcBqa{_ zF{bj-^*QVpy|9ENJHGDIX1|cqT zRLF%!d(3M5h83M!xqTxwg=%`MXo7BJ}!~j*)d7R zZ1)u3x~pGW#K}TAan`f!Qsz<{2V8II+(X-`(r_kzeXP8_>S>6hT5`k65VB_-X>bLL z!0lED(rF}w(h53ncUom|G?Al4tWeEJbBYv385x+=3_|ZmVdxA>cUoDO8w?NklKhQC zP>Iz(<3E&L`*wFHHx7db$hx!VO7L&6@a^Ot%!QL@_!?jJ8?sk0-}u%&Wk+?PBu`y= zSf9quiVy{CWFH#$urtNajejr(W&<&fuPasCyoHOZ@9m@b7K?l$JnJ;xHh>nFnp%T5 znCiN-aS#{lA(N>7S3dC=dDwANDH~3!VOBH-(Zu8IIB6yvsJ6_ibNdL*Hxa{^LZz!A zD^J?VznKYycjF* zr+bZ9fb`k%YcyxS2yRe}T6I|FfhXtQX-*UmRNj=+m1L$|=?5E2*a1E<1m}uRN3W8I z+aLTm?$qA4`qJh#moE_)7EUJEvZg9s8ru$H`3qlzTQgNjG_KB$Z`|RMaHF6*oSbh*ag1zBq|Ut)PSH~BODVdX16NpysFs)Xsfc`e`yH@ z=z~R`anPj)q)pr|dO?VYhbFi=;+RM?3nFaS@y zUb*hBGPkg-OATX+1V{%n5i@z%P#41Az}A`pzj9#8+jmdVD>U8FEk&tCubcNkgXStn zKbeg6Vxo*ROfX&U)P&kWimY!lZaSrIJVogmK*UkBar9NlDyOm-Kf~QJNad9lCj?zr zv#h)s$twy%>1}`VI^^ZR9}VP)u<{|@U4m``LkbYcoHl70(`8fe?7%4kD!404zIgP? zb6g6wB*O|~W!Uql>dUni4ww#P*fT*AnF_(aP)K!CrI?6wf48@5zK#)NFaP%s@H)lw zasE`fx3j8H*)P)SpB{y8E9k5|Y_gZ)B5}KnddZ4r&NZ~tIodSZC83;Zgb|<;eY1?v zdZT?ivWlATPgwB2A?fOU;C-djr$dgF6R(7bN=a@h!ehR0cWI=zhOUk%ljKU-S8UT6 zhkOMeyd0!M@KG)Gud9;|drdaqyZ{qP^+X6(x!Uke5m*x>9$5G)ANISuFyhce6fEnE zHgu2ze^FVK8vShn|8K9j3>3vKc-hA++a5p&i1)+)j{QG3B=`K5lm2usU<+yUb%1`y zke)S={**vIN_zcxz_X-{%Y#n-zbfJ>Kfe{t@I4OtRwUcQ{78O&5c}g>k$8y}$y3{& z_Iunfz@L1|v+VceCqD=ZcSFK-5Rof&%oTKkoU~!x6_Vcvklws3aNDxLz^DCnuu9pu z>30GDo)0Sc*e~R9vKMl!!5RCp-?NXAb_T^mhIKq-SO@V|ZYO2GJR9^BSuP-d`T;qx z>|SsLrG75(*2=Z5ZwIbypaq8={*^2=uij_9MGE`ZLdgEruw;?Is2IYm!v>~Kejo3; zbjeD(15cX$sDJ!Xm?BxH%z+)v4Ud7wzvt$%+LJ{v!%SU!^O>JIOdZJVmyU)V*GW{> z24@fMpvaXjs`A;Ero2iUZ&~!+NQ$#h_W_4(yXHTf^ zs!^;f43@>qzfO1<38X(80#XuJQMVf2xmVeccQW(QXJIZ1K91MOXR=0gRU1`+nt4r zd}eD7F_EOf-M%7>nvUEg)bTX1`~&`Fx3)sMwaf`l^b6()dO9&gJ8S8^V!8U|cSpT6 zDk>E$obZ37y`@#D_;n5^)RRVEJvp!bxJT=54eM{&9Nj?q1RypLahHXZr9M=vciQ_5 zPbtqwv+z99AB;K<3n7~eR`rV`xcEjyIYC;+)0PPTi64DIr&kvsOSW?!>WC) z3o7)zTi^J$TO;qp{*2&2u<6v-KTD3_GnmM8~1@jT~c6Ovmwo(eQca(fYXa)y9i2lqM zx0aaFi7`N|!578%V!yXL(O=q0#E&Hf!czXxM14#SB19cb2~Z&%Q!eu$8bM3LNUg>F zqO|LSu71(4|6Vw5=tS?JSR1GoC#*Bv96bx>?CtEg?Ht{4uK6tDNWFn~JS%#pr1zU1 z7?UrY>CFb!eD5vJC~~JB@CJie%P^NZU-SD8Vy?*u9yG5K*fmwDtt&RAgStkleS0Vz za+)=jyyLrW+Jw(5p!*O*n!CsB1{JXxw%){){fNtZ3HT?|+1^USrB4lXOIg2kAorNj$v8R7!wKo$i}=$|W70O=ra8|2t7a-ugM%1*0F&U^ z2)9k5vZtdhAaSO4Bn}|oVN`DPRuJdrs|!l0l0qud^3H$2FLFejJkeLlFAP0qBW7h{ zM52TETeXQ(Q2x2Reya+NR z1@h9H5S`s#y*|M`k_(urq3-aV&UNT7OY&G2l?lvl8Yr$kaTs29=b&BJjo(7O6j`0yuAvc9U67w zjs`DK7Ll4sjRbq+Stwn1YAa=l{@v-~E%48?_t&gyx!`zzV3 znEPfK6WWc%#*U&?59grp`$@y71bU$7NPvyLD_|2_Q`LtlEEHC)`qAC ziZGso*iRYy>c&w%CS4`Xf+mVclXQ0^6&68=@4Yp#sl))q4x!7LhTejVy=o=#(TM>H zvC&jFyvZ?QZiyazowh|(a>>bWmceum=G0GG@IYP^v|1&Qm$?gT)l>0Xe3grHAPrnm zQoHW+`z4Gc7BB2Bv!&bLDaA6V;CRKF4ZflK79&;j;Q-D{AoR~rQ!6ype5mYG!K=H^ z21@a9q*<8xViyJaNGbTtvho?>(mBKPxU5_O6T#cbOLgAaah;~r2DXA$arFv2+yKsj z0dau`51gLIMQyT}43VdA?laxVF`Q&C?YJS`p?=tJvtYNsH9S44)}Q2iM2N(|sz8D1l8B$WA$bF{iq&(5*FIs5|h=IVWW0-P-UQRjMp1 z1BEv1dciMA`6HW&fHjB z!nx*J@+@jD)4B}~X{Y>MQ_nRy734NvxVb1h=8+~2ncWG`o5S_R)NhM;z;?SKk;TrI zNihI`I2&bx@}3$)G0a(ZL?Rz^wI6cl(dMLl>`gJaT+-2-U1xzE1V2y^V9z=GYDzOg z_bD5ryk-$5G4P1I^+n#!oX)sO3nno_0Rft8sL7nLEbwtjoPC$gzDEJH`6}2yQA~~C z@#5XaYz@e025NTz_3tM}unDB>c}$F-)tYBzJZ86W;)*d?3^))z&-wq*^)6sd-}n2s zVgT!LZ9U8utF@q2t86{k2`FMkT2SdkV2Hq0ORXZZslbp!It*Z2)YcMdYeHHe#GEUV zqykCW%4zsLtU!_qglw%yIi>|k3OW7nZ|r}+-}7A0b6vZ-t8OBO@8R=)-}n8x$M|n0 zrVDnfCgp(@)ARI%jDO5NZ6su~C*?uO z?S>(^dD7hNW*9zY+05IerKDjg%!ZXWwAhJaNgLmH^{AkGO`5e~pD=m@+pg74rOh@I zvL42RZ8zMWY{c}VCS*nta2+@?2WK~4^5}aBDXl7Z59`3u>b*tQT3y7a-@{nh>HN6Y z@(JyyYgT<2tMdG)(rG{5^^0LGhP7bBg!zJ1M$5bNS~&x4ZwFi4WQ}8zAF)+{H%P9_ zU)9dZF7idKy8dLLLQd7#k3mmnM6!X3sa|c_CQA_=kjH4obhU-S7M*Qe z5q^SskhrUgt@zPX*5;Fg#trO3uTExdFD~fgN5~K2FvN_kdW-s_QC`Vicvg4sWVQt$ zQhbznSN&K10B_Amb|&mu8cLLtmJHi{23a@A$cc{9$ZS*{Z-jfckCGw$Tbn#6*H0?; zGJu0<=43fHDDTh zh3Ft|a+Q451OCAb%v%;K_!002*twmOc61Tz%lYOTL*i?Kh?Gy(IrZ#L-i~u=f`&4~ za8WoVh2>j74ZQaNK3l_ZC(U~k{_Tv+l6d?Tf-{^gOlEq#wx4!Ty2=b@rP6v{mAEV% z5ooDI#w)Hg`U+xTsKg3VXW_mftq9D6A}WhEC`>9hXTAJM`ua&vFMxSX5UkgBpehdQW~ ziT(&n&ud0=DhW*+A(?EqAVNMx2UxNI;L_+k`s_4hy=7_KdkrRRB-iO1auf4Cbh~+Y zWq9bQAhcoGc904Tn+xG^g%6>I%Xq7q)GF*yh^yGeQBF5>h&0i~nZ{9h$>~m^$vK-3 z`9{R z+(*{VnfIZOpLaT|_V@+9=3oWR+0Zr5hZPa+zlC*hHQKxnqRrdsE9hbIR?f(n5u(ZT{)xyCQTdE@_M{Ofyi@mG^~UjE&)LoTW^$ybiF&HDl2&eq2*X-UDo?kU53{0jvC;D{ zN7iVR^=&Sp6e3h1T*O_xMzM{!pV8|_ zZ;qVNL7MS369bYZY8zlz$fTFU(?pTC|3v5pWKmbZ_GQ6! z!+*$JR6g+gR^3vP0O|vj0Mn8+%#rKXm}l{%u}rn%qtt+O(+r^uDO?t#2`s@mv9(0J z(~^OlJnWlROKa&Q7%N_4g@TqnC_g=V$)ixWmGS^y7{Nh|jqSY1WSp^Qf!=ArCMNyr z&*RSlZkH@rcBkX|PeDDazWd<4zYKN-OyTnsJQ0*e*SfGDwBm$|mS(Z;>HazOA9w(* zxXZhg#?I%d#qfJ^$rU#VaWnOqwIXH8FKVEqB~eGg+_y(Zer7@tkq{%pij0V(XGsu~ z1)IiW0%KjmQ)wnBdELvxaveQiZHix?H;vzB1m6aG!E^EJ*$h__QoopcGEaAHq%2UY zk-qfSbWW%?XNtGk-CgOMYrl#)tzvGWrSF@oQ}ml3!H@u%({iliMgb*Dq5&flnX>VE zZ#orAev(hv^KciB6Tb*`f4A2?mT~6(HOZ1!@m4;RKnl0gT2)?ij$^DUVodgWA1RDx z1ZgNAGvspQJ_DF=3X{c2ri8;i$i6?Mlci*~l?*0qyJdo!n;bQhW(R{{Q}$kGCMgpi zLO#)4#QADde)-0%5^bE(X&L}W3Xsm}bSBXxGI5)A#{rAGagV(BgE#3(r&!Cj2>$eo z;m~%vhsOUKi>97RPGqjGUJwy?m8yg7_aGx2I^pMnHoHwVZ$?)-9ELFuaEoq#uZ7Zu zD*I(&*f`Y0DB(ldq0NMSZvqajL|h}l+euTIfz1H_8|GqR+9@n&X@&gkexH!=cMw-fWGt0=j%XRQJHNABztAP&bW0{JJk>SHAXbh)4X6!{qrG^2h|Ov1ThN{%i6 zuTFL=J+gtO8*bjp9V)SP;lfeKrJ!p0D|Oqj!h+)tet13G#6tP1DEpI zAMe89s-Bi5B$P&u215_OtJ^txgsdCT?HwRLv*Sf`E|&aU?E7wg#T&6%qynGx#|%-V zQ1{c2E<^P2X7w7@``RwvVm8b@dbt;OL&*jc*B6))IH#q~o-tXhkCJ(j@J|)PTa9^M z-BKKT8CMOWB6$#I?A+$=yv@{aJvNG^V=OII^mPviSH0O_vI@rqkHD4GgrXA`M zc?h!rU*DV_ta!bWtVYyKn#!!`$z;6t#jt$`WXg{ZfjxCt#k}ySYPe9t7*jfbeV*i; zzWw~65deg6`Dvn@v-)wW=8UvJ?QrpTB{Hn2Yd>=SW)1;1w?WYB^OIK~7mDr#)X1iG<%Xept5~~jl2eCmhtBDc3*o=6% zEO^>W4{^2HW+P{q3|oxg;tY1qWv9FU%Q<7V;h0tIh5@&ds!&?^m1ENi{Gkam1W;V= zAM-6k{eLd9nD)dMeUWp+u&y4y3khj1%e_U{)l;=+n#fdVTV6ssC#LY6r{%oaK|VDT zMvf_$Y|X-UfpJ5$m8UVMHn||Qdv>Xe`B(?2)lJ?cp-}KYgm@6Spn}O;N*+iyut%8( zLl*30hqykPmJ)639#4FscVPzWf`)TfY7thmF~>_9Z&q|nx`|a2V}##XW(D4KrHT$--PURhMY* z8|n~Zm)Sc5pzB1lU{?kC_#nh|+j#r=!KwB;mMr2)C6jKXUrw7SAjHf!2kV~~@-8h| z#1BYf%+F~I;1iKDS(hY`?ahrc_jdLoOC$9EKSKh5{{L_M!#Cm-SZHO75+soxh_Xmw z=ain7$5r~KjpJ1A4x8hCS81zqCV`s1~rYASyWnSI>o=siu5f$ zlbQ~hsadESu<;^>Y^4DM;WoYKGM8vCoUJcioyDFJ{86uL_Wan7=~x-Jg2T=$TPSp1 zz0sFUrw`%tnueK0XeUo~s_1mHq`4|2=izpgJ$)6nN=&YdBtyeh3~-CiPD!U6^n*eC zzCy_!XHy>&lw~};uGZonNxAnIf<1^VxHo$=+vAH!mQKx!2BV;V(WQ~;>3{xqYRL%WMVghA=cPNaJ2^$&L zuA}X|UNP1&eEG%JMhhhmaWx+BRoaZVPmdPqC7{`y5rHs%VzjQBuP%0{!553ef^0DP z5^nJ#<8ApV2;B_nrjIz@^$F?Y!0nOR{2$HXXbc-eeS`nZXQ#J6?Qv`F{c|AO4eGHm z`i!NDmQNBjY4JMui@-H>S+#+x5X3>fHX%UFW|xXxf{?CMg@f58j}Lxd*}dj|7PFRR zhJ8vQ&)(x?k5^23Bp4gu!<5%)b&j3KX*-%sx>0nAIa;VjVu8N~mdGxYDyBhnZb%p`*N(ku4Pg!qLLjICf zjcO|WKqhDpY-R^Qtor^yoX2mkX+=jW2{v9#LNuO^Y zdt}3sIgKn)jbq9FY5!U2qNotn@<$fko47u^?7g##;A>xAu;A{z51$l#_d?(rUf25L z;Wq7aOJ9&2+WK4l>VM6${;=brOLWQa7H<#Q?YHN#!#_V|jePnzYvaa9za8((SYp`Y#`EK(UhJ3kbLj2Q<8}XLQ{v#`L zPe$NYW|{NAy5}k6=9^HR~VG`}nM__W%0% zg)Ps=wJglg9p<(^%D&Un@_KYheCx+bzdeZs6yB?*wvUJXF2^rg74E}d{)fg79xM%# zo+PJxvS(N9KBcXA6bNYU{{1V%MpC(tiURXIhq+OZ)*2J9J_=<-pL#V4+qTA`xmSe% zeHXGrJGYsd(>|e|kSrz}?yWM95t`@M_dAWtT@&;=>5=|8*IE0qpFv3Kq#E}Gx&*^d zT_>n^egF00tTZ0OTsG}K_JD^=_tbB{t1Np>#)(P9K=HfbY+ z#HKCeqlKsXSJ;U;nvS}o&j`P~^VL6p`mQfbyc)d`HTs@}A)KkMCk@mNv~W#Te07|l<%+?}4Bzlu<5?>|3OSUXRY(_iqFr^wBP0EUD0jkq>D*>sq^pmoy%nBF z%iI;u*eXsYSR8^^^4S%RYz^;~N~+@IfkGiuy+cUO#e4H;hwQ`E0#cl1A9&tsj2+Ckn@jN5*_hUT-k~eY&*v)d>duaaQIcexv8PlS!hGVypL+##tvFYrsBzL&-V#Q{)Hm0<)CpaCgjZ!$STN zcK^Y!kd9nrxIofQn2U5U?jFu$(5JFg^Wf}ED?Kl{a3?9V{V=w%9*PeCl^egD`kwv( zuQ!o1S3&s|C1Wr#u61uAM0U}0A+B0~NVURxDt*dG)YL1QbCRZ)A^1frF>aTARFs6I zO3#v2aAIaS$`&^-+b%f~e*fqYp&UH~n{?K6wP=ixL&bB>_O%uwPciG*LMpK#7_r_R zDLH6uEw-mOj$EPYk-o3*Xc)9Zip-@%5o^pk&2Y6bHt2w_C50n)*ZrI%9Hpe1GQ+Wkw3WeBg2%>tb0j#NlpZ5~=7wG_eibe_9fb76s#eaV zFg{_XO=PvTR9W=K-y{5;#hfvt@m%U_KRjFJ;ajI{$b&>ui7kAA%dO7I zfQ-jZZaKd*L;VW0ADsoT2R2#=8nGve)nh4#XpsypP@d79$2!GS-Na4J+4uMni837A zkg0Z9n>D3I9CMiFliXCE+D%oZ-#JFe%js6o&bH+g-W0V+N$A&P4SubOnL7&Sx>*5>t`RHv76zGyi z*Cd~q$1jH|568S592+Lw&G*0U%F?{1IVB}!{WhY`4K(8>!_2*2nh@<|ivq|j_#y%` z3~Q4xr1qBV31<({QqfJv$^>G(+Fim($_=L?5s1M~3g{7<)JhXu|KGk(v^gT2nQtg?mtuDu?Q zcf8BNNo&~o&eZ!q#;jEK=bBNE1Js6`8ZZ4`akz4!p%A*$KzXg>&@tkQCe(v7ThUO* zt#e9Jl+EQl#=ZsV)HJ}?%vyS0y#q*;a`NK3qI~Ct@rxw{xqG~ss3}OL0y6ZMs%=BK z8u6W5aojd76@wnPApWK;pm5}|{<=)OoR=%tU8+pWxCzRE&2csR(qD4x6 z#3An>0RKa_!}yIHEHEV1N%?Sm&rGg{^R>QH^+Nw$0_Q)8%R!#@^;xSYWjt<+Ua2we zb7m1f6QF(sYe6_2p#>2kgIAl=PL0yF&LRrk12qR{@clCu69-Wv+~leFLhI!&)nr&w z`MW}yk)Vac-|8{>xfqU`_EO#9(QSGO<9*BOxh==~)q~2j!f3dG>^Uu*+3br>ww4xK z<1cv%VI!N(3jTE01oin&JZYxB7p{d6F1xs{_UV+3;Z;YE(Or<6dE0)Ve4B#f1}@^V zHJvaGyl=-zdbXJtI;j0{JDd72tISUoh5$095-_whJ{)?%4<#BXA7;j(moQouIPit0 zND}b2g;>4WFueYPLe1wKl!Se6f$uMS^Z-oGh8CM7az+B(_CR9eRUav~nIg=k-X{^v zyD5s4%O0#GzgA+X_6*U_76n&~S!OQOy$n!kM?xa3n3iiq-RvY>*@Ym}sh~d>CE~}c z3JwLJr7D7}rLJj7HJ@4j+?Agg;4I7+0&zd(TqIr;RK!;AQ!Q2Dp}AWx2=Rax4V0`* z6dTAk<6{(`g~h6`uAgh%@x2BIHw(5r%BY#yeEraWfK$+cbiuB~8bXKP6YLpr78G?~ zb|=CDF*sgc41ivZZi=c)P3G<94iKW#DF|ka+OV}`T1QUdabX6^rl|l^4j@Dpk{yHp z-mIimu7QS_L z?%*Ffh2-aMO~p6%K2`3HQA&O`f$ow_64IjpLAj~^?NXPf7n71=u=O#6jh7mlEm=ca zcyAq;_Fj*4ElwrllLQ+zFIM~bPa62wnalBBL+)YkGLP}xZ+GQzkEX5&PhpmC(F}nJ zylY@qOvdJuBiZW<68l;?<1ue0P2;7GewGO7G}h0Ge5I&bWteFkkrT2MJlmVXv)t3i zsE^Ez^;nCK>$T|J6P-)U>RCIU|Mz)opT74Bf3hPqkB|x6GU8u3DcF>TG?n??V~nbF z8|ReS*jj#WR9lvktaBWzsI)<7&U5`Zd`^$0ejY6xvGdZyVU>|=vf9s1ahd1}S>voCpSVe= zYhojjaGe{Vg!BFtXZe8OvBzW*jV3)n8arJAOJSIRQp9#1Gz zo7}1#VOJE6lkMlzbaK)4#6~sS>mP&vXEfgAG2HyssOqyCV&yGNmyt}U@%PNwI(H7t zq^swxeaFU3vLMke1ZCs5a~`dIWbQNmYhPu$Q&yuaB?4tBpbM?`kD6cT|85}5|J`{# zFR@IRD+B$wn{cJZ`Ym3wap}*|Kl?uQo5x3%23+#>b0s_k3LKtTvHhtc$;#bnk8K5Q zBh^9~Yz3PE$qK)F=IStrL8sG}m07m@ zDgVPi@#ZB768=?jL=pADl_QOZ+XB-XQm!*}jgu8eMpzL=@&sebNVea*@4FYZRtKcbVb_uma-0dHyF0ji{jpZ1gdvON8R=EGit*XpA%jvpEv!<){4DFZlWXFxt z4gYET!Fk|$qT$UT&tH1~c*OMF5d9n6hIv4VIU2WQ;=pVTfnxOB5iZG348op~!?#l2srVnD3qbspwyO;0Ndm-3`r6wiYuIZL*d zBsc6eMj=#0U*Bkma%Q!Z&Un?hD*B5=(~K*p zqlEN_4WA^_JzK^rXqXCwXZ8HIH2O(OEL`)+J|IlSekny~-L!T#KG&LS#v zQ+O+pd0y1s=@j|C`$9``W?hdpQ`0B(zryhH^99APEm>v7%*m)Sc$X9|3)2u{Vcd#` ztoh8=Vr<~8Hrb|r$$It(vw^2aOYbH->Z-d7^to7}Zkmpr&&HO{<3+1;`bUcp4h0BT zr|T!5Jw}LT`bhd<4uyiOT2~h7=k7EvKEUtUJ03b<7mZPdb*YeS)-AoCQy%b|{wt2Ht*w45K#TM^c% z1|(>9eStfL5=*x=KMYKw7pm}7o~K9<9v$gPd1#+6`Dy?Iq}4*p>;U1SBxP%s(S6>% z101ZUNXfvxaqbu)y-U0dFhK%JQ8UM!U-wi}zRFXH1D6ma)ynvT+%nos`zxY21bq_9HpKt{0YG3f^NF{f&tpi5XlUKU}U-rO7d!eoKh^(P;`(v|}8Nb)bZh$SmaWci%NWO@YuL z8rgrZ2Yc+%0$}sx}oYA{d`D(YOEb=gtchXJp2~w}=?c6``o6mCTs$Ci5 zKy#V!saBR(9>k}L=83JKfT(FH6Gv0DzVl!?A@{y0ccqE~j-c%UFbmEz;s-$`52-d6 z5>@+rW*u67F{6AHdHB2HB~ea2ihdHstX(07-%z1wiu;IX7vbYvw{Vsk2y zmC^LhLY%z^n|V8I=zOU3U{c!&gO+SKW#B@#qilgPj7-X+=8kW1EuNvOhnv;eH`~wa zW06>?SZj**#{6mqDMC8HWK}!>95dG~NnU5Fc-dHFFaj4Yp@tXr=t@EVM9(*Z6NW6T zj%XpULGhWK)A|9+^37?Iv%)l0abYDa`&PSP*QA8&E6KU+$<=p0zcS1DkK74jPKKVp z>!$(3z5yI-=!$kL6z}3bnrigN&Vz!gNdn=cG*Q{flp%}lL z)Fl%q+h_Q}p@L9mW$vM6uD&6ah*_CKh!i74SE%Q6xX_T}lL@8NUU4(+s=0K^XtbeC z%(0`j3~u0&e1_I=(bm0NN;UxhIKsD-|cQ2wX`q6eEx`V8(wQ0Yh>C6rh+Wv2`{hU>QxHI}ZSrn1$;=d&voIks3X>%ENHTd33J!k_Qu|cO{w3PQwGoo+^|&MkZ<;u77`zUY0_t zDrm%&Ph7X^Z{GW7r@07jovOF8?97M|OD>xs72@Xh(t=Y|9kLZRwc*t0gI}10f4IiL zW$f~V(uU8Y5M`Px$`}vkHByMeM=R=(4ttqC3IW`NUNfPzrNlfO>!V}Bn)`em8D8m< z^YwW5M7T%lgtc0*>sNR3UpL!oHOiZmZ_gkt=TemD@O1vYe_97Fed0K)s*1?{XV2O@ zxmSDkNkLob8X{{2=VB>IKBXQUs2p-+ucxcoZC_NjguLc87s_tQxo_G=mINJM7H+l@ zQc*MmujUt5qGAF>8@bR6vu-Uh=+35w8N5US#v+QsLaB>$I#p@(Ofb%Q(@2Hy1YH&3 z;Jd%hmgIJ=+twikizeH~nSTo`nrN4UGiS$W9hI1y^I3nZ>FEPGsBc1=t2Z$pH*^@D zg7g)35xcbaTPv-V26VySwr<)@Q?7>UxUD zcu^#b4`>G}s<$+9tLYd@37urM(~Za|mL?6l9Q%Y^vpRVOu4HBtDTv61i$6bwxyF>d zUD+czE4kV^XGH7SQZ;8$hK8wV)zY^lj2Jwo+mdd=~`Rm`uAanpyUnv=0gx?IPND)X8Z8PpsMLH zI3K8NC_&LJwwYz7x9_^G0mRBl}bj15DmJdKm%mQKi{ST=l?M19)iEc5+{IOvh?3@%+agbNcI+HO_P?F>vf zhZwi2&G0pRpa{@m;*oE=B~^n13G0S*oRB{lzMN$$Qpe}l=5s-Dw3w4&fzQt^?Kj-N z6-v_(ENSCd!>MZ&ZF0jgYSMleRfu;PJLP_VnN`M#OaOvy@XoX_ zAM>$N!}mQf)5;e+t3poWxwi9Hgg;%|4TjN){~HZydFPOkmJfTYSb4=!)DeNzu*7nZ-pzvR$95s7JziH`O)3{Ij{7(k1spN zdc4c?=p|NQt!t&gZ$lhwUzBtC*#8FF9A~}SiqUZoib&pm*7w2k*)2QoZV_x;w0&ui zc}cX$Z@9{_Z`FZCVWvpivVx$v55;kntGC6jFlg6wZ{70{Jo|Z9!1cht^Ot?#KCor6 z>eMGnn%i5zVf(Tb6WbbE{rxheI z@dm@m-^?x&PZuO$iae*yT)Yf0rNSeD1rmL{iZ%PJk`ZV68hG1;V$iNa~|(EBGh zAH+cgN~2J{$1^g5K3jJ9I6w0bHS@ZAW>Q|7t6F~>?=W{x=TQYcXVdKt>4u-nKfIUy z1tB}rsZ!7%ki;XFZ+|IS_X*`UkwWjfk3AT_L;S?`Ma1=>Pb&Xxxn(h5EMSl}cQ~@0 zB{h8<=`~W2Ldx*%XL72OK*IHVH><4XHIU)TWJ0Ecy|ox%xOKWRP+-D6*Lw8EHAk!* z+2{knZ0cHz9|Y#5U8AIk`~78{ti{1L`oFu_Wtya8$7M?GgmvUywd*TmOA^TkGD_@%uVFtk$U1k-w}xuVWybG zybk$q0|g0}um1?zVJy{U?f=k?c{NRVvZo4$U||7KH%`|-N7h-j+AhC64zj%ykuvlk zQ+21YK~Ev-#fw*+ilOU}ZNodedBt|OrKL1+c+LHn$s3{#d7j>u(#hedx9pTs1xZwC zADcHld->0>egPvlJ9>8vfWryDD~RXlA(b&XVV&mF-ydzYG+~}HF)SPMi`#>ePv79Z za&d#0PxAhFM@LBX;2fIR$le@W!yDikL%cE9P_GOz(LzFI0I{v`7Z8V)Ke&XwHhoGn9GkmIZdB zf!0w-C^dUM5^LtTFGhMy%i=`T+sqCC{|n0`Tzz7b)HpL+HCpzK#%?@gyH*?F=p#0d zQ`f~5(UuI`$8k_BJfH|lLqoyi>xmHFGz)XmxfW>4ZGJrGTR@a&|jC0*9A`ymS1C=hE91g#mONr%- zsM5me9!;VTuTJ=0TW#Yk zTJlV|t~QysqbVbn@|(Uka1s5RvZS7M!$$_*w=i1PHF7(+{Hf|$)3I}0S?RokhG@s`P5qqdKQj^d4xX2nk5CREzt3t;?W0=OJ zJ5IKNC0rScTT&2eKFo}}luXQYnWk1ui4O%NVJ}@7&pd$DFn6(V%=25?Mb|f&m|I~ zG&e6@7lLmnvoum~wF!2|r8Z~YvTNU@u>wAxRr^=aQh|)AjbkbjVW!GgvE(wffk6n^ zX-V@^B`$z4eZxnV!^^j4_wjZMwyu z8K<`>2Ze^xv#vZ3vruOY)Udv#Pmy(cdQJwMNSTD_7Tl+0w(L}<>0Xp(POg3AmJ3%X zKg847x-=QU8cnDAt{gw(Hc>A#pM*5w;$*M^M4fi|cH}-vjH6H0#s?hHldH28XPK=u zT{|8ZW^@%*g(Ke$fX#V*5mOV8jEr^cC1PjxZ_?k!ad~gQY`xN-)c)l$<^6y5Th*m{1%!f?>pU&rR|rhnv^Cw+$(+@q zP1xw9E4B>bu1Tk3R2DSDT2=38wR9Zl2XZ0eak? zMU}uV5Ze!6Le0WATz9T;k=TGze(G#YtJ{HJ&nNzS^JAYJ#1Vd>8-Mg__Rcf^4e33g< zpYthnD`{z@nUArORs>@0A$3xj*~Y3}wsvj|PRv3lU1MkbyCk+&SYtrrVLA*)>?I4c zMC*&2dIg~qh3JNL#N%63=M)}hq?MlA`V-mf*$+z$%N8agK8NaEPMiR*TD?ZF%hrhe z+<3`1M-&2O5iIAH!SDa`vV{_vaZ72cLstk0V`0q37V9%h_%ao@1dM$I(BC~Xp*%2SM&osPkw zK-cKPIIAr`F127^l#L5x{N?7i;okI}m3lpn}O19NCr*;CxvF?Cs=rH=Z$Mpa6i zlmGpFD?Hfxvib2Nn)g23lv5HpV-dU(GjsKZM#B$HM(h}dEumF)TEyg2Kwxu`ih_|P z0lhluog`W=LjCTvqaj8c%~VNH3MJD38IV5Xd`x6uMtC5^?g7jQT}=@ErtN*g zYEc4Hr$j4mF~08Hf%od@mfl3}C5~MT^ES;TzU5oT%H_T6W55JS0ERWXtJDgblqWN> z_No`RR>FtaTNM$)$Uam}+K|`Bzh=Dk&fp}y8WVd!FIlrw|FD6~Bz`I>gK7U^jK^Be z!aL})P@K!)tmC$wPfI<;W~iLXgFvlWY^7f@)L=a<5f3@Mya>Dc{(&gC9;aBz!wv!$!?hqvcYaqQRTtXemy?vt0plQi3aD zoqz~N3fDI=S(7_tsF-i(R`+M$ESV=-TN7ECA#O;%Bl$a z9n;zvm#yym!A%(S3yX-F85@<;n+52uLfc+`ReoRO4cy9%oZ zk|oXw8mn0B+{dYV9Zfw9&MYMzK6K@tzu#fRL(w!sn9DqQ@W6K! z?nxj8);{-tPK=|d4@^HX!|#JX952%N5nNThS@d14mytxGiXEWaV8bXJ12$M~T+)5M zdW-S4MV|7i&Sv=SxfJQC;t4rFB2LWpk@VKpP{Z=KlQD=E{#RDK4Oy`b)8cEp=5Am_ z#W_CSE|amCdBQPnJ`|o)cw3&Fn z@<00e{WL1nUlgAB*azo7FwQ&v;oX9`*Z!XL9*--JsTjU8 zeMP|H{({Mydn>v^j+T5)bH|Po>7SBK3CAWM68%D0Mar*F!AD_Qa=bgc>SFf zyA7j-N6rSJ%0tBhEo%DEytlF&g9;?W)@7FXy)*HVK7}i=tdm|ITmC&&H7LI9@f0CN z*J$ua_Fr;m>t}cVtz~g83V?Rr?zq0;ADi0#Q<(#-#g~EH;v>Z2wENVei6a9MS;YLt z-i{j`Pc#t9?Y$R7UnV`pdx4N)Uc96{Lf7Y&f7o08$>e%Mc;{l-cCuZ|itsEto_wi% z)Guk;|JpVAms>7(FAVNCuAKWn$|FLP74B`)y@viJDaYt7MTw-Jw&TmA z&!|@1A?i&!YIMMqbjaHC;tgtnfjdw+N_8mPgBsj#{rI0L@{5zEjyn)VR{zr1s`;e< z2i%X7G7eaT?sxf_J?Pt{W|H0LDq56#@rMH!9HU}=NE#lE{#P_|bpmvz=%s7)f*q=6#kbA)$n8q~ zB&M%SLMUIn#!F<>!CA$SQVuXm$~ z2uRfN?rpc?Aqp{Gs+UG%%U-{0Xl;r=;9H1CVKmACP01}K!v~F?X)P^5!rh64yLifZ z-ZbO>QQ8Y9?>+8K0U;%cKco#2T|<}bl)rKZy+%*`h_^p2wqNW2K)HjG+z8}v`Y7P( z*?P|2R5r67zS&wbX}h?6JDdNCjn+_9I==Tkp=2#%qhyG94|`ZsY1t`L&uwOfgLg!H z>cv+!#)DwM3^Cfh*W1opd-gcdzlvJBy$`K*QqGw}K=$ChxO=OYC3m{*hhvoQt=Nw9h@Q728;kUO(;7HoH{UUSqUS`WOi+SdhiBT}&r73gn#jM% z+PK-N^0VjAcg%ce6~7!j=l89$DwKyf1N?{DB@Kzk+QFCsmj}T zE9V~JcD_by&g3Vx9i3ooj(zG2L%~YTm2}Ws9A?t55Fbo$m8LI#20U*D!>S|SoFY_{ zdDMmD!SMXxcof*~CrUPx#=ha1S2=nIia^d7nS=cgn` z!X<{2$ll;fV)q66A4g=mzXXgsesG7<3trx;|XZdYCm2!Pfx7A5PC9BO5VP8R3{FvXuL0#~Q zS5@eOiwi74k`kBV%ikCrc;%yA-R17NEy>>SFqxc7i&6Th!+w49^zh}GkyF6LHUkmh zaFu**sN+2?N$BygD?9U6Zl+n&Nu>BsKQ#Pq%P246PyAPI{yVjb=Anvyfs5bp>9piD znqBiK$)s_uJ*y;AKfzE160c%UOv#+av7d1E;seg~GA*1DtQI}++mCxG9(PaqZaA1^ z7Oy)MWT7N%e?rVCx;BzE`I`yF^vF&No=a)=RLy|B!muJ>mnx^{h?DVAedg_4Kh4 z5F&2^kU7JBM%k=Y#peK)N{PqGAQh85Od{W!@jAGR<@Mzs*^09Qw)QOkQqT znB+uk%RjuF2&~P$SR+fzJBS_V5VuZ-klExIFFD;S>|uUEF_2mAZq!c^vPm2DTep_H zV$X!fYjYw~-$E5S?CR1xY$g>u@!Nbm!b#dr`a-atJaTN5elrC?YwIL=p_gHh*dILJ zeQt>uKgdIjY6-NN8GdJ&$_rfMZU2x%sXeUUrdQyBgVumzZYpzc;NW(vJJzRAiJg-^Gwz!N?W`$d zl$7&IBU5xxJP+C^VMu7J;V!v+fReE-06T9Qy{BVv=jw{1$;u^4`-9`Q#+BhHNPS!@ ze=am-19r1Ds#wNBUbU;zxS@c7Lk@QVW`;B1#_5YQu1p3N>CKo4!o3u;8AuZdBAm)i zbvtL^YiYlSi&r%Sni$3ZV+I=cUTw5h?Mid^texoZ|Im_WdQ~h3%W{Z(C)30K1CRI+ za4K1^wakz2eNf9rnFlre&_I7r$ewdm+@=sKm#X>238*2}@*-lqFL8w9dhSBHHf^|2 zsNCn{E*b^#42y{2ILt`q1jFqaVDUgOBcuwiN4LGp-m4r-L65}?qzpwSN+M_{K6x)n4?N?H8yZ1p>QhL#RUx_9gt^EIc4j9UgCP4-nMKhY z^DC66Va1C{jfoO%(Qf#1s&X^Jtj}5#hxl^)GAD^Rr^Ta4A zGxt$7L$n}xf>D8)I#dVUHvTdUC4?l2N#`;BrYj?@E#=DY4aIno)o`~;Ig4_=b*OYr zs@}W&;zz^^85WP}o2*>ui27dsuASP;&WU_%?he_^aWmV%?@cKpl5;4L3$HA(jN4Ku zFupd(wi4B*yFGg)cW7CLcHn|EUn$td1?jY}<(HkFBD&_*3&eHrR<`AQ0<^rrY#bz0 z^-_ni@b%#pVc2TcByt(84#F{`o{#r@6F-_LGwq!zWa=HHvE^RhxIi(DMT}OH?eaRo zvT7w3kdD95Hyrac4M5bAeXpJ9D{9EO`1HUZOt&&T_d4J_U8|8i*Ata8Ub@f#eR?OK zr&j52s*>MF+pz)&pHxA^vG~clwP%us?gr;m3O$zHp?n~7lTam4L)R9z+l#zlRUqtG_^GpJ=H^UqodyRfOSLsmq{W|$V{M7-A= zOyZguCbLn_1 zCuexS5AzF_%FCX{M!3`gzjZRdkee_6o4k4YxkJdZdOADn?$Rv0$K}R+s#(O=+u!fb z;{=Rbv2&wccR^fMmquC&@2YaSoy|wD)G7IQ_#w)at3YS?ms?4;5^{1JGV0@Gt&>@B zG9`8JgO5_R{gP$j7M&{uy}{TR;QcIl@s_0l=tW7?%qT9;1aTy$UF?ecu_$z(`)>p> z2AU#j%zdLDrW+S{k)}KG3o-w1J+aGgK5OBXIjjAi@O!R-HQz6~c3uQ)iE!Q%tTYwt z8NXAH&5in>WaC*#idpz7`~J72cy8f$|0~%TxE3{+a~5#W{k9Ce(no*8_)(``gCF(D zIqL%-nY-R+VAv%a%kED3*6l&fl)?n(X%&TQHTp}V|%9}zd^`QJCJc&#C)KJ|(wQ}q`NjH-3fniZ@ly?Pyf z=1SFyY1X^ahIJ2?t{Tl;kiB@Fig!14d3d3`!5^ImhLG0Rnb5pyK{QhaH&5I0IzUI4 z$El9qvcHNCoj7=CoeSfavd%}nBN6p))BO|j?}31@g0bgy>U4IN+#)~sd}6be*-C!d z-t*gIrX?MU?>4;q=x^^$*CCnJ`Q=&Pm)d&5XjxB|@pX!Lq^HudkjH_(Hi zeu!LZilxlOQ4DQ&y0v2q?Hys?k&10SL$2}uk`bn0TKmS0mfveV&j!JhKkOG(NVW{> z)+GUHL00E~M2`5q8xrGu5&y%Mmh)U#{20T2GxYzXjPgGxXf6KXyYECE&ckWO>Y0;<%Z;dwCVhY{iA2svlE-fAAwELYXaQs%vGuPXp-Mb z0uqo6Q?*SPUX!SHz)cnD zu18K7mOg83xj1e>m7R?io^!4IP7?5jMZTFASPU|ba6t55m%ls%p;3KLi{`}tActg$ za6J{}x0Ixe0Ke@`!MbS~j5Fpe&Kbdg?I4}bt#F^d^#P%eGBiB^1uARk?NhC zMQ`!$VY&_v`X1}Al{R29?3s5@%$ zs%C^ZTe7}jWSpvId|X9LhaDEvRU_@ig8JJf0{w%;1N-NjP)mX}gRh6}u=DZWfCVuhAN!dJf_!%)i@) zafDUFGNyg;7C0lE=*lRkZbDAVr$l=^vtCOV=d@vyzW;;^VADpsAbhH>+>MHYPk;BF zyV#)_>R`fnD?OAfyQp-vh065w^U5^4;s>P<^fUB10sq;rJLT)9xA254!lsGynolr33p=LPv@b%6l_fF6gwotldt34`8eV;mjV zHXO@6##^RQFynJREo`)?OEa)j!Aiog)@2o>a~ovP(AT zJKjc7>y!k(776qyZnQ2RzipY0RV7R6S16T!Yl|ZWrX@!8fw?Ie*lC+jv zVQ23d&82clW?GR#2x&n`LvG)-W9OXnJ-=W7Xdiu^YDlv8{=DC7t=H1j3eYltE>8SH zxV2<~0q$z_>ReH&?X=grP*vh+;_dNTmt`FnV)-Ztrv6I8nGoD3 zICeI=(}Wa~62zR9tg)(3oT6vVNh+Z~omjC+ z7r5T4MlM?2oT~L zNYf@yeO?NCiW!<&IX_lS$380;SSx+Tq%GGYMjre_8P-SmG4vYooBwpW-t*KAKCJxv zHjm`3hY!?B^d5&B8R?u7YUJBo#P7r+C1JO5SqG|%FR*%x2PEF@!dho$4 zdxidhRsvq>Y0EZGYQuNX05Qx28?jJn8ng!9x1Z)X4`v;P! zv!gc6yHlf!SKB6j?xkPj7IKvw1Rnbf2>VOnl0UTJjUsXs|cBHgS%jD)qZRvu%}H8AS5vuZS%npDoaS*olyTjwt(9($|D z>%T@#V;=GWg!*dyl^c|=|gJNzkO ziREB3cNg>H_4a9Wn(>mf9*?}jX_htukyAtR$HvPgri30b1nKDbxbkAlb_4N7b@wuZ zAOvCb6#c7h=`!BlO3h6PY%wt>fRXL*x+o4{o20J}STrltp<5`v*N?494jn>_!`lmr z4?&6?Mr1r47s6eXRI<*+ZVu6Yr+%qNdNSI_rlV~~fRr1u$U0fXP)m`{%UUlD?DLw` zaG!Gm9cBhfCj})YZi%L$fT<@wl}(X?W<@5iUP)h;A0rzGYVT`iQQ3 zYPK?yW|m{o3Y$!V_oY#yj6CJrn`rkZ+_gd{YqK8T#4$_Dwf@m%`^JD}I;upgM&UFN z(FvNmk&}fjG%=ok^dOi*Nvwp=TgSjyx^+9()4kkqzxjp&=so>R*HczgbEy#929ab^ z^Yo-cbCDFk*f%0ozGo&k4AS@s$dq2_H*e3Bo2ZqwYJ>KSlwy$|9#Zc?*v7} zF7ssvt=us`1|XB8KLsU$93s3tH#i3!ZrLwRaF?V+BZ6|^bn=`V<>wvwoojtpc;>D+ z;=9f5yX~a!(*eOBxdLMRH%9v&Ug_lf@%)Z1zV8#fX2aZneY$atSHR5p)7|H4FZwpD zv@4Gv^ZPu!An-`T!m&Kp(o6i68}rcL$kZ*#FU9!DfAza>f>+#}-H>hwVr4Jrxj5J7 zRp4a{4;8$A&%AY2s_(YfdV00Lzq{?K;Tc^ZhEUxzb&s~@`R$F?-%Qn2CHj9L(%!5z zR4raEHFUfsYJNI4Xjg%`^VD(qQu;f+qeLWe{RXE%hVHyi`44w*UUV-MV4Z5!P`A!m zDm)gPU4>b#@_NcADo+`C<@4G<-TC+{tJ|X7IvU_CDqYgt# z_Fw#p8eR3t;NbTwf0-&-SpRTG_>}R(WU957lh~rU`3J0peOD5n4s%cKg1E=cOk>nA z&3+#YP~73_pEjYno9?}h?<}aQo{V4oxN|e1^7}STBi-4W)|v0kL5M@FaWAyTdL1=Y z_Tgio!EB|gA=#iEUW&U!rTO(`KM_J5}3x_2e zwh|XHSZ+x`eKG=_#q08j&uI4ret_=c2Sf+_2=S0A<3KE{qCRbLb}13Bji(W%sA8g< zGK2OBX$N_pR<${=!iRn;=W*Qu4+hfc+lhmc;Vbsos>u7X#F-jV(s{qDD5i1&T)MKn z>B>a~$-QT$I*iAYc@fq|-m#3Xp5|Uz_we-{HJn$LO>UeUh=WfDJCq`BneJ->TKkOG zw8^CGwbeX3M|1n!&_}Lx-T^*rHp7G@CqltWk(bNhdy{hx%g_6NaDrNT*Z&YLTH*~KMg5SncB}9;Sv?uO4r_UuW zg@tk6oknlwH58_c(_@#i)chxueUyyx3GvK0c>{wPL&1yuq5a}}VKsYcp^aR|@h^iP zGMa8~NTq6(4_eu@c;!H5V^AYN_-J;3HVud0S5DlQ2Nxl8;blVH;rD|<1j-It+=>j3 z)db!iWRF@Wvdf%0viFIq`vZ8!S_Vp&F>^G+?;eyd>m)?)61y6vfQj|waNafN17^qF z_cP)yW5Ml&2Cm^Ha1DQ=8{6)S;RIG(H8yvB!$iTicmkyV+CeIoOmRaMxFRjCZ|H~; zQ`W9P<}eiN`Cz|eG@mJ=ktTN=4m!v3 zwg3+S5|9}Wt5dXb*jRe!-mKQ+$R|&wXaq2%MW(}*-9+rH;_XYE0_c`I>k7$n{YpB@5Wo{vX7ViP2cs(e_qvRw*bI zvO^LnKK*Y*NXLbO+0_hJOBCQH2SA8ny5cxRf=3beq3k#^H|Col;h8h{+o2+h`S)NT z#^RjYTlYygP{@wlEtE)M@J!@QVT(>@Jrl{g%niym3_(iR#jRU4fCn2d(Yqdll&Cvr zyr@goi1-!)vbbQT$r}WV(g^r4>dkat=;t2vOo+U5 z9e&T?&WfEH>;5!8OaFrTo^k#Vk6_7iNCj46r8=8e_J;`VHqbTmlXUlK}Yf}lJirv{RLl2 zt!O<{rbBw#+QnkuOG8ByoS{>2l?UbQZ| zt+%YgbBgW+alp`?l?4(@IAk-Iy`+{Tn<+?uE8!J4SUInBL{X zuRR?im;ReDo@Xe^OmJBmw9x*0y1^qL^cS^O9Nc3GiXUZO2XLT`hzrsWhH zT&rGFS(Kh+LJ$vC*L*Eg%6BUKK2TYBdyW-K&smQzUazK&J~;2ASEMj=6$9^?JQ}1R zcqnDZw)5~%L<25oPZqrzb-DB<+ZUg zW(1}83UReo!dWUB04rhm%6ntBEA^*kl|Cw2S+9s}7(OeaE?q;PE(Y8_MNJBK;$_l5 zet4*2Y)aFx9j`YYWT=WEl}6SJ9FPm#rRosRaMO6p;IOTdj8b)e-lQ4J$f3lyrvt9i zDyl)Nq+cCAgxImAyZhzWwtE+}kYqD~gfC=H*YWq;Rhv^w*P`{Otsj2ZL;)mA01;d^ z2U%NvPj64eOsbO}DKQ$xv>ptPP7?@p@}?@OE#397!TA0HHH_@lq49<&p7!`3x=&3H zNIvhJxGWs?ov&T7yLxE_J((tqXl_~B#QZ0YBZC`CuVV^b`W&Wa54~DO-*g`5l}#RH zVFCS`2c(U5+;P-c; zEkoKg4wp_VZmR~@_04UZs+oX> zabJsonr>h9>2S2HPRvx=!1+dShV8M&;Ay3&@S1nc`Gi~t@&bUg!RV>->KNG zx6B)gP_v6T<6Q26`O!Y^daJM9aJGoi0E5l;-(LEDPTNlRw&rd+%?WiCY0jhDe3!BV zHu@e8&9&`+pWi&|R}vQ>S_t~=Qyb=Xe)asr-_QVBpYG>k7tX76O8&Cgzi=q<*gqW2 zeu9OEPA-~K(nj6cQ@@P~G%;oaBchj~9uiO>ju#5_$%^3U!2PB-?5c9X%I@?JeysYj zY~J{l;E9hrPhEYq^);(r)UBiSOVvSDw;jHhK*av#p z@=to691Rp09_U3&ccrpj0ZVoZ`->lg_PK6fgv9zw#Bv(5KRxXRaP9O%AJ+EFD|X!x z^UF0OgEet%pK03!B~Apo+(z~W0$SHb%{krOBiQ)SKf51j%AX-b>w24qrVP4GK#{sL zhW6U%Rwy*1JbUXKLb~3R{H5t_#)@v!ZR2GTr{_9?dr@_}^XgtP@_n25f3%iqy6TQw zkBC!h>SOi(`}6p|bjfSOLU_s8UK2wtAFJ|)g;TVDDl>YCA(X1Te$I4 zqO$_%;0p2fA>oU6ytctA{yc;r60Mjb;YYWrP<~lqk2fLNm!z}nJg3GhoHwqxTPZT} zTnlkLtdH_j_J}mfyWf5F+ExnH9LDFBcWeM86f#IZL%p%Qa6ykam}j`q^E{FpIfP`Z z{xtv>1ic!#+O8XMn%=Hl1wmL@+^`jvSO5LnfL92(Vj^x;E?hCzLe_$BS~x}A5-!;V z^4XMN$v)W-B--^%ceZ6t@?cg17-?|wo;={RsR2|m9I$5t6u>`!!08z%{pw^|kwH@7 zbclv1fw|n{#=+2IAbaaO`!muxPxH?%)(D5wukS46M0~gLlh8kCH|0@&=y`hhx9V|$ zspcIj7T&#>)i+zTdUB}L2{tRgQGPGZ(K$^LymwkW)|3(PI*W@FFY#R+2ly$%GXlbX&!<1#=7M>WV5zOu;{0(;a z!KRdb%&{ex(D6Ryc{un(OOMp9TLZILnnvs%`K8lDlJ)^tJ=L6#{4CHxja^muZkLD) zsCtRtmGm&gC2(9QAnO|z3eu+tKJ7E1v$IQfJ2DHrA<1nWCCc^rDig%NL-sLPtk%UE z2c?D8u7i4>Iy%1{nFcBUI2Nr#S>wK~+H$)gj<=5%gA0-ye-wLugjz5C;a?u@wl-Ga zo0b3g)^+AOs^(@jWZ?8b&C<5s(u1kfOAT5E*;uGs3!cqED!!1A&K@p%UnL1?BGYY2 z>}2G9O)?OeicdR`b$qmVbpr3@DDPA$F``NmJ)8=nwiy*E{VdsCy!L_4i|rfpC-Mde zsboD+v-G@Ea?W}l9)bjoY;yssj=Z=XGwa-RYqgJ6NytvMT}d~Ud33hq?xXfJO+c*u zGwQG;gKx-{IxY=c; zqzzN-=16;Ihrmhko4YRGSN&(7IpB(|lW50&{3r-sB%eo@G8U53(-@1ZI!b`mi?^uG zj@(_%@ER($-^AmT20XX`(+g-m@-ezCl_6 z^2ODUD%=;;#!f~S8;XdrCMMl8KGXi2$-*v&xTs3?R zCktFXi|~spNtHG_*?9rxL3b)nB#MaF3a#C&*w&ZsoE|#pjHkJHuF}`M2X;tUz#FNJ z8o^zV0A(Sm9ZpAcHh+rri$VKfX80D~UqG~;=V;`X8jx#1iJ+K6)LFx+8LHt1_| zXji3q2CR0&@{xZ1Zw4eU^h_v90;9~)^VO)4Opq7e0a-?p$84=a89-faZ-eqyYpl1M zTaqcvgdSrl{u&~;hk0W5FX&fKNP)@Zv>L0N2g6@q*We82Xt{WPxMpd!$Yy!=B-+?) z?nYAF5j^Y+zwdO9_E8P}@rA5qqvLdqPA99OoxS#4R(n=BLv<#PylOSVRhmTJ$Z;tC zPaVC#=k1-zU9=*KsKxqW3{^nK?OhjeP}Z4fy6<%OAok!^Tk%>OSQl<+Qn9W3of9)% ztR$|29`W%2vJw(@Dw2T(@~TlAS+k^YDB=CUm)md*rHNKp-X|E^244u{q5olx94{&^ zZKzb$M~RYBWNTAJ?3a^OnUW^WMSX&%Wdv_bC=Zb=m6z2cQucSTB2Ynbz>9OCg^i=y z4*m|c$kvj@$OEb$fLEKN`4#iOE!OlN2Brq9Lf6BRFgd?2xe&fi8*}YakS5G7m;!q||b5sJ-VX#x9OLAa1nRFH?7)1KT|qqA48g0>66WLJKqQmamS@NofeWf z9d7FC`NH|xNo*lU70!?KrqHE-kd5>dB(Nv!(JL-H+&`M}7KdPylqe;^gkk7ihMYXj zB_)-{C{*KQz}=^Fpy_~qb3N^EPb1tc0=XjAVfYFRU}gc0Pe}c>_Y~Pqb-1Y&k$#(_ zjoe{XvWNA<%XU+(XHc3 z$2GN6dAz-HZzO`eY2J!*ZU{nZOLr0Aic%cC5^Z@y*R0bOw}7SEoJr?T4R;igkIP0R zBM1fRhAbT-S1#M=N7SaMyPmRH-CFtfkdI%9L$EcQ2*^~BT{giV^#`$OmV|klrGxk7 zrHZzh(go?sWL-lOTODR6cMQ*TeBP&4C0p9bCX=IcjTeWUK-D_N>jrzxU@l4w&p$#z zS@g!7cq^8IQNc_&)c11ZpiC+r)fMC_vp&JcPiyUZHij_~dU~cYjLn{QjC}tX0Cqj> zI{8@}#aX9j`K*B(-mc`lOfg5gmu1d2k|HRDjETXaix92F<|a!f7FxrT#ETEei&k6p zvosHb-mpM9*wIlWS-ire$nq#PX8Fm*Vw|B`Vfqfw1aC@seq*sILH9>KV&TzB`r+;< z?w^{CZ=|<`SOD{nrsvp{B^j~1hW2|HkDu+*N4(vEht=&ehF!5=a6j_^uPkWZenZuIlJZ&axGeIy@_2Uoa zS2PilO%7gm z0ZgP5wsZH!oRF*+Y}2eBA<{tfUEPeVaTHeVyuB`XJC<7k%M;?kdDK9!2(TPJf1>@2 zH%+2KZBS?5W>XSp@9o(Az-xD3h@EiCvyX{?onq$z476x1&Ngq%nfu58O^AaKvm|J>}-U7;s`TGY98_w;dJ->liC5uwwl^*C+~_7T2xyJ4PhjscZ7 zSYNmcbI14F6D!_5HrKyMba=ihJhR&8gG)coxi|ExOL5V6={3(6Jl~BQ+}f{J`UgAt znx_JeG6Fci5@tKT+u)T=2Mjl#oA<~;v|bDRt^aK9(4s>Pix=IRty7#|JSJQGg*K>E zzAQQ>C_nS}P)>PQzgu@{1t<8u(A;0zcaQ#PUvkM&{{E})SN>}ri(OW7ec`pi;@U2j zw5WM!si2{_7O2@`0q0R^Enu^X5oafIwo%pGGHI>J&>v-x_O{@FN7Ud`^C9{pbVKJS%AKp;&(6gC>LJDV zQYpeO9ggpIv3u$PHtneWK3TSq5Upju(@8urDmqND>j}Secb2dE*vam5l5t*BD1a%WFdxytG)gpS73Wk#F$O|A9Uwb;WY9#Reb*#e0EY&t~+FBn%%$g zq8F*=$O`+A&4DdFFx9#mjU>sFUqJV{sWQ~xs|i1A*ySEERonOe+_xy%w_R7KRaC<) zHe!jE*`;qREy`TgBMDzmt%3WnMjt6dSZaO2eiLyKtxmm~53c#|HB!8Hh}!$A83|&k z1D(A$2>xBaGMcX$AGp>PSLTznu+c;>#Ob{=u7PtJ{_K+7-YWL>Iih}2a&Pv<+l52Z*Kh8_hN2RzLXLcLW5**Nddsc*MeB;AMB5w%`xc?(nTPR#LZzsm@`t` zp%H|k2^Yp_@~wMFy`*Vwn*BdO6C>SgD%TD?hLsCsOLnPdTK4Pd*)fU`c->ea{Rm&a zKY%6@tnP*BAzc>~`7H>i2x5g`8r}HOeW$;q;AG*4?>wqpFs;@|6vj&5N7LyV!EhLZ zx)DddNs`i|j!OHem=v66N(Ygpm1Ie&C!<3aC*FSexlzlA1dwo3l%^gASix3uX@mbE z8%n+L!pNOu8fT9L86rnV$!6Wc)mYg=a*)wpR;W3mCA-c>a3io{P@(VCAlrHqibIj@ z1}Tku@ebqHqQ86Qw0t)xh%vK(2H-6=@XO&CfTdEYv#ZQB#-eq|M_tysrud1>*(T5E zF)SZ{c`$UKiM8+&v5QL*0)6HugrB;Fly%7kviciza zpYP~JPSK81DsS(n7zlZODs99CbRrI-y4n4t7H~TVN}Go^Fm*=mg~*rM6Y~hMz;F-- zR^2zlx&!vubcB_TR@k%Ur5UD^Xw(D=7m5i`3UV+nRsGzTnbVMM#<@!jzw5chBz9ss zo5Q3UrZK&!TR87jaFVOlf9&Cp|GwruY)tXkNS^o>YN+N(*KL z-)kg3QX(=3=eI$fnjV9Zcm@GiIQ1Macd&SmmGX}-N>reDwpnR zeMxhRhJ8b3V#q=`_>$(^93`Ij5)atpv1*cp>&}1TEhV9Z$HtHXtw9TXc4$P&R|2Dt zXod!OR?c_y_KLpagj>Se9`xj63i@zFo^K6DmUux#6cfh*9?1o8BT*yBjH4rDkQk%Eb+xRJ8=)gHP*VagB8gDi^OEvsL_ogM)Fu z#u9m7Ly3+DMX0HpJo+-rL*$Y!{?y))#J~mO75Fg5i8kb?s?h@9x}iu!dn&B7)=>a9 zkfpPzK~Fa);ZUC7&QCabShLG2*5l`+%&w{NG=P~-uil~E9GnxpkM}U`wjmyo)qz*Bol`f{pWBnCA zwz>gP@I?nKb9x!wJQU{@!y^+Ro8#P^mNsJWMn%7OViyT01 z3nS-UG1S`j+lSosv;6>pW!uTcWQXzG2rtNrF_5Wf!57pfe?8LCTmpXY$9r$+*0No= z5YiA@w$et!6P+bS^7>|zyX!(*qx{H}08J#aidEdjjfEkQvV!)}+0A}0WCwfF>Y&|Y zHf_i8*^s9)H{`_Q>uHI9;Wq4xwdTiwd9fi>m)~OTx=@`*L#*Hu^%O$*AFO8$6vBv9 zpuGC?$~Nw*p#j3T6{7CZbl%In30qBaMy!#ymoMSAxYA1xR0BXOjz7T-OEGKe@*%Ol z=b>t2p*}^@Z=I^tf|44C*LB@Zl&L*yB>b#c6l8pWw z!!1h`vxR{ot?)sw*`y|$rU1im@eW71VL_F;*l$k>1J^SE zKu#y5vPjyUtm|kv4;GNVJ$($TUk3cPidF~&iSU0n94)uo4A77jk$RN+BoQ*{kurBS z)5v?)u53=4QhdB`iHDEBRuT`V`kJhf;U0Bclk?|?&F9#&jl7iX^!Ed&u@bQjOe~kF zcT=AaYpz$Piz?rl29nk>qeO~6O+isNLe&#LF}%e?SeF`kVR>F!3Ytaj)Zd2{WoS7- zeJefp7;E+p+YHb2BMhrNxv|)$q%FBFI$8=FrB$KzmVCCh;dgA-GUu2YuWZUl;k!!i5gS~$1KF5&1>@q;Yb0uW zn-7Pik&0nptCHg5H2gL((UL&lzxe$mKa$2ywvdu7iL?+8!1nRh4b0PRq~xv)p@jb~ z%2Yz{G-FbXnY-b?J2KHT@&x^>e|Kdb`+ud+dBD^z@()7o$ed^WmsR?|=zAo3-p;%8 z!UFc5^gSC8{w58njm1B6eKCjrAN=XZ|EKWz+5Ff4x9~X)5G2jj(fZ=nWBWZ!HI7%;=f(}3JmiT@#0ck^t#C4byYKrsQ>WeU zaYkr4^b?2gZ<-zTf&SZ~ePdR-*3U%xR`3IIeR&m5Ki*wm&KgLf8|Jpp{i)yU`hCOa zOC(PDz71g~QI9hHKuOBzGUj3wbFSij_dE6q&ZCug z$Cj4n&VBArkCUgDKl1fUett`3Y)0i@yRN=do$w4hC(U;yJ0ZsPDQ^TT90mdZ>fQ(yG_n% z4Fu$N^=G07Kk&;5F{f9~e_NwD-Ap_oP-C8bNcaJ8D}MH7AR!8d3$nK*q1DfP(|JJX$-k+YmRb}z#S01 zY+t-pylwQ+(gQR{V4M6E6u*h+!)Ytd&)YgLco`P)lz0~BX1kfQt+!(K>c?*$sP@UG z?KQbWgFZvj3KUV24H?yx-}>M6h}NeNw+QijQPgj%i1;uQwsQ3v|JE{XClNaw7Nt8B zThSv}r@OxK%HP@Gt}+OFY?byk^YhfLVWKuQ&ZCR(p>+zC`~9hrh5My0~$U( z2$V&#@A{Vwko@RHsMaH-Ns9#O+RlE~(~4!gq!3WJOLrT~Ux^-F7U9;x;MInc#Y?xb z>&(rhLtB(+i?R^?9#(uS4KA;^(mBiwD$FizgNd*kaJ89Oj^UeVk{`P zZv6K1IL^VDnkDh*a~^#9NUML zZ(D8hK`!xWpXz&ZKz0AUEy{m*2{G#CVXE$1%qo0*lb{u+cNRr1UOP=sE-w>8?|zIP zV|Uz^A|VLB57X+67M7}Hv1jsQ@v=4#Mf=2ipTnjS6`pZIg!v5kwJfBG2=4mxNXV5Q zAsn+!n)>YNHvZ%!b*hm{Vv9Aiu@=CU2$BJ`z;NrSk%1QKi^h%*j(1TT#V_kOXqrP* zf8&x;o|3E|q{Etze$S@rt0VC~I|ylxp^PZ38!Du86Wo35rjC+07_P_C^J?~ao#PS6 zl49fr>s=b-H6wNuC!xAfs74p~%otb`y}h!xr~q@nM%wiQYf<^)0?Dg_S4JZD-=BBY`Uszt( zXE_KOZ|E*=V|Av9^Q(WY^tDl+%JG(;L|vs7iH}B&jI)|ChEP#rTF3i#amhl1Ft|wV zt@3!_!p`RwvM8{1u`&#)#2`SirgX*Ms^csDY(sIZuX1nne&Hbr?WIcg{g#$yD>+KwuvNJaFNQy*_RIZa;sXY?-;^~%;Dnhixa?P z0GU1sYYejpbHj|K!YM>`Ezqut$~v^hjDq zk*rT6-5%e%k!f`qzA8x4NNyW8<`<-EzivQU(}kWFiTz%B4rt3oG;JB>sUzQ8==CA& zC_pU_jwnKxdEg*AQUln%(n1m{Wm1lK*YtE-aiHEYaU&(M|)3c(#sM4{80p9tL>pO`gEoiN6Xt!H{7EWd6<1%hQ%1K z^Q{VRg$0el>ArA$cE3~R(uj_G+f`W^+m){Tu|fv6ER}vLRr~PWdn3+Kt`vKuW+K3> zK0<9DdC;SnZ9$B~YP>vV41a$ziTo(kTC-PCHI+${a`+g^Y=p3qCgWhD z#p%Gt*_-}vD?m*LD{18^6J*rrrTgM>-vs!nwyyziWJSGNPOCO%OtTUi%Gp-BfSvAD zs<8wZ_i2c-{otKqC3KzwfW3^~`k9*D!l{<`2hhc^TK|3LS2LWw+mp&KrN|&j3Kk#R zEGw(|W~O^A%HCWeQ%}|GO>%RRBu)CmkCcRz9qys7yHr%|I1>3ZRI~*ITP{Ku=qb4% z3tT(vqTaDR-mTO%)3}$8vUomxE;H=6^tcn0v#((GcsU$L zDAJ5YVi*k$O5;#nxJk+ybRa^U&F%hAyQ?fWidjSa%Z0?yYS)&mm%fTMK5WTOZ&Q>W zPpa=T z7IzpqppIfme14}3ifL$U<^1V1&V|fydg%fMbR?_NC$?aq_>Lg9%u{`R-)eQe!q7%Y ztm6b~44X=aYxX6EIe5p856iRF{hX9u)PhPRSi+t2V5f2$3CMHziJiPzmqt99GF>kd z*F+i9S&KcBqv+LUgWrArnamHtXG4iQu1Q79Qh%p&0a=ZG#tB)8H_*t4^UdE$+e4YZ^)- zeRNwJZ520{PT5Y7;>&A^jLcs0m;Qni5KE6Sj~M0I=+IhCrQpV8>tOf8B%5h&uX;Nh zr9W`PP9@cZ0F8n0BquC2bLlP%v7&l&W-wDN_l=Fj?Lpa`ZMr@E!tJ3f`F_9wU8XFQ z0v!d27FDpRv2^OAcmdO_VGU$s-DWP#R>erWToK@$4OS5i(!S=B5Rc{kCnKtr(EB5RUS=gslHF8F`OPlf?7yVsD32B@+H9-c& zG|&(PQgVt;>jJ?3Xe?Y02ShKz`LaCP?<+XyOvPg2ys*qJJDXShm0WKJg+g?W=9*i@ zga|!ia;vlY&ha=xlzWV-$8%IEBQ?^9w2>b9BrbjYV6x3a+m}cdeyR@%NC6>v^HEuF zRtHZuD~9+AyofBdt-9R15B6Y_=|CZ@RN^ev!<6B0RZaHM^avv%$c9X7ee>UQs1W$u ze%$M0|Nrz*44?Gu`Kp&}Cnz8iO`5+Cc>P|$)uRCc zS1$z^rUInL{XaP1zi`E-#qXT_c~KaO75?FiW~87}AcP~v*n#1zSaqw)m%TBe4Ze(V zbmigE7f9)?p91%5H!w3t4=+&vXx*yPZOG63$2EJL+;V$!#YEB- zr!DiXyMuEsKk=ON$owPdH8(5C8GJKW)Z+GvF|9ws=XZz_;D^<~(}hd}40p z=Sv^XTd`*H@}=iS=ec|((SebsKRC+1Yk>cD*$eb04M*q59*HJ z_1&9Z|J#@N3!r@U*ZsD#Zozwri&vzJw*%EZBy$BAR-c-FSS+Fw!8F)3Rz9~iLT@Y) zc=I(#Js!ZbA(5&d1)J4-r5zqG`8Mxj%hoICkv$Qmb-G*=lmMm_1FTS_+e|J42CA&=RJO`#y2SvZZ;S$fG= zVFd>J5T+hF<}#g3)SLS*ZMt46RZCc3Sg5;>l|7BYBUC*hOJ$HhT8RMRX}Xs)u7U35 zRQ3qY4Q0L5^D;UDwN*`qsDe&=-67@BB|$$Wi*c8aoFOZCn)!bjNKPbBItshIX3C_SpBdBijgFpwMwazMU?~)`-JsL{TAi6Yp(~TDnOVyCGkPw>mf7xb4@@ z)_M>Q$Lv4WfA*fWB;&z{8-IRqXhu}MK$Hj3<3^>g%Bn1S^6io*xXfdeA0AZY50uI! zR|pBQvzjEIoK%wWTt`dE!Cu`*H#}*2RPMlnbq-B@q`2*rI28}8 z!h4>@vjI1@QEQXguXYpPCP@}QmkM%Z9sOvOkdmMSv*-nE_L`a{(d^)&F@>(Ha%0X| zuBdYDknm;wKxfIM5N$^TU_^mFcebyj1EFxyEPzzXa_86#>rR}Y(nlC}PIYgFv$}1H z@`LV#j*6X8unYI`)OR2V9D)-PH!Kqu3?o_j z!4?xK(|6UND8c}Is;hFg5|wy#t7TzL=q@lU+~ScO-NF@V07=k<8&GqRFdL%RnY5Sr z#oM#}sxy7w26DQ44gom0$Jl8_6HJ=9VPSned`xF&vFS0$h^QMnLBxp$pqp7a zF$ia3$kG$1Nj@(7A01oS)`<0|vh9!Jvi>7p{{o>4h>fJ4f zy{4n7;O=4=bXF?qmy0eskyj}rgfQKmNbf2hj@y`@2(Bc3_KDRQyII)wJEz^)gt#i~ zOq!UBy3Itao*hZFLhj`9p4~BoJ)BS!$Zm(48>w0CX6H!JQwJ9+z9188cM?{l1IM$n#rp- zR;_9FCUao@;?o_%M=9ZGxyO;m9G{mst8+@B?3-?sxmB|9>k+q!y0CO&p6vwW(SLO} z%iB47zi-awwG%tFpJ-nXYa9MHQOWshfiQ!3A`ulL-x6Xb*Z7h7Y#1Ras3MrV<(o#> z?VYU8^Q`Q*l{QLZlxv>3Ppyv6_5UZ@s%A#Mqn+P4D_EfkoTb<5kOdi;S=z0~3oHHFuuL<`E9Z_*P}CdY>fs*O*i?^r^}1?J z^H*@zLKHl>)AvUFJBUP~3lkvvp@9+i2ik@1+&LUR~ zsIyS*E*S%i#E0CW~dN?uZnTDr>z z*xltd9pkqgIINU#v;V+11G17|fj67fAc8w_NHshOEU~GQ9 ziSUJ6EMDrY`HM5TbS1YfgP1pjZ|-}JiRFnr=r6tP$q!V^6bgyxoRO?Ei%Ds7z}3Pq zhyH3aoO&?ZrJHJG7GHGV7=s$0kY1b$8v%G zjj(B&&C$)`$5%K_LwbY>5lu)e7~t$p^He&{L!MPlK~GhYb&f{YXn(xXx_q>ko78q5 z0aICl{vZSAb9KZ~>N5u^&%?aoa*)h6U|?fLc%cR89bcYaSIIPzA4R2Te!6V#X4#CL ztWfUKC~3bui`lR&nh|`lK+W2mEqe4)rzdXam-k%3`Gzdc1@klrkB<-Ll3pH7hed&D zqIV3YH=uBz&lG;|8&2fyz3{>ttoB@=39!i)WFz#?F*SRyTFrnmU1QU}P_2=wTh|>yVxkCYfF= zqlrHjLVRqv{F^O_%OaHYGNesBl98bQrY#opgLk3W#@!oRsP8j&U0`Nne<)?Dkq^bi zgs%mnzg4848@r6G8$^AWf$}YQiAa`l-mqmCexBK6SbdfD{0-rzQJZ6g&)U2e2gC56engm0QmVRZ-yO6QNQIc`B)YO&>yWu%eg`Nid{`iZVt=WAXo~mrF&=*YAAZn?4XW{n-)5QIEfo@* zyre(YQL=!}{r9Um3a+||`|imTfZT2w0Q*~YrckD-jfJ1cLf`0aXxm$;RT<{RGP;2_ zp?seV_tPl$vIuDsolj)_)s`CqV4yJgvO5k-D7E}rK@NVS0O^2M)5GTNK$?JfO-N5+ zZ*Iop;aUd1QR*{2(n5_`f<;1)Dv$&jd|KQ!8CO~|aS?iz;6YXmc>aZlzS#U{e?s7`&9b`j&uh(@DW zZ`QoyLKFZASO)Fg6?QZ;e zO`Pyh50~_@Lt~$immF|SJO(w3fBd%VtqEKh3{q2@DktBm29XKo>w@igG|^CxEog(P zw%d{xt%m!4m%~;4R#bX(c6?~!vR9Ssi)P>bb;9#I2pw}46JDH`8AJ=0yYZ{||BrAv zXThBLzJAgEo38rLpSNk=^TFuXJi+&0=etSn`>g-oqIv(;E|*L~yDY<>@#g>3E}KjK z_W#guy)>fV&w8_-j)ER|3kS7cRF|{^U0nxO)5MdA={`7JexTd=AIoeGxxPmhM}2x7N@957VpO z;=-53vo77G-^x4S>$`)$Y@d}z6hZ2$@Cp7RX}@z?cYb1hWN7wN)Bnyzh0ZOv>$LXx zV`CeRgk6Xk{pIz_`K<#*hX0szjU04W-hRvXY0pRLFZc$#8mhDZo$HKr9{C{PsM?S7 zfAixD9g|;T-&NkFIzVv~z0Zi_$-Y?_@-)qYI34g6;VrZw4@;RT@BYFVBk>Jbq zgM+EI!He0aX0-c1A*vF!kt0-NZ@cbpP5WTBranPwB$W^AefP)b_qV8S)6q@^nrh0d zYR?v>zt-!HFQ(n`>hwu45xuSq`N1u&WxHF%+rjzpJU&+xA@)|-Cl2|qopq>tt}8u9 zN;RT#!DUdDs`Q?$bd78jIxG|Ca`RMIDyQS}FiFk3XXz3CRe7%k;}-S9J4C%BY(V2X z{fV(0D($V{AG(J=d{(0owdhyzQ-0C-lbVLRnWuv;MNwiqQQJ=yP7RY&rYJiq9Mx~21FI+Vcd)_Ni9m6Od~c5YOl0Qoa(EEo{9&9RF` zN>-1W+RsEaEZrxH!{wCT#cH6-!Q0OagY91cijnLt9uRWafA50nC!=q}C&o*^?j6}; z8r3@8aK|ucK!8e2EI<>Bh~y-kOdv(?4G{IF6QrN8ck;v~W4VCTh^QQnZWJlnOe-%O zDJSMoRr#Ns(ihIpxPRAT<6k3{%0B7Aq!FT?X2F1&lY80lOxbGa8?pgTL>^#|-YqwE z^PYM2sKTN@N1M?jVA2alTrX@w@1@vuT+qvKC(OkOf`67Nf-nfce@9X4qY66%TC!C~ zKhmzQ&m1H_E6C!7j=%rp+r5H6e_z~?h7K<0@=}4)d6u0<1s3F#J|mmr{3XM+tLIDT zXbIYNW^u_u0~45+S?A!V?~s49HdbF`Bvo~$=1KR+0?HW0ISEu_BxpAE9Tl;GE7X*f zov>tAfu*y!;W!$#D%WO*7OWiiYBF7=oM7gdqHcJQwf|sB9a>#lGfAu=33Pfv{n{c$S{7k;bg3x^L8gE z#W7zjezUc5O0vEfzH?c>Z2d)59E=j`aeqFd z%hJve0ydTP^P>#-oEy7r&}Z`{zfZBfVru%Z_?eIVeW5!k;w?x$N}lK z@{Y-c=|lk6-B|giVIEO1#|}3@IgUr*>wi)ci3ufq2PCez2WBd%<9e zTS~fS$uK>B>dBRY7R^qQ|9{DP6R;-FwC!IZ1Q*mUsHH`*3Zl3$Zx^kApjM?qg((VD z5t!OqTM=nhU@$;X19U-cEfH-KqCyDS6$mLy60L0Fv$T?>h)HHzk+OtTAVkRazwX$X z@BM$@9LIP}Y+*upp8L6$^ZcElCm88O4E!Kt$GKx5O4|YAei-F4$Jc~w`Krj?QB%h` zts5|*Z6hF@PH-d(7c(y$Ed+a~CW&!kFfsEB`6Umi%W%Pjpu%f7^_Qh8VSGO~d zfE&RGzrfX&%sd%#L1pB!)-ezQAa$1mQ4!hB+-D|5(; zwsk~6W(V7B($|^D?^Q<5w)I>pc!dtcAia@(QA@`pUNDJj zTng21;J0q{$Wi4C%Q1dAR-G(BrV}k|OB#|O0?Z(J=>eS*=kG!Ca`mkcO(@I#Y;Me6 z5AEJ4ke|~E1krYtl@#s)QE~Hw;^Qrjp~hl?Mh)r=&el`|cgbtH|H2e9wj+-a; zX@rNuiLEHi6I{f7z(7*N3{nd14=IwPkVo)rqks$OkeJ{}I%OVcXh2YPH$^7ekv*Qe6Sqa6U7KSL3A+v*VYwyrQkM%-%xT6uHhYO2mC-irTMC_pM zNqKIturf$zV=OU+OEDfK$FLYMfZ!#i;9}l*Wuq=V4TW)-jD6t39Tc-E$p**Tx{fP- zeb{v*qAi&AW}?Tf_J0OMgh=yjlBz|PHbi1Ykn-|_4|pr-fF&2*lS1GR+8bsuj;Ei} z@0`*=yRc>$zKIaX320E!SA1NxA7%aa$#WF|Zb=6~t<46cu~ui1icTXa7A=USjbt@F zufNl5Hj$zpw3~&n4Uv9|SucA)@bAO^|6}M6VEcCV>tMaO6Sn zi|AO*QSjHpS0$ni*yJGNz;J67?W@Y&dRn&cd#Vl~1)dB#pV+5qj{&s~YIl!iM~;f$ zovU=`GVYifJ_4F5F2IUm`WMGVPHC7WPMVk=z{RQfN(-*A(R(1ZLeoYz zmWD$zbgN3`z<9B`)eC&X)jqjt_CJQ8KVlI)uIj+RefJaLqe+1Ov^XlGo{`JxsgU8O zo*yIww|sX)4qduvo5TUwe)J(raZxHikX}e9t2oHWAv|BxGqltGfOU3g?=Yl{Krfy_ zhix6uVncHU;N?FCjT|JrI{8CJkd_fVoAm-C1d#orK|5=6!C}^Bqa?~)>fd7`guzI5 zPAl0l5E=?sE5I4WWatSKD@@f$zC~n_udHdg6klzShsbqz;r32Kmm$GRu%>`%Hp5&r7gQt)x>f&msuPB{>*0e^PstwIBJdG2t`gU2 z<}>l1D-4xk87N;Irm1e%3tmP3r7w?k>&qLy3sVFfge1~@jTVOLnm1bAR6xMOB@3fn z5_ksa{>x!X8S%93)lKQ3Z8jK25S7>#4l|Uj@;g#Gtz!BLF;1X)Gd%MOrH{Ij1`LtY zdeYOFR=M>qki0B#dGe2h!$FRpRy~FZLfC6E;TqnDP`Uqu_LwtKFS^8YS0F>!aBxG<)?uQ)`1;jOEj*WXry`t{hxSkUzGQdm7tiJ=Co&ZDo@k7iC@- zl=^cU8s+~+-b!*&5E{vLeoKSwOj~;inrNFa>G0m5JTTPFJGr4%(}>+niMDGrmBl~l z3L6t?_>GXnXowvgDZRsV&Ef9tXa#5=XlL=8TC^%~>d7-^Y?iIBOZxc=ji=v@N#$dr zE|Xl;)K*5QlOE;~b4S%wvyBuq8_5~aPw-z0^5@>_sKjq<#vOW_?pXKml;46aNM3$6S#-Ns%#Xn-{r2iiC|FfImM8Do92$1${C zW~%%#6;V-W*d`7x7#L9;awsLOTJH;H+*gdOLa>m{!VgrqHCBRFc(tY^a6lbL`s`10{A(sQVr{h}dT^6(2f93}(W=%%bJPGmp!Tph9JL>^;>aD=#F zpZ2a4E5Cdw!9)`a)<6}`Ut6LQwjlfv8-_8Az;M?mhKHH@aT{WU%yU?;q?p;&`8 z*CSHY@4$Jfj z0rXKIMut^xekD)e0GBenC`up(F%Ta_ zhgPyW#vRTFzAm>2u@mCb(&1c#dkNgf{FCSG1v0az3|YdBh3qYZ(8s{$QX~^!8iAZ3 zk*tw1tDD{Yb7#lx^U?B7v&?7+7Th^l)jh!F&Bzs$;3X^Yr#>^p$m_(IvL%{z)g}E7|Zu_1B;bLOdOUi1W>%8STQ+t6rv8{ zV`f4%Xg1Yc18t8)3d_z|t3W%Yw3aGm)ltJO5yT$)CWyETBt@U!m`DTJSeVVH&5b28XY1uHPa!8z4rz0mDcDrCo}+*+_WO`1{Cbu$kdtLDq2_>2HWFDSIAMxO zObmsiONlmtlWk`rY0Tw3-yMSeXr$kETHa06$UqQpE_mcnpzRD?eO7LvN|=8TLTx43Nkb{$;j&p((?4dV1QW{41#P>Xg{coiU*&=v^(x2 zsqGA`;U!D}Fpt7x-Q`GQfw-HqM5jT*3*LKIS-J7X-1UH9f98thJLE2P@_l znMfV*Ft2V?XYr0gS0;N~MfatfkfW8BC57t^YGqh`ZWU&u3l0)01{a8|JXY>73WqG) zjuJJ6<#pN9EA!~m;?G;*K*^A@wkAn8v7ltc1-|WCYFY#E$3QWCA3Q6#m+RP$(XrhHk#`|EOR^r_&Q)cG^~c;E+DaU;?;Jm4thQ_glg)I`&j<{hzDNT-YEjha0+X4 zzgg7ZrIVe%q8|(g-K}O{$&!j8Fb(fNgkPWR*ge)LHrBV5Tc=0-V}ki&8c-+s|-=^1vc2QcXaOKME`rlD*SDDqM&20h80LL`-7c zu{Adg0;3n?K8YrV%I6N>!$ftE$_Wyl!?;*a;I)!`V^TF?3a8_4qp(y?ijpoB*8mbW z%IGAkLQ!Sd2Ey;0?W zLlyEnMkm!j#aXm4|A;Jrpif%)LDRUVDD0UOf~*B{kFce$__ncM|D1kw1YCpw=IB2K zisqkw(~!~;Ocd2}?yE*jtRKR(dkWG@mvA$rC9~C27%6p*5R!gYnj=hAvctl`M5@Si z7&<9C*MC>aC2opM_^U=ao{|L(VL={@u|WA^Rt2i)pl(#b-Hlw>N=zEJWCR!;5ry|U zN`UStfO9Q0Tlj{`=9d5BRp|nBp1}523XV;qC5?AIqu?AUu5t08#X)yS&^`u}G`7k5 z!&^EQYx^#5J@@LPBl^`X-weZ9S0PlC&WeYCr9)ivx-O9c6Af5LUm`VVt9nne;&@u; zC+6@J@;YkL>F{}-3$}F27Nv91e6Ia$XgVa8^5R#1kt>7wPKYMrH_*$Oli$3T4lsR? z*&h#4z#Q6bR)Q^QT(mt~(N=8Qv%{4rbBAM*0CppA17tX|9>G# zc|LZ=T&gb$=$uboTTWf#86HSo?HMWd{<~N7BJZUClg$Z}ig^R@%?|wUZDbea>i_Me zoNyy#XL!tb9uTr~JbWq3RzlDB?Tk?O3UWEDAX6#P)nK3WjsXw|EFjCge>o31oC#p3 z91VhSl*5*JLD62+HsQQgF}~aM@lO_Q8(ys0>z^J)Sx0SoX{LT*#F9UmK1BI3XI+c@YWn|lRj@qoUTz!cE0$it{=tHvOz!8TC=RcoENQ_-2LRtriPkHjOfJ*o z@V~!I1$o^9zm1@}pXV>cTQiViKehcVG#p2A#cKt@dBb@7nc+8^j`R>hB$`W^bn-t(m(rbpC#$Wb4z*Gf(P0WRT&}19&KAv-JcnaJ68b_qs$kgKx)t^C*$TB>&Sb z?~pxJY?P4#82$P@tT2Y$!)E0xx}@JhV5j8Tvg{Kl)K7B7zF!FbicyTJw06s%6EOc z18TVsgRuVkFSZ^eMR&=E-(fuN@Nbi){;N-59y*9_YFu9O*`b$&_{~0bM>10#Yl0q? zpNCZgIqN)8WiDs70zC)sNKz6aSTQQ`D#W(K_%YO9;O9Y@7noMk3Vb&KW5zv+O=2#O zTbXYJAqO`B6ru2C+Lig%thQpC8gUemcjx>RNLFr%#l_cImLz9_8j3>BRyO2X8k2J_ z_J}xd^;f<);6}%0e4hrXL{EdSq~9!`s3&XqoTsEGuNgO-OVnO|8v-lW1aRrB^Ok;f zbkhT}wjARh3dO4`+P8v)y{Z6$eJAm2BN$E_EPm1ZOF79e?>MVU0X`sXmSH>?r2=+E z`l3y#0PBCosHl=bE;4+~LPZK__{rgpv-b_UC&y9~S8?OKY)9as!F+dCb9%Xl1C&^2 z`Fk6SH9|FNu|@m;qNzk?Vg+UxD|uPdKy5Cw9~Lm|-+2AdKl8sJPrPTiB=TbEZb z=34FSxA#GbOvitA_1TA-LVNJpDJ*WnC(29pQ6=BJGI;ci_Y>rf{)XOd&681B3Lc1WB)|Ezaa7qkk^#>(v}!YCseZA003b})MfSY*JLx#w73Vpe;xH0gpp z*^2s%L}#7_X2#H1NXS0o<*D2bN8(ca27GsD&TK}UqUXp;lZOb9<&_hHvdG2YiyK5Kxgk$NJJl;)wE4XA1;=FRo&6VFbdZ1Y z9nP~5UPrYxk|3xgYUKiw{c#*vr;~}29a+Z1@H4h{o`Xm+P_*7Ggz`W|M{=>s>hBXE zQaDE?3IX%VNd*0ZzlKjPqL7A5;8F!^M|GG63Nk=LvmqCUlvX4F zg2dXVZWG7a^YuvIcqEze8vwzCL-)ERm4NUMvyuFd&~C8(lZDbpzx86923s38oN!EX z4#Sv-40WaS-{k`E<2%^FPAeb`!JR2Ve5`;&6+Z3?Y~U^->COUHUnEU#VEY6BGwC|Y zx(CJSGYWn69`|+&_=ZfhAMBTP4+k97twh(Sn3skW!RSzp1_3Z8MrV2yp#ZRyc8nBXHj= z_9hj`&=UGVHVDC*w6e|J9a00Tid>f>*#NY5!RiGKl@y2DUK5A`3CpNg^6i$=whv%XOj^T-EBN(Z#D8K%BB)!oo6e+&yZL^1M;~0>Gg5L z84zZ$A^vf6vU2Ns6I>6W8| z;-w>ac+2s>&@NX#&jjSh8AE(@)qT&UBw-O`eM}uV49xX$-%X1f5k& zj>42ezJgfWec-S_vtRIK>J~=G%Rf*&L+kRx3WD!9OER9(;ABhpmOI=7SD!&sRt<~+j%YpDFB%_fYQZJn4M=7IhO|e;0`jjZ|mbh zPegEXP=`baQjko_;i*Ow0$Rt{6@ehAma@W<2REn$4oG~O-jS6A!zr`+wm2EoM(|zb zaYyr90IEujOuaCrhdHE4YtNyZidCkBOCA;`tgNjKIdKE+|7ht3r!*^21BQ>9^$tbD zH`z__?)KXn#r@Mq@mePNGAztGi~k0Jvl?P8A!dTir*(|DLvALE=WO~bQPqK6PZDov z5<=*4Lm(Xl>Tti)h$^O2o!{ul;cS&o>~y8OHBOGUBFnILN&s-UaTxd8x-X7G1n9uA z7E(|_@I%d&E5@@}n>Ehx;f9hi__2b+)k}?69-f1rhOZkSuhRu%g z_#mT2S*-6G`G#M7htSkJjXR`A_PEcu#b|&`}cYYO0)HH?hUd2)ROig+s4rf3Q3H3`DS#ikbFeQLgLwK2bAzJ(mT0F8PA8?AN&^!SgG^^ghwQ*ycx1FZe#&XMpF+ za8hO|fGtz)>G9%mir0=gnoJ;(oc#@5*oG#R?mGW+XqXDpfJ^PTtK zmrwYJAX?LV^#YAIGhuwe6Y7_Mhh3QNUA78xHnSJ_MSIQj+qJIDH>&3e_0^#T0dY{e z#Zwk724xlhPf8%L<+2e$o97w07X++5CQ5K@N=;y~g+8?1W#)P8(DlHXos7V#VE1r- zHFJkLPVIuYnCa=)+Lr8@jGJ|D_uRJEFDp%k)_`o3JOb_EpH02z?_6C~Z+gA$<4rd@ z&Of*#Sy^w}L!C6YwvCe5Cr5E_NwWV+NAtpbBkcbn0|5RLbQS*aO6}rzExmT9rOW@C zc9#P-YA=IU+p_L?mG|w})WiCYt|MsNT=MAvR{ztLGLny1{X$u?66b%1AzW-d0e`U% zOoTOU@bfRstNMAt0>5Fr^=L~wBLUc3CUHm{TAes&$Gy?2fq75{V(Ir4tJ3ltHE`9f zK`&Dv@#364+493*zPs?|`9O%}bn)u%+DNiXrd-Q_)UFn+axE@6jMw#0w*G*#3&3_q zjdo+if2bpul*4W?5ikm6Cm(d4vkDftcR%FN`EKn7Eq>wW8`w?W9^dy8#erWdjhfpD zm9QBM%(qw{w--U70BeC8{Jrq302Ik4wD`%yZ-5?kik?-f0_Te>cVGFx`Z^9CXLgA{ z4D7rL%L^cP*g}#CZxwr|>H+4NEk}08+&VuNE__55-(ww$P3%=iJ7H5%&fXM7V*Eb* zS~p~OP`6s~tF46aP=VK%Hi84U4s(GYIQ@(Am6#;icUz+Af+{1oxJKX`Ay}tYL18^z zs3WQ%-$}5!tw@3J?3+P@4l9=LLAfbyt^M(n>X!;GxN^;84TKyg%Fa7s@YkJ@S8;{k zO$aN94NL>(xz{?0aV34M4`T-iK6n|M)H_BSiODh2DqYo`$GXhqdZf2;}&@z#yj!f zNpfR}qUSuf55~~y?XGM@Q7{h-m#Rz(>tZ&js(_jTawkVaWRxQ_*@g=?t+C%_Gw&#- z>$N-WhcJ)P2RNS`4E*@~Q|?Z)df`{uIgrrHs5+#q9~dL99fzlsdwF(E){WI5Aju?o zbvzG4Z!AI~y`eA^JB)+i1l-(qTA}?X)6I>q+|1SNoN}1jig@YUT?Mdtli!CSq$7u+ ziqylh1x)%-U=^hIcGr$n&bFE_4XL71h*~Z7W>_dz?P8a1Pi(g8x_Sx0U0nd7)Tz7m zUlagJmpdj|Z8a2A`q)uX1ESaZ>j}ZQjoiNr=+_|E-aF4v{_tlxGF?H&n7c~hdZq;& zP>EK{LiEA;RwtVt6P%~QY89u@%d{F!92~g1LacUL4XjsrXOT<`K2{mVhfMG?mvx}y zaJaNFAaS6p=7a(-y!*xoJL(cAO@_6$0vUDY=smE1_7}}w5~cOuNAPL7M>CuBU#L!y zRsBS9zlr^pbtU_6Q61m1@mfgYcxydUj<7bz^5^*-i)|~CE|3}tzy0DxQNqRxTlPcB z14J_9LaZR{)~+T_2j)o?C9`yb%|_T3=*d4ApM|npV(FreGu46RuKn-McQ}$rVOTbE zZb8<_%z+;0rxc}g64MOxU0D?rfz1vbJHZnY_`jejSVt${%)=?exO!(LIW4f`KP2?A zJ{neuE4N(K1~3f|&pX)ao$_$7-1Zk&_mYAPotCpG7F4FbXy`F+8+0 z$!itiDVPvFS%uiO#QxSPb2iF6?d+NY?I{Z;6A#+X#He)hG$3I;<8U^f$Uqy6z7da8 z1qG|2Jb<*{8cwQEBKr9VUkKHt=DA!VMD)JSekgr$Z5A8aa3Fp38YT@_$H9FPsz^tT4-=28P_$ z{VzjS4y?lo{sUXd+xSCM5aq2v~p%dGhEeBYF1t#uQ&VHTClAMO|A@s?fMRI^U*-=)a@D4=D(u8;^1)3A<|YOO+fan4HCN6aBHJ1S|4_<%NyU)!5U6i+$YE~3b-3Ie|t zWh<7u#cd+8LJ*{78Sn|uY+e> z9VR%H*z{zxQA2g*f;yr`yhd6kyq|;#q>~l*tbO`7<&rV`!~6Rj|IRS8_MRA! zovm=fnXo=}aJ^4xi;RB%Fv;hPDL-JfH@cL1Nn@Jo_^_NYJADef%4r5al3T@%y+PAt z;k9Ojba1v6HA9=akI)Y9UCU9yA{v!D%|K+IRIB!Yc3NNX?6_wpY}Pe}p6&tolThg)0k=%;-dMj69-0JU_(a8ye} zeI#Pmz7NH-=#o};)Sh0}W;TfnPB`Jy#IG6)D`#gwRo2INDn@O68rfn)hoo*@C_KpR zXnvPfx(uiTqjF4`z+HMsf{^mI_Lt1nuNu(h(mQc*g` zvc^SzkgUS4X{Pqt7iqB!akHJ|Cz#oLat%sas4=aSB1H^LL&sO^TU2!pC>xE~wIKkc z<_Y2CI*xML9oW_T?r|r{4^0=q2D7~=9rotaLvSq`VVpRWd%>RW`fs~N%$PCz3iJ|b z|K-ap8$)VLs>d>5se&hP@$yMes%z%b=RKaA;knrPDJ7Kh;tuaXhxgtvYH&8?-SR1~ zYg9nqr@-5Z- zM9CKChXnR|`d?XbmK$(o>0M1g;GqBQ@KctxKD*X0oH1)jk3M!)*5ByWo*U1s``50? z)!)2vF>vCI#2K&MpS|G{W!VdJ{Ac`q)~+`S-{{(?t{&f5;k~`ed&8Xu$|oQ>-~VKT z+WSH@bt6DUFCuXCn&|H~&mZ*?&yQVTRWI7~=AY{pZF=c%4&R7{6s8YY*px`W5pVVn z;X>Xf&(r6<7{>N{{AWe`%Q(A-vSdA8CCAy6?S*op3VxbouIDsGw;SW~QKE-wFl@mS zNXtXntLj#uV!%CK1-$!at;1WmaGL-f_$@?L5)iC5kI0x~328Oj3BWxK^ zwU$M4-8Hb^*g`9D%}ja>*MV22`Qg#d{7kZXuux(xxtodC==w=`;0fA-cul{NhinDv zOU68Hw8V<@_7eD2Y|U6DUYUxEDzO_{%JvqL;gpYX5ltBrmcUPiO3kxRtyK_J9U#zNMLO-z#^xtp|IfG z6rCI@RVEakWww@_Z!qW$cC2~?GNK-Wl?fbfI{hq17IUU^^r7QJ>cshS&RTgZE4*L;^J+@)ep*R*+HwqE>*3$H7|1E{L+bJCn9#JYxK7z_smHEPP7$@>c85{Gg~BLMI)ZmafnPl^y}-`_$_t>)$vaN> z@FAkxfz_Twv^i7f>Agci^b8Ucz#?s$M(-$+XXZ`AlOvgbVaf>=V4M{4Z-*Ut?Sxy( znSt?Bi0Yw6>?bWevcR`QBu`y>8eXu7k*Rrm*wEnsnjjxQU3;=?42X9X}4FROnsY;ELRqg)a_+@B%0bzi(_GpR**vS(_o9H zNh-c|#LE3Dvu3LV5&g01(Y2UII*s6gQ}bf^gk##u3O5+{k?yiupGWZTYL*yXjk+CX z1v?Uk)2nG&Bfa~G_?8H)GLsMtpwT)zB@=lr;1|!ieG2sh@LUHnZehmb50E7jrisa1 zq>_yqv@m5LdkCG+FFrqj@n(1z7EApucxpNnq8 z+gwKN<<0M}P*BI<`Y<#yrn(#739`xMEaQckr)&vdVL{T8|JuRH?` z@t9+y3QejfJ5Q&9dsGN?-sHhJ4^$*V7+mAG{S(d{*Coi2MMdKj)W7xY7jq%~JNwic z&LPW`{fBzOYog-*s~dX^3>)KZ^LjdPf^Z;5egoBOO*i>6O+{X_M)naxC%Ix!jtf%Q z@EV~(%=kejV9u{Yss}_i3XUZkuxmnOyLJCa?%_04-m%ekE6faB&XZ`@$h~Sk65erI zs++PII8j*uQ=e9jHGtow@kj1Ldc(M|WYM_ZcHJ{(Y{Gb)U3S&Zq4cvDaS*L;zq$SGrxSUn_e1$}G zF`l(yOaD85F3m&iTnO#By|A>EhgZRg-V1{-05B!1a8Fww&Nud! zyge{&DxIC|#IC{g?qI#@gmqdBBSP{TUGV-}e}U+i!K61F3bge9M}I|~OYx1RuBN;e zJY)N(GqzGz?DG6Obuo2*3^m&G&7UZrBOV0Exdd~(;L9`NzyJ8(3ao!WDFOx7%+;Py z=6QS0f|Ab@{5Ri#0cYHM!Hgx(LFwl^`R&ZrvuFBwtN{1TZ0eG40c7v73>Xo6y|ym# zTJ8NM<--0a)P+G&|CfD5%^(jCLIw?PMU%)-@gi^@YGcG%wr!8 zsqL=ga06@33yP;3KIs-*{M!s6yV`a03(9l5oDEl~fzb}R@>wy8*`@x#ak!1@(3^fA z{OVEXxhlxJy0aEHG^|{<%)@Kein>sbzYY1#pZlZjlEkv$`C*^5Q-eO`6xR8v%H69| z7hU7d+jb;z5&axgrA_`V11lXX&+pt4qk{Pm;`03X+gI~L@ zs`FpKe`wRJZ~wQ0vh`!!ufF3l^-D==XQ`y^%E<+On@7eQ-n;nX|JYt=rPC69-!V$m zoqAja$y(DJ+Do3{Z(3zA@XfNem!iWghirv`?+`dVa;A}1m~3fPPYJSOOYgLtha1yM z%&6$9|2>fGUHfC}zmTx(Ueo)lS{@zHhGbz>!TQ5(@rLcrR0GpWbn*2?U%qtx*E`+2 zmvBrE-x_`R@C)PTPum#-kJcO@Mf#M1kM)ony8yV#|}vDnbz)^;a> zdnM2twL6<(!M-}+3aQRoV%3$*2SQ)Vq;8i2Sp`_LKx6ZSAp_rl`5_Mc`t6_^!aJoW zsb4mAmP}Bio#plz$^~%o`5C#hnez`D(C~0^#HkeQI#7`cFg`+Tr#a@p`U`}dkZJ}> z?G)!6-geM+LhQ~UeD;Mj#x15ACWq;`C<_QN)34zn9QKPGq3QD5c^A9uZ^gsVtB#e{ z<1X;o%(y<*KU?ZaFV^?xH;?PjsV;Ac+P8#@izW$Pq6%1uyUE%q;xlmd3jkJ;R7&BFBniQ4}s!fSVUA6;({KGAa#h4%2;Y zXX8~Us>4J|0ZAkomA9SEZ&pu@HgdM0-6Bxn=>VRq06&PQJ`ETD^ARo|$N0V2#$i!; zn}gkY>TU_+E6OYa`=vWoxcJ-1SVEen3*4X!Vrt&)2Ro6N$qZZqLf;m=CKD7a)a|*g z!aPE}pSnG(Vj{@lT~CBWrHa;%(=)Bct!_kAhQ*8(ctBk0Kn%gSYe&RAX`X9uvMqMcyjr~Y?HB(QAq~NCF#(TNpu~-Z9BlW5 zhp{4s8<9W@halJBFJPPuW^UVv=P5@Py#{io$^c&in%f>Z-MuDNl+LvRz0t<`%iy?h zCqQ^!gG2X&|I=85!WD3>|7onB-wxEBxm3!c7d-tv7F%}Bd|}4Rl$GDjp1IOv$vfke zXz!TsW>G$%Y!6xJRp!n2PN96c{E7EEHst}7^!vT2AIuBTL#Bu#X8zCaoKod=(|jHG zMaRM&N1lKAb=T6(Gv0gUlw;8!36w?N!GTUn?aO&@dO=8~7fub?Ly6~6S@U~6wl52Q zHNJn&{_SHcP6V%f^E#!)HvgX*Z!y;=#;l$$iCUS&F^CEs7XTIXXwYWM9@1%8v8;MG+fE z*_rc#=tJQlo=IP7cG@jH=IwUjjwxl8N9qkw$mtgkgBhjcy$PmA_Trhcw5BrGu(Z2% zBY;e%bFm4CyjZzwz~}Ov$Nrwnpa(zPvkaq7XX%V@UBNt>U+)qgU&6&i$NjHkJhm=o zDrIpgdo9Iz0H$@8f{o;dk_l*N`4UUP1a<4N$+Fy069iM{V0=`Vrn=?~L(PxXwxZI{ zF-J4K+4kE%}wU@l}#w~~ePV5+a1tK8^NbNW-`0V$IYkrvI;}w%aW1B1$G--l# zUl~pFy%PM$hq@b%;%_OnyTDv0-rFJm4Ew^WeDqvCF5*$Q!a0HTw2-0MfvjQB- z_aUyRuXM$yiSP)9n}~}XN{%1MKqD(69{dd%IbHmSWsd^0FzKiC$^#1BZhm*$osS7G zJ}ueswW>={3ZBXQpUa^%XQEh2C&4}NRpsk45$nwc@|L)sH@l9rjZ@cpu7RxVW) zHH0J)dKLgYL+*&(QOMaA11*cr)P9zmq@DsWuM{*a#^ep%k{%+Y1rvZQHVM>|Bq1jH zaK)gU+1T?K5Rr7&p>#G#;~zhjWt#KVS8`3nQ!}{U(+^?Q1LJ^VT}g821VC)XJb-xH z@D9EM01b%Q15Bs{ss|eZFVE_LKl!LJUzXgAIeW0so zeW$N@R-~oRInV;#Rs&Wo3^ih2#+%m15^W9UA${h*S2fG4XPsz)CKA6^j<2ui1{X(v z$wUe)EjwyBuxy8|G~n>-W%$pkG_X^G3ew$qGY`^2MJrVP2Zmtm!3)lBHjq^hAi;1t z)h%I^SliCt=Rzpc5i_9lfnht{2n2Yk#??$CNkI#^q@}F7ZxC?2?H{=i>iNMV_S+=G zXT1}9D-6ag78~KEB-Eb7^pc;uE4LndvYho}l0+RV6>)lz_AUfC1pTmg8zV%yz1W7a z^TiF+GFau*A)Exb`r%m#DGT$L!#Y@`m>*=|oK6$?Mn(3he{#!(h4F5-glt=qU}fIW zI!q*gqelHxkgo{~D2on$*4qTMX#bhBOu;QXkilrbA z96bV916a+0D@^E^jjM`ljAh0Vek*vLs~(vGvKy|q!hF|7q^Dp%n+DV%oFovC0^ zmvxKUJ2!oY*KmY(`_b%4;FTlr&7`b^4?9^=!eMw;s^C_RIYe^mfFB?yqBxU8Lm#Xd zq;}=OlUiKaqMIK8FZ*E(g-hV+SLJx%TEza^SZp@}((z z_xx0lLNW_s9IgS+5C0@{E->?pxe1M5C%T`IP*EtDlqSh!X`76v5gMy^SW>m+cPE{H zfC>x3>sXO-x)TKitNc;8t&& z&OYy=%Rp+svCLUuq;-p)5Va38Ia@;maM5q~WRIMWej8`MeVDV0XTtA0%otAvDlh3* z{{CSAYk}wlpLJR4S1tXrQs!tTev{d8USWkynViPe>+j)dm5p9nuP^1U*`J1zQuh2+ z&6Aun6{JLw0tuTf>O0T4yY*q3;4^0CLDhYfLj&<~X_4C06uf%C5)FGfq_QpPNu||P zg=@;DlI0N1l97Gh4w*FBr>qxT*@swjE0piz9-PbDH17vqFr2tC4Qg*g>C#9`Q~O1T zN&pTIeClzF85Uacjscivi1PR0+`KioM8RCA?{2@70s%=75QvD1{*YNXe3+0(wF{&u zhyY!cXrqWrk56h>ge5L9yHhFJiYJhW8NStlVQ@{k{d%R#Ws}t}7>ADL7b43QKiLH?Jg3z59AI!rj_FE_vI{^wssDc4_mA#t1Y?&*_A^2DC$ZzaF;`0QHmSID=lxzy zF+2}KGDlx#4#PQ{cuBO4Rtu_@#bAMluC=slHxcue6Nr36*XJw9kEAgtsb?~SHWE4)@9mhmLSyAGE< zy{mphUzmO19o4(DVE%%O$9TKv3V{~_J=2KiFg$*6QtN=fzTxfQ$Gd!e7B&ZJmT;bPCId z({zm1&PelSf+mI&=d;|>C;u)8Ci8`)5Mp%vkv{xXHZZPO+l*nFpnMbi40msEp3VlM z2NYMC)6THnkA{>~Oyrt&Yn35JGXzj)77&TU{6pI-@2D;d+h#foBf-v+3fXGs?!wtx>9= zV#yK2l!Qq&FXd=HvRGTgkd)b`z0)7F-KBpfafEr20K#77#mDKYbFSgY(DJ*;oB(;W zN5ISj>8!PT0FmJp3HcLy28by|i^=r2`{17&CZQ8e9dNWs?TS~%Owv}Vos>OD2E8lqT*Q`1lAUt1 zU#zvTbk1rc{vxg8v8k`~tZv%tLZhgK38$r%?C4{InixU13){{P#`?aa2e)v=9^Y8U zP++l5!8(&P_~uVGz z($pBvwKy>01h{X^@{Y4C0JTXVmYmjEHrPrkC!t8*i}I30iB3oP7&uaZJ|WuABquC| z;N_fjqk#ZgV@c9Qpv3L{8h6SwCW=WO0spJfbTwlmMDNNfW+_`xPLM9mIATjJ_b6}` z%2Uj_xTmJ?M()C4eRSQ8W!6?qq&=kDBapM+4ToQEON$8?_4JnP=$Ev@yHd*P#;__Q zlX)U_7_16?;!K00(cp&h)a0Tu1K5WwsE>3{tHq}Kfq8UO`N4{`4Nb`aE+~*FbZ^LZ zPDzBOHby>L37ARIIQKtzW3U1htWWJ|m4S)KnXUJ5{C7pW7~Gf>Q0^U^xyl0?NcUP6 zxiUAv-+lO>so*CbYiD|U?DAaVxtKC{4R!Tu>UL`AI?CUvF}r5WqW;}$**xIG{>R@r z4!x--eDz2FdmuRa^l$&QWX^IA1QC$u+ZoSWX8O$V{RZZN^I`Zmd*(8a`GMmzXM3*O zL0#eH?Ke%?4HLoL)EK_kh3kJ(v$->VpzeOh+q=%=7sN~VzIbZha$xa@sAbvZWAg%h z{6?!NJ{<}3MN0tG^Up;Q(uCl=&1R-=-O7Ar%xfg6h=D&Q#>lWwQ4PwfR-hqGE z-gr_?+3LMcO-cCH5h|gcile-{#6EB1F_`i#58m{o-{a-&V;*781ydbtieR*M+W*~& z1v7@{?N0F-vJ5Z$Dn#QS9RGCf-O#^7z}lHtp^@b#{JNqnfD_{XYxbhA6#vj||Kxqo zPg5>tyiWCcVPD{pl%t1(KFZC?1Oo1%MsB7*Wou&QoKw`@;$!}li!^cO9O{?JNBswV z0@AYPASs<lpqhg{Z{$gkYXupWRQf zfL}P?UaVyF$Qe})z)^WnEIK|Nm@i#dc89r+GnDj36P$@cZD2m6Y|h&QT41mfuF;i61Mo~^ghMoCWj;C5fAK`**%!Zl z8iwcxPz~=rb?gjie*qwIdsB89&^Vs8T)K)&trZ_y&;RkD;!b=8=E>{6yd@y$!&VI@ z$q3~n_Y!=8k#lH2z3+0=hby>q*L`@^4;S^a?(I(;nL2MPXPr__XJV7tFemJ;`Go|# z$OEo5?ofNpnkeq?3T|HoQZ%>P*C^)u6W2}Z`F^q*mh3^>*#!RlkyWExeDQFC%rUF zO5gMUvGwk8P2TtaH--RKQMXm4wkTEs6%Xv&TAiZe0i*+k4LJ-EvQ;TnPMaDj$8^fc z7Nk`IS`)Ib5<?a7o)cd=BIX zvyV;=|8*3uI0!AA&VfQ*M$RYYny<#dV(f$oK>X6+uj~m6d2d=PElgR;P}hD4|Q$0&`km!30;x&{a9{u&`r)7rB{- zO4gR8DwUr*1lmT@K?d(+Ci!mPP!{VzE}R3-Uo8+j<^7G132H2deYEHg-*Pg84Xk4y zLY~P_|9ZhWH<3%MV5GDDtZY7qd#2Rl!Wqq*c3Ww<2w-@K`KkTW0j%YUM)Bb|CddR6 zKluYM_mu4-TDhLuM1brh)Xa|%t^d)mo7mSSbLiu%(8+>`BhOO6zHZ!&w#vZnZ&H)e z^`7fsrbUXtU|_hkvmE%s$ONcQ04|3$wZoW5v!Smo#Qp$Ka#NYA0d`lnHALA~7MGZM z_o#h%Gr;TQM93qZ!#{qhVcK`(bgP*d*XU5ZJ7=svSoatc&ylMzn(D@cGJu(% zvi^`nc7p3rW@?v$naSuZc-M@IEIah0&V`b{#7sa?hdPsCu>nT6+GWXwy45yphu2Ps zI@RM8M;1g0goM=e=inQRddWNa`cxntLI7_b$}=io0_!%Vf8&R6?U6t}#SHs+Y!aH> zD%D*{!v4w^;UBj_zYimnI^YS#!uyqqJuDs|_@Gvv7WLfFDagLGP_0kE9~=bL#!^yh zXzz6<33G!oCKp)micXQZ>b+;V>pE49EEP|Sf4Vt4-+97Z<72VmV&iDdimUWVv?WW6 zKUTI~Q+MG=8`?T`2o*5W+bSet976{3%1#;$a-xM<%I9{01=xuCR>!!@B=Vws~-6zznQ7+`~?EZ}Bb4XwzxGzcLhzt{tz;+WP!ewWbWbB5BcvMqm zUvvWUYmln}Qj7`6yX0QD_4=xh@&D~4h(B^L#K#0SRpA1!Ho;kc(b?Kop{JSZkF&dm zw~i&T+JV`pjgp{Z{i3jR`D0wn96;|x0->fDnS4r~=$sQrCa^w{X3Yi(x?Vyuz6cSF z>JLD^Z3B-$Madvjfw^SQ&8g5+GE^Lk0JpzWr0(u2KUtiEii!ZS*g4u;cGdu|E5igF ze{x7K!0=z>JqMJcOTAOKuTNmYM061QinDhPZ#nF)+5G(3zz^@d0G{C`LFq}mjB~== zkBdfa^7G@^YZq`S?s*=f6QuonwE2TI-+CyK^c5=#`c^zmO;;Fck5DukLadxJ_9Ryb7i3AOJ z>q@_roCVT2r|pn-9B+(+9dIzT)xg?pc7~imJ~3(6{r1lMs6ps*!) z1H)-2L}!-jx`qNZjCWGWc!-`GAujB@LYwN=)YbLj;O7NG645X;0frSipkhWf-JK$B z;mf6wFD8xzfV*uRLQ3QRj}HuM&eypLEiWpaU?mY>x{o(Hji?}gf%%n!(EN5zi7s$D z7YI>gPT5HyE&z*-?PGx-=T7}N(a;IhJ#q-`P?ZcxN&vCBJ{(HRbMhSMPe~>Wd9*FC zzikySYRS)=1s4pFxf-FvPt^a7JtdkVi=~N1)eUYG0JqI>kdVXa{?4+ef`zinp={=u zHNjq?&jD-_nHPxj;8Q5n&wPhAK5xra|MaGm%ARYxP;5??(m004rAG(SS?P6R=q@Kv z5eH|ZZk=`Iq!bJl0x&LJJ2>4CKFaX!V0G@g{(TeliV7eUI z-3aYL9hu++zqrJDg;zyRlE@TRQdHfQDT&@zNSEUPZrIxnY73EwcG8+FDY>l9SN7cK zI_=Ti?7&4=c(qXVh)nMB&1zS(6c_e`9Id0{F;i>F!|v3$ZI82UCfMosXsNS%idHjFhabfk8russt?CTlCML zF_3kkiUs1~SyGb@Ni3Ji`FAh?T?6vOmw=8ZdZ%5n0Kpt}0oTb!9sC+F@+CBTr{h4S z+^Lu;xg49M-YXN(HIP1p3ptlyyr7$`(N0>%wr1$_FrE^i!r3sX=j$OUk|BqzoYwAF zgGRqee5VurPA6f#ubu|Sc_gR2aiABnNfa_JlnA+CYJyx3pPi!BzGCnMjFB#FNFJK! z`z93wc`u$!wkEY7#oafoiQwWWUczFR0v4M@TRhCrLOlam>_`rz&&`U|Us<@Mrdosw z&KV%YvVN*}&@$d5Z2m(0-Xf||a*j+@76*bE@V=k@caZ7m5*Qm7>Onv- z3k1AN@VqnNc^A$Z!tEU+_`_gb^SMx3m=&B)LP>NL$o?TLSd<_wj7IzfutMvNdi#q!eT|NI|x~vg}oIHR-k6b1O(eHo$5!cAgsKnda~5mF#vq zo*Gf}qsOn^dgiIOc`!e`xXjbQUoG+8$(viXbAI)9=DP4BK|gpW6|MFQ_t>;o;QIl% z3@w6f*E52szS}{CyK$E3{kxr-xIl*ElPw>(et$k}%VoDS>^6JiTht9}y@H&gIF5&Z zpu_Jo5%qn~Q}v6!;S*nv9;Qd;|Qc1_WWw6dAH=ZAIw&`H@H`NGLrm1cCTC$ zz=)`RV>dOhDx6!jj=Gsy>F#+fwd{HZHBD4i<#98IS(rqvOsK3P;}+$G@Cb_QXR^Ga z?>vvKsPap594t!H1(xcABwp%JAPv3m&kZcJ-8Z$Z00%}<%2kT4Vz2|r&U1*IUT{k2 zEH4NF-Fc1iw(*uzq;@GTJw|^EBr_baqvR^{pYH$jk>Jl{^T)5;J`{j@wl|*|I}AjR zPeQi!@i%g}q5|IsXV6C4>{!LlGelEu*~7Pqruxb)`#@`7^{YtFW1!GjsM_1*aZ>?t zzW3~}#H`|K0 z`Upk3W+sDS0u7mwI*ebBw~!NcRar(N+NnTiHLHDHfwJ&X;*kJ!m$dF1AgZy!5S`RP zgN5nF^xpR30f?gqSad-~*%n@*6o#)zJQOsBY*N`#GCEZZf74P3hMnbRo?x#<`tKfF z?SG$BUO_n=MIZ_Farq%N-Lx!i6wp<|in@Q|p1(XhPV2gRY=e%TQIQMnsCmt4CR@ff z%y5pwX!vngpj>oL(ki+DdGy?I(X~hfhSsW*Gfzc(Y$V@a@zT^K-u*L8{NW&Z^5lqj z*KJAy(8>*SM_{3V+*yQM7n|kfTiS-d%F$&Ps!3TZ1gb*#2nVPexo`(4N5O%`NbgU7 zgz*+QC>54?(A3ocP?cz)D^m#j*lwZMN+bGDorJJ5`$@QpCK7Cx;?y*hYkG_c*z7}c zBQ6DRs>tkhvjA(bWx=5c=b^Fg{BzteVT4Tu5ZYnQb49Q=)CTJ__WI);WX{OHL5JXh z5Vez`k+v5XLgWcsz{3GCCIaS37kFWS$_<=Eu2v1hYtsK&>7vn6S$+%nhPfQ)_aYEp z&^j@mYd7vyXaADDI9KAU2WDQH;N?dv>)~(&PUJw5t$gLr-lqs&D`5CR^b7$@WIWag zD>Q~|kAsP1W{G!7DvaF=h=)^4g#%v1CZcM z0V_OTS@T|DvF1rCT+x;UPAI7m1uy8$|G^)+5(ugS?y4uxjh-I^n$?c}hMo%cz)%Bg zd;9pf1?Nv_{dmQ;`uBC|?BkMtMdk=_1z`exWk=y~0WN^%ktCUn5^qGV@})z^KL}(4 zNH8I*hT85MWFRE0B?N=8ZO>{vBRLbmpe@bTBkT@&slucNix#xXk)>mF2&7kxl>8-B z&ZJC>EvVp%5#a5J)VYwmz)HFv3hLYGJlSXC50!h7>qYvX!m7np+7?A~exphvF8AT4) zcLNh~-2nypU6Gd}8B@9K`gA^A*-HOX0MEG~K!hSn%qdJU5dz*BD0rX}ngC%&B6yyJ z?eQ=W&MN)V7^|nGq<#KtpPi2DmT{mdfzs^B#Dm#OslaZBoutKv@whfS_Nn$-{lGyQ zE=&dW86f26)Xp}oZ2=QTaf?nnh|e!WSY+L$oy2)k$L_!$oYN4!B=vKy89ajU30+(_ zm;fi4jdhfJW^Fi3vY{x4$mPZ02hc*p0<$@pEYXOEMT<+AB22(t^1p%bD2HBcr^Bp& ziVjKoX;LpE1NUqb21lKH8zt<)HlukbM zh~ydxg#l@ug}*KoAzOW0{m~5dA&p5@W`L?!2Z|zHBxGaRRAonCAeAEHgu?#+A`E_E z|Nk%ehesMG5i|{{+=u*lm;f;Q#eYGYb8Ar#C2akF_^$0}WI~BI(e`0TQfZpsfa)2$ z*DQMJm|k!#NK!k4mAG(Nit3*nu3ywH(!T5C$@|_1&l(=H38U zhFBR=;f@eO$0+kW$RtS&zP6e4%j7W6PtxHSfMQ*cd=g}@*GjeqrDQXpBb6Uuv)5vl^;*F=!Zc9JD39Q~II#h02xlRi9vM6-{xW!Y3a3g03o&eroi2Uxs$F@>aaC z@?KO?Qu)b=(aQUaANI8fhk;-$;=%YrOM@-v!KiK%XbSjUs*-rzF7TvZ!PfdrR;bK;B=fZshC*tBX=QpQOd=O z)gTZ>g~6uT4Q$&&`N|j|W%kRHQYUK8GshL@2ktknG{pDUB!~kDiGM9?S?YFXlA0ddo|%NxH|)8c&a2Z{LpXb6{0kwIQ6k`rD{q ze6;pZO56|Bv&}xCp=5Iqx6D5;cAsOf(#IsHp7$?p2HKEIACRB&xwG(+Tfxk`uDoK( z#s}12{B$~Sh#C;-wU3&-(J{q{_BzG0GtRH`8d|Dc|B5~L`0!JxTn(j`tDdDDRMA6Q zLJ@t{Y3ABD=T}e1ES~G&dWUvu))p33K;g>y=3(9k{+xYWzd@gpBl*^=q3X5eb$_c3 zYx%ub>*F!2*w%AL*6I;(^k>Da}|}Z zp>2|l4>U$=;+{2$Q02z!_Hf^-8kq7toyE+XfSI`G?PO*`Dy#u&Xxw?v z$~{EmKn=)%Ho&eB#I)me&xgnfHBl;>+Vq0%T+y*9Ejf^pE5W(oHn>QR3U@cS%QJz{ zeHzj(U;uW1ru+%-kOJYi0V|nw=G-Tmv^5mEtkOBvZ0Y~tL*o8hJuaFR6aHbM^$ytl zw%vbJ3-j?PoM*P79^&!wkLR;+F~B#HG`|(Y=rCD%{R%3$Ymjg%>8jR|4K0g9C zqLg*`+9ctnfLod7c%iEbfm&fB7nI4Pcw+}KNr0QEUsdvQ15p)K@`bJH-2v&E$n^SS z=>=kkjMQJLD)aCLfD4!*ZZ|JS7AA)buW`{Xy;XH12tGP`DAz{XPS7M_)($v{nrnbw zk~O0v<=;*bClqF!q=)zBErKdnz+YiHntC)@EhiRQZ-X(~Zl2sNJ*Md}<{uDO#rDYM0YO|GUox=F;k0 za4dfCU#+p5nLG{QC@fiO-r*dC&F!m8o7;_Un@@Xf_43-#OpSnpFv9KJ=hP3DQ`g5) zZ+FhzWR#is(1NoHUyvjaeeq|-+y|nPlMuX zvFQhY#@h9VmazjKY<@KCe?30je9eofmGlk*nMXj%$$( z)DW+2!_-s1x2Jr0&@&hKPp^BjmXyeOTPG-OVRHpx+m?ARdRV@*js_&xBa!_IeY)x3WJvhDTg-LL7Vx5?&xN+=ag zfQNEFOYwd2kaGJ$(*s$BW|D zg6gPSC>eaS7W_|=`vUDS%uZs0Fe8M&3R%QdT! z!&UQn%r&W=VJ4hUX3ySI9gutevl8W!tB7h9&%D~Vwh~=(5mc&zBU4m@J$KY{YJ3U442h=CP>P+)p5(FGm6Z->Sj$hFcEi zVk`K;>$20IuNYfq7~Vro{=ugZHiYJHdsV+!0E#Zi1HogESU9X8kMpnsOD!2bN(gsC zbSLOMcR1Gg)q*kz!a9Kjtno|A0mAV-e09+I3x_|{s<6lanYgyzxJ(;nWq@!)01E+z zvHZ&n06x;lZ7#`Cbj>RMAgo^-pWfHRlK%td%_2@>8tUG0oOPL4gG_E zdGC)+hafe1w0ErL$E$b-QS<`28PPP;9 z!Rti51pUNJWV(G4SuE-kf=6VWy>^^gAH^Bkv!-azWP__TY#w%q{oNIrm4A@QD$k~l z%5Tq!-_hoktxOxh8=op}7LYy^RJ4T3hqw*L8vcu}Y!cyrt@4Cmeq)l6eRP8Kw2rDu z_?n3GIXHx7Tj{wr)eXa7^&d&ua%`nF*I_IDd;3_`MOpdE#8l7*(Khr85|w_;yT>-V zK0o^CI~FaCb!>m_*7^%Z;()zT*;ky5d(qpW=of(!f7lOs48az#PeXzrGy?Rg^0E5o z+`os&J&zIG-KP3u5|2s+Ru!xu5YmLpoO3NL6rfKg7YdET%6Rycpb#rYE)>|;i^^~C z>YF7S`T^rQS?ivWOj2PVB_KC1WcR{Z?05y$)aqFg7Sn*Zfulov}tnCk|4QLpzLx9*M=@SN>^SP z*X%v$2rQ&IyIu<7_O>e(S!@~zSV4xbjDgvJ8Z7U_IfaRWN~^0lxci0#LQ$I?vTNXZ z2R`HH$8I@qNXD`F92l92L4%c$M!Yg@X)7Dag?%+QOY<%yV1VNbZFmVDUP#;4r}YeF zz-!ZV^DYT6q5UcTa54cR5EF1AKVfaCr2u;fgqZ`-;l_86)R6_yG?3r>d>fKzS0*iR_Ho{nbuKyi;<(mWej%pFZz3J|=(% zOe6{Pxce3Gv{&uPz?KpdOpiIol1cHJrT(D)srcQzQR$roLNWzb1unn=fC)}UZ^`WC z7LpDS1=Ast4gmP-ZFwEz`dKqAaaho+_QHHQRPTPI8Y!h2a(6!?$C z;O9RoYgQ{@TO&%A)OZ*cNBWWXN?xHizjXY4q>l3aE)2QwoUMjr(-8<&XQZ*Jk;ec7 zX0^+u#ALFq{tG^X+{xAVmUrQzlyNk0uIJr0{65=)N=6{`L5VxMv$;U8hPldRGD=ni z={pF-ggHPm&L!hGp*>XYdH{;=>v|LGID1sXA2o!#I!3UDY;6b7qIP2lGaUR|MP(|U zw6J-};sVWRr-qyYmRvCh#n)2ei}6FCKUrWiRUnN-KEXtmgHb>eO=G_=Yi{imt==+F z`)E^NXbvHoKpUn9Snnt2))Eka>uagS8($K)$8);h4WM+PvNRFktAa{XGMuX#gM}uE&8NASJG3Wn~fKq zQ{J-AA`8`xmzHeVmYT2U64>h|f)UoQjFN*&I|vLFM8l#(QkG~*BE%OH(FWQ=iEle8 zQe`5W2kKWTy9f%sI11<601`h=2&0lpqU5P-_L!42g>lNW2f+2Hx>g!~PWx zh_V-*+0&$*_Rq5&Mw@{i1x)ShE?sjV1EPyyehMG?*i1mpXjR5&)^@WXlVQ@{vcjYt zYfiOa)0xUI>qu27&DwS_oj|#{VD}ikdpu;E?cWVCBp2|eNwLhdJ<3^s=s-Fdf6Ioa zyqFGeEc7)OCgs=UIUpA3WzrT!CEf`M1UEkhn;XY(AA~nErv@=CJ>>-V2++-prF@{k z=fZ@Kn;5EbEYyddjexP~HC2frs<6wPT<$FbI~+~7Q1bU9YOOs7gubMJEv;YBjq@(c zc;D#1W*^HIK4W58ZMlhERlNJ?e$GJ#3{XdLFL6K2APQJgD=sZl#?|789Ri2$jej~T zg?~>HX-vJYe@_8^4A$(~FAF45>^V5E>cC^OzglS}d^^=Jiz*4q{h6{Z;(_=wWwiVH z5=aV;)O;BDsUff>M3MTG_tJ9Z&-0pV5F zl)Oss%rH&#*_j}bk-}AEPGTQt_IIV#;z#QSFm5DtqA-%aS)jOJl7a+<1G#`QZ01T{ zopnMDsWNSM^sq4;A+0F#t}Hsqlz}lgoumqhSjVmi_O)jqy(Mv^4FxcuIJ?pcr%>+= z4Y}-uJ$SYrfFOd9V22|edpjco-H@vwC_$ljk2^uFB`e=!wwA7Bj^OS?uJO;0*=ILt zZ0POI$K}f*Z9rHDH|Kyh8FJqU2*)<{aO628O}+T}vmH@b@06z&LVC!duL!7C;3}CS z;q)4!!Ac(M@OAsr6kSz9pSAyE=fl0-v-@$e=*ahCdNc$;4S$8eWv??$KC6q3u)Ad7 z2^+#=1Xb4QOEz2>*IDgaEXV+T2>6un{=L=kz#N33T{^6pahykk2ljn711|3g?PjFd zc1v`b$v&PEWCDanw)}mB$zib$GOTv|+T7?wn{p5!CWHWLRlh9kAt%U2To@zaOt33n z$}$B6cziQ-#bmNe2N(iDRelB`jZ?67K4-|z6H8HH3#@98#LpjqMk^FIEhU4UDxO>QJqOx z?wx8?WKI-e$q-E;(c?{-3NV?Gk)houm@y<6y!NnhehuH|e1i!OkQr126RB&%bvdF$ z*-*fB2dsK%)5Fj*K;)-U3rIF2>w4X|_Kz9y&9u;%Fze3%NK)NU3vT3am!4FU{5RtB zS8i_0e}Jy^;?E>tAPsy(-TVsKmo8iV>1L1+yLtOV+>1{bw5V$rmTmQioigQ@vF2rg zo}0ILh0RmL!aX;8c_lYf!`}VT>!wF_3XJ9cMAZU+!#4&db5q{@YLC?g39Ec_+f3^Z ztP7p3rh5N1a@}zq84JhzVeR7JE)HjJdk1IPE?eq}V~YXTJDR38xpUum<@7I=*`Ix^ zaQ$@`<&_GzPY6f(;WpAIyTw_Ow$anyCW`)xS1iqwvvFxe_Ze^EY1FWx*r=Neik2$JDN0pX!;@2mQo|Gkn1XnQZuz$d((-;+xuwUU?Bkygd~`3fBLBbp z=J(@u|9Vz4 zd9er9gs(T2D>CA5+2w@T__K5K^IHVYr3dz2ne5~D z^S}E}wFM%r9{HoZ!Ytg24_#)wQS%o=78<92^i%gNOB2EEhv>_ZikE%f-+Shr8^4uX zfQf>tv4*Y)W0Cy}nBYajD6ac@KaPM=;>6py*;eED8*Xtfep#ZDn6ZleBM0qapX=Mfa37 zddw|8txx#BTOH3Js3nVaSQHe>TFdCQls#*!PpdOr?&GrpD!cna2n=cE{6pErI$St8 z_DM5N2on|P!+nIH7R-SDnxBigF)=X)Aucv_|I`dCeJqLank_^j*Cjzb&Xy%}#;T@3l^bKL zmv$auaE?x(=Yi+&aG@t}57Av>@cdm7?kncBOuXqA?UpT`AyCJ!w~h^e(lH@ta{&5|meun&z-#eK)Suh)QIazOaO z1b$%xq6tYqY$zdMa}L&#lOJ$(jn>SOG~a2k)x?nrs&W3M!21y*sbs3di|*2RIJ&dI zNgYGtRzUO@k^+wU98_RB3)4==0`I{^SSad~aAAlRcaz^emS{)!KZiuR8P0pp%Qv#8 zjjUryZDl6cLSOTT+Rb0LT?_8oe!n1nc(W)dcdP>Jeu9IDTxd`39tMuY|C%s4uT|bu zg9+q>d_J2-zNg^f0&9#iX3ZB$GEWv45Wvb(=v81p0%)3CdQDTTeUhKDzg>RP4(`nx zFo_c^#hr1E)(ygpDy!sj-8k#UK|%C#+Y(>IMhMzd0GO;N#|X|zLI?lOw@J$#ImGlf zrKBv5{0+g|x{nzqteJ1`y#Zq9jiih8j18)knj>dZAs-OIA3Dh8TrhNVaA95|;aKpt zJ;ob`{+*!+7^O}l^L&>ElSPH)a3DErb^#NdNz#60f`DY5*@W>8@{%vfOnL>*IvPAW z*(*A@6BlIRJbrN~=K^`INygEiGIE_IVb)Lq0K5^d5a&$zv@{#hDHPMt=0W4V=kTzwW zzEbuy5mX%}_yr5|^P}1>!d*Ry@y~zj`gI+&*)P~9x{1q2vRH3(aFjQ|n3TLNGnSn^ zQ^)y)4lQD0=Xjk$#y$}LpD6nGBtv8=9#wtHW-X&D9Cwd@Xn!#9)0Yg8;DWU^-)xoSA`AE{!}9TaQ=@(2 zYE(oo9tC|$X}G>)wA@?F#5RJC*zB~VzEC84+|)~PF7)ovOft;4!P5-8V}TY(B{uEb z8Dyvt(@yWl%mXfLJ=kr>Z#|cLu5^pM z4ZjfK8F(9*O*__lg#Dkyyf8O6F9!Sp8UNRo^NnA) zd$A6lwXMJFeJJP;l#4s8B{;-2YNZxDsu(X8eh z;`G34b_HdvIK2K4RdRTjf8MlsYBp?!d#8C{7tcLpT)e&bUtjg7 zylKST&FpP?dw#-b9=>cYLV&g7+yDcP{j)PX&wu9HH*jHDlww~2;~5}JAmAv-9sYY( z2_tC}5CfW`0q+)L6c1)qEf10Gy-My3wK#wJq|14&y9_yZ{AU#?ei~1Li`H4?QpQx15a(_j5d|__7F9WLAnXbZ7f)w? zQVeYQbV=0(=nhj=iX;Tly{9Eq=T(F74L8_2L|unCEU<#0OZ*reH6=vpZK>XPG@ zEAeUn-p3dpVv}xzA50vX!|XAMQ}9(O3#|3CnGni10n=a+%V$imwnT96r*8IRd~vXo zkhH39wPJrtgVkhRA%*s;) zg_ig;t1=akanC%xyxF;1ewi2TF&hm(Sv4Tjri~_#_(g;!!o>4HzA_Bh|2D3`o2Sop zDM7WLu@mQ8Bx9qUqK~}aN5vDTQJ~A5WX`@t2-2{11>X?@Rqe$XtYtxwdyr7TbfGZ_ zX3MbiU6Q-BoeU~k1xV6OkiUCK(pxGrZ+rnVM~IpO3q{Ek2q2jNfyo+95bf;<=J5 zQ8_a)lb|txofWKvYdrLc#h-h;4X@owi0^$@a~6;}C!TDnITw}03N;#DqOTNoj@K-T z>QCGr-m1jM@65}w7Tz3F@}2NZLGaACc{tK;FZZg0I9#(wWmeT&3q(r|`C~#GNr9gO zw&LVG+R|MHRx>?p)0zGNOsK<R}lG>X*agJIsBQ0{;B6vZ`(w}{Na zzcOT9pB_9#VO&F77Uvk;;eaV3Mpce@Z&`FG0~3(7p|dh#y@r$pvQG?5 zLAqU(vGglogYen7rX6xJWR6p5O~XUHY4g3 zMU8ALR6HhtB>|g(TmKqOG+aU8ZDu`V8OnHvijw+jThZE zdu_e#>9xVlj}r1d2ybA`{%g++(9Ex=9t(>6!Q(P@NpI9l{oTCRZNnETx?O-oabM|l&+X{3aH?Kb*q&km||$oougn=QI>O=Gco&7qjZQoU$n zs%F#M%C&{fC*Z!m*YM5On|^oZ*OuhQSryaM>&jpK`%lY0g`GQP^eEM%Ht6GL%Nm}q z8hhL@`6{g3b#uDzHtI3$r-Pmm8RJSFwK78|l6&5Ku7?NBa%uQe^(tRcVS;wu0lGlq z6$e3Y-AO>4aUI@Vrn_0RJLAv8d!>%yDFu5ZEcPRWAMhC8?iM zDWxX&wW+L6rUxCr5M!^e#qg-{L8!>$fZ?()Sy1n}z1zPVR74jva(j(Z^2lu0^;$4m1zt^F!q=fV# z8#dOWkJ^3j5UUQ-gV&@@e7v~p?s_qf6tTaYP>!=OKI?fFJWcg^6Tl)=)b|1>YLxx= zR8Po?MIr&bRM`jgJU^YZM66wdkf3}SZ@FaMfoUmomc8!K;#ud4Nnt{PWY^>_&NOhy z7#vQ4^mVc%iRX-n`orwOo6Vofhm zM6R?34?w7|XN0^Y`8nQl5wO`9cPYN7g*Wf{&&IZJ^=e-U(K2a)>R$Otel6LmD^u4h}k3 z6y0(aMQaaKgD-3khU_ef7F1ge07aXhz2&l{8_HG2tdG8$W_kc|#&Jbc@6$1N_r#L` zRP+ZF8Q~-+r*d9{XvXD5oX_ihEV|E>cTe~Py?M&`$=wjFHD@R5AMs$Z?A*h-%f}IJ zl{`(g*C>}(vyBVzDIS${?_fykxj1;3%uEINxnTW)j9@8f zI~gRSD0T{>BfteL+NX4?w-rhhIZB#814c1r20Ba-6q1^uK!zSHJbQBLkHN+#1VUn= z5$PBpkl4jaS9WlDfYF>E;$Uy*)=*3#^cYHAm20{)6BEM^52D+VYn``T$_x-SOZq?C z$iSL)orD{KB4=|@zM-QFZ9XSsr!&XlcrDNzu*rsJ3wsxOYtF)vD~SBZ0XWUGb0pPu zfHHbH6MRQ_%v6OLaRf1nWW%uAC?b$FtZ5<)OU{97_Thz|3-&bFVgLnNXAacsI?E%NCGq+htc8UN z`N5&+BJCd0G)JVywqqM+6uDqV6)#lv$niUJFkI)<82Wq5M#yYl9m-!?ZW4{=>GcO- z{zA6Y#i6QFbqA0Pb`oOQ-mZ=_05i3TcbyHlt1Szd_y_~v&qkYe)K8c5=W5bMrs!`b zUlqVc?h}&*F4ek~&5K1Cl5V$V6sfv-wadkqXc*!w89VD>&{I`q9aqPeyQU-Tm-GZe z3gC9yKHr;!Hwy+>F-O>#xat}69})-a#Cz2Wiff%y(gD6}P-xrx>Ro(OsYhVaQvJ@WzwqU^xT(ZbjIGg6G46X6N7pp08|yhvw9 zxTeSJPh^NP87|NXS->MLiixJg%d1`Do#re6U)r4^u97S9(|U^YY78Y_fwhiTbrlL! z1I1@*m&Nk{w6@Te5JRi)P7hks*W%A0LiT<1Zt9Er6Qk175IO2JhD4#Oa`3a0ixaOm zN?K*JI?O%2C4c{Sx(_S<=lnO1zuI5C{qa{nYPVXLx~g`(;=y}3Xr{Y~GYafhm*T@N zk&JEW*{TOiP~CW2bdBQuy69C~v{vvfD070%WMRYe(2#O_r7~w8+(E?|sxoFko?-xR z8EW2EcnSKX=Fq45?y|F@Q(LCxJ3L6NWEF z&k6Z>dl$5`z)DQuhr6anKIJ_dubEsDj`o)G5`k(8fFT9^d>J6inAT&WAwjjvHCp8y zR${3|M;ItG*NHD*6cLCeN%}Fkn2gln@sdqb9bK&SAtQz;AD2boMWjxrv@zC+-^~lb z>aFsHah#p6FRr;DnD@M`00{vkxodjypwKQSLVhA;>ZQe)9{5zi>jIC%*i=BN2|mci zt{g<;qecQMV6uh`$WM7F3{cq?jSu%W)3Zwx3kYNfJ^K?8BvBTVm34=-RPb}3!=Ibk zCoys)aI@z^#9ba8_EO2EU-5N~$1Q1}AMY+(VR94@o`zOT_zjNmUJ^}LmC~E$>4CCU zt_kfHUa_Fe?=p$JoU5X~U>)IDRQQMtbfuQ<&s&P2RN5^NbRlu%2Q4XJ?jF+`5bQ4Hv zZ=`8ASc{1Knj`hfPvyvC2* z3X5v}N4X#ck>ftGJgBj?0eLd~k$gb{ksVL+p&6IpkC?PWPtBtZEA!3oJdr?&U4WFW zB1wy_-Je}vJZTT@em{5<6JidEvRGk>2O-@F*_PKihqctIVmO4R z{A_YhMY}qHp>=lUEVZK1%1nO-y(7%-6cUfFF0LKy>W!$maBeygB6X5_E2h+^DOxA{ zgdpy;N6KW+XOr3(N)ajfgtcf2N83xE9c~DPh_e<0OkcHitP|P=ylL2|dYr+)5t!Wa zW@WdBCd(o?Ih{iH7uci88EI>7u9y(c^i=5fRF&k253qZ>T?-9fu=iF4(LHYmRX$LA z`G}+-pQjHa(D`6bEzT_bQd-giEBV zm`}x8kHqINib#Gj=nO=auI7H7>9P|=1TY-Z=cp>UG~@9iksUU2giv1T0uV)OUOmb; z;%HJnZ4_(y(|47XDRXUk!3%8#18B=Z`U}mO45j2xS54BJN|Q{QUtmQM?&Gp|&w@4{ z31T!vbIiVKyfJaaR&(KE2HvWy`7X+{x{e*f77-V(!j>hA;F2SE11GEt3}=psi(MpZ z2z?aE^qVP;_b+t8Mr}Uu%Y>@1$bDUjS?D8fsy`_A>stNffrYAaU6AgShb0wjY1d|# znhnt&h!AZVV%B(VO7oPz+DA|;fi!E1g^SX@lnMLR~U}80KM8lcvT^u()LulwFlXk1uy5 zPRA?{fu|`~P_x_?f)Fk60a>n)!gp;0%o#&avT}hu046$&s@Dhfa7c|*;)>4{L1SrSKLMwq4Cnv&YnB2Gil0|mz1Jw^6^Tg7OHhy>8d(%531Fa zvmbLxbC|d63X`#7&3ChvlQ52oH^E+13-d^M$<;)`e5(6&EhV%QPWfw9_l;mPYbi`E z@oRsZctxExp^@!FJuJ}d%N~zk2(n~xjw3?lpI?G~&^CtqT~p>kv0s_W=EUguBq$Dp z*DE4uLm=92HexN;(rVIjJL2G2eoC|$^_nCC1~sG&STA3K6RFB$MPc?4tfiv}$zr&m z&^`R$ZpPqeL-#?-cmUEeBLbX2d*jWM51-Ks?3P9 zCt534*UefpGVnYk{A1`NMlIFQC{@B#Eo$|Klmgl5)YW`bZ<%W`acz`k^!W>U^m+g+ zRVef#dI5J|NEbV-PLbnkzWPp|10LjQH5haXba#z(+Ph|8_33eOj3K*XQ61(=mgPr8 z*y)pS7A=BR$x_f$9(|f(mWkdPKtB+V@!BdBLlG`LA9`dEZ#sYl!^toY+Z`HhuP8%X z4Zovx2}C%OyU_R#WT)X@FFs@ia=HklOL~)`n8VJdq;t}_O3_EF-qZSTv!O^FNjA6! z;kiB4Oj%DE!R)EdL3;0!wZE-UdNRHAwK;rjkbL+va75zjW1L;>m$G!-;K=2tJ!L%T z{^OMxQsmrK+K2>u(jX=x2S<#ud43eK|A{~g-`xaA-`{zn1t30@;^Lvao-$)h)+gG> zFtb_O#rOj|&jmA^EE1S^2>ws@|9dI(TTdVNG#!=62+dl>fTVs4xI}41Y$>|Gk&-YyE(9p9PQ;A`)oPYm-5S{Ma<@`9~BKuw&rc1E@GPTjJrV+TERcX+UVLFQ)slRok0 z;O#j||KA3;{gXpI(Lrr~Xj6=ssUpFuE%DxwY){s7)8e`FSG_~E5K6t`srzSdJox6N zzaMKbFf-J`&iY=yeRyM2^X}JZ0hfw?_0zMT?Cu9#t}>4UL4Ucvj921iUs3CFPzA)_>$XReCfTHjk&LioQuNDH#2zxN&uFP;S{X^$S84uUqZlMgP)yxyB=9 zx^Cqq8M+5wZXM`*)Dn2FaQO#{7w%=7(MQ`mU;Ga$%$+4x3C^h=JFfmjcQmSFj%@8~ z+t{=XmH2XBj!&A#K2wYm;+h68P(j8j8pfsE$qQ{!Z=v5rH`6?iA-C=w=IRlENhH?H z)i>6i0m^x8!z+4$>lsGpa zy12~4{M2v)ZxhnJ^L5$R)v$|+tE13)DFx9z?TK*18}bKKWjuS~V!?mf&+7gR!b_C# z1}4^@Dcy4fZ7nF-Z6)p%6Tpyy*s%!a@Uls9{Q3$BE(t#0FL#{%Q4*wyxqkQnSS0%|_RO#N&2iN2OU z`O9i!K0s=T)|vW0&e!6rnrB#yc$hOg@%l@VmQ8&vTXi442C>zT#yP`t^&JV0g+^}> zrqn3HY5^jjVYN?w0Juu!RrTTuLE zPl`{d98g+^U_`!8DtKWir|t;85g;AzlPj(`7X5EESJwTAzqQSAYI&lj{$xTj^37{Vkxb{ptv#|1(fMDq7JM zmu;9th_}j76!tgXaf#R1uQlt5M=_(r0iAE--bnDTI^@LH^!#8djASOd-XBo3k56E< zBv{9ZKO~V4$-s-V1AdH*)5dukt1>fn^%gjO5834EeRk;BqJ0h}=JY_DzV9#4xujsN z9s87*80sFSFnB_&H+MV5jWh`oN-6AXX3Lu z2)Nb}Gv%t-gKMssPkA_+nG_`9G$qjh+J71LXi!i~v5nR&JIB*g6p!r=Y0s-ROWDei zEXUP}IRJi4Q|E;)QxUT_#4v`sJ8Y0w;3|tKl!I9*2J?X;Q;;eD3917g3Dy&D4ava! zLCF#cS0_{mBe;<;Jjc|)-5??1cJIP|c}eA$Lr@4u`@EY7oI)2=_hXB&k_Cy3M%!~_ zs7%lt!06Z|iJ4^8WMs@n2?7cc`EXAhIh12y2# zFa964-aV|z`~DkO+jqT8+yr%cXb4)z}@nQ2oF1Dz(s@CwV}$Sp)!-yf<6**yN~sEUI5`2+bHo zaMAoCH|{3jKhJnZx}6>I4jKkl9)W{{ZJ3_5k9di;V@N5E6p3C9p=)=mIG6QG;QzBp z=6_A#PWYQg-Xw-3S(Ul4aethGBl1f!_1DQr zp$)q-282N}6iMWP40Rc6PJ){6AqYe4=7c1=dp^RvO=ovV`%}AEU2rW>(SF@ zQ^PZ1IfC~f)6^It&8BxzPP?>1P;}T)$5>;J6er{{q{cQv@2CrXi3?)H7dO&=Cu-Uw2V~@Ou%1QfF>-I(9S&J`@E2KTm-a!Vpuu z_cjMKYA0`keGgG3uST{pRH3H6Pg3EP&XO$Z>z&R8U{P1XM;*#sJ9P4yu0ZSrh;Wzt z7(+}#!i}wU=^<(oiLJG}bb;nqb&y_=2)yZH9fVdOay1y?IH)%iEH$LKfF~@b+vn6w z>D%ggJ@_qPUM_YqBGsHblNj0>BvZVX9)dUL_3jD_XM0+43AAb~r^4Pt)^Y${s_0|n zuWS-Qpg=dMvXdYYsf3spkFigNaY#W5$`4IlHVrHt+zVtD4hxqH`~f9F-MO+uDoJvr zT@T2BP7wcYA{5)_8_cxV#n~_!a!ws*o_j&yH1>UBU#Xb7AT8%Mte-#Ma3_88s7wRG zcNqwm#!Q|Rp1s@!vu~(^%s>Z~P7dC(VtIC(3vkpJDj1_@JVO!9Q>c+30)Lh{cQe*HI;Xx&p)FUu%-d({xIU4p!NFLBL{CqCx-yBi#$W8Mn;N%#29^`E z1RPuNXoiN^9iue@yt#O?q_-*!^3rXDXna;*L z3?9|v;#>RoYcw6$pPB4gGw_X5Pp}&qI zp+e?C4&IjT$S`%~lmaGLsS%cTmxaL}Q0r2fvavQkm=`QOUb48xWh-G}?REB*<0=BN z15;rLqE)+?HO`sw&rZ=38&4sJ(RTeqbd3c?^h}5x(X%h(Nz;v|q=5EB7c{;vr-y+8 zpywM%CAqGDD2wdITOFiOQ(;l3aZd%o&p+8$6&ly~iNR+FkQ}%W3QonGw})1R$0))H zfI`!L2A#X75)$oB-#XaaMG3e@vGvvQC}%w=g^5I4C^fr$y0Ma$o0?MzPMg-~oSU>& zsL{}0b)idIDFCQZKZUg(xoPaeZjxQk>I_Zx~3lVRV@nqD0*y1NYd=)(`7n;Iz*DnQVw;~Mn`)0L0EszWG^!@ z%9UzDn^l=0Ly%$!<#;OjRf)6@Vn`Of3yy9Dv6DKRTRK#k@3aeYZl*BTr!xJo%_hgL zPifP^Rj* zZl^8d$n{-pxvYJ}|EhM94R$U-8wwOia1dU@>Nx!b&lq+pznWxDiGZdckmDTJ#w@DO zt46>DwVO{D+NwKPiM~g)W$2EUE7~U{Doou|p2jo>>HLeNl|D%e^@bqsp+~dBfu;I_ z?!V5e{pk)3Gd&G*lQ_Vrsk6&DFmwze`&+25Te?h{Z5Kf)00;DTDeH z@(XobJ8Lg33$J+0WVx-F?67h!v%{4So)0AhYQP-FThd&49kpD%waE4*(fsbUw*i99 zOw!*}vENUX4-&2MWjGrWMaM3}1aedX4#gXMi!`bmw74*$l$K%5Rx+OvXsA_J8sSTy z8Nwx#5Z8wg6wwXRf%REcpEB(XGoTFIq~2~gT1&@T^fK>5a`9|%b>8ArX0GIE{R%_pR)2YOba zb^MEPBXe7S-=~HqPoM7c*FGcKxSjamq$>EgV6tj0Nf__H){cuN$FcYD`gBSG-fF|J z`w&Y(Dn^K{mM(a6q&_?5#YF2ONk0gQp+yBSd+~5tjiY=;Fu!Wo0k&`n9zVP_$=Obr zLiH&qk_*qDV->`Tc<-WV6A*9|UIABPKIbM**kLL)anrSjsY(myR1B}y<;n#u7x@W6 za1-JH7fEN;r#m0;*OrW=RWbzkr$y3NLk_<_&&tC#u&t-@X ze#!OuG)U1_ba-nsW1~`vH&2Or|FdYsw=GLLuat0N_LTIsaM&lg3*> zH@kABOnuga14i`(mIoE)QQU4BUg_zMh1SDD=bUHP(xe*=9!WXeZ$Cx*es(IXvH$Ir zZqCWa-(ZrElxNQmtJr}B)9edO)7&!@lF+IjF~!pBg{hsm1m62GRmg8=A3S@W>*TyI z+5c6@^0VjD%fyai=yVAv3Mbk)9XTO%JcA`2A8m{X6>k*fWf5ssa!>&h5cOq|`JgGB z(?<~EW+BiJ(E&x291Ibk-+$KuoM(xl=aUgJ>Ems9w*bajD8L2QCDv_raQg5!x<*7( zcvlLl`$-g`r?y-=S(Z@iq6*=&N&pXXT8~7m)p_U@aULs>tuZNOd}Byvc>wE4v|1IY z-$W6%K~ggy!&<4rtBO7(;T_jDOOtPB>Z^d~47ptK>$H~~p1GpI2 z7!}y-0Vryl()wRZO6UDD%yHH&={;Pc%cB!N<3dmyzvh=J7@`0AlQ#+UJRknttgzPS zX9?|UUdGbpwHv&4z68o0x83ILo+lRGbU(2s!t4Eb4-dcdYj(N+5okK@qSvj>oNG$)&u3da_Nd*@{>#=-73x_`bwB^ICwDbp z|?&j ze3|FI6RR13Y>fxC|8kFla0*2F;;`o}c{MTY&Qy>EX?1;|H`djg3_gCj((06 z&-~a#(`@17HS%Us4^C^ca(3dYn01$iSTv9Oa+ZEUh6{G0 zZB)Vbi{rhFq?N#;;~>E-4xUsWRKcdBq=`ag$3|xl^;SY0xulGOBcNwhDp+hdNrk0g zG_M?;?W_olZ)xyQM#p*3_)NEzOhWfPzUV9*Xar+NMyCzAq=*uJqT95+cw=c+srvmNvGpr4Zs){kfmLK6(v;K@)ed%h*_` zeuP~(XX$uN@$r!@boMu{G%gl8q%oO*b=2DbfrEmob?|fSoh8qR#>#aAUYqg!quJ{gF#cqW1)wa$ z8@I^_@hZpKr{;%#igw&hQT- zudny-rG_d0ytP|mJBnS0B2bHN z0C-86zUM!&U7pMd#Uco|hBxkl)X6sirG;BKZA-X*xq4(3CqX5AvI3V=!5k(NQ#hyg zC=NNHm1n#=H%$R*uFl!2mlqn+KRf$^1hF?pJe|$ECII-sJQL@mZFoVg;xBF2)vvdt zHKd1;Q9E#`iFmT}0#`Y-4*o0!rn9}0ZEsA+ApFpC_9IgjPgM@AD4{@Q8SDF0 z;CiJn8&B$?c|p$)EEg{K*Nu^54Px&s zl7Z$YHMdmN5h+cB8XNd}aYJ-qlDMeIgQBxk8(<#84Q>`D#K*=#rd=L^7!Nh9)fZ}v zTpYyQD)g5|1u96Cp^4MuD3X;_(3t65sCorYy^DIxD;iHV5HqxP{OOn(R)u{m^d4R$ zh47l>3}qICnB3Cz3NllmzF^Z1@MFyQWF!EG31(OG)}yv1eij(Y#ZVsxTpV>}Pm!aa zF>HXoK;WSpK)pQKSC{3OJ?jRwS&RbVyXl=oYYIQN+%=Agl4hVuWlY;gXV$r#lT`@F z1G^?Urzi!YU6)IYjZlg~{##l(V6a(8MgG$B#yzfcO%eJj&~cWau^i6UB;^CVZ8%YO zLpaGkaT7WSoQ3EwbSa!SYO~tOzgM}>1C3sy$4{1Clj&Ql)BZ>h} z&U`rhaok+GM6W$m%ms9A3}RM*npJi7R6T{rW=U8HoE?3tE+`!{7Y1XZyk{qjOm`Us zCDShA2r>q@yiN$;EHR2KK+Xi|wafrz4$l5<7#TR|%)zX>l#c7BEFq3OgU2l_ix5z( zO&ey;5)vzV!w7F`90$*Y|KgDXc6}tXc@Dpc}40(RI*}M_bu?UD+NPU z)J9De$>gdWvmgo@*;AAXRDcQ^SvYmpu1pXMY169mFViO6^eu57roztK)41mhapz zHkUH1->`HN{1lcX1aXMJ98qGY7SW+)EBxPdbC0LPr<6y=z7j}@;n}OwO+Wb&OVCz> zs2`pg|5C`pYP~)3sVt5RuM+)Eu7>H_)L$hY&R9U+{mT&Eu8p1gQy!hF04E|TPo*5O z{J~snr&*h5is-r12~s=s^8$RcG+Xax7(&}RokqYy@Ya-ZTqMxTu4lQ709j6w;yyrp z_T~|M1y&mnhVwbN-?-$o0x@?xERTP2q=Wcz$tRn5$GN&BsuK3+1R&ho3Fsi2zaOfzm&&TGUBL+Vlx4Lf{qY3V^FtvKp=xcS3caLqYJ6iZ*0wSM6KGFoznv5s z-Y8d_YQ9bw#H8m(+1@Myy`M=1HmuCR{$rEp^`WW_!B+Tqhtx;Jo3>@Ss?TUOM0>7d z6Y^hpSlzQ%4vH)u7<{#XMIc2xpg8nnV5wxRvmto8`>Ht2zY^3wvcrzRa;VJd0!pYO z#IuZg>7)w0t_gcewac8!qA>IUZ6(4bZ)XOu(4EwIDxBjbRgd6kpweeya1`-)mQJi= z5){X&LaiO3U8fvhqhb$y$=O4NLz|x3jCu-|ITvGcpdWnvL!TfG+G^FIO&rc;^~xa8 zo;+RCyf+-d`R@&9_Z%K9t!#laM}^|TPw1<<*K3f6Cg7o zbu;{2x_oT%S;{mgLJ=L|QtN?|FfH~mECS{W^8DPJmRaU{IiL$1y-5|%@=#~sZLGZ1 zK3vLlZ|O>`v(v}fTgUshI32deAXTuuF+!U-@kd^+?U}h$=oPn!uTPate$oPDmHy)V z_9+8Y{G|6@3(D*Ln%PIl#&Jm}Y+fO%WhN>LBAdT}chF;P!MZNr)0uR_7sfI=G)0x` z;9EHzA6UdB5SNW)r-8*HQ)A-XgtB^bm>Oi6PCJ~ZE0L}eYd?jsutKS)?Eqm|ocU$l z>VlrCt|bU`0B!U9`5HToh24Jww*blXEkc4dtRKa~sV@sg=kJv0z@Gpxj4Iew%UI07 z+6W+|@+Wbj5E0M4kTLPe%6=H9!Cw_tg09e~tD+P`aKbIUoG?}$6OvbXzY|9aiYk7| z>c(%{16A*XCvB2=-#|*7y4wpcJ2v^jj4#8N7ghrkq-SP!T(qFAX<%QT4|Z`*P2mXK zAmEsp@BP>uJ_<^FA@F&+DUoU_G4&9=1uodR##2wba*KqHUdBQmiiqRRdeD;!#Ir;7 zHkBwAJR+oFawXCV*`|GyEK&_bg+qGUId8Oc!t@UKsj-%E?0#j z%Xv5UY*#TNFR%a-%fqWp;yu7mLUGn058hUDV_(((V(J=us-ibR^ccz1fuRmdb2-I$ zTLD>R1nMY-f2kAR_#gmFyJ37Nx0rCrv^L6~^#9~N&Y!C>KluMtV}=2=bLGEEpEF>; zhMXB6XrXl990LuRdv8I)>1&?bo7Tm<@07a#!878#=j)H$>qwywsTx{EhsegwtN&Z* z^ZVe||JRS{vF?44`?$MB{N~j)FPqnHIk{##nX|gfZSRJ)dp{*jU>nx`ezWK9^>0F? z&Fh{KaS!}ElfyKmDP(EZ$4@H)`6rp~=O9Db%W_d1d}Ud+&b(eI|k6DCrxn zCdw0-YWb#v$w>jF)YI!C>`0__B|OwfyO6*;O}Y>GYkm2N)j)9WI;nSky7q&UGiyG6 z+T>upiO*J z4?~i8YgUep9%4wu{&W{XblndqRo$O^{uj;=baAcVxJ;Dor_O%~$*DvDK}ZGR%u@C> z*UY)~D;IzvHRM#TjDQ()8oJ>f(8fw~bBlyz_0B}MX9G`qxaUmmfGF@EmwtaOa5Xze zRQ;-4*Zs&EHg9pooFz6mnu)Ub&6U(`1HvOv$SHd0$3yw}WX*`;&cyF=p;1~_G)=tQ z@%-{_OeobrZ1su8PThfKPrgTWUVQy`KfU5%!^U0X9cL}1Z8iq@{~VJ3UlaHS{LSO4 z>OI5uOXK4Gh2%+0={UuMuCz-SqU>uNIq2+yFAZ%^>F3bV34+Y=p3371ft6Eu=bOh_ zNMN}E;+&w#07;;F!739I&HhO`%zWdJB)?tw#ps z;Wfz(>Nv?e){(fPp%D|OwYC&$4W+@0=-(CHD|@D-QnWM$l6VN=0{)-_jH z$iU2=o2#5;b^Wy2+ala@1Ubg@f<(d<=tbmgpHn74K$RQ_N?<0_ssfS^J~EG^9l13B zYp%$;t(T7K#pm4Sft-?3hRaAQtkbwYsZ`}?z}llB(MlWH`b@Dw2Ul3v(Y`7^C~r#C zk#>L$tz$$-H{%;&v9`u#LF@%COU2{(yP~(dCS-gQ`-C{OggKKl(DAoj4d0KWQf+fz zeWCV3!V~X5vESG0j03Iz31GjAec}n{{nU3`PU9J~oQU@`tl(Q~{#E3EH~sW)6~ z?~O_*=+xqnj02zgkT|!b`u1LU=u5ia12yXomD0sZ2F<#W09w}XqDh%IMfQi3^i&;J z?*TTs{W2AJ*nsj`newlT2FQxl<1JzeO35zO70r2pao3eDEkBYFWtRfr4#t0%BTyV< zjfzQTPi@0 zh9(hwV8B$WtLVDIbO53p?1v7{)ZUEHJ;+75{5a8iqV1nwJiR z%^vO@RA!{`!I1=k=(4!=v&DIuewaXJLH_9gb#rKH&b3syw4q5bz9q^fvGi9Zww!Yl zP`U4hDz+qm*9>+jQ&N=GWrX}VFq>&hs`7c~D&Nvcz}&llc)wTY?3%RyIG9-ZLW|xx z7dt(GJiv~=L$rm&qb-z9+{bF61nUSrS2 zpNZS0%xF@2Lu$A-28`Ed9Y;1vDFFDx%)w8BrErTz%b zDlY_<$`~zKWa4X+Cz1r_BCys>#b?tCK^7hkL(j#z+sS3!^p@PBTsk=I!QHuBk)Jlj z?vrW#axE)hhe?d{ND_jXQ;!Ls0fBprHwtLz3M3CL&+6Q=t}@qJ_`tm{4vOz!&8}mZ zCkKe@ub**VCMtYN($KI_3Yo*n&X}@+LGg#(jTa^h*rjqn2cnkJu{L3MFOdrVuBV<+ za#60muif6j^8^_gh#_X?>`$c76$B{P)p3?62KsoQkLf{gB}A%pEraDBgg0`4EH04F zz~0JkfB`$np2>rc=HW7jgiZ;Mno~rbak`KEw>AW%t0`ti>}gjL3p!bBkdjDWoCT#v z#`j;2I4gmN29F~^O&OiVcHyme49;G9M`>G^4yXP9S=I#PM2$JL-Wwt&oSU8WC z*|^6#e2+j6QXM1|LDgCh!wjTZ2u!C^ER?tOdN*?qy{iBh&c^jsLO=+mfV8n%wY zW!G%63)*9$rm`zIahYGb*ML5?1D?)YWbE30`FY0T_FJPY->|qQGH|;7j z*-x(e8Hwf)y}<7hn8*sr&nk;(=7zjfrrys+0*i_fGU4E0H(3swhl}8#>{eCe>x#iM zrt+_y*GuETC9yM;8hDd+ZoTqBXVGBih%GVa8t2>#N(dWCB{%u=tn~>X^y^Bl_n?4B zmlsoKPh#@kk&e_^Y2&iL{T!@xQa=G57R#7d7cB8RzL7f?veDLl>4{(sQE)j6)3{O^ ze{EDwOnfZZT1qrVE zgmq*dYgnt6Rt;=lgg&`imq}bdwv_HLGWYclApUi?T^FzI{s9ts=X*2gA*jH`>AX(M zbhQ?(x*r-J4pY*u5#2aqPh@uPjbZZ38Vsfayv5)dMIII?uSL|mwsf`2kXMj~Bbihm zznrS|y4Cnr0A7y|srw9pMdQqLfg17}gJbY^;pQ`CH2+E!-pZjI>P>|lruo6=&%z88 z)H3I3?#eBPSTQ6Ywtv$V@!lCI@~M9p=SR9=eSwBFQ1=bjLTfVo(RB6PQ4?62Y@^>* zTz}i7v#T-l*B32S^`2cDI>}d9xA}QpHfu9;2cvpc?cg_*5`LiHd0oeqF`Sa#kT5lGxf;>=G9%qSP@7|Yq zcD){H@Q?@VBCH7-b-(regd3EQonmEKAQL5n%W7L1Siak^R=x=8qD1uX@(0BFOV$rJ zk^&%3=Z!*TJLh0Bs1SLEg{h%&)Rk$#QFfh@3XjGhy2Fr~ z*UKV4Dr4+1L4umk=Po@O$W%e=k)C zCgC02OEgOIj5v=U|9Uqi1qIP1AW;`t?Qi*)av&lgEft)K=iF2PnZQ3JynY{=a?yglcj?2 z^f-O8m=g#AJiwA9acfFfpAvPwW|Jy>y- z&OFo$Qu0%D+3Q0}D%dXpT-0`fIYV#7nppyM=_3a)%q!UwDKm`kh8sNKHbEXJ^Onn+ zn?O>nPjX6&pNJ!ac?F(BitokFQl$ot=n_DnN_4gMB%8WVx}qL&rNZ-QRj{`%$o@S= z>E``o5N}-}ky_|L2WNQ4Fuay7z3f^Zz3k2@xJE-%jSr zdiQq)?k84r_R`<-^k@-yR^NjJ<9zRJJJucWdHe#Q_SyB;_wFS#z9)t6dw@*Z_h<{m z6}7ngmtOEa8fEe~BL{fD*{R#*_UhOB*Pfku4NTSs5I|az zyZ;IhpB1S?;qgDo?g@|$1~ahKsfQa7 z_Q2f5-fJ)gs8G-@XZseu1O%x8)+@_h*al9!)MDo^;;UVST-RZ^QmG1430~>RuCogE zS-{+(ws$?8t95mL*mI|T?R=J*x*Y&L2p{kf`s~&vS8y z{c~bN*K6wX9H4%O21HLlGb9=CV}lGBl6<=czk2fyF6>kc*IRno%+I@L^U^tQ+P#k} zJmYaB1q3sSAC2T|?x}{@xN+r=u^YEhQ@Zogz|5e^;3q&RF?qgYEu0+}%VJ<2^$m9= z1r~9!_MvZt-vySsj^Sc4#907A3K|Rfg63WmaCDHhDk^*_KCg&sB*c3iRih16J(~JI zbOHUaT(isr@lY%8ozHpX(~RzUfJ1KxCVJ?C;oPbbqe>=g{O$M@hZ^6C(YA`!5sSWt8XI z^W#KUeMbO7L;qw#Te9>uZQC$4fo93kw@dMMv1f#Z`5p=3rx3rL@vNp1EiFFVvh+l| z!KdW_ZvziP4*}oKFZNw6-+SJBjTy|vIu0lTu|wVSz{M39{LAOT1*lKQJC@-r$t^O- z7y$)cdGum(@P#KK1jOf&ppmL}afyy|kQbyDL|OO6RXu_n`&k;+PWs(fUQ@bH_hrls z4j}yj-4jn*fjGOZ(m}-Z#6x1^`~&vio}+)V)&9uN;1=rl=V++b!vh^>cY{IrpDFCq ze;N7g1GM$0#2~VU8Eq*b%7HQ1$HM$P&rM?GNx687!t+)yAtT*Ny;{>E-pnB#SbcTp z$NQ@;r*1u>=&NIa1ww0pLI@JII`q&9gV7kUt5iPAGzxUBpw1qC^HCBmkir62Pb~wtN)|3q6BcX(8~uer z&)G_$mEaG^SBcwfg%hxTF?d`bC*F%2#rO|b zgN8*@jy?u-tA!U+P!S+BO1<*E7=AE!KZJT5?w$v0(8MfMvBCv-0nA~f_r|FIz_fJq z>`XQtNjU3fMD|~ZausTR$^xR0BH4V9#-vo_^OJyW73(}C(J=i^0dAwN_t3IXaR$-% zE=2=x2fVWxP$)&<$v7=+tcrr(&QM2NahQ*b@L{K;BGRI3B*di6C$aCJlh|*c_1zUi z-GxzUF@hQ~uYP`zXr_>Chm@&h_`G-;7jhhu^0?eLRN&XsCmjbR&ob_@jC+=*b589@ z`!_o<3z*I#PE@?NCx`up%ZalurzC)RVn`D(sj57qhAI{5`69{xl%#a*QDVCmC4aD# zNj=ALL{BFB5X~{2vJ2$Z8knN);Od}wj0JdD7SnIOL z>Rg5eq~bkEnp1C)#wFryc;6?>ruzBm@jIvJ8asdC-;DQw*ge*RaZn-0h2w5Z(*vy^ z=&mOI+ty7W^^Ffzq)sxO=m?@KmpNh;Ymk|qWdbQT$mHwA|Ktuf9@{rvT`#_{3FZx+ z7(?$1H?0kk zX^^M_Kl2nN_hzAVq)!EJOdP-NBMR#UsLQUJ1|OOZX}+KBdI!Q5RST6IiojKA5YKrg zXsUdQ9QIQBN^WVGfyYxr6_IHHZC`kfiRfXCZ=|5D(}TD$H$jGtImYm(cn*#{fjwrD z-8G-Bj&Yc{k-Rzd{Q?ul7k9#A2NDrounW8CAf+y0{Z&k&on@&$l#cgvEzInO8mktH z+K??LP0N_C#fG-cke^r?a|r?!g29#o2{*h$D$70RRCUHNEko6w&3$N zb|vh5xCH0Z<5IbwslXw3d@VIZ8s~aB)dJbxc#GUpXA82HsKA z5h-xY>pDnhgBWXF1aqqdoRS*A5~blF!KIH%V^XK@)eiqS>izYH;$Bu!?GYxN@5#a(eeYwIP@_b5w5ZqHTva8Tp8D-4^0ckof zj=zlUWTP@JggHJf_PoyH0{*OLWtZ?aZtHSjzQY;B4kJhg+5wxK#F~$rL2Du$_C1=A z(#uFYyjKOwET9+5WJrVzn~wvZ0~h>|<z#j_Jk+BMSKdLQJ@Fkny-+WyBQ&$kFsFd`VKN_=`te?AIrX zWM{#IjAY4B0mT_6tU9C1QQv*MZs)Tp`jM{&0S8QgBn8z~NKzp9vNAdh;k8eu?5eL8 z zj^g~X#*CKW7^rojMHun-Qi1Qw!*la+2{^Tm>8ENc!f5W*zzvXRWuj8|+lKrs#I4jldUTf&vpfFgK1rF0VAgMs;gXum9wE*bm0SNZjw&yJ}*+#02g z52mbNBpss!{Jk)iWlK$V@Xo$$Tf{p%{4T8|gB){-B)T|LWuc_p6A z`eJPbbHhLZP(rF0Nn!%jLfed$KG6_*1_pEV?Hs&)1qPDD(P?Z75%cq(a z;2mj&{JN+TKma26bNGFbGqqYR{r@@-)WG3-1L^uS68FtyrvN)*mA)?_?sIoMFS9Xs zv!N3sSk-u{qx%CUX|*%;+_2g))1tA~U7P^gUlS)neY`|-i<472A1v>wO3177kqv`X z7KXs7C3vQspyya%SMF13v&+MN!4^MWnD(L1 ze}0SpN_y+NuhF*DuCvztQc4e_IDxEqf_W?^7b>)RtEdpaY^TFBVuT(?9cW%6xE6G~#>xp|3Fp`mX(sLk zF60!vci($@5%(~2C$9+s>d!JVUMZX(B71~;j@q&@VFv5nfFQh01p&D9UV#+jP;6ii z3{3_7J(9fn42YMv86mt(`bzpdj5wTt%9XLc%4i7hT2X_bucWU|Od(T#8!HQQQv>Mg z*$Au20&^9ow6ja!Um4Adf^sBtH6W*Cm>EzLs%cr_81t6e2x@cZ9EL28HuXtOqWZ@P z8u0oYMR}>LYKco80^~F3APrA5H`4l*S>N zWNE-sKnGWedCL(g(X2gAQk+;bIYtepxS6vc(OmAhZZ#ahML+D2T@RwBL*Svk zuUbKmS|DzY!9ker@Vedra%i7zDPjm5_1TdF8ur9hPuu3pQ1+4o@~3G3B3+)j*#t4q zaK?*-+S5>FN9Mil*P!A8Z*BfvSvJ%KAe9@>g91pNC4VMMY$1IYD6&u6T1_emExNV$BIo5=Fx$g_)^aj`aRl!_x7yG=}=$ zuwuw6CPQ#YX-vWYmd1Fxt=oKSosZ`W?wb=m_Q!a>wkCRbO@zBgvitk%UXJ#RSohi^ z_t*cQG{$qynvGw;A5r+fr7@90Xj@+c%>&Rv00mDVjR9SZ&#fgA99gsZ6F^3O>9+HX zr@QZ(Z7;2HbK47fI4^kyzU38V^7x-y>kh3s>KU?Yy?bJU`ycmt+<|DEJMK(~#_3ye zzkbi%{fG1Jw@xp39Gdaj5k%W^vf~GzKl=D7zwq!hm^U9Oyzsr>jg?m}-dMLk3p(HE zTa608nwTR?$MsAy-f70|zz0jQZiS@czASVe7y%f>-dd~7B9$!U;4KJ#U) zxu>;LKN@!5cEf9*vq_oaejMVG9W35cud{@WeUgG zf)sT@#HFa~;KO2$^TjB;#B`1j&Xhi!{ke7n0aGsx8cAR!rcoYZ{FN_%G}Ev4I=BPK zw}DUa>@Rn&3R@8NtMYNO`cC`D3(e>{;1qHi~@#=*M8`gWjj<=oiE8yNf+f$Z%imi;M12DstQJxoPeH z7{(X#=O~ZNl!a>6ed?`8O^VB5y1*j!{<((xM(yL2!;j2zCmM z$ef$rkM~j_vISO^+x_LF>t*Xv)yP*_q=ys^jQFib9R-Ztv1GYCicA3>L6P=;G);}P zCV|OnpBc(fAIw0{lIXDv5?{0+cNG^x;jANty~Z%a?9o3Y*5Lz^NPpSezz`kk`&7Z* zqMEm$>m3<`K@S?YJY^0by}E*;f$&lcN#Wq~Lfy^OX^AAQ68h6Am_`V|==z}V7OiD~ifu#v1 z=*?R_=b%h5Hn%7Nj$#?uj@j+Uue`kMv?A?j$9$qWy;rxGX8&pV;X^&+(HVD~6S{2JLzINo2; zvR@X*BeRn+!zEbV$&_Iyc5k&nnS5V;k7}Lxy-@OzXh22KKAZ|=b#gP5%tEzu%SfbM z+F!v*tG1ifIate2RnKYl!b5%DQ10iJN5@4*tjTG?_(>M|#Mk&h%QV#V8X2c8t};S0 zi1Xs6IVTR3;5?agNlN}a1aGYQ9K04$G^iPDgaNMR6Wyf7p$B>pHy_QtiV0_5xs(j1 zO%=R7nN C2O^y4GM{{I?D|8@06}&7v(~pFx;fhJn|-mzAZ#wF-lc&-;k0Lo1|9; z1^T`*mFqiJ<|a(=tVFsovBLWS`Ug!Blm*$u6_Hn_v}hYHdPWuysu-tJp#@h+USZfh z@&&O((19!(g6gtpuUjfrHgbV1Eo&Wn$`wDP-5T5svzp6qpNY2MnXvK0&Igk$--x~48*C6H)xq2(4r|)rPQz2>5mMG zYW*y-PSg)gNw2L?6Bh%3^@9W-E8JJHonrV>8WAitB_KD+My5K()-EMp^an1w?|(^}wpuW^&?zCDJ8A z?K^zU9S%*^KcN0rm!zp{Fv>8G3(%~0EO9rMeWi6pQ{}x!E~~nbSGATBYU^N3Bxx{_ z!9M@%r!Ea4vb7UmfQe75%n@e-u08dD*&A)eh?Z{r9+}t)KVq7q{vEZIOskoM`p@AV ze?iD@GV~sWcc@#q+;}2b1;XSk3VffTd!z))!Z_JQ&MaHyl`AvAmFC(Ak^S>(=ZeWu zKhTX9QA35yxl2xlXdqG6#yCymg3C1szs-?GQx}LPO-b`pI3Mdul-J}AC@EXn z3i`We>g@6oXe`Tr$QTuZ8#Y-A*>3ZTsA$a8xLF~f!N2kh7o8tx{~qX0zMh^@5y^xY zB2i)D$S&m~`@L8?`J52YLrqKT%oU6ArmH6I3GMP$I>|mm^R&5s-3w!*&kus!$!4JI!KWcXZVGyEzuDc&Y*4;>V(>sdH&v@zDuy>Xkw*mxp3r>_F!7EtvG0m~L#besi}$1=*uSG>ay zg)&^Uyn1X`T%nlQ>?954v|0!R4y5#+FKGOiiOO`NNr@))!&o(3)XQYEJedm85o($2 zqZGhl&w}XXeTB~F1BNVH-Q7eLC*38HF}(K`p&}@VNB>zsFMw7|7je&=g1b+q4PF}3s!Hzjsl9@!7Web9sfpR zhLllwk;r)nE^sVYTtUuvlsgn75JC(e+Aw@IluA1)YM<`6%#xqB4d;V-ijk!^aZaS- zjMKpuW7P}Xc2&Bxe^T9|fA*iUDdHY0ET}Pjyr0k4qr&C1{*RBxsYA=~>`=A$uGR94 zn&uKdbSvn4Dne5Yf#m`kE)qw&Y?&^5k6BRqP#}g_Khh|j(^Jmvc__$S-EE2cleKV! zj5DsNVp;258H3{OC7=d`PSAJ$Q^jN2C!)A)e?4&N_b2sJ6~ANV#o$OHE}qs`ZdaKK2mO2rrRpDsTDvo|1eItd)pmFl%h`*p54}^n zIsQZN7#iBE?}pH)F}`-5z(0g++<$TgF>xrT;&8yqj zt=+qBt>>DZ4l<_n`Z_naO>5Tqo^h@9b${`;(|wPpf33~y>}HSi+jqII^;zeh@uufr z*Q|H@i@$m8p*6ct{$gFp^13x45gR-|Tyno~gXFWbF1VlgbkXZu&-5?6JRM6O*Uv3% z$~nK@!?T(!m4lLAu;84V+qmuW=3RS#73>p~?BN-_DVWx>`Hb!I558wkzmo30`F#*^ z3+lf2uY@%_X~#On!EX*e6dW%$opqx{S`+@|Mw@6U?VPp z=o_HXK*+t|?A1=bq62?;&wlR4M2At}&h{dAw5Ya;spy42EVu~ZKLwFAZBt>7-kM_x0n&y*s!`xECKfukQUw3ylwv zgDaqV@IET}0Nu8YXoIj)>?07^kGY?~nt`z_#1Vu0^~wJ7CeP|Z$i4R2CO_9x4umC; z8E_anQ+q!ID~k?mfwcblv0i%jp@-oA>4>m)2{R0gTVo8>y;zUKL>rWOD4oj;6|8AD*rd7KYhCUu>>cHCG6H1>NX)Axc}*P zShH^X-9;0PwU(;4OsM*tYj$(ZB+#aS*QLlUGDd}7=|P*SK9)vN~Eg^S%naD zd6HHMnLtQwxe3o@Ly}Ao(u+tTgtXk!klXL|(C@zId;aJA&)IQ?p4yl^&*yo+U)L?q zP(RBe9~p~`tRBg)8pmxFoTwS9WYt^+;f@uJmd~t`RY%>u>H^b?gQqank4v6=rR80A z_oCDe#4B_c(6qW2pgtO;fO{Qb)QY8RvQaTRpRlcNS+&b&Z7-ghTYr*|I>-n-qj{2d zW$?0->N6;iH8z%QG($~ooTZe<$3O=Sm42Svgrx?rr*WHUBTv_CKUMR%EK1s?Fi^-M zY+x1}?zb4MuBuP(bPf;n2mMUU?>9=f8EDC08ND=CL^B~X_Ad1+o8{9}T`_+_i5UHk zxp}bC2rpO_RezHtrjjTb2%FT5lFp}DvuwzFyXiVPC<%(7<#=qeHmBuU!8Y+eE!cc~ zrn>c`$U|0FuYGka8O0npKUC~PG!-@rAc`p3E{%sjVFt%@6in+nw#d)fUX+aU!Z;+| z+rt*&Y75#jxinuZT#qMBWbYWJpMECPVkG&yqv>Q5s+(0?g^b$nAJyI@AswcnQ3SMD}3B1t)7zciICD2VAXQN_AYh-_wkB@2Jf32FhN%PB*0* zO~g}GUv}GQHu)vwqgkhY-yv#3{TAByIM_;e4X!FX)%T(;JMyEw>81^MNaIm3p=7O$Mf2DtX8HPgIbUaSstRFm zT#`r}TlvCiG!1*}?E!55o;XgJJ#)x!YQ^^tkXgq*au0bpS$Le?g~8uxmc)&kAX#>| z^~!ti2SD}^;j)v7{8Jyr?ZhnvYKtyg$4zuKa40%tYNn$a_uRSoj#MKn@~nNH$vax#zWT zq2vLXG9@v~wmQjABy4{GrTvB`>?rT!r-(D$V`@fiF^hM*qovfZ%MPSzc2k6iRP@wu z7#fyFi^l7pHuzL;!84g|D9iLqbE!HbmLw-^n#@obPNvqvawyv*vGVof9Kg^HvbH)i4bfz?l=>DtxlYXcMt0oE zYswNTF<4E?Uk=wP$+uUpZ?2}Y4|i%$mmP6Q?*F%#5PQ-w18&wboHM|6TsFR zNR50VQufATF^kmiJ@)m|L)HE8A@ToTEx4uqOYEDK;$2O+2B)wID9K`dMBuecXr)%#L zY&{@g1{NdbFtsX3=T$XvXIUw|fvi0~wp~0w!qO@!%ZWHTimG{VPFaDXj2;JMtQ!xI z#y1KR4Skr=aQY46I`z?>jz}&kw?_+RYbs^LS9e~0(5XkqPRH=aA5Q#55{K6E>*vx0 zC2I*A0c9*I$Fb#sy{Z01kM3bq-s}Yx1!JDML)5HiR3hq{)GA4cIz@I2Cje#eN%!(t zK#{5CG#v+yBi_rON4^A|MYqsRg{B!*Hvf=k5NLp$^LGBs0HxZ>$D^3nSu|?uxP|oz z4+Y6yj)RSLb&|?)--yvTPOI;lnae6`Iid9A2^vaN9u(d4kY6cNQsPMAz`t>7s7jT#GjH<%8kf>>g$f; z;S(!P%&0b3Igs9*ZhQG>P5piChjrPN%Ja<(ArdXqsO)`hNzw{etI^4*ofE9dT~?c| zn{CkVtUr_}>PFAD;#hUVYk5&zin#xc?5UxKymoQ8^8PJzve6?wRgf`!$6y0Xl_BQL zMBN>_l8h~Ou7#|dv}<^8`6(Sg)zk47WVR9jb&dYtUS^0vpP^*y&DFa!hQ`Zh$I!@T zBZ=ek6$e0q37tIMWjXt|ND}XBo;h#^6uGLu{T)1~G^#8FcO3LIWjfkaAa?vJo)$JH zP~EEj3g7*~0r7T2t4DV0i0GX#FGliywwao&$(HCY@F%8p>4F)1Z{bbxs$-m7@8}*a zMVu3q99n8~FYyQyQG4DTbE(c=9X?SI!Hx#B5@c}FxpEE7vIZi6O< zRT0ygv!m;OOlH%R$U4=zE&0g<3}HX9xnL%>t>`@ekPBA}!c;-N5okX;*q4Q= zOUWB_7AB1xl8b%P=Xq=pPTL0_G+GqRC{ za;d9i;&4bmm+Cz-A4p|acmRw7*_F-P7Aoq!yK;V+V#gSJf(_qeg=+NmhCTOCm4!D) z)|p0ok?n}1*BI-?!tZD+lyfRQc|Z~!(2OTKAPRs z5A|5qr+dxxKZjYwlidXKL z+I;q@BaRzX^|J6LdtS0YGN}@sXAgSMm^>|EXqG(i@**o_D(bmuQLxu=<^8-}d?b9~ zcy8WNwQ$gT5AGGUr||wg>Eq3lUw!kjcyrzzJcPLAn#9(d!*`CF)c->XE)akc^k5^* z$1=!Lfl>JVd6o)FJ0Gw(h5#DYzWmwf#w7Skn<{P&g>Q!9f%4%)P6 z^Rc>(5h42{rZ@8sgk*$9R4Ie@Uw5qdu5Q7dkXzHCqProHThE6K0yc=Be0F#=Y|X{s zW$z}1&B@TOhQ&128@677#;do2mhlw7ty`Cpz4iN`&j(k=LgX|j*c!O@ACSnrJQ4II z^q5NjXuyHz`}nsc>6{y4-L>iE=K z#O|k`S-%~=^z%PW2Hc>^BR*W;rnrarb89_QFGx1;AMR4W{=_x%dewSy-$%CTwYS%~ z66$Z&-;WX`hV6^)ssf+a(JdoYA5o7<7;Vs|9n`h$)F16Z8^~JJXn-Tr1!c4qo#qE$ zY;G&>#dWrw`8$89qPgX53trT>7f!E?@kX_$q=n~O`|OQf+wIAw-SMj57~N?!8?vXe zmb5zOvI+zVaJvIEc495n6|~OJ>Q@<6GUmxxTWZ0AiwtZ!+ois-PDbH`RrvIl7jlLfMK_f? zNq6?){kKvj1yrppBrcCu@Y;&E@`Ep3Z8&l)Y`ymi9^*)vBUSmP6){|<_ANGLPGqyQ zPe+yjTzSCBrsV7_Rk4MWnepQbwTE1-a4}WkrIKHE+wS=j&tzC`Yb?+pYRK=cVU&60 z9=?@#>CIoap~s`mdwM;k_%^=n{T-w#wu*LDoVzJqnUvPb1ka@neUNvBR+o2ww*GJZ zL+?+EFlb*I-bwCt7{pNzB-_JNTfQ0~|9c2GJ7P#q1YfkiK`rNCdcc${-IdN7`SHMm zfp4~WWAf;#7nFQtQLlTm6`cc4o}}>Uv>jjQP^yp=jw4d`$w=Zs7vF*Y^5a>oP^0+h zMOShjk0Q>Syx{-2xNqVAe+Rz=^K!jc%5Eo_{WBuw2x{tHoz-`#zuly3eU|&VtKcC_ zKBNGys*r+evSalCb===*ImqkmQiR{<|D`MICceyc@ow}SJDo=6`qQWBwOp!}XrVqz zywX+_pS=qF@&LXX4XK_+fizufXPqJRTH}e;`!Jd4%&T@K@)}>dHN83}6-(+l?AcgS zPaJs7I@|Zfc?`~j;ArG`g^kQ;XVDSYL;ereiMyj^>B&`#_x`tl+D{iBi1xa~uXa-Eo|NK8Mxs^XH*%1Hwk?%fnL;hbgjLJ0>8L)VPGh=>CB5UoC91C!X_R>UzPYKG@1Tf%l| zY4ydoXGeW)ITn}oDkEbrVUDX?@e;ZDly5|af(rHcgOUTUh~qlR=Z~B!U8mC$(m!N~ zS3;`u%|CuD&Hq=>q7>;>x4m>-QX)R=8+}hs0X59ibfYCcpI(~}Fa?)z^GVWXre7Bb zz28A_fNaORx0u>_$u}VZ5!JFf7W0n!gos6`n{}6~cHWwQZ2*WVkocBjaxxll)r?lS z=FqErTUF_Cqm;D8xmhw)?#bbw0vkJiWgKL-8g%CsMy+F+5$6z9ce;lT=BIvq>%ZW* z=e@I}-SXtoSyBx@+y~I5t7TIiMI1e~);L7zK-5v{Jw0@7KHX{`pgPZ6%(ObwD2-}Z zw!Vo?JRWHEjNA|x#+@i2 zQsqG#;*Q=%s<=#$ER$sp>Fe@2gT8b&F&*^O-L<#ziKVsnP>N(1bw=uNFN{^enCK|=G-~U#!e()tolepjTcC#! z=*v`0ai|ua?uYp5bV^&X9HiU}y3K`ig1fY8jP<3q6-_Ho?o;AUcJfBPmZO6X4S~h-9?`k# zhb6kBl`cMNHe-1f{M=D;dl=?Nuju2w{+5wWiw?`jD?>8Zh`l)M1IfYF4w5jr^gD-z zTIs1Ydj*j^HbfJ#x}ho?&n?-PI7_|nZXE9HzCzz%`=6vSB35xKD1NesHV(|=I1k?t zGnzbK4mdf1xbQ9fd5MSc4PvF} zuX)44=awEcpa7PD-QlrWe4ve3jEtsf5zFsg2*RcK@n5=l`)ZmXIHf1-BTMhza2 z-dW1#0t6;uqsZu5ArOr@!D-aPMhG?O`(0jdZfCK(56!P`O1%|zw?aS|et}9_l%Obg zIrNf!oS#&##bN!ZadkQCZwb>d>T0p1TU_GZMn65$B}ltR5q5Rsr^()2$s6)UMp4E5 z(j@4iQU_=*FSc=L{^XDyOA+tg#>R0mpS;DT>$p|lrK8!3+g3DcpvMiAYF8p^ms2fY zx;>>~W+ix40CGpG=L;*PdqtHl&BUqiKzd~xKfV0wJ7-rmi;E^z_ZJyaYZq;*uL`p5 zIn}MD)e|=_)^9bJgq1y@HKxn~QejPb&~49lP=<5b)rE6d2ZsALIB1!3uE0o5GRrGqkceysVvm!ffRjiI0&l!6bk89RYR&s`mtIdzA zD|V*opexhgUhJ{KQPfXrGWktM0|36@7I~;M+%>y|AajHouyBLYnth48dfGma7#*h3 z*)H`6kgCer>f#*0YQ4e~dQt08uk@iw;&-Fbjq%A2*%98O6E+J?lYwJd2;en&291l@!Heq4p2^2 z$fEPbamFFIu+v5clTH0KF+J%dp}1M^+-ky%BJE~1JWQPw%{SYyz&IwcrAcv3I_X-= z=yJ8*=$UO=6_aT+s*b0Uim0?L`MS2^K~?(Z3w$G~b|f7Qo-x!En`ya^cwoNxQJm02UHqUdjDLr^ z-o(!nG*)W$=8e4-fg7+zD_$CUe-I<<4J@=gs&uk7$CBT1fE&%!iGT(|GEv38QEAkP zf%fn1sSo}+-{sX-e3;)zsqRJy`3};?QQoN8Yi>dUuvc8zn@ZJgv}9Pum#d$yD9DS$<}%7uc`c-f;N{iw1H??Fel% z#($vT!$^pdJ+)H!OOExnDry8jXMg7KY5 zE57H1^2ZQAxJa1%zx&}BHo9*yR~9T-7qsx#fuIc+1a6pNSGjdBK-jr3G~j0!ux>3| z9JuaGoO0B?%&&P zue)qU*Ui6vd?xJ3?iD*1Ng_j6Eg~2GH2^{Nzn%^XzVg_QyKirfxe?WhAjgihr!IIycL0Y-=`^|d~uT63O zchK%Ls)&4Ty<>C!rN5Ay3npp(Ih0T06ivU+#^!C+NDZQ!UMvUD}9$HEjY>d5EkBOF3cM^lQ-X&16vPnfuR6$OX+& z5nYNW{w62u*I&Lqn_ZVig;q!YqG=~t&v|Zn45P{zFUlQHyET3}kNW!-EL1^p%(dQ- zL&*Ee2`YEk$IKz`H9K6r%hzz*OAaqxpVN%Pdu2?{RnH?yu!lUPROiC;2OqYV}|`Qh3TQgOBI zLz=9!2~&o=er|)1M$O$wAjc z2ksbbA0UTjGlTw>OxA<3+0A@HARC?{Wo*LNvu#C&btlJmfTRJbkc2>dN8jhF<81G= zLdK?kPT9(`MDJvGn%geF9IW)e%%~Lci!{mT`<4~lPEk|mO-YQ?NCu8f zQp!&s3`g7}RpO@M&K8+n8Urij2=`2DyKYZ(&BZ~tc!m2stW3u@uBhl=vBliqn<{B+ zj*gV>9acXxQ2#5dTe|BGgeShbU3;KB4}$n!c7%P<$&Z^yy^G=E252-qgYa-)-DQMo zrvasj;;)F&OA2+zw&Ww9SJgZNj2TwF#4Ux;oM7UF^bFx8^OFH;@~S!aK4swG@?+eS z;jhEtOtcEs>^!;t@16RY_ zLzewBDG>U4=xbsq#Jde;tnZXZ{|zJ#4+E-My!UWOxr4bTH=!X!94oafQ{#BSadGYV z*s7R*$=?3a6|v1G)+o?kq%iF;>R4?2ke}nMX?r(aa4U@mMH(MT)40YR&bFe-(Q=pe z{5D3Vx42p-?v0jx-tF6d)wf=EQu8X`S9NE|_g()W_`vhVvPFFk^159$Ws1_#i{3iT zXtQemF|0(1n5Zly4Ueik7x|&P0C`H3EH(H&E4YPjx|TCS{nK%7>3Te)bu12jwe3RE z`V^db>Zlw50G-A9!%$vg<)}_Q;IWv}&0l6GX6Mh~A-OdTj03N;*fxXfnEgoEjmeu@ z_5Hn?6+Ax=B%@8dJ14D`3~o&ux?sib2Zkh>GX#nWZ0eqjnkeZM(zNsG@X`A;X0zJt zc&b!D?}+jjFBUN?B31ZrdciVOvIGL=5_Viw9FMgcYxeq2 zK#2~X-I$3yE7L&P5(4WcYZ2LF(sH!07pVy8UW3^+kr`d`g$_*>{+79#f9unTJxeIX zH(cT@PaM}S`JEKH+(xR`X{5qNxk6Il%_0{GQt=GwdvdHt@hyZ-C^YA7-{lDi%VCk-Pv_rK&o8^=G$ar$pNo#ic$AeQ!v#ENOb3O;HFF<8A ziHBT5Jd#IHnczeW*68tUp~+V1f{do*^XfiNla)d5Tvfn?V_YA7EdRm3hG|;3JrNht z`5sE{Pk+#5+a?q*mNcY$ee{E_OcSoX{)si27FLSjVLoV%x`6gDphg7Z5PYOH|*?~^~*U_hge&*1n-v^11j4Uk^ zcc^0QCX0yEGSNWkl32Gf2TjOz=BuRYhEYgs0c#SSJ&E8r*jS=0)>f0VA{K3YgPL;y zoq0^Hi{(HBrAy?dJ@?tEL7;_rdDfiGV{aWS2*dH=u>`V>F^{kDGv8G>wPoUN31dV0Q{SSV~w&=(J*9}^I#j>G#jwoGcWk2Y-`-VT{wq|X= zOdiMQVI8azXc3^osN&@;L+Af>-EtshDu%$DHdIYEupTQ?6)#$wGN!M%5i5h=j};g= zpfyNm`Hzlb`O)|Qj?>3t<1n@9CIWQ{`a;NT;g!d5^^<-XcgDT8GPu!$`ZBsSQ{v5; zkXrI0X?e0`W)8p~kOlImhIEyqH#|QP`aQ|EoXtWA!3W)mhw#mgFBmmt4R#(`X#6GBC@yRw>1FX&H7zY}}@F+P9jtiP|*lW_!SmxpSZBmb}G@0+l3}2I; zX(%~h@_|N-A0{@E09UFzC<+$WQ^L#ZmH;A~^o1dwlO{ zxI)JCoyB+I#nb4;aWnz>H0nGH&#Jfu8oea$sEmb9qdSYSb~Vkhq8O#grJQZ+jn9z1 z*E(1qI|I>tH9`LT{yj3tX2mBlAl)qo5mK6$HzbK0_LS~FYn{cD!D@Jo*OA0(l_RaQ zZNEE=+c=fkIZTt+=S9lXvVjp${@k1u`;5BWnWOBqO4jm~$MC#Ch-zlqgnZQM@1(r=*&PNrNZEa%sCk%K5n;)q3iM_{-K;3lD*5n zwUO!!QoRyLZVCiWv`5t~q2x170!Q)UHy+%tJ|9b~@DF6a#)@Fa^~897JUUB1vc36J zlk4-9tZ_8y)56afja4U#(>=?C4^w$ku4*K!4mz0K%uNUNo`GxWBiZa_EhxoPk`jUi zKw)N+W%Eq!Fab0*V*~6JncI?&mb(UL91xsN5Y->VtNQ#CQGV%iPb;-(jNheIZ<74t z#86ifv_h%XHE&97EfrO%sp3<&;F>1xx@z7qsO+VWGx*-s?ny@8P${3eccszYLS+wX z2-yWk8bAMlC0ywH+QT{f!JByl#Q=1oUrv;Ng9^dfCDWqsfA zpi81ca6t;wEjhQUf{&uaZia|5(lP^05jmB_(^XglSWD>^a2doogLO^0;-xrBVRF^zE zXLG(0DuW3Y5lgLlO)p41=(pm8bfEIrf(LoY=&?#{tBl5AL9hUvl1;|(k7M&`*#ydB z^6&)>TOW40y`sUrt`_kdlYA(^J$)OI4D-_9+<-1`A~A`6ZK%Ddhi9C2I6FR=N+l*U znvGt5K2;}UMa-#;>K0MFt+#3QuJgbr6Vs`>(Yq1YLrIlvsH6C7)6Or>53(Z#JNMwC zvv;bjP%fuAQ%k}OLl-^XwEtc8hCv1TvFh5$NXXBE-i%lnss4{0Z~^Rq%U;00F8sfCz%21Qumgf*1bw$Sa6UI_ z!xRX;g#q}3S3I^TXepAC4g_tPS@`pf3)sE#KC@JLKi>MM3)( zoSzQ8dO0-oYVf7){IKwZkfq^G!R1d)E`4=o*_s2v!S`Q$^rNMU)jtp+vC!A9eu7!> z;ig{ymfE04-~4ga<3#x1f_H53tvI|cB-Jb1k`Ve*r6>4$Z^&KN$QK@TKIqY}K~(L> zmKpfe(m+{|JUYs~wk~byA^EZg!V_~_z7BuT6c)SPyP{wz=*YvHmVWcAckBNB3(-2U zdCOa|u4nhWkq{u+e(;&)t#^aPy1o1Mzp^Rtg0dFcwz@9V*g)> zpr=KN=8(fR(_syDdl7S_OEQP-Pfz9k?&imKIZ@*Vm zxQvmR3n!LMU0FDIz`kROu=w41-Op}e)Ke|(dP=T($&g*zrHT0Z!%f3uzC)xs;)f-4 z_h;k}ec>HWnmvL2gsz3&%;R6Tklcldvi;SXbzN*?-uk=FMmb_-==vHBdg z9_TKt>>0n`lW1r!%*UMs8smB|UhW>ZT=A|dx}8>uLRm(Y-sexAVI8ysWOx=G?6P(# zKHKt1g6r=`-)2-PtYVxD&daMGdY>E7Rln|952bFT1;U=b7dd}paEI6GMyR*R2s`pL zs9F&We285FJjELLNYyrYb`;Ck2%uED>V4$I3KVbFwg{ z=^&;`M)5SlUAUDn>^N?!x{q^#jvT*BIy?WEAf=RL zUynQ$d66U}`*%iO&|e|72I=b$T7HO{VwUHPt$Hr2??nuS#GUS@>+7XyLm$q#Wsl^e z3o1k8@2p!sxt&y7DeY)ToL3U_cD>Zj+6LsJh*ah?_Kn|dIN*~@v)QyDNs$DpB{@nQ zO*`8Qxv0>wYwn!G<8rL0%y<_CEQg_{P6Val7g#&qK~oA`-5!1hvsDnyTp!ese>vNw z^=y_4UqQt%T{ly2`TZWOmCovq_WoAbw;`V*a;<+J-TYSNY}xO0z1x4zX*G{WA==1C zpBkcM@hOx>F;2-0l*W)A`X0IDDv+s~g)``fQBZMK5YP>}5BvG|oy`AU zEv08Ry_uEv(vrNZ49aU3<;=i@uyy8sursx6>F_+x(3ZiqU9sOEp$X#UzVH!J?v74! zmVLR)A>ET$UAAs;`v*uH(1@JkH+oI0`Yzdu*A)z7y`|nw5;zWd#2fDVN|xNAWkZPL zWIc$fj7)J7TVljL8I_W-BI_##LluMEy^iLj1Tt%sU4q~ zv2d|$tJbi#&ut7J$u)hhTD8k&plYkwtg@jEPl%iG@8_=MwIwb!8PaR z+XJ=S0xQ&UXr=I-XG)EL6Xp=Lse)f_&PEiUF<+I(_0Ws^^V`ZNJo>}ttdI6F6p<~V zuj^FB)SC*v9Gm+o_TRI{&ORF3Cr)1*gcfV5_%z=~@ZXm?KCg6-p#I z&~@24xf(+zTg?Om-7I74;esG!gJ57l-ea>o>S3d+Sl15gCYdh^!p4_91NawvVN@cj z*LkvQgt@}woxu;X5siX5-ZKZSxzIs>qP$7%+!>Ixq5I4aw7MS-7nxQs{!1PPXkApo zP+1xaP3R4;b?&!lvY2c4+Fu?SU=+!$Qoz%8B(omx(`TI>@?y<6=M>j~tI4z&cYjFtxhs27$w2qizy(5pCUE;?T+p!;!Du*d- ze@f{AVuC1Jl3-@)MxpVGb~D!$_HH z{n)wO_KN7AK5Jckq}0fYX_`xyAlyvT-}gnjZnMyL8Xv6_lyZAM~>s)p4 z1Ynr{t5pkI9a-I~hjjfO2Xp-uNnF{t#i_}6NcEB@9hJXM7L?ZxH>n%S&U)|BYB`Gn z-zz7&#njs{(Y>f>^bn|Nxah8Q0VG^!l zw@s2rfNubeX+=@m81o#Er9Q}QmE73+PvpgS=e(qP227c14%cS-aQRzfPNYGw(1K4* zt0iq#;%50pfpu4xHg{v?EZ6_(hJTlZ8CxE-CW*ohwN5pZS_jc5>LH=8D?MP>z#?`| zAiDXqP=^mvW{18V^+M&liMP4peX?;Xcghaw?_nt0FuPh%-p3xOSgqJK)I0NI-rUL< z0c7LTP2mMfkmP5H11?*pt>jFCEvrC@$={;5=+Sa{5V3l5HJMY4Y|bkbSTGHqBGvXm z>Jz`2N{WWttv3~p*gfvy?+Zjtl~eWQ+f(W*45aluliNjp}lFjWpfaV}3CY zv9oIQY}V!G)5?DO5^QFWaw7vDs{{+$Kp&jGT>VoRZC$p0?a48{`q{gRmHV{p(eIWN z%33rxTVl-k+?0@67udYjub!CSKH01GkiRLNbq26%)p|PV+2DoU-XaAnv@wu>D z&>epmV_}J5R@l(oUUcl2DHdP^kXh%a5x$^=EkX+<;dbMx;ReH zcdcI>;g$04e0o7zwZx}%!V8mC71X4iz0!L&mlU>6dB5vrcbFZBPvDgkNOF5;$+nga zZGxfHt{iqL)eTm-GGR}UXtoTWtk{=JZ;2Y9-$1vov0ZeMhrOzxtyF}EDX_+4DoCom z(kPA!?d_2O%GgV_qd42CeC8Twb0ObI6A}&^CEybmYLl~(H2{^u-2*_CI*M~N(-kf+ zG(Xj;CYQyOTk^&B#G!yhAdEv~9siWkk#io%P{OeJNYf4RDM)LFyGHlm5d>qcU)j6; zv1A|B1#jbx$$UwiuDi^0*vt^OW{E!z%omxfInZOc39s!;I{D$A)AZ{A6nTDpv@HG! zhlOm_J`J0bvqgv{R8l01y*K9-@6CgzKm&0G$9OVk3>1$L>z}qaJ3lRpb$BPDKx2Ne zIzlP5zWnBXls7%^*DU(g^zyYUzkhbwl1F0|i#FmCRs@CpYI^-i(Y)r)Z@QAXPG(yEd+o{!+vZok|5Mkcz;ivz{8?)@=NQh-zIf)}d3VljeJf~# zEay&0oF!-LiJ%NY0`fUivzE$&E+xLbM!9@FM|k+HFtwo|X*Dk1w-?)1>>9&Tsf+SeNaUeSmOiV7RunvI(>fP8R6*7#RomU`hax>XAA$Nyy$Y*jO7&2#c|`G9z1s? zSeUTzmlsr3iyYi<$A(F{@(dj~Q`+@e#1Ak1ak%@vFj5n7>dr;-gIuyu!YsB4&i^>O zGWmX-aaG|2VjG`(0+QA4^->_#j=@UbPDV3a{N6oM_ImOAyb-FcJztgw(OMle&5fp^let2v>b9?yDo%{cYpIm9z4O2uBwv84*E~955m;4+b1k8|KLOB`aBPN zqwuqu7$=trU{k(7eLx(*9sK9+e+6os0Vn`CzV7SYc&D}%LM+^b z5R7;^`?__!?DmcCSAILaDYep{#s@wzukCPu@w=Cp*dfom6EC&-ld(DRXq7{{LhgQ+ z4u)Ovx3olm)C%QCzv-~YVZAVRgHjz!DGAAnrC)GJl6G;)o6^b!mVU^b=YlWJmfc5c z{91v(EVypz8ZLu$CA^C4EHbP%!J2jee1tY(#S1vx3*88JI5!raf4YZM%zIQsulC#0 zV&~6%F094pkST;wNr6%li=I-*z|tgA$QbGwsCo0{!6iarZdE06X!-V!;wXxsQ^c!) z?$C>8W~HZX1$~Dg<53S6&~m>0<0R@qFOGfUZEB^X85`h+0`L<{*IU{C+h|D~o>s^KtSi7VW3$)9>V9(zMFh~a zVtQ`%O7|u!3mRcsOEp3qp|nb4nXAPEa|l%!G!1>>jA^^c3b7+kQ|hf)5-wB17p$N5xbPDUXpAjC6>G2~yTz z%o@}@OJt`SUmWStbEDZ14TSONSML%ew->RJB(&)!&TY=udS~hkY~3iSK4LiN^4Yu{ zMH04cm{fD57hOL0Y+0+W(gSJcXJ*!0+v@SZ?wLjW|3H`evrW3ym&N-`{ra-)Gbwa3 zf|}$#)_V=5@=Q!r=aOpPutjR&J%r1z6@muzPa~Q^cLHQ3U+JN1&&&(MUq3xl!+bI? zrY>3HP*c;B_NrH_(<2I;n1%Qn_Q?)Mt#L@)6J_HTw!%7Pt-ca4p=~Vw+&OyD)e3d) zS+{ITHa<9>a0|Xvt-AUz!W4pUH{~+|1PMLt^2{y!&e>4f zW6FmDm{G_3#BV6A4}+(DHI;~8A`;V?*WH9T`mTT$vViyf0mB7_!EuvK`>>Dv`_j@i zW3ymhmai4KiL-`Pu|5#UJP8|#V#Jl&wBuGL=&EV#OAfG238Vj6pDBe3qAsDK_)OYX zli35gm-0NKU1o+QYYYq(lnaVXH>)o@$}tzOqI8D^em5zH&F(vnUqGp7n&COKD77Kh z-&U09rq|9Ib2vs)u?^dtfVs96S~xDXR+=5lT$@)@6HkfZO4dSt{U0eDHtuFfTFu&s z5_kH3zZdO%*QYPOF+ad<8xKfRmb;n!XgB`$k7#?2YW(V7*s-zya@~YWg06MzCGp&84%Ooz=@TB^Uqh>=A`-Kc!Bw zv-Auit(=;(Q$9%{`$(9dlSy?lD_-UJmdYc9;BJt}bP!n&s^JF1Ar}PIs(5LoYXbG0 zMNVzUE!DhjK|re53=*elg05(xlg?$l6ZTtJPjdtfhi~2Vjn0}H>Djq)Nk)Q!weeau zU^>=iItL*`VB-YIS`qQAq`Tx!l9^h2-X?jF)M!lCY>lX;&8J}kaaXA zKW~+IMhsjVqn^eIlYLdMx?4=2%@XCVi8;MK zrw1RE@kmv`pvBdF?JTnZG>^LCtK!?<)2g<|zD4fHL`z%XfHg&YN}(iZB4MEK>V#TX zb_5C;gdz>dM7XU5#^U9d41o@H>-pKC&PO8sZReBuxw^|w^KjNJzad~D7d##9RW~dy zLxUp~09=-gX99c9$}jz6Ne97Kbrw}8p+JI5YP9h}W3m=%eU-QLb1^_TfuB_8z(qRd zo-A3^pXj6hkm>&Fn;##16><8<%prun_sr+rpfTgm!a=DiWnzq^bLft*qBWq0MQ<)QC4Q6iG?D!^g6=5r3% z3(Ut5uK5cr9d1qB0z%`+w>d+W-M&89@_aruqe;3)y;seK%}+y_IvPV>7oMW7=lGbx zS2eJYSQQ9%jd%BLymhnWU_2B*go8G$ONW+LZeX$}-IVNBi}lm=d?pX2gloKlj*{#F z{ZZAja3uOcCb1H>n!g^EBWYbv*F{O#g#cUVSv=O*wUH-92eoQ9MTmq(4MG%wSvZ1J z=Ww_Ib}(?^8Zk-quQ{9b^y#D3HF3_<{s&*@Vw&aY%S^tPo3zrS12u*^$+~3sN`0>6|{!yb+E!kH? z6FIgP`udCz*OH1Li2;dhR_NXXN_!gnaw9E1bF26}oO@GOnQN$|NOeA(*GOM&FX>&b zb^t_AMLkSb)s)`6dKb6qrh%r%qnwykGPRIKqk{tCu!?xYLBG-gWGxrBLM!l5j`W~M z=^*NB&(1XzXlIbf`M;s1POy@*Sohvt_+PB-6#rxS$zAwK;s2MPytH6ZU@*ERLJ(g1 zOyJWY`wxe_d?IvHQ1p?IKL_Q#@*kVxLKzs!Wc&d||CgbB_lbZ0Z(!--z#!NZmq6#b ze<50eu|M_({48kQ=IMni124O+Zld+3(lko}h(p}Q8uYz_%nP}I99Xif0%WkI4h zgF{l&g0I$1gUYBm9>ZIUYZ@V!?;6E%v7@fl<=SS-UPI!Z&(yf5_&i zJTJGyFWD3%j$?VtlMe!3VR_5RYXJcTPX$k3n>)VxSO{SZJKUNOBtC>PURzjU&QC8t0cC`}d~5uDb^M&Yu$*wB*8(g#m9u6uM&A4TUbRTW+ObM-q7 z6_5gzq6LZ zlkhnaKyXF6(3pD@ioo>FX~a<2yo&+pny7#h6$({+u^Ri8f6SoY2TTp?8F3nr69bia zv*Fg-E=9!pcOM;I(?3pLKNE4!McP;yZ1?@=2S-;6xtqFFPsmsqtn?+a_S8_BvIn-l z^0&D+DQS6*qoTdG;t#_y<*$G&d$uwri;=RGu@_@xaI3|R>3oaLAOBD>EDmd(<6mU7 ztB$G~%e!>zPPaZ=3{n3Fn*R0+Y>v2TUVEZ3A6>+E%R(Ta&M~qNl9wSP!!owJ^b6>x z$1>;L8&W0+ zksoxa*U7LUKXtW7jo=`^>qpJ%^i^LWYEE{aTHD(4eueQSxkdi$%=4i752FN^R^NkY zeJ;4Xkwg+9RO(D!1FDao#mUb)cGwWgbtw?k&S${26#f?>ZesaHRxRZqKY0rX5f^Npx^azx-6`7( zXIbo;k7D_7VJn9f*qiIG9nH#5hS=>l6qvo5=!}1!)A|2VNM{wZTT&gZJo>8*l^6e$sr5l^P7hgmPK)7i|tQU?nw(Zja zg;N50gWbsM84S<&*O1E8@B%)9VyY2!@6=IB`LV15&U~;*Y-gdN%{XQ;v;GTpH5(0# z#FbrH&h`^VGC=F32{Yu~wtjKOdFSv)d)q&beV@rkm*v}&gkgUUe3 zZ4O~VWx);Zt4xrJ0S8q6K=hE*tmKhV66qevoVTIWX~BllBi3`==p;xO`m4W&BDy=u ztvtg8)CLmhjVN!HL<58$K0`hZwV=(T3rv~|6(xqP$MWWw!F4<e zFGnYC5zeHO>am;yo;17c+At)@^C2pCD-asqQRE*xrxOA&hSQ4GCgN^Ht{Yt^_I;WjMp{Y&s)4UN zspqSRuZ&ps_Fsw5fNpDusZTQMO8sMdxD1h1qaRVmP)d<@bA*;!$mi930eL}` z6I5w8;Pwo-6s!AoEIXABI3X2@o5*DV5yMJocL4*IVZw((pl);?JQnYDK#bw!Vbw4l zLXERzZ}s7~5s1@S%%(@oI6=wC^B@`U@`^*Hgm|}8;`uJQDXUB6`*^qbQzxU0aEo`J zOG__VD|4{1s-#TbsxCQ@gs*bjKx+o8VQ8W2axellc8cPS#Y>#sjLghtCX;F>dyyiF zL)u;*?p>EMT(U%T7o-bR46+lD^$s-V%I7nP+lq)I$dG_5Z=0#ym8?g~pdiQW%96%O z=Cgih-@iuDva|Y&YGF^w65|iwh$sZ6`dcKw`;pwKn4;u8d0g_Z>racHljur0*;<T{tgk~18{8Tpe_5w~qE_w@;})j9}Y5qworZ8r$_K3%ZzP>U~l1{F%N_P{~W&r z7a^0n;b6~|uBMYpHgc;jTgnn{_+yfr&?Dlldeu4lo0eufr9O*J&p95lg;sWibYWMIPji)E+)=BolgsX0DxtcvXlq+HooqNQ#nw(E} zCshjQe6eR0Dei75NrNTcG{zEXc3B{AChdTZZJ36(k*}=qD;rH;GS!u?u_jg=t*h`U zl(S{Gbr%2FRqf)rY%NW^AJ*E(;p z1-`tB`s%ykLa(Fmwql&Aol>#(?fL-@CN3ZAkY?kRG3LT>pc)0dm3Z^J>OVzh6#q8k z>i5%iLldUzB_>=PNY$tcI?ln-hWxifjHK?CQ!zjiW79)*-#DV(?W>)r$5Ee-tZ7W< zO5zaaDQjdM4X`gy*H9a7wkez`^5uuaoi_hPoGHi2#AX3HSOa!`QDc z`b>T^_KOE!+XnAF=#tuyzD22ZHyK5ak-9!O2kT4gjL#k9Z-K;MRl_-{j0Fn+L2K?{gwRz)k4$==o4X^^M#ST6POLU;RKpbdyy~ zHS<@~TkiV7Ne3OOu{M4&MvI!^WOqC>M&9s$LmXIkJV#s!1pE&*A@BQ8Tc-;A8W^_7;hm&(Y@AvEVdcHn7 z14~DG{ViTpuai%o_js}AW zPkPY&r3E5i_!Cas*t9G=+}-MpUehakIXKvjyz?s+rw4+i2%k;%{~=iZukrGR|5K9z zp>O!+OJpTy1TTeoGW?f-7{4tbv-+hVGI=I&_fT-ziizN|xBP5DfxM~5eW9Hp3qu25 zoUNYzm3wyBTb2MeU?SRg1DE@`=WoTHwL$d6vu@k`t=s>-`bojsj*-16#Z>GH9RAt5 zIyfB_N0+j>emPZdcw=CFz2ez&3DnoA)UOW)$D#3aPY`MRyg{t7OY{AM9uJu3K6^gc zeK0_nS?i2-22bVpg=~Mz6?c6&fP3lDtJ>tkJ>t2gtdOndp#|q=bWICiyzH>+qZ^nt zuY__(LbgQ3z4)|X9=qU)zaPaYKh6J5`N#6lluy1{8+L2fUiG5dCl3ZJRxWx|xi=t2 zQBrCQ*l|#WmPAEx8B6(OO29=C^0n{kW8ns`Or}4Xd>BR z$vivO*4cMYJ6r;o{nVw=yv=lx2#TMa?=LS)PCb{7j6KjiV@bD8w=D$Cabz8HlSJDU zC(bp<>FRaO-UR4RYgc^Wpa;eeQaAr=#V>z3NzFEOF0G^XNBv~i2lWDXE}8q*~bvV=fuTVmM3NEv$UK;uiyY4^e6SzWMz4FL{bn(%ZW#w zr>Y{E_)ZuB>FT;#M!^GbMT7wpw6%P6wky%t#_3 zL7C9D&C5y~oi=1Und_}#8#-203kUWe!ntLZ6jSddppxXPr4fm8 zch)M-;Q>|9;LFnE2P!uo2>3)Nb885oP#rij%8#l>!J|ge*y{nAXLcC2?(3a0>FT&4 z&#W^Gw!-RJ;DqM`J>T+%zUK1~ET({S+&6^YZ^)GQ>fzrvQ<8qX5JwR4mm+q_-#}06 zbGC_G)Vc?ZWDo?`I337GPU#N|uJwO7T+${mEmoo_;@{7AhVA2bZXbjcCc%XIOtffXXti z%pzobm|#{Wt!u`+M8_g`K2A}l6$J)-)3~S*E=fsw6MI4g=5?Y^GsdCXm653~{JP@c zWp7{RWO-YEvgEh7AR9cclT0KZUrYnhDShO94ZMH4;~;#!$gK%xV8Lx{Q+W z?L}J{6W)gamh4QEt-961F@i!l3B`;=ZK=cY$xIdDOJl0+XR12LcGj+!oF-%yXueKOWxK&Mkdp6|7g0#JZ)90B*|&DAFHEAinhfqo3k~CmLD)K zai|No?QnPLYgb0Jl%+L(TalJlxdm0Pu;#R zf@0QhxUYT0+y4oN@~< z?Z-B`>+@v_ZcJ^_3_Nrlf~Nv^RMV!l;eyV`MyYN(bhvigjLY`>Q$iQ$Q-K5 zDEfe2;UpvrgrN=;}vhqc`SPDhdBv7uPTi&)h+ zpeS|7#Tvnlc;JR%QIInTwb$!ztD|-v8E8|Ejbd-?!9=`)4(vNH>aSN86~yUaw45y3 z+T%_{G3hSqMn4_823&b1EmGhLIep9ssoBSj&{uW9z?Va8?Ic~<`0hP$v!{9pB9yN3 z_H#E!sdoj|kEvVE_owP5lFoAfbe+|ozk}TGJ-p3|%o1;sJx6#$rs@ANK7R0io!#@b z5%+WjgYrv*lF!mei$hO4zQ|zkGEbvw#$3Fk874WZADD~diI}P6pgj6NKIq!91fu*9~%Bwtg6`J29hPFS;4O&1F|pRVK0FM3&vjj}dlmbGcIs zPnp1ob0Kru4xV zoWVY2x!*fIkB_I!D|)Fphx^9s&a)u^y~rjgp_|9tOpv#CK1YX5%UEo9jtr8@zDv$1 z2m$Gph1K?!M`pFYIst{E=*5GTx%{0RK18SjSTSI)>xPdG(gof!8NQ4fUA5PjQKJzY zBR=4!LL=BcIygxqd4S?~x12AqPnEgG@Ea%9M*IdEn_0-y=Ooad^LWwTyC6_mseq}K zDO7%@obnR`39S6-7q|E}_0NvX_`hI#*3mAb3|rH z>DXgqDOY7W0w~y-`OyaQ48gDOS*0)5p@h}!d%gl+CMf%FGrrpY&G2bGFFSSNyRkC4 zT`xnOi+7}hyQ$zhE%Ln1h%-nSlCJ4B8Pyl=76#`WxlmK!0(7ZpOM#XFK6;=s(tC1* zy6(!UjI^M3q!HW35%$c-i{7g7p*hk_s^&4OIzab*i2$oL+xU79?&F5vtWrcCFEnd_&;oAT= zW%xLZ0Wg6KTk#dgac+dU0$;kW$!#6|X_(uuGTaZCes{*xDEmvOG))ta_8$0<`>t96 zq%JF`Xq&|&qvaWc#p1ivk%s+z{d`c1o-=09e}En+Kd2f&H>t5;X;Ph;1_!q_+uPZ6hRkg_(TrSSa9Fdgf+_==_dGAR-%Nhct(f4>pWr?G zfaL&R>s}Tms9z)D9&_XDm~_{6eJqvBiW~0jyOh^c7*f$rmv>)pEtJx{r2Gd5J5;@? z2)&PuHx=C@1X@NsF*SGnNuDQo{^oiWB~K76FKFmimajxhkKElq?* zaq~9w2?z|Fgso4kW+~#IkKN|Yd~J*u%0J4Q+PllYpyIQc7yPdOm-pkiR|B4O33e|E zT3O}c&N2kyo>LQtuqGkALl3a0Uv#eF%kJmf9CnXDM5fZDlcUFcE_P76d@LE z=ll;j&#v^?<`#r@-JG`gxfARyzZHD<`|HO;Zx}+hSG+hUJ89O2na}_C6aH^tG;G=4 z{HLAzRI28a_6y9{>KXR}mhaG?4%)7u+_eEQ^l8K;Q}oCB0xn9L{`4@Yq|;I)zV~09 z0hOAN=Z8&AheqaZZ7_6xh%|O$-adSwtntbxMa#3M))n29y;^5Ax@TYyGW?l;;m!3! zccM{1knRZ6u4KRv! z?pjqv{4$2SBT9eH%zM?r-3v&ov+~t$$bf+t({@&jW|coUi1x$8iqT~Jyhxi{*a2G( zTl~1{PB1MY+DPJE*fRH7i?u0+VxtxE2ln1cmLhG`zOujSpx%)6cisVtKS}$$2inAc zS$?}=|3`|@yTE%oIFN~WSkW3QM? zuQ4EH)o>ZfmsELeVIXfcx}r%}<2$JU_v8g$Bt(g(!Z`7qFib_0!*e&}v%peEx~s=> z7UwkQ>rHGJ>FST~q@{Qu)Pr1U7ePmugb)eVSiQaYPA&T@zTvVfE)jTh6BJ<1t#iMW ze>1@YnWsZ9{^ke$LdcyAZ_8yF|MmN=71xaWbh)NKbn%ah%vA6s541oyeXn+xz7@v5 z-hV}VORv~J$$KRiOSRgZ6;Z19D)cwHRPXgDf-jnz&ef`8Ik713TUC~yrrxb41nXvY zN|^bW&5tYI(NU%%U5KB-5e>}BtiuN?&ET^w^xr z7|Axj0Fn)=%2iZVypPR-K$>K2T}nsOd9;fwa!8D+#NiPi8^M7@diK*tKlgpx+dWm` zUk?CyCV=|RsFaHLyFSVOl3qAzr2_{5uZvZLOanRu{t(_V58!evEcDQ`i*g6)^LL?( zksc64)0OzvB9NnGWa_}Rk62~F~er7sCYHjfhPHTsvtg?5{bVXZgSz5i?T;E%qjRexAbHvX)fd zp)Rywkb9)!B%Eo-indlb*D^N;sAtCIpJu|8LX5xUCtN-r!O>1ssM#S0MYF?Fq7}zE zlz5N`3`AulA0@$B{yqd{w6OdxFEB&<5*SeAPF|>>aEwo#c?&t2gd9ft0t7pQk8cv| zpCkj@-)Z4TYrx+bPC~()Womab{kc1bKUdMg+f?9spgez!8xso8GLNa@kJ(j42lH@H zzsuYFp)pM3l~o?iK*IrNqpm3s$ViDpqmjg?@pE6uX;Baui@SM#{1KgtpE!5DZDOc+ zi9NY#0~XD1*?SsOWjp5X2SNX`6(k;(!+5n}S8v?#s~!0a*lw?n)f=FI_VCVI49f~k zgolBX=l=SwI03*Qh&n&{m<$~XBkl~bs`$?RDT7xISPAObd3Cqd$sIV*u}*ra0<_Ny zb>1FKX10v8Gk*+cCf4aF>6vSNrB+x$jkv%$xKgR zgmZ400j8DB-8_Y};XSf^ew^|dw!@M@EWg-KxWup4VO3!slYR7dijq7KUiN=VC75;l@0Xh(luE5n}($iewpN<-D3*; zggBM{w~)_ISrFGe9;N0GV7FUaJU)m698u@#Y93uEKAkKr+ej8vqo&MAAg+PUs2X|P zqa&%qi?6_1w8Z+baGUHz7Iw|z{dfyZos{4lI>qtNmlTHF!$(FD;d~&ou%{Wuqz~GR z7|Mt(HPJ*jz4zeXDM=NBZAoHe^mvBFIYo20#2uAc`|V3d~rSRy<47+KwgQ#5VdnU`7^>aHK0 zZ0#1{Xm2t@L=G~DJsbFAcA(r`zaOy1Y? zukSIFXX`3eO3y8nA|B9xHqg^_ekU5?GPAwB01jOK?S&)b`I?lC#PM4hxt`E3X^GG{ zM?^qogut3lOV+p-Y)CF2_ra*0`0&}*)!hb4mv!vZo&}Q15A7qt)uuhuS!(2<(ITE{ z?_5B62513Oh4tE=n`$MxZv&uTN{BE18xt|R&{CurGZzpB{LZ47^y!9A*t z#2AUAij(FZjk2X-5iv^b$W7MorKQV^$+WUx>mo2}w`^NyK7Y{*?m3IlW82`<7$g?k zoJCH$N^zg$jHU6q*sw#sEUdL=A9rn|2h-E3k??a^13{0gJjZIl6Uu;W<4X57mkH!3 z>wVOa_#6b}Gm0WTxt3^o2lRmr7-m!W)D>lvLN828uQX*hGWJeloG#jWoEWG1(Fl8(#1^>{CMZgY{;GIndco z-JIxACG+dy3cxaIXq3LWU)Q=IZz!dqGUk)c#X2hjyd80PA1E08GbuKeT&rr~FdC-V z92vn>W$~5|G;#y_$?}89iuuMfa4bKMN70zQsbpguS?0zmXMnz&odVIE#Q4D@JuOS# zAbH&KfYKQoN_;YkPrciR$2z3AxW`WF46S`odUe!<&v61;ZiW3xX}zzEHU7P)%(PfH z%8%J`pi()sk@zk^pZ4U^VL7xe2VFu~gz_QvR^^gx0oK#F+Eoq{*~cIo3w!_G|0%?x zrQoAhMfBCLD6snc{ES3!`=Nk72J{zei#Hv_X*3EEd>(+T$J0SQ7{Dn)MhE1kA;xK^ z1^adUBTB_Mg&QG}z14!h8R9##rLZV1%%Tb`6WZE)@!Iq{JF}3(i)1zU_EzG-JX_!q z=AW9BxcM8@y%zp2FSJA20;!a#LT-7UfRLnH@ z`Px=X99YUr6__zutV&9zq2njvVRgTInnHQ9(5jnI6{Q#wp=dW??aB&>>7EaP(? z!Bc(Z%t=}?(t7n_B6SgxVfAV9Q+vIsl+;mM8SMlQK#&g0AqBUoP?j)vbDWG+aum(9 z!oY@xo$_}QD^6sIbsB!mLHH9q$B|tGzirs6DV{m*GqHKQ=l;k9jsR2`k!uzlI>HWN zNx91y-h;j*N0g-YLLA0?*&t)slTeUMDW;^%vy+MXg_HCxrT*Pa947=I1q|#2Q3o({ z9HG8YlPze;H}{esJ`(I$k(-b8zlfZGzV)BqnW75G%d0RN8}&nNdS0r(~~Fy@yx1J?R&ndX1P?@&O{ zGXlTA1jZv|6FqS;_)|Y?a4?W67q^ekT5@rEZcyNp;CLpKL;pVo;UD_{zwmE?7ydnK z;rwWLQ0Id@mwr4vYvr`Le?rc(@8Q_oRePgm5%~{9h5X1TUi+tEmw(?wG%Mcw+l_7B zKK_j*7yND;ye}Cs=y`@-9SlMzM|8;JP7asihxlM$lOocL(m(wDahlQpN2D1aep27t z@Q=X2gR@^1&N|>t51HKVjQtZaoQkHJW}TT89o_W?GK_O){Zi_&oP&M zF>j;a(E77J9Wdght1q9ltPCGb8h-Z$>-!Jx1I2229~$Vvf%^elQhqXH*jP1)-~IN1 znLuQYcU}b%?m;j71939wlb)1e=DHkpet#%d@r%dTDXoQQFbkc5B7Qtt|uf{(qnp^oqLahUIy3 zDxm&U|3+{9{M?>4(cRzishStUuAT1vevl4Gj(9q~Venw=f@15x4)3CGIt44+t6v#^ zIq$swW>SrH%#A1^T%oC-i7>y{VnhGn_Vg>GH4l<4tOkH{*Y=m@ktw@&#QdzKu%l^qkFpcX>eDbV zU!iW$QiUyOOC>WG&h;F1?+|$fdqduJ&rxP5UIg5GEBFBdTbR|^ctS$JA0QgtgPq>e zc!KnmC8RcANJcT>V^bVh*DrgMS;UIOhZo;%Z2_4nHU~di~@fP=Q#=71Mx*s12X0K1tKT<-G zjgYUl>XUPDsN?9X$xid3iWb}lDVG}~+wZfXRu~~2lS2=2C^QwWbYuF)p{H*}7Qn1* zWX5!~L<0kDdM4qv+R}2d-5de8Kgp|YItv_t4u5hYlq7_6ev_4e6SJPh8Koq)WKNTu zX;0u6zU20(d2{14D`~OR81`^&`>K@lTaO0@HWY^Trs*An*e6PjCExexs}oZTH?5DN zs`;5)n!lgjDSU_(O0{t7Oa<*KX)GktXRf5jE#4NDI9<_ zTtVL^yJ$%T_QHK>;A{c`lnx=R0@|hbf9$7SP0wb~LJ)wSMp-;E9ZD}v1;ktXFQcJd zdg`iP=uvmR;jUw9#tW-7@T`IuyK|5h^)QDL2P|tlXyw5{s%8fs_fF=S5uCt?h+qhL zytXFU#0Al2{Y@?;3M_&F9;&L?vm#4ZG=p`Jgz(s>3@cOmcT^l@MUn&*A>a1x34|{1 zMf={lJ$NyV0qwNu@wWXFYc|=ouj0o5huQyQ?P90`5w4}G=WaLMvZ8aV_BE^f$M!$# z1GVk_AED1g-qE@E;9&pdDIBBrI;bkAtHyT7!=K-Q>S`E^?*)|%$iA3t-O^prTnI1C z607cnvVa6rxRKt2m>_eSN<{Y_Q!DK5rpK-?9McK4BHc4Xyxpb49Ay-4^=jh@{$*iH}ryHkoj)jR@Ab3NBX(vBQT7gk~~_ru<6O@*V{)mAGncmZwOJe=mxEV6fHuqq7{n{5X8%wsjyMJNJ%-u%A{ol1^_LF zOaxBR%pQzOzotHc@J|CTCT=(tmM`eCKp71IE9}-3&a{Is>`ej_)swJLns#<;AaQJQC zG^tZ(l&574X9IYWBnIl!cL$*MC;`JH`Z@$_d0(c(Smjxh^4X>_y|BRQ`Z>wNi}@Z^ z>lNL^%UfH-@@$0RuX#zywW)IYkMBfZV%^F$%b z@^OvTb2o#795hmE{H<;$_a)IHR(=G|+P;0E@{gkV(LRVpGJMj8SL@sv&FtjmKsWmi zez+ZlxvDWN3wH8Kykcz+H%5L4Q_h$K@ogR@9EjT2;!QjoGS7>|qm~lNJGe(8yp}IA z7CyZr>yx4+hjAR4z7!du8Ij6SrY+ijgJrp z0r>alZ!m%Xa-07B_%J#mZ7?V%qg)T|K=9-Yn3fkLA-d$r*OkAWJDjh=c%IGM?$wSN zNC&Lb4N281l&+1F#yrWKt z;35~Ei>w!n*=Q?NwX$h-YOehF9=%LZ=8}&g(YN0y+qwR9;Z6<+TKRaRXdqrqjdM43 z8}&l%?dl&^^6=M(k3T(0Iw{PK_weS583?Z$NR;9pv_W=hkI=NV!0cg`ji5L82y;lC zmeR7t$*dEYxtN6rEt~{#mC|Jq^3Qp5qlbYM$RrJmU}b=iRkabYuT=E$`ryahbFBJK zD1XG$bP`@VAD4_|aZ6qDY#o0S8n36cr`knYy1GHbkB*(M7v=w;`H57byw43+BIX^M zd+fno-qfV(@^KAVD)Nq^5bXnQa#CberMfSbk_nmK%AKmxBA>-q`-T(Nf<%05-A#{J zwl3yrg8bAC5I9-7E1T~3%ipCUgBi_!Y^9clX zjqtWG)S5;XS%{r%By?dsPe8f`U6`ukqsyg_+~yGm7+Vl0RqiBYq8v)9sb7oER|7s} zijxiWl>`{3^W?jzp!6fIA)&UBe`M0Zk5Q|Nwwi4qW)0GXRjG_rlM;>CMr(9v}iX#GJbvZj%K{SC$^^3u{h6>#|2E8Z^9EI&7P919ECMl(X{UbEGBXVCZ$;HT=t9Gkdm2B-LBbm={ zsw(aigCg70HMYv2&d^C$MsZ_&3+X2IJptJS z=tJ8%q+OUe_FrwRH#e8AvXg9)tf`Qwl=a=kA!`Ihy>fhV?TAgvSiAjA=%IC?)j34m zc-bq?wwGe%+b(Zat&a3g4z>xwynFV67dv^Q>cR+9yK|%M$YmL8xLcLk@WAw(3<+B{ z!VkQSX@$<7(mxDH8`JYVXwFi!usaW^_qH>tdcYRt05`qimUn(*TkxIFght8t1MJq7Nz~loL5I#Encp$DQfbNS)81JNqh@Tz()m!QZsnU+Q@8Yj$RianEj^Ft3 z|EX6_d+L**nSOKqo~jL)J#Bey@KZs{%Y%Lw^ma8G9H%P-c27%TKXLZgfaJVqAX)10 zMK1ks$@1ocH%O1;Lo(0$`7Z{@6+y`7{GNnv`8zgd+PO0zTfSj?V!7Y!@ZUVK#{a3^ z0ik2T>pz*cJ1EdE=8fqA@xiYR1?`&`@M(}BFgbAhB_t&G2b2hBFXJO2xi_FBG*CAC z{aK5gfBhxsE>cws0yv9q&D$_m_3!Y4y(_Z%wolu#TJc()^Ji-S6NdxsyeDu)E}cD- zR~Kec1{rn*il^q^;ro4jf1mg3MiQ88)%yaIO}3!$pB-DS+JgT)5+Dd7fyv)cl^@M~ zTqojhcs|hmp-bPfH%O=p*&P|_?EI^8R$IW{$i5KEkgr#ysnQl=7A(ls#sJ0>ZjD-U zQvyG$GL*Y0JTfn2%l1d9HNyEHPCfX?sk29ZJMDvGSBn0)ayPed9sAvv&iyxCe5~*p zS-{fWh5wBaq=H=&a3rHhiq3a~Yes!Q**f-30V*zb7vHE4S%_aK=B=-fa|hSY+i)_o zPz1}q*X9nu?RxTlkz^R;{hEZ2zkRAns>sigbf#3QAEQLST~T-PHg$~(9?|YLZF3*87bP_GMq&i4{heG$DZ*}2 zda1X@TzVYmd6jfVo}kAmo!PH%%8~`qcpnDE*yXF0fzpE>(~yoJzV1FC|+;bCGG@W)v{yTGi6ky<*q_jGh@H=u=Q`piGFT96+kH|MMGR z8OoCMQWM_kTJ37RFi%AVco5V%vHa@`$fT{snW>93U@h`-ig_dj7jn$3cj|9bNF8iGz)sU#Zq-ypeH1TU| zq$qYl67Bd{71N>GCa!E|8XAyd`Rat-g@EqoCzZ1=1;+vF}D{?SL(~ zEU~$xzqUUfaaDLUc~}6K}%)J zF4VJj`6J}t`Av3Gek0CMsFm}2Z5|*v(@_A0cbjGHWsldET=?~Io6*asLIz}>pM5WU zJ9`>ykaE{ec!o@I9!5BvNlojEYEvK@atz_Ksa(B?le_&Ey*J|kT{Ysm`rQ4g;7??- z?WEShhbpX6k_})YBTAkl!Wvh}n!i55QodM+jf@Uf%pEHV;PDp^0#)o*8t?GIEwjV^ zrvR(itz@5aXKPgdyy`zShO_*=h7zyVBP;(|#eKdY(ysU8fpfQ(uJL*Z!GuB-T;6|) zoWh`HVvWm)%L}U%uI4)ctyE>-*8VlPEI)-_r$%s?Y$}*45!qBwH<6JP;jKTFK7Xr0 zi@kjKxq{TD^P*8h;ltszy5p$nBkBx_sZ4Nqc*$ZJ2skCaKm22C;Z9N;npiw@5Q}(L zPT|*e`}_UPgY7cCIw{B2#fvF@pqH%E)n!FN$<@y1 zc-BL`mkJUo$l`x!}QL+3XD0T^C3=>Nsy#AnRkp2s&Wg_71Tr!Ul$hF$fx(ZLZ>_Jn$S?37E#M-Kd2GGhuJV1U>EH zV4DPen%O+MMkAqnyU)b8Nu;K*rtcsSp~oU?tg-yT)uJuwx7b^|eFrNSGq1KVB4&6NWKeMOP>C=5LU9(>O|y%0Lic`|6kH9#6rbN}R$n z0MrF1vm`12aS)EOjzLy6j1_kcYZz$;=A<#JwEV87a&83)<=sP7L*0~HS!7odduwNR zx`%o&Hp*4^^06{U;n;l#(k}hl^a%ST1ZH?jT@Lz}Zf)^KJGMV|9Qu?YVZ$U41qO9w zS_@kpo-5WtzwIN!E`Uj~arMbtkK0ZZxFLP+xa_qj%uNLKR1T)iR-=nE0q%;%3Zu{(v^T*EmH z#WNG?OVP7fcuMOMk4B3Poj3q8;w%%XiE5X6UEaY?)TWevua|hoKmH)1zodpyZp=?+ zPNlG#?hc|3%{i$T?zA~e+7?J2^gZmtCd9a;U01j*uD+X6?Br7dj+Eve${)DVL%(ax z&@XqQtM4!)7wnt8(1ei)yC%CY3a^YQr{sJB?JnpM?n6VN0-kv9NIp}jb5e>CbitV1 z#+LKwV898UQq8 zZ$wkFQk_xoLQ-0mrtnl=oz$2+F;(V;XZU7gg+CD19#>}KXPf8kq=nwe*59tc^mN8U z3ndCmiMj($Wbduc#ThLVRHuK&DMwsD=lOAD#3caM!dC0xU3mTdvriS8P z%u8lvc_5;;wB)o=>rg~Cz^VUJW3zR%M7GloM4Q272&2A3d0?DTRjd#<@?%hbHP_;% zKO0Z2jN(l&Ro!XS&Gu%FvlCcgyiAncAQ9*e5)KQ_KJEqUjkI(P=~={kA=@3EYr^vZ zMHLRSv*r9i@(Hrwlvc!|#XA$-MEq4YSBASE(BypRe0hL1!SH)!%tn9#cJAvNy`hsS zt!)GS*)%?#aqpv65mILVr8uBNh2w4%Sjc!CpeGGhZmPhm-;9T!UBuvQ5I4d#yQwuD zHez9lXgRMgr3M;1pUYKIl321-)lJyyrDT1v-C>m#E#V#cNsG*r*{TWAoM$Vk&W8#^0XSJ zDr1nlQRaJ#R^^G+B~&AMsxgTh&G9^J7jUUCJd1z5nby|DP5fN^hjR8Qv+7^(9Dq?g zr7w1N0zUrgsr{Up=}0W|)_BeP-1V0oipk=c?bpi#v9B6!hAy|KsYH_a>YYAlds9*I z{}~SbBB4UEFvgW2cnAq{@$_laeY4x|pI;p6lOdnlLS{B!=LUh?pmXDwfVH1Y+u*m^ z?*%wZp9zi_3fheZ$Mt?&>VwMOm8kt#s!XBF6$`#&&3&U!0e=Ah-;4TpgctO^6%g=u(b_w5g7&{Zy7kXPy8>5c zPYaMHt+v6v$ck zpnN0ulPwjmzsIfuS@jBMKIf%x17fb1wbceJzj`P!XomvpV@|v*;EUZQG`igr-LnKC zVIzGA@jY%9w^mO(xafeXp-^;x-Uj=9%ZB>j*Gx}ZcFtT=bknx1&`o?3CU{X(bEn8) z1nbsuxR*;Eo?+n=V?Sn~p)Rj;WbM2$q((AtodTw1r3GPZK;b7S! z`yoTCP(2LVUa1O1{bHKTb0Fy3G=-KB^i;K5<7X}E)2eqR%v;ZD@XXjZZ*yNIe3E1n zq1+~o>%#||-D8=L zv8rdQL$yEAq9p3`ye>LG-qU}Rxi`!T+#B zE}!Ve2$ZV7p$PicPKh|ARD!*f(-}PYVj~*DR!!w$xi#+%t%?ySLy!2HB9|3v(G3+R zo)?`+GE7BYP5f?u7Mxbueai~GjNt(acjH!&zhyTId_f8{COVMq8EAGBT7tlqUNRCqX#oZt8e}I z$ny63g38NYLTYLJwmnTJTeeEuRzZz)XurdA4ULx<({xJVD^2G}mEDVsG>vndX?zMk zT6jD)?_f@n%NkF_5s#;e^Y-NM^4xCe>Qf`H>Kf9wIas@gOSyy6Bhdd(W98%Lw}Sjz zU`gsK`hkvZmQRq)`N>NS2d@U&6MBliiuDvEAv>7uHcwBwUh#xjiXB0kK3)bh7BC|b z`6%?HY2iU{T5n(E%r@z>rlPfzjJ)5tmez4q6(eNMJ3!aawwGDSEu6>*tdI3I81ydY zK(`o$({C81cRn8=AyZf1AAa@#>5O#Hzt2j?asZD@=%;aj+VWXjf$}EI)oti|A=6!% zBdO0>_*LD0ql+Zo8w}X2@=%_r_6*uN_|JYycrV>S1=bU37ym#?0#%I}^v#Aw962Y; z_jMs7Ho%GH-)`becH!U)AwovBsFFQdaNqdcpAx7gcj-0$w2A5G}!@Kfg+ zjC55bSxlpbGaV8yAhWPzWBn6+tezaJKO)`r!!PPZzODJG#-<+6PmYeWGg2TQ z(ER7qY>A)zRe#kHl~q0^v+)0dO6(&I^`m+@CKj1<*WkAv{-#wZG3Lm$cj*&*j#X|- zCFvvBX}tin_!{_TFTuBvLxemsNNXjH^Vio;7S1pu6XI`y{!*O802kwE&d1nlL)jO z<85hWWU)^DJ%_n+-WvN6-f=!tc_bwcsj~6T#d}%#%A&e%y<)FJzB*?QRjt*i@57=F za4p0MVo#1JAIG6owht>;6>|gU;9ioxXg(hyfP4n;)y{MuY=ZsXKGCap5HOP)BpV0t z2K7&H7HX4o8;R9QuxGo=ZPvD&-&g7|khQejQ0DTYUsi_6A<5`T^rQ#9C)fM6f040K z8t%<`#ElVJ)W!Fd5Pc*n+^Wc4Gbs81)#C-&jUJM%v{uTCnt(dJg zf5_AB2gE@?#6FeJ`}@<(N17iyf9Awpoo+&7OrIx-=m0o#i=^C@y$(81VyC3t99qZ{ zN9uTIYE9d59+R3f#unD4>;zSps6}F=Bl@%ZA+^+zZ68OHzRJCTBDy00 z8F9#_vB*n7U)?7sDEbUaCNV85K;8?&-pJK=xT#s7TT4^&#M`|(Usp=9@J5QwouTAy zc0WFYR&KJbM^k7L(o?rC)Z`@Vr6UwoliAOGeQ-?G`Cn(yNKiM~CYuqCDjLWuyjw+E z53+I)m%fciJyCx4=$pa#9Kr{Dry%$fvtHUklxR)m{EVqN3*nrOClnurz6zb+>%nW3 zW)O`4=>Wxw+b9xs~&G22~b!r56z9KN07H} zf|_>b2w*_jAT--2>_64r^VE!68+k{1b$DM4AHq4Fq_Z3KRh>qCL>)xk@kHhigLX!; zk4RADNz1%hfiL{efzd$r8$^vsg!)R$?aWi>HdQkcmv?zK%J(r0||n zSsd43hOy9OZIj}yHttc$>!L=AXO14y9NMk24o^5h+;gVUWc5<#JNb=)9yL z!AMEP55u!q*o{?)-Jj~JUTs7kMY^XC1TKZj;;+e95fb&rBtjwVMxj@ItUoA(E^`_s zwLD&$F}e9YRDgN%tEcn=t?tAZi`OOpgsZU<7%?xe)$6$3CjF^%aRmO@`1qo&BaqNC z%4;)hDc9k|hu^@ePrT17e=Z#j;bx=f=cow%KQ(%#O)evn7Y1G#s9gV9RpEuvAZ30S zC*Q0heffoi99rhIt+*sX0M$I|ZyZT}10m>h_6A0D4^joxa@NMa?T--_W(csdPKPrk z1w9lP&{ruCq&&czXUWENqfz}e&!geabMgAudFxKbg{K(QFp~V!~5&!Zw7UG(HT*Afz=MGq|a(ZOYdPu(wdFmbj!9m zhJm7$_M*1OYHvN?EsPBuMh}43oCbHUkJPFzZ{x_Q()-t%z2w7JOLNVhBqkuKt9hw< z(lvORMc)1@IWW|N-ze<>%P^p^9wL#fRr{74=D`S`4wy~a7pG_Ax!*lR%P+Yz93 z(*GPtoE@enaZhYD6R`7j?q9^x5mJv;zv#5J^N#Q!*){tVKfSb_51wQF{w>~2HId2f zv~;Cm@}m&f)Czu0F)K^bBCRkqr8jg}1m`AowZA&?;Le_7bg%?%aX)}LOVc+KvBhb% zyT*QF&!Ao-&Gv#OhF#F`|7enq|HLOtpLoT`YF&$S>E-{I z9O*YR=y!fkg$Mm^+EP(aV9@W*1n)i=urFx)oqz+sR!PnXh7PH~|GVse>yQnczy1G$ zTL1mTJio;+2Kf6$?VTPlKN$GZ-A_M+wKgw!2zkjZOD2NX8v@Y(5%|O7^2jh7$|D0p zT&T&t^h?lYBYM=n4MfH&^rxGaxw+NLFD%$PQsqA{@P7COlaORwv#qbXl31I=rXh_B zM7;RtvAoGM!sxs+Pn0_LeudG;Y<_#Q+3);6-|&7M81UqM=jZ`Z;EQj10$vQ-yWJhU zH!>(YJz!V4E07=5KD*2RFv=(OXG6{s#*Y7v?wbfto(T}PP8Fur&e|crKYLJz@`HQN zhDT5GY~Ni`-CzF1P>?*VYg+l@rS1E-9JN;}NAluJ1kT6d z=bMK>>?HM)HK>=In!N?b5tap$U~TWMrgQIh)S9F8O+CtGV3n$8QhA>QCKJ^? z*BJhO*v!#1HejGDh%fow!^2LMD+Sg(G>P6Lih`N5^fkfxza8 zSK3Zs1~2#5p_1tI@MVWC6YCe^JVETSZ=flun^a8Rl^po$Pa7C<9aSAwW51l4N(JTB z>4T>xJKrPCCE8coB;%nH>}-s0HXr~ga=<4v$Q+}TDFvn99ne?#=&gq_ri`O^C<7(L z0E!ysEKDo;cyM=9VPfrlcu(M~7tt`wA~oqjMF(C(R?=DC*U#VRV_8fmObR}q zz;F$_g3KlYJRAdPhEE;Pe{ughyI+j=l+8XdT>SNT|JgewHxKttpIT$rhE;s}$Jgj^ z=F2ba4o04}s@%b&M0_tz2SNZ+-0oa@74Oblo&4UqzDr{n2zk+A12Vm!obde6>N%xhAqYNReyv|go(sG4QfPz;hjkiS@UNDst-Fb&YE0nA)E`!+!ozVuw5=bmV zxR>3j&1|9ZvdaxRzWiidiMN0(vC~p0g|+1`LuN$A)2O$FryIWlQ9N+ycp|+&DT9%9 zbN|uLE8J?HGV8_rt~H$_I>k{vA0wWX7L#>*d~r|*t)deFuZ8$zVK0@9(>)U^b*yQ@ z8wRIl!l^00bsrV4!%(8m3=?P#5~%g->awGZO===V!7-CCRG=cc%KcMijc|v@=T< z-md>}#{gYznS`mA%*xfV1MCpqUI;1V3_l@#p7aSLV#C)QAKVY?o27QMu$xvK#B+Wm zpJe0Uh09l{Gs|~PuOCBZ)9apOf3-1X%xf(UQDbdvPFUM6$zcNGy7ZFwALwPbDJixL zg4?vv=*_CF-?RJ}LT(+MVYq7B;yfKF+Tb_++pfhA%YuOn0JaKRThT%N`IA;hLL_+) zp$uT7S$D#zACcJRC?xYXNK&aAG5|ZM&{c8u%d?JmG@l;{8--m44Xvf>?4)1Or6dSR zUidKA4dpj_eI>2zuQWS4U0xjHA)BFY;s`BM-)3&cs=03|qke3Yz_p6@!bmSqU7S8P z@o7w@VX+d%n|Mp%7QXIw@yx_5`Uq~kDjW$++8606A(nu8i7$urB2z`aEBNG;M3}Fh zW*S)#jpF9hLo0H%YVNr`f^~IfTGEbo87F$34}vY>Oo4fhciA;HrW*Ah2dTr;tbf=Mr?4&?-=x)_zk&d8FRo zy^FnsH>Gj?ydS4aZ#Edf20pxOE?(q#27TSBVybE0gCAIro!3<(Zr+Ozv?AFnjeqqi zcYs31<#WDRPu%PM?4>KcE$5Sv?-s=E^3POvev>Em4I&8Z!|6Wh=!+Tfajl$#KMcf` zxH1uyG9A|q{H6E6XECj`sP|a$Gkt*ReDNdl&Dby8F8wf~hdC{i6+L^3U%V)xqqZoe zKm)m=5q{{d%A*P|{>#Gy+^~oU`fvqv<1T%KChJ}0*;Sa>h91sY2q@cLFflT8?vn&w z$URqqC%4;E?vU+RmIZWj_`n&AkIwQh)!BJlU8Y2fANHaNV4EbYR2aW;1A!rKXP=7F zaQwA8tO+s+dtaeaM|G#U+&ZfZ?J>{c+ARFSfgi(}I#aCp1eH}u2Th&sziflY_4N@- z>h_HNwk|S(5y3YM>9&fC3IQYF=6YpZKRl>#Jyem3BWL*+IeGKe>zdDd!Oz`lWfoOz z>|1`$pzZu-2jq8hO1G3=*_UjfR+1eUdMHNXz*$Wt#=*XAE(6rxjc)WAr% z&DTh4rJHo)qD_T@M5qxL#lI#tXkvA}q%5vH>8qd2OX-M-P*Rm_m`PYqL@ zCkCz5T^t3~yB?P%(m=Azm7C=VfrzKDTQ=eGGDS+oeC3~or}kJ1yM`x)z4;0_Koq#8 zkTVm?Z+Sbf;sX&G!G9eN{RKQ-KP{QRxItaKuMY#?)P`2JgSmhtepL$kqNGE(fcFNq zA6ZQyVd@G;LcjK-jS8|_!)_Dxgu`~~Z~@|tS^2n%hkzXg{(Mn{jTnD{dQT8#@KcCQ zz=JQ`S>`opNXg$BcNSsl40e}z&e)G(z?qPq#j19FdKKqSsrMWbm!4) zrauxR1Iu>ci_CSCOKKcx1&{jk@u-MSUo+2IY5;|lk)~JDpM&?j(d+=P%iP>~Fpam% zoIIyShbO_~&03Z2B!J_1&|B|;i)=wT=8lcVq#RmmG2z##Eo|PZIIP+dVLOez>`3n; zJuxg*VUnbiIIW~+S$W`?weho<19NyF4x*DY2aoIh%ZmlN zt4~d$m(d}Et;eWMZvf=E99=(CaUn}|%;7u&{7J(L?Ks%yY1n{7l6#J+@;cGXg2$eC zF#gp#JMy#xiQBz4J8(^cy`?UL!D#xXVP6@Dq}W-FU{__T0E|vgRb^&8XtOeab2Vtb z(Iw2glIIcVg;tD4I}7z)0Bmh?wc$QQpC+! zqWz+0r&ecH_3QNhY zWZxQ!lI|Cw&C~d^_Je1$aWA34Zxu(4WE|2K>qIJpgVJ17o&M1b?!`PlY;1chJSR#%C?M;a4(k_KSj< z>*p*{-28XQQ=M}+XVwPJ-@IUh_8y)Y`vSsqaf(`%ZC!l{&$Xn7{#zV-H+i?Z3PQ(t zFJ9i}9b02VPHPW_G$Cw!Cy!g!eB5XB8ZLiQ<#$E+z4rq%$v#%Ge+~-&(Oo=sCTPWQ zz^+fH3SnKnL8=^M!^?I*+V+)iO8e}&KS5~JyGq}$p1th;>>bh0%#s#y$S^BpgZVuN z`zuAr4a_~?(w)*C0P;>xLejs zOMeu1FZ{pQdhe(v)3ECoNCBjX(nJzKNkF<|K?MY*X{ZW|@;uLdU3>4}uK1^I zMpX)~WlXCsrnSQlN6}t~z-^i3)yN}Ir#js_I24{iKk9%W3_xo+rgecVrLRn<6!dQa z^-#&Wyq{)3(mXeM5`2ZO)F(RZsXIBD0x@e(GwN@|p0-;^tQ8iy0n~-_I5*Cu+_CsO zEMu*FsZU5LU5zU>y}vrYqEe{?be61!HOj80(#yK9&c_W=<5PGgx|Eys9oyrIc*vT4 zoy4_afrNo>QyEmoK>=kPAbc~?ciSYRWKBQ`5!SJdd3ghM4d(nm23W7E`FS9F7bc(p zX3w)V=}zP`AI`Fa{EpM$wamyf04e&Uid`2_hB}oFMD^5#nEg)~q7qndL+-3H{p;(P z6L8SeNnBd5UKN%CTjMo|TMYiU0oo2SaVK&>;ayb9*VEHuU}Q>3%&ww+dXupR>XCq1 zQvetBG?hQlIlRUzrJd$x1PP0OB((AoeNeTF-5O3~3c0X1{iLTUwDAU`Lti zktIY>ECjS@vdwYL(r5km%U=Ud2H2O?na;10&E^_jUaPJvxhyEBA@4v<9KZl+lv-9O zr&$9!H6c8A43u4x{y&15ITT%Q%0c45i~l2 z1ED(w2o52!sc&f+;McrTA){lBN`&coU`)g8Zynm{fs44WOPbq0J_2? zL_Y_4S}U&K*hUI7^W_{>`2fU*r~un&Q+^3pMgwHb3)jNE)$F;6jyCXMTw54T^=Y|f z9^;u;AAZ8P!uROJNhc{NI#Dr`g_vKj+3W*eY9L7VWQvC;j)38rhL-~E?C|2ST#(T! z&1EjXok!363nZ7axV3^Vr>-pg;l5rFpa4fRe%s^oB0oSA%; zhCj2J3|(?&ZSHbIbRAdNK*6vH#)Z;LwfJi%Mwv;a9qnKX47zB5 zg4&hMt?wZn)Q=+a5VfF6;>%O3Y0@D_D0oys%T39~hPD7`_SX*0rGZXdH#3JE9}_ib zFqA5jRmnfiD)(ka@hM-~;bV0vGL-<CU)hQ=kh3rnF8B49&w6+$hbP*9SZ z0saL*uuhJ{CZq1J^^Lr5IVcpAMEEgpHS^0F|K-^@_|YR@)Bp1JGS{0O1>q z5sOemASAki$$K;%j3NLK%1B$AsOZaB9uUz}ssv>Yll3dq%BIp++AFk2FSX_YTd^xJ zCuqd1A{ljh1W*cu{r4h(7cvVXajbwHYvJqrFh4JTS?Oir3uuDtg1+YYB2m3fJSbQM zq8|x*ogkh#2FNV+w^|ETj+V{|XpuiK$w2=Phm?OYT`lkf@qjbOEAaeb`ko3Vn-$0m z3^BL>$_nc22w%J$T>)4M5E6KCy-$5fSXvFv5Re&|;*q`%_3 z2g;d2v-b57f#hoc%6G@U1px&xkF%@F=gYz2Cnjo@c+UKafX2a3vf8CZoRUc0dTT?;8^v#aj!dFCWPI^mfq1yJn#GC$!ECsSfXuf-Cov>gwtlVTpGNWH`BMgLV zAk2-hz!MscO-P3-RH3?ro?_rmui}@2TDMi`E?!{??*eA9o^%x!a26)AxcG<~K)>w( z7ViasUW}UWOujbK*15a}EcVf$+-*LK@bTm;ZeRGE08RzJ=@*Dj`iJ5*vdrCu(*9Ll z@#7@rxFC8ec@3y3L+x5a<|e{o|L6j#v2kA6=aF^)Ap1(JfFT0ooOh7$MP-hRRd##C zf4=mx+7*7j8#F3cWiEty0J=yu@IA&~$$Zr}3YopH)Z@vCkiG)Gr(>U?EtX%$ho!bI zV4DZx5ZKN3tvsm$6aZNGWD^jqF)pA2vR_c>zT%e@u}u6c11=?~`x!pbAW^rr;?Cj0 zmH_l1x@%i2k7m{}(RZ&FDE;A)Kn7+Kw^s^v`W8j?zo&tfULbs!2mt|@ppp=>)88Fo<<_cdp(mEF= zt}F@5dO?v82vkkX)gu->AuDt4q3F+aYbrq0bsca6sq+@lSNxS>?Vx1k!GLa8OJ&26 zz>Zs?58*I?llrti*!s=a?_WR5Qa4nBtI8Z)SP%cd0tS4OBb28ih@oK!q#hl<38|Hd zl!9p&Adetz>F~XX!|(rB#b^@~gI9w;g7AN<7(CCNK*jh!sVy-;27y5|$o0NCNpVSn z*ak5P3Dq5QaP7_0lImi5(t6@@lG+auN2K5el3Lqm;9Jm=hhaF$P*?^c%WeX$YXTF* zBMM4ojT0P@a>$L5;JA#X%Cp@-$_$*4k8VW0_=Viou~8F%R%&wLDyp7_%I-hm7z)T~ z0!KbL6Jt(bT@ZFwy_0aG3QY2%)hy7P?j+TTJ<9$*?r-?4^+J%t}wbyA|k6(up- zS6mN}k-BgvGlym86ojkh*P{|zI=H6C$%od@$9F#z?2tjii_OiJ(iA%6j}*%d#_BKn zhQ!N_0y~J z-aIU!MXvETe-9mwuK(@E9bW`pbb=&)WGC_tgRbV#cmxzv$FN9W85BBBh~*zu%6BXCVNTx;*+bz)rC4 zO4bV{+Jl-(PwC#N+47~eqyftzbF!C*g~%o6u3f{R@e1e^>Mzn-OS_X^XXQQabByf2 z4T34JT1d)OWDPz^aUPs|g=8gAKNm_iTFTkyjkq&lEF}A6`%!>r%`x*^Y_N$p0^=w@aiMOZpPzTqm|w&~9_Hr_u~HC_p3)=8U0D9ZVWZk?Y>Jk7EBD4Q zuhat&d14zAx|2&tm_+I&*LL=Qfr&!8EojG{u1~75UswYWox-#QYIo|}P5@~JQ2{6% zHMZ1W!#l<8rcULWMJ?oRGWQXbllpidrR|8#U2xD$#i2$}di6|6}5>-|U0YiS6jFLPJR*2!a{& z6cQUgW%HkpkQ)WO_r;>&ZfKV-cn(-2X^#IjbcP7?a!HoVF5p$~5pEni$S+lFOUzt<{8x8 ze>N~Dpj@ji3j`?OmHyoQ>lG9f8kG20<>dk7C`U+P_<+n)X#I`oS6h97k9eJ63l13a z(Ba~d7*KTA?^HMDV8BldnTKzzBW%Uwj{^U&B>LfuIJl1;g+tidHlzf?8BFlj+r@53 z!NCMiD3FwNMclbJC3&C#wknyH3mP#&fG|GumzSt=8!wF~^r#E{l?`5pdEiC=&{g z;l8Q)lHYY~2jbVKB~O`AWO0|QZ4@Xr`TqO#(QsM2u2Iz_b*Rz=qq|k@1x5WCz}BL4 zk1t;S{6iVP2;TuUn$Teq#fH0l(^wgG#OpPr22K|1Vi^d3vcjP7XWc7!peZ{H%#Y5T zi#71HeRW|_gXZjtT8-@XeRY2V#NOII?roaVf!L_zibb8c#FO=RsMzS5(}16<6SoEw zMuWl|fVWKb`LC_IydAJ67%AMLne>GHC*(=Sy=j& zn^#qzEaE3q;IkZ* zEe42nmtDXTp9K!mGkfd6)~nV72*u1~mT1;)Arw$uAl;am$}Wrmi+e!8|F5kL0QVPP z^J3^tlw_T1<>_~vFZ_~S9L^oFZQZbKUv&7Q79OE3S};eQ7D&u++8*)y@0|eSae&5i zehCg0M&`k*r#rvT6w6u*+HHHE0s2c8^)-Dq^lRgH{OfLh3Ak8kKs&x5D6-0?{y?U6 z^GZ%altXTk+Yh<29$qmEih~LBJ};t&t04Z1MfuaQwQG9jj{<6pOFS{R2Y{aE?Skl- zuNoI$?~)NxX(#NL<0rXEODF8s=GUohA-R?noxodiCHXCwf=7+5o>~+MCG`CTrH0$N z0f8hxeskHW4=u}2?S7Na^wj+dFBg_1xC-F2u{jJN9Z|De7{4A%2IaJfW|UH+*d0f# zP6}Uo3Utg81?t&CMRJ`0#8`Rt;mEWnGyp_FLAg*7PmvB7AwVCgn^oes@0eQLs0rl- z`a#Y~$r^&fl)5R~`IiDO43nR~j$!AOxv+HOMq{Sy=5xkVIkbY3-@ryT z2|g%R^4|*ypEFkbLWL=lOkrV{h+8>U)lFHc|{$c;*lfB`#doU1w&Z{EMB2rJR+!(HD|Y*L6%`@2GHbZNJMDy+c90k8Ir8S0S*&b*M4 z8D9lTPHs$t?fYmgO-St>?N|tj1YD{9djx~daS79G@!6AeNz%W-)RI}j67Y)Rf`}gq zfqRv~D;%kbggShL)Qb3a`^2DB+pMsY!ixUPl^))U))7ASfU8i+4@6}kyd=%;lB*DE z)MWBs%=J|Dc*~>aA#w^Z$NLCgjGX}6WFDmuvX)IYBn^Rb!WA}f!2NG*KUIsK+&Kyc z3lFN3#HbHM@${J#2kE^9Fgi0Jif8;+lI0b^s^H{oCQtKAud#Uri&FxL)8u|Ur4>Ay zyi#n3)=mqHz9tv8@TvZwUbT2vdF>c@K@9~_J|rtW@G2vPFD#*8Hm}4_*&<#fd>)+G zp~BMiS`_h1Nl>pU_ogEQ3$P$pax7_k>)7iUMD9(7@#)ZLVC|wh*sTrBf^ZTCyrr(3 zmB?~H$f2(57~_@KFYxohRz-ZS2Y4_Od3(vc0!Dc&9dEf7m}A8}rA?=aDo*#_p3UJG zw+PM-`0%}pTN*yKfoGw~ip-Mm+)yju+iVtER|+ZJ;KQBRS06Vie4HH;kMdpOl~AB& zHOQkePfm0j)rkg|rYm4aPk`md)!9*J1QldqKNp83*Lp*S+n%Dl0}&l-9ZO^@aOVJZ zqzi&<(K5*_K4@jIg2|`)jpUk>7r)LEi4_ql>Q%fspjE1O4}5(Yp1KWh6W{VlBb`U> zqK+rqOamM&#jG^v0Etg!w$N-m{ptf_O0#NM!1*wVm(FCzdGvE{bd>@FR4b3t!%iQ8 zN>qu$JWrJaU7mui)$QP;22W3Y51r`QxfJP;_&wE3?oIN#ySZGLKND9C9GKu8#a}ha zYJWF7;W4Pm>0Ac<8tBWqoS1MuWA$VpuVfMu6*>p&DIg5$5=$~K9GfDa@0{)AQA?+p zWsWOzbbKu!wYfdvoGTOLu~@G~Wo5hK*@ClG?CUM8T+2j|=Zxuq-rihGD+tUn-*BS~ z+R|5$EW?37Ub@nFV|LWCx0_^j2@sjt6X4tNxgxH55u8Z?$BaEe>w0&sm|MO0KP%LM zn0SE1oc=Mw_FGuZ73Mv^R8;ZHcnvQNtk)F=W4tiX5X+nv6uQg@r8wvI^AIP%!b{M3 zGhi}L_>C1u^z&dURCBaTT2RANB{YYvv+S2pz8`te%&5lTlnq(c#x zlZ*#sFfz@@lB`BN_cg76@Y2#svL*}2Z>2_Ht7E@hb7`{b0cg0csbMB>u!7Khmkhva z^!{2YaDoQKgKY$*^0C#^ob6q$IaW)+ZM!g#`JrVcr^~jyEXTWLwli6s)_=Qz^Mywh zF>~mj{XJ7R=v`q0bD*c*8n}o0@$>oxk|6^*+yo(HJdnqh#|y|o0%|d2ZO;+r09|?> zU{qL+8eXTDczK0wprABVKpi=$6W7&AKLiRZ7y3ZRW~@Cdnvs09YXNX1{QDw>)G(0N zU12g0c<6_auu+i$*y1>k;y#jde#j&W*tGn}o2cOOb&O515sfXAtvtOrmqzCK&pnrN zjA$SX(!+ng5MTVH5Qs%I{`b?+{Smx>k%H&zY8yacgXT;R7V(!yE!YA8PkRYS(60=v zHr~(&xJCf2X9BNN$G{c*)hzG~(XRj;wRr($fC-v%Mg{cn#ZbXhPGV_};>;4ij?6<3n1rq(MXa$VQ27Cxmr3u;W{-teU90Nyg65;DL3SmY*tu7Ci{sT*Pn6FB7& z>C1_X!BwVlU;09apMX*dQn{z+zrL5Zsu&h5#|qtPtpbWA0FD&_dX3aX3t=A!`{O4iukQNLe=`?8Z-EdRz!)_V; z@f1f;Fb$jrKyBz)V2?rJm6f=OM1Zr23Ti+LNaj6cVO&Rf8HjC-RN2ueK^{!ji>4vsOdnQ{t(z+XvE7WkGJ&haZ-nH7I>Ao|CgfV(g)EUtrIWZ_%O zLS>7|d0xq93d%QO7TBCR8*f&-@2Lai9ZGEk_J6`P!sny2rEyhD(2ep4gq-D;xK`A2 zCf99GoqS46M>b-hHhrypZm8cl-fwy62Y6h!e#LKM0X?{2C2B$-)+40Eu=-OeVL<*L zN`}YxH*i9LMJLa4Ntm~sQx^oeO6E9tV9!qu18-Mecm25K0A#$3;uld-Uf^kLa(YZB z3G@)CSpgjw$m7fJVpylG+5S*?mrwDhxB~0iNsgIu-pd2{c#(M;If=>U3)+&p!c7snaDBdUgN&5>| zgp??`Y1sejx(~#}VB5ePn5iYME1@M0<{dBuB4Mx%;xNSeSO{T&RR0G9q#-6>us#zW zoq=13X#vmak^fpPr{JbA{6n!Ll9CDt2V-z*!9cmvJ8lTcI~!4|6Gq6J^3({~9mN3N zR1BAW+osZ?`HP0ct5}S+=_XqJ%u-^5WBEOpY>mUt`cZJ0`97)i4uqLkjsUT@!ftsY zN2JVkd2qJ|)C}AePuSn<0%N;BEyg zu9=cfnqMV=qtTPL$(thXA;g%Cf+jIAT>15d)MyjAmg!G@DXy~@{l$dkZx zIjBx-Ez8sdVUC6L-}T?kKldKWmbWWEEv)q71yu144DkYDgX(km0S7*F6JwAL{(=DD zao@)$jUn4ZfmZ=EL<&g-V8#t?yaz4V>*KTJwvR%ELQ6b~8B`ZJTpu?JM%yAm$t+kQ z3CA0fI!$k}R>HuOxeW9uuO))$2kY}{^cp~f#DE1mXhbV6w3=ttr8E`lG}9V|r9{C; z(ST6$+7&*GI?xgQd6>HfY`Q5yeL^u-6YYq`3pT$DhyyD_BRmkr%HrjLb@nuyrwO+6 zL);ss0U1wtyF*NJP-Bt=CLb_*@AQ+bT%m)$AeStsHd@8K%>uf*k1!V!FjxQu0SK#( z+#4W)v(_yr{=BH02$qU#8$nl)Cs-PLfPchbU|Ryh*NCkDpQSNe$q}h1X3{2RD7n`g zz6qx709M9_aY$RF>i<<5G$SS^10d1};{Uxf?hg8Yo$t~xEs*5~>@E4tv*OZXn>K8Q zNytl}rS6C;BD5ypvISxrkrJ86y-4>QNlANKiHkGv^P>n`CB$RU6?7b7n~y|%Y=@`W zO(9PFkW({uKp?ovVgM-={0n)qL-l~BgpvH;Iz|yF|KmU5XDF(6t5CNc@KS)8F`l!;heRhhC|z0;mQDa1-<8*7k=wy*bD@7kEKb_|i=2 zsGO~zlJ=tf-^IVVpR-qf$=a1WDkaTBC@ytU`n?|*lu&N>~HdF zHIewT3R#N(3cNzq3S2W4fOt~TOtEf^8YdJLPznY8t2k4(}nQTu#sNt z_V1Uvcw${(d#jB$Ca9H`^6b#1q5VCpeZ99MAapI=h9N9^T>zVLV5lLF0THE=enupq zfaaqfhBaga;ZY1&$WK+)BFLQ0qr|f0QA}$ZR47?RGx)6GwM0L(jzOsv6anaBEcd1* zIA?(~H${&X76(O1n5l0WB6-n_%Q0&E!epzkcp7XRms*lY_k=HWdj#T%L;(fd9RBLz zl@J95qfDOo>8$?SV?Y-2;!!*`N$gHm;sjV2#dp$!+DB^pJBRwB`NjGMX@^BTYIJmb z8edhwBZ2$fyr7s4j@kg@9Si!oGj6+3H>wSQzplJK9*oW&pzqKOdI0;5u08tN6PWf> z3UiNo34m~Dm~^(klhn!zJDCkEACOJH6zFgMp$$pqv!Doml1~`}M>7A=)VCG0U2BOK zc=`r8iiuv2t$sZ{curW_$}8%v7D`r3kzCw3d`QqLYu0$drx0?Q$%$7;^nuV^%i=oD z*?1x=IF6r(J<{QWZ-~vY>|y`vfxaEQ63>3lFQ{2mM6wjIIKO5gXLJw0B$|5@lqo09 zGIK1M>)i}s=DP%L1i)F7?*?2bR^$Gu@4j~AjD@GKMS#8Pq%-KUo40HUauvcr^Co4A zeE0(I&kAtXY8{B?!Pu?+$+O_IZS6#Nb2{uRR-J$xA;|l&EI8xa$0 zK^{ktYjvdp^u{t-lT+aPZgyHXn$?h)yIv|yvWf}=q5H(1#>CQg$Pd7$==Ws+(q>~~ zA<$z6CdpQ0X!$xj#ASU2z~A@;eu;pdU_*VF5{u0w!V0==}x8x^zi6EUQZz>Np(P3B37 z-W58=%=)`MS-NpR|BnPu8=(K6fqqI7ucfHJkL%O&Q7LsWzOZqFqt3pg1q2O__8~^* zF|M7a6gyLifyvh~BPcznJXHYqwdi%&cHJ6Z+aT|JvDCg0b0{_FMxpFO|5rO3yT0Wv z!&aZt2aLURzjk9E3XF6L&(oXp&j94z&(jDkuW+Kd^oOtTuFRLu&KMs|Y@kfTwsA%8sWTzq6SCb;G()<5$*o)jMujFlke*SV2^27Z>5_Ig+e^T_~Qq(J5h|< z&@!KCOq6j7$DG|IevFPh)|OUsFo}S47OQ9O;$YQHwB7Wa`VM}iw|k(s_Id{eYc$FF zbXdIEivHcH@p^X8$Rq>1N1GgpbMYcZ=~A9|jX2v5A*=ckZF{zEw(l|W{%Sxp43@=Z z^t@8nN&6m^GueefNo>-6{vo702yus&|E}4>CKHYQQ>=OOd34O%ZyB(|AA6$rnh@lZ z686h#(y{60MM_Fr`n(VC)l+SARM{qfwJrP8-uMkx*#jFyd$)Epgpl{?)omO5^zF0q zV4LY3ori?vGJBY1XJxB5uI;W&#m;|7XNLP*Z@Kc#LyWXf;kIP$j@hZT^LJ47DkF8w`KR{xp^bDxu1POrtO@Kie5U@zr0TVu15@p&^5s+Thb6~V3n zise+-0x7<4TgsfJ*j(pVfm|Si8KNfZLEVnEOm=H|x7+qN@*CIVRLPx(^WC3Q3((Fe z-`7b98!gzZe0}$Chw9s=$)VWtU5bT;Sn=M+b(9Zp%A)XyV++E*_pvyY(_%mVB-vB5 z#bz&LCgV1~bA4%k@Z!>Aw02-s<6W!yJI z{jN4vX3j{W;K^cHX+L39jLhP2rP@Bv$|pFTQ!;M49uH7RX9ZCj%TZh0dz`ps?=@8s zOPxS`W)Ms_&O=EW1=YosQ62XyT4)mbsuf;oV*?B$vM7E{eo03Tprlkpc0MY{aq=Ew z{si$Ujj2jFMh2*Jbm;-*xz(8fEo1x(7g;$s8_{6W1(K0wK`ts&rbKmNe0QfUhH7{m zYjM@`yR`VNt#v3l??2J#Bg7ukUqlUg`N)F9<#zf*Vw+MI>+jp%MRe`lX!hkJ2A24z z#&0#`k-0-gyiW0dafmwDq{}AUrpu?jr{ruX@Mf``20`H5#OJP?1o=F@pJmp?iZV8v zc|0)&$>k|-i+N7jm537uFJU|el`?l7dGlaUVX*E|WQv}Wa57GB*5(_L)YVgoxr5$bBkPnU@s4Y5ZE%lz;+3eG(E=+7SqK@X|fK580 zHn|hsqU6Y9i*j(44d`q|YdS~wnm%4;yZRfns;N-)tY8YOlB=mjCiZ1$-$bErioCuK ztH0@D7HXeb;Sv=c)s6&c3{&>NWqxToXq~JR5q9)zdt~26?D$iYlUl4M`}^ zh!bT5g&2F=%?!aB3?RlJ+w0Fhksp}b9?nG*R0=U)NjGsg!_8_JVXeC^jMbkV zQn8zMH%u49Y9NAT@(qvb-kvjBbJ{}}{3L7^)4ncmfRPzecW*{=Fa|8`$PXyf_H3@wT<~`{Wu(7o%YOT}(ajR?w-EaM^>pT+z&!E6g)-YwhFMlmWipSM zZA6*W&T13ko)|3g`gxRgS$hrrUb#CQ@!liUysQ4<Q=E#>{)2p;J}HuNU)lEOajRgoCoZG{RqdEKZf;NTE>Rdk z)d~KUmg4H54qw`Z@(k8~X#2oO38Q}4$gogswiys+{zxf$hq7Mkds^0hf?)yxx^!8J zVnV&oE2+DD!-;S8AGDUKIBu=X3yC}YLop)F4r7Ye(xhcRxBX%vnz)K` zmzk|{jGf#P?qGW3**`IGPDT2ycc>HX$x5$p&15E1?!WBvtvX21kk|Qa^Cc5o=3oBN zlk9AslM{}SkM>Lt-l4Cw&HUEI4FQ3t0*#b~_sRoZz7@w1jc;ldoiG-w6xVrrSlh0l z*V}k_CYtOPjMJ$w9zjhyDqPr5WUW5#Gk;Xi!6N`x=xw~D;y z5g$&!Rb4LFvbx8F;d@5L_=KsRgWQB+!bSf(XhfEdB8-NStV`I7wxgeQb5mHer4zRF ziBIV^65JW4CUlfk3J$aBeD;3&d3Cf5iREQ_r%ff-@I#x_bxWs*T3A?ljdV z=XCUv<(9n_ReE9Ry5&t8I#^S!RzK+-a}mVLlC-_r?H@k-PkXCgxcy=C=3MiSp)DWx zX|;AV**s!P6I56Q$Fb`B2F*nmnmo|^IOSrE8TBKc(Wy+4h!KSu#USQ1vyYvTC_%tgvl+#L7 zI9Yp{ZqW&+VGzDO{K|82gH+9p$hdhHG8^uBl0izvOg*@xx3mgqwHcs%?|ux=u5hww(H zxj~D3Xwe!$>@@}^QQyS7`RD9yZ`VWq-D*Hb&tEBf$5TS>wMg6CHe`PH;Ar z&pdr{Lo)1@LI;feBFbAM0LCA?sZw%dt)1UGwIUq)u%0Cs?DNnjDutLq&46D%8H}&&_IAR6&S*zm~ zIQx;YTe+j#@KGweQs+>n+@*tXjAEO})S_znzK43rDdBjh_sL#`1A=eZ!62j1Uf(xd z;n36v+X0txyrwx$;Zevb0;#qdDgZ34Y2Q9|x5P$-4IyH#pzxZ46bm zwYJ}EcGVO8tfqIWquzzRs&^iFc~#UBtY-LDG^q!xx9`Ad$w~G(=bZfz!|Umu&!Ann zI4F6n{YA{*d-vDd^o96oT^$~;cbind-6*p~33dfb*KO&)9!<0Gk)6kGx+ZJhf2>t zy`R0p-~55qFS=}H}OB5Khqx^Ms=wh34QvVar>G=oNN&!l`n%OkFx&h;s;e9 zE-Cl2@1`k4RFQZXSi1#oAyaAG8?)P4rdF}O64l!q*@x)wHwz~XYz|HuINTp*)v-`f zhHfXAhQkN}{}R=`+}Rijnf$xH-=s#8b29%bRDkUXDYV#^CRX4$2|xDn?@vai1bZt5 zmnQh@ky0ZY5^nb=DV22O*7&7=pU{-g`=2LIOTdN$jwe?g-8yuRVQV@}CR`A}oG~(H zGN<%pD6**MrClQi$`OnJsivgYY5qnogda$%Mp&V`0D(w;?eu+ShlWLAq{)RCob1_T z3S8iF4=;&$!f;F-WnC0L>GLyeu&mkaPPckKo^r&x;Mqi`0Bb*O@-zSLzx`SS>9T>8 zofEF2rDs(o*K(W)eh1WF8szRl|NGNzi^9)6Io?5fN>3cse#<2h)F+Xf~b(Ejz! z=tO7g_ON!l(@J*N6k!ari_;XN%SIVrlrT6CMM-%b@%yvawjeSsD#Sc}J2fJ+FZyUy z1y{&O$F#Q|oHq|t{rTY|o$DYQ)T!ZRu)G+s09WWK!#Voh$8EW#t>|s2th7z{%GCo> zV^8>JTjv+~qJKLvnz)@?jT>-^x*b28mWhXCY1dwN`qD5?$6oxb-W{H9>Xe4iUiDXK z6Cuv!tT@7E2|8|CKK*D6aqkATcWpn>V!8|sLxtdlE#Fd5pXm&oX^gr~l2tES{3k=* zOJgf;hyIoH5LwA5rtRr<7|%Gl&u3wEuxbV-l4z?Jd2#`ydK|oELlJ015|eKrj|GbXvNeB10EVk}Yh) zYA#)4ruVE#6BUgt8Z$54S}AkgeP{FLGm0nVy~EI(igtSuV=}AW-9tVyZ)x8d$;9qN zE9_%Y4N}~U;!KL>qLqK%yyS}anPBWlL2njA>kv(ymmm5V9&p^2j@G`mv#)CV2ee}I zN9HS7^3SM#VxgX%fi==!`_at(1J7lWl?j^igWrO3@*QDE2u?TTKUTdl50=S8gwR!e z&^kpIaoL9*cE%jGF>a=~`&omYZl4W1qt=-deExC6pe@Lu(yD>t*H4oEptEzOQoggsg$1O03);Z?-E8 z`D8WZ2v}qxy>k8|TKntXbJt*D1S^yL3J zGDp{6-n+{#wfmkGu4=o|TrG1?D%!B#*_W8k+_r=C{@IRg1y}D|eC^9k@|NZH+5$!Q zlX3dq{Z$1z2g{KawtqM5JSl23I@4}Wgt5tdqOPQV|DbkymDyJGE(fiB`(2JK+xm}NDjJQ&YBBG|`!AyRr7?0tO$7P(>3%OSJ;J1SIlOakw`*96 zzB!9i+z&@s!}<=`c-Wa32hOT!U$PjXo6Cm&bz>(zD#m}RlVs(l;o~}S+oI!`6^x{* zM>f1=SrZ^PK~KgQ>@`j~%;TXgb99xPkAClua=~55KU=vgWv&19NU}Mqz64D_6okb@ z6%#E-GER6|Absl4W*5=cJF%ucWq%RukL{>WiTq@tu9K+~sEikAJX9$`Na5A}Z5FTx zh!1S)4tUu%Iqp2u`j=`{4M&pj8`P#c@mg{@UpgE=?l|DAl^rM%I4ia1SBLJ}26Zf)@; zHh(}Li{!T&MfyM4d8#P(+N0@m`uj6zH>-LbqT|!zHZLW*O6MI;S%Dn-gcP%WGcYEL zeG_|Lpb;)R^vI>`Pz+q|w#TQ(U39~ols=8<0K8+_r@A6umuQ#$Y?e$~z?*>;7 zco=@ZqruTr+FfuaMWIbrg|2&DC6%Dkqhf@SX^9VYI_Jtu^1%xNiyv3X<;RU?nDHLv z((jr%mEnhw=<~1jnk?wNAq`8R9%<@}R6gmY6s$ciU!I`2Q@U19AFdc1u;p=Lx`*S5 zJuQC`-2YHq{;}~_xx6~MJs@iEyr4DYu|_OGrq_$APo!sPSL^zxb?$a9J{NG~`(DoU z%PrHlqLp}g9N{f$$mSzwVDAG7G8-ZlPH1I1rGIh2j>)K4ipFsYAHpvC99DVcq_?oe zV;?>6@aakRExR3#1hF4FT-ipKQ>L4L2g|(+)cL#1V&PA%;z?bcjVXAn#}Qi8)uT@1 zzg?KUi2tID^LwQut}a2)?%WclqXswH8KhQ%gPWH!WGV<^QBq?!M_l!kt!4az)VmHJ z`0UplYXX{I%=_d@uE2G!|Ab6NHOZG#JsjMA-5gCZl*D9Ho@Nl_1gOJq%%q+hux!d!g$2F0E9T$zJUnOGy$@xjQ zP>^p4z+B~+jO3xO`D2p<4eqA?5jm_ykl~shIl#uqMdQ$CH}b=G^v!k}4hAdXamd$> zvzBhw==~ADPPmC0+6eYr;Lqu^+z$VbQ8mg;Gp7QaqO3v#C{$rLJV!_0vbsH<$|ET; zO$B8HDIa+iaV<=6r@@upSjX7~!m~op36;|r%S23K{+K=-fidh?YBTA`e1MKu+MhlC zx-w-q=GZ$UltAS&PKK)<R40Ba6 z@9q$Oa}%6J-8TFEE%M+!*lnl(Wr?i}!q#+ZgB{s9mJ{(eerolArAFJ>VJb zxQ{W(C5O8x!7||&>%D7bObLy-61d%>%y7q*OZ^-gh*3B(vfD%Fzc^theLox|H7b zLj*Nwhh1}bp)SG#fzl+_Dz{)Es$Tr)b zhXoFM;!1Cb$Gn&IBPs|rG4d57OPla?bDT!n#zyPE2-1;uH@!r7E6MDyLgVJ;= zBbXHe!dYD#7KrySd-8&B;-kQ07b{`K{*_VaAw?0)RV+f0FY!U{&q6*vIey;-ceJTW z4QFtA;|4c}nDI260|7U?!P%U==QZD$aod}z&}wKk8el-!(DEEc^9kInWq17i^BnIL z0o!?%~fAV5~m0G7dO7iYY;kIPN}NEL}G1f9!;r|`_Q&8G8C3!^y`7Q zc0UY|XKf1nigdo09`M4tN8w0F?azXfKXb6JZ|FTzcSyN+#L|W!za3U!tl=P|vU%sO zUlv_z>m?Fkw7`3#MefM7sPm%-(zp<=Kqe;5Dw_$E_U4Kv^ZBu~RZ9Zj5iS9{-c zN+o(zL_nIGc664WqkXK*L4vH)nPRxaRJqsD{Q=3LZ%Sha_Y<|EP3(vY_>K7m*xMMh z6q@a^+_kBY(%vN(@nKO!dUw0w?J@X;A*lF2vjNQU!NmF`ig zg)hd0Cr^~4V3UK=Eb4>Ly~ap#bv?u7M(Xp!)z>!syj5raEKEmtv$Cq9Wxe-mC`K`y zAV!7Tjz+ucTp<`-+`dgQ{%Qj@sjt6BCqQxdyU`=0y!rrsQjf~f?H2H=rlRk4CizMGGb>C8*v-gI5x*Ojy1pB!kZ>{& zrLzBOOt|$Dqp~FwLvOURiK@O#RO~N0e^}+pZ^HHo+BW>f`#(%}iwuTU7X0{Q> z)yWtg>jN*Or6b{g{yeHCYtQ`M>=3OW_G(W1eELH~-4r#^z?N5cHQ`p5MP)?)yZZA> z1@l*%q*oRUbX3&D>uMEQeP(LAFux)VvND@$HNS0Xr!T{{_}6_qprf7GtZs=m{Nj~v z(EYKwE&1)->I#;=A;|l!58oFqA}w&tgs$NYD&7Vs|{){(YOd`p4Oe2~)5r z^I;D^g89bd7Usn9!8EL~Q8ET-{^F4EFQ$J3{6G*VViUVqxmDqP-upNwLFq3$_eW!5 zRwWykQGbKs;v@rHqI~L-J5g$&&#(RMm|HI*5wxw&`t{0m3`}H7thNxEpJk6GQl|uz zA>Q7KX))O#=6DJzN z47q(#MUB2ad`cpDq&|MJm6tutd(NI_;#)lYnkdViXkZa$=o^q2i6eA;mVStkLgwcI z?rn;Dbn^QaKE->IpO$2QUkO_1vEZMeVt_PAsZg&;(Qf@r!1ITtzoa zK;ih?u0=#Gb#iFH-0NMOwp>%o;@*s3WX7!rD1_9$FaUDt3r)Tnm2kcIa5Rq^>n@;> zljTn~3#mS}bss=EQ;}QL5-Fe>dLKqoY#6}tO*uj2`>ZfmqCy4FnN~}=R{zzgJ$K2j zs9{1qQa+7M72Ws^HQ_ZX7MBbfld=k>hnCx;;zluvOCtOR0S)Tn8WVl2WBmjiZis6M za<6Vs!xZ?ve)pH>yJGp29}wyRG*d5LS|Y(O3-T73JiqgNO73-@_zE+lq;ITju--Fj z6%a{NY(o5pat~I-(X@cms$!~v&=oBxt`a_XuNIwYN2Ynv!;7I#9pQ7bA4Ig$W}XCA zX2_~q0Wz*be5xp1#j#@)lMH~C3Z7Z%1p^^{pzNo={T+4I-}*nlYKbTCBTdrU-=561 zU0YmQfBRB5%*OVuMb6J9zr8d~o}&R8fbsuB*qg^Sd1r0h7?NNWwF_dMf?8#PgS6-X=T$2*ea1$6Vd`9WUoj_1wyK_74Bt44c4fw^iR7GsO3yX%S&65M(XGs_p~= zYan9oIwFs@S%#~g8afHGtdY3PWlI<_Rgl0?`bo-8)sdxIK@9~Q`-tFtR?VVmD`Sl?&Up02a~p6AgohE4f}S;1J@M!{J2pR)4g_&PiVW1bis%R~iiNC(N! zHQ*u%c&Hehb*ur5ckWF2YFHR6wDzFmtX&YIzGAf=PgWCaKXtnts_B&>83(#ALi7;8 z%ta~uz&xFZ`FXPBtvePG#DVZ3r5R#!LfKKaX~>_!Yhh_lFj97JkfXF&?Cf0wnU#)q z1!Szx%>ev6!d9Dw3VjnMZUmh}c*V>OoJ|>yX=A1|l>y9Mkvg@lY(fViA%vqA6AezV zLbO|HP5&Y`($LQm05hl{GWD=V39;^@?p5+!wqHn(hzCRfCII|1n7(p)%g&_u7pkr! z!sKYP*68Rf%}azSZ&m!TCT@OFs=-W}Y^qP9VK?i%S zW~^z-R+fJqr%4COM{okGiM>1OsN_6@Ew0oNx$(?hQrB`{cR9TA2s>;y-Eqa(VaI6g zvvs>Puz;wOcb8@JqHX+PRFFXjW}9xjyX>%{%Ess0F~Lzi911gY0~M1P=gtV*H#Mhh zX~iRyp@9|s;<^n6EpnBvHMf>?9sfzre$&nExfD3XYh8H|i#EEiBQGK?dTyPY`&T5Y zebMu7FL_bDorkD_4su`;In2Y)4Q#N1u!_VY9G$J+r6TMyxEv16o^n^zpVt?4a+oi7|$d_L2;IxN(5q-{Gz{qS8_ z)^2Tfzt{;kPxb8m=Qnmdexr}_P50{j+|K(c?JK`zTSVHEQs30x+tzmwk~XBD2W--p z*_FsGUuKeL6f7WbUMX1>fW0OrtvQUnid`XT7UXxQRyUR|Fk*^Y121rn}c!iuv>?wi67@j?>fV?g`%RvsH&*T8f zG~j{(5{6yQRn3{au_nBEey=U}(1e4Z$v*z@=HE9wy^2x8D0H;HGmg0;ng?Go5>5aft$X-kNm;c`p93>PWE$^W4)f|4Z{4k$~~O1!?I-EB-_!ETnl0q&r~#BglgmRB#MTnO(T#EN2CW!pI_4 zMRAD>(1UQf@vA}@Rj|-}885tFK^{}WZ?!5jJv-bKIS^CaBE&I>UlbI53?c`^B^ucnu@W;(Z<*XRJ;ii z$CA;@I%0PZ=VZhp96qdUVD&lI5lP|-STpY~fjE^hfK?q{d)z4S%L5SU1tTP@7e`=X z#a^>TVK03(uC4om3i3w9xKMefEM5nw%2QcS$ZT6)J8Yv2x*jU$26)8`TSs`$h>A!? zI*p2pF#)^@y8&sMRNOA#gG+or6+-%2`5vPfhp=Wd(qM&$3nU_O79dd++`0#PN{%&M zE6Bn{5LPc1i84~apqvQ%j=-{Xt(p=0*MRZ?wC5Qj)Rx%4e!DZpRb=#CIKtUugRMS( zEHBzAaAjZJhAnZ^LUYXAml>BG^e*}lR3xcc3=nEWXvMgWG0YueUf`_^9>ci~$Otsa z$G_xhiP!7`PI2sb6&tqSPotlw_1xmlVhy=BF#%jgKvE9(4r*_9W)MJnHQulZP%{LO z-VPWth^DvNSrN=Nw077K*FqktJ{A^9N9uNS;^7?^beBwNqMg}N_O9Bahe`3UPT;UY zei!>mNLMnXzSxO{%1Q{=#s(l2+GefQiQqfB|A@h_MugiVkat+jaJJ#og^E)Hb+3<` zTMux$AZ{vGI-2*8S*6WwQ|=F@W5S1;S9vt=Qn2!oly+d_lVyDt(Tnz+nx?QKSEe1W>xL~OHo@2uzh)q6OUFi4} zWQ<{gMB-QmIu2(QLW7SjSdk4!eU96SKy`cRCl9Qe)sqL_=`ory(WJ)za=hpu#ZjF6 zge1wl&_y`S%O={huajW6poATi-K|g%8xoMHa895BW=+}Mq)bj^I}UMg)*3N<10)sD zhalK>whgC>?d;cvfKWSWIEYc$h|oAKEQ?;Ct67meMAWj-x9twFFoz!E(n%Talfd^} ztHt^@(II`ym7#|>a9xSH2u~O1a|}q+ni&_13K5)9`CR~B3E+tE2BYpw9&7;ec< zKvQxgo?q?MjiZ7X34_Ex1$*RU$u;Ohq;bq_o1D`23r;{%qL94vJ zeac#THha9}?4RoUS}B9nVYxjZe2Q_!w`CiXy&;Y8*B!4Q;xAf1wYM<+^X(nj^BuSA za#FLDF+|S3ctN$^VZxsR5>AxeKHFQ)f&_o9?Zp@%nryu-f7DQ^%UK_jHZSrZopkdhd-+qBy9J+wvMWGJ z*+%~Oy8BY?cRM@1-7sKpbyZ=xrk~HmO(Hum~CD)&{MwHpYe~Z3nuwN6471R=n;#g)t@XpcKi-h$v7oIWo|tB z&8=YxtY{SxluczdP&UoV+o{wyx#Yi9+qIta9tVktoDE~gw|%?eS-Ka=5VvuKn~uz_ zwmx2@_OM7i_lCvTCcYyxi{7_9**WDIA%C53+7E+Q!wC zD>8Z7F^G=s%otkcBU7a9_gt-k7aDlMp$4URt|t<*b48oE5SXLwxzGvW5hDPG^`}o4 z6CIk)u?R{5Ej*;+_BvBU5VLPBs^}5{oC0orSH?xrFa z=41P-2r~K@vuH67J7aXm6x0K`g-43sEBkN#g;R-$*RszdeC^bhm76r^pXmTi z>&|cKPTivI&VL1c9q;)fPZ||NJ(SfO1vH4OXV7n9&9LtaqTT4gzl~eATGsc)q;UnW zLn%*K_6)f~6TSvfQN;J*z1!hpt^nOr4V!k`bqAXKtq&%`FG?&&D3T&*z^(%bMAmzO zb;ax;Aan58=;}hyz;u*s#rg%W2i#ph`&5VXKf;OK<96F>d1ldm) zYKT}2HgHWir$76#>xp~38vYl1*94@O0x+C+o4G@M2Xo`^K>4R1^-R8v2tFKWWnAs+ zIiprHkIob#9(HpNM8Z&Viy%Z6V#Nff{m=(_%>1dr(W-d>qHtPRYd%2UFTi_BM=;+z zHHDhqr?pV;5Y)v8{1p}u*C9d7+IRU*3J77VLZx1N1DA4j6Xff-4FZF1~KBd723MB#^{y zJ*BaNDw`ooQn3_5!ytrhKynOfu{y6oB5ywb9MS-Y>j}j<)XfBO`2_Tlfp9sBLz7D2 zD{q1UJqySmoyb>(j_Z#zf&pU<7cCh7Xo4N;5C2o*Vyo~CXv0L}^0n~BLW-?5>&_F% z2G!aONkCc0Fmo4OMjSX>^zNWSS_g^&PPq^OzIY%1EmP4{*u-`gy2VGA9 zD{&)u6XP^oQb^IA&*?5L9;+q6iliXb247a~>=5J(@Y;~3j$@F9MlAO(ngS@50-gXj zi1bF+8Nbd(9Q;($3#1p_+*dnKE?P}2n3Fe={oIMQR`>T{P5w9XdlzR(qzHcx08xbv zkw2mS{W96lO!@vO`HqzQx8Ln#PLgLr5ud_rvM%3kT)_~m2ro{uEDwJKL~U$+ zZmaGF*>~Q{D+-JlUTbya$iTV4lV7<-c?9OZm=4X#p;`aAOKv|?w~&XZSJ)8X$^G-~ zWzmagDAbp9E7k^Odxb>&vBf*&-6ij@3nG)fp}iMFxvD(^>%aaR3W43cIvcJn54O(P zGg0Q$zuL}|C_a$&D>y=|oj-U|WnSH&ZMbBmt_Pu3tL^3gtGo6GG-VJ@Sbe{w-!E~| z_dopzT|6RrV@ckwSY0RB}>M*u%eNn64 ztAgd&v*@?I06jnXF5Xm&d`n)JgEm3j;u!kUFgXpFtB_+@+gC!a%t4wsD^^=ldP+`u z0zI&q?-kBJ)O{fXBE}(F!7qd0tMpa7E}sL>T_tSh#Cbk zzr@W(!@cvVKhpp#3Wor#yIi;2rwE=?t3m{(o^tt`LAE( z3(OswJmyMs*b$Vfy*YlZ{mIvn{qS56-B!Ne@#ffC4QLTzd%&M8=8i8L4_u{JXM1%6a;JjZR^h+EF zuT@z~{dn_-NktHY9F&rEfdI=GWKE4{Eoxg=F5W~u%p`@wkLr5I=|NZ#eJ3g`e#H4a z91&pyE%^JXR*VW~KQ+a{7#qgOIkYy_-*Mz0n9+d=Ta>S!n?#-h7hbbZ1*e_`K{=_I zaBFSp?{JN^`0-?dCXEZyFx(IiA~xbBQ?KA<;X*wWSuPP9q#euJ$09@+KLx-X6_NSV zTN-8$q{H<}-tlX;i_K8hWwK=D!EZ87WzoBU6H1TJW%ZR#{2q^f+i>R5y)8Gd%kx&hj z0>CjIs3FnWKt++&txR&lM=d`H;W>eDP2&S}wBVR}{gl4$#L*NWeh-vE#@H!02MbpqYc06@$2I%b}#8p*xbB9(&$cU$}u6$|h z+jqpn%KJ2FVo>qe9&;juYz!5*#gBBCP7UpG(&et+v0}*}Xij{4*AxgAz;@dxpF(vLU`trY{tbl?&VBmW4aPnJi^wjM#h zPs6@2=$~jm!d^Gb;-96e0crbo)pnw>0WeVka$G`a);M%MD?^H)KNh(H=|r+ks=z#B zPTAQ!$d1oKMBG%^p)VRK-N1{3*d0VP)_xSy)3Qg@-TFA-@3JE4&oVY}f2+LwIa7L% z^HkQ?k;r;bgbw{Ua`<@aP*I^3dkJgHp(poU=W0ur zMnJG-Ru%vx02B(tg2QsJaJZxmLwv6gZJFe(#Xk?o*B6ZKAQ6jj(K!)7PF+NYnh%^i zQ=)AU$|kf95mJcjI+mV2Y!Gf!K;j$G%1zK}C`MWy=s??$Chaja!5~D$Ice-w28R&) z=TLHM#U!MgiCW8j$L<6}(CY+#k*NEEYf(TG$w!YHCl4vLYlmr75QMFB6Ako~9=L6EI4HM!r zsIjIoX=o4|0Z4N7*9I0Yr0q<^zl&JN=+mb$)ATcKsQBzcd9;;yuBh>wW zhj;_s4&nAeM0{U&DWAaAAc8#O$_TiPYat}qI3n5-V)QR0ULNt!OO#^GCyAG?B=Ub} z6ji_lcZKP9m&^+Qhcu2icM=#wAkz*Th_^J+S0IC*ZYL%hI)-rDw>|Zyi zXWmv$=4LWasHSg2JYfRJaupVu8b4!}i{G=U^?xkjIfHO0vH>CyY;=hOf&teRV||`T zVBUd6OAy!u4gj!Lo$`}LS1T_<^ zHiLlR4~=(ygt0<59mAx$&Yq|94#wsJSLrO=*#WRSp6A-)3^?YA&mG!$#z}neK;nYf z;u+aIXN0?zEmlFI2^^B<>}XJou}0RJXDNun(3C23VnOLy?rdp$sIJQC1aU+_gpfH1 z8LI&0-We|=iKWsoQwsuwZK#`dv(ob5v2;Yl3N?*gq=V){M6jtQUj@A-(L1 zrKTXLq!k`lfqXFs&+~B@!4U_dsP@BT2^@u)h?o^{VdhjAyqAEy_66al(e^z~2nuXe zOO8iaV4ACia#j&jZOfIiFVJ~$z$KEw_&*l}4cPzx4E{yA0dvDt;y09@&CQQx-%^ZH zEFGQ5iPKn+N5={PDQ)vddzBDvNmwAEj0xPO3mgZ@IaUvh!++yw+&jl9fgtp9+|2M!m zX3eP&)#5+UeqyE#8`H}@<7b(vVJx~4b zfy}Q3R*_GpqrH4+BFo=|B}xok~)!w2axqEUI6fTJakTu;*RpO>Zvnj;J&z~Gg?@l3E;RrwGQ)c7uTH|HPUtea_wOr6|&J2wVDs>g%!SB|o>fC>xH>lUGopAd^38J?Z?c z$NOWH${GqKlzi2)f6fC2D74l;ssCD*9tx5CYnNnNR=A$h-XUjxUb5KXRTAa)lGEvJ zSr56?D|Ydg?4Sgy$OrfT)z zb!(ZAI3e)?I1P+(y7py0H~#RMi23`@>6PIbr^q|Tiv06T^D|Mjqa``pJ87}*hkxM# zpzNk0%9fg$XOAYupM{v2uCP#jm049~D>}3&AsgC#i{LEw&1A2A`tAPa!}5Rpe&BVY z4QxKXQS};RnTzqU$oQLmPTevHwhzhr;b-ygIY?}T6Yvyn7Nj^xj+aLFo#lrz{6K_5mZ8Iv$?@lOT)(K%u z1@Tojrxh^A8mF;#;JY4_my?B%rXY~_{={s<%ZLCA{F8_L)*`=e-5T%xMIPopGpD}F z8Uq~z6I@@*-i9_gt(ra4E#UO2L%-XB@C{|%g>uy-(lA7gOvZS_$afDclq+qJYz&i) zckp@$=K_Nfz=1+&4iE0i-H=zvKi}?8=kHJvnaBnRxgUjCRQ{Hp5)j~>gaCitxGoXu zd3;hl@gS$-&9;B^PaX17B-Jdpn+i}-KE!ls6wDp0OpKS^(Rr`!>&V}3>_nlRbTGQR z#xc+H>Fg}g95d~x{cyj;ms5v|;CB}3wbiq@sHSOC?FcIVsOb~Q942~VfBsZhQ<=o$ zrnWJW#`D}*oYizh_WX16E2KA()+Qw(Q-X99(+vwfmw$BoSTM- zIXH92fdnjWYY@WGW8z7m>=?>)Be*!m1p{inP9RKh@mYdb({m9fwBljl{X&Wo1p4$; z=-$4=hx$VW#h_xmuPjDadox43w%vUd)%PTMr-=L)^3rS6NOIKo{~k1uV9>AxfiGwM z_n_fv%=iDl?DIK-srQxp2CBz0x3_9MHdABjAb~N;EgupX+bNOzW<4t1Z^Pu_d1__E zp=GPdlpFh(zP@}d9geEf#S?K*f5L;44fJ!^#9M2CxJ922EZ~4KJ#hDw>$b9wK*L6) zFy4K;Q+y!WY+p4a4-ea86SEgB`|)sYy{i2%NdnJ#+NJhgZXNymoOj{?f9~i^J4Nve zwKf)HdqCDA?WhO)S=M4o{f~D(gL@wiH%l|{^weaouZ8i^-W9CkG0&Ly!Nc-jiHrM( z?~iaO6p{Dk;xApPi=O{X+xN=`rf;*z`ts(kn||z zbuoGyHBut=9wHw~daR|sC-JyS(=TL@FENyCN@W+r`Ml?9vF4Fhw~UvptODDgRo!!Z z%J*?{=1UOtC()J*TBA=8hB~dp@%)3VJPl5&x(q_5W2Ql$IeYGYxy6}d86y&PogLaM zHamUWkQQr_qoh0I{kOgk{D%DH#4r@&zXhrTLUWPCbmTcl_0zKLhT|CQz3-YDRmP~m zrxNm)deE!ZGCiXV2S=-l?%=$ZMw%Y^cD6CSlatlMi96c_<9BMz^(Ie(gvL2U9CqwN zBKjL}XsTtaGeK~H=->QI57jakgU3|!B%7c>9qOS2Skk!zm}F2>WYj(3kZ*VGZaz$M z3_~VjVb4rYtc1H0Fj@VB*4JI;vGUCwBIFIQQa5fErEFweYsQm&Yj}H z?h-BlSHZ@`ncC|FwZ&=Q3>@*aCeo47Sj8x5dZrD4V$(H?tpfuB;Esd>WiA&)quxj8 z8ASBkK!@^N)e6&hg3y_twD7~vD#w7G> z?IJM-fza7Ds?bW!1Arf~%WAT>;tfP394^X}dx!Lty_T{nT;6(6v5Ckr%cESAK5#5Ri?x@w~B5CQ}+88Ybz)) z4FEa2H1fz96oknQSVI`R&rD|Iq;a{=smh81WSW?Ch$dvrHOGKvI|t>v zWn@O3tlK%Nih_czyF?d%I?oQOm<0IqbN~n|lB#WqCTqnKL$=a3MtIt$w+sjaaK8e; z_n8sFlnCR-11oBBI%WK#R*ee*%cNB(_ZT1xo5zrUDAl68v&BgLPDS-EtuRPPg51I@ zt+WBOVVDDB1eoye(1lfO$ojob*cRQn>VSB>@~Z-t-$hF?sBeTqE2??*oGTb!eLvTw z*Q0_XE~GbfoCsDxk=m%vo4cui5Y7sprIENdTT>(V}N8)B*U9*oYnwXiblLidFj&G zF1!I!wc|56z3kgCIe>Sjc&EG>W?GV@D07Obpkj&WN$G|-0*s!0kTM1nMTZr|n{QS+ zx(r44ei+ByEnvX9_gm+ktAL*svi=Hx_sJ~eXBKk@&4IO+2gge>p?{Eit#hF)T2~CN zks=4uFe@Enc4aGMJ%>k9F(Hr$E^WoXb@r_*BB*5%jQ^RyMS3+sml3vP=sN9jT&R8* zj16ert`FMnMCBd)}jI~1sIFQF)_|r^8t7Z zc7sEs5Tn(f=P z2UwG$^acB$aFe7@_OshfC^sqlPTv%wt%BQl!Z!1AtX(ZF?+~J*xk>b;0uY}Hlko-t z2)+qi&(#(?UOzu049Kk7mWIwrhQQe{g4bI+%do+zRT0xJeY*4$i43l-0h4gz{Vv;G z7MBR+Jrx1MbA^9)UyvLi#xw9|ONJ|*DzK=ZHJ%bYK34-e^j6&!;ZRgA@dm^fCk+lP zx)=g8O{#^qu&{_4aqfasIkoV0HL7x~Vv|=Eg;PA690w%_4Ltp7h9o_|L;sVgfL!`YWMKgMEWlsfO=#pVCrLyXF z+lSR9IJAphZ~^BnvjV{Zt%4y$0fr>KJOh4-&8}WJ(x{cm_*FTb3_#?Qr{o5_|YY< z_s5DfZA#f=llGOeQV)}yK<@xFB`T!L)i&|)6`N`-`B78)131zUp>zP_X4qM_Nk&)( zDoj^Kh|Z0c(I?BI*kKUhS%)(&di9kk@T&fgum%zI z>bdQKc?M7_V&$0NB)pBW%F#^$=xD_T9Ibh%p9Nj3;#i0DJsA$dQz&tFs;}++L)_ zghIJfL!}gwjQi$1UZhsiZY*E@2Zeccaq#lB4j!3&LFwsV>lM+?{&RV!+8bX51!K5( zh_1olvj7h6+D(`D*@Npg-HdX3lk0rD9=dPG=3ZZ_pG_33^Eh&t%rveen(mOCQ~&fl zTR$6iaf8pkSaN6l5cz`VF=IU(VB7DhJtMN;w|W&8ZFuPZ%B}UkB)=69x7#{ znp!E%d_Ljy8&k_yCuP3Gfxp2RH_!JD&zGKl9+(80q6}U@9ysq`BINQZ_qcB%?mT|4 zuBS{C;&_!U9(*IIHKZb%dHBW67(b;0bGwb+`edSgtQz43VpH5VLDx*iDB|JqD&+(w zJh1kgV^D{}k^lotq^DuHgysR=d9tqr+}#BjXhD;W)XG8hTM_kV0u~RDAGv)Q#FGPo zMZUt{#aRHkDBkzD404ELSBBF%dP;`;^XvwMe@EqCs8PbK_`3b8@JEM4aqBJFd*Iwc zc|7GI5*!k66T;khT4U~l(sv$S>jpc!^{gF+pv%Zn(H9S|SKR>EW_M&}f2;5+_BTW_ z%=~-uB*NFVBgo>Skba5T7gXdnrWBdtN6O4H{^Yo>D z@%(_YX;eAFjA}SWxZcxAbmh zBF!vAIO+~X%St-(E)*>VM-YxC4JUUj4ETOMR^{B$&o5k$!9!G(M^j!e%2V!bKZo-s z@CM0j*?4j)9KB&Zx1gj}Xgn?%n2{nIChx?(ST4v4@Poia0Fzg%(tEeGij;defDU!< zUGCAhJ2m6wOEZcf)*dE0`PtEC6_n4C`8_r}F;$Q;whv5+J_sHItEnE8*oC@PIPbB4 zhUtoPYyuG)?4^rMEa&gF1Bj58g*S7H6H$-&RQvDkP~&q10zsMT}m7UyQvg zGqLV5J0W5k<39j4>nSJ^K?@!Lg+j7?qnPjvcty|1P|mEtJ0t_DN|xV+b}hz=o}{#YiFWc$rkL5p_K&i#`exbr4?ONYIUiSZ4P4 z_4^}=T@iLMB79OirzY&?h+v!;gXnAK5_qe^i_eLI2n`oF#Sa95py{^dNC{g!q2(5o zO%0W)X=+(c5EvN~Wlu(4_RR*KrAol zJTB$-luzI-LBLLxT&XCmkU&VZMb8XV6UuW3B8mszq(vk;KieKqD9|IK1X;A1&zJX> zFwcOwAD+ACImF!1)umM2%^0h;sbHf1Y`~Bs3p49mKR!Fj@{;Fg^b2i%wSNM{v$i;_ zEzZc`uDGj8-SHCg&1QRKzy+ej!2qTB5B22-woPa_;?BK=n-nwJ8V?sMWpm18$ zQ+jTSxWfoN?92szDv*Fo@bTVBOv6Buo6uG|lyvxjPT1Q{m<$-13m?#=EY?w})BdRc ztZLfppHC+GXNf_?aC!7rO*(YZv-m}A7TU-f#&|;nxD(Llpg>L>@mvoJp-FdalFf4O zh;}F&wJrt^ppt9hd1t~JXz=Om7{-KjJ3m~l?JErh@(OH5V8XN9QuQ-LRq5HHDgF5C z3zk|^JFM4@$Z9C5?inHHR zD5{%G8N}T&(tRPn=yV~#sJO~2HYd>!9;hGu`uGaNc&7!@rV9y!`mj}TxCx)@G?uXR3t_-mZ!`FdeIc@4KIm-{E>tO7fB=@^8 zo%pPmp8!=`1K;CRY_u&Ns`4U7c3WYhfbce25#ez`m6H!OW43ky6JTi5k@$t0duQ1F zJX9DT8i6-~&Pvt|kAI=e>KCq!P=W0EYsE(KBGr_ZyC9Cs#D#JUt;cUNz7=9$o?k#K z@{i!(5tdPAVGY(RPhJi?ir9CAX{B^2J$0E+_U)kXeTotS^m07hiMAHStM4w~E5v*}axiUgSl;rl-94$bY zEc)KkQQ~^LN^(>nh&!F9=q{o0UG9p4vZadgO2@nr=G_l%7Y4u~CO!2ZR>UzrZZ(t{36=6$!JvH7td@Y&b$%N7K&P@idQB^?Uc6Yfa*x%dvzk?$&eveIap0unU zib?oMfKqr~S7pYkH*3G8rrfMXBz`Hkwjn>m zfy*DomKg=!n{$=Z+Ml0h5AxRd5A;ia`b#U)`tI=M2bxOp_*WgQ{&=h<qK(LRzPm!)?oHgiansTA@oGR^DrcVrLj_fq}nx ztfyQTSlE?|wTQq{nGaUPm)M`ZkySVYqpns97}ZD0@11LR<`~9s2?4&7Ah@3a=Kp!{ z34;0mx9~5@w>@?O>w0j5{nNL9^oIhg3*$OZER<`3ovA4b(5Bw+Z}!L6Lpe91J)fq$ zX~jmrhyzqYP%^@SLmvEn6DSWxQLx68RQFIvxiW%IFSH?I!g7x_7>LyhXb6i|hKzKV z<)?T@NWmNhwXprV@_tM}ULp`IlA_Jo3da)cQEkf}8)#3s7{?wO+Mlfp!ur(=RS#{}YJs-4dR(=_O*0Vr4 z8K0+&Zr|1~fXyz(T6SHVLU$YHXliCC5Ub>97rbCHK4fXA?~P!uBzZ6tX#Fwjuz_%! z6Z&{P=;P2r6xdhzv?2BX@!2Ch7`tm#KPFndBj|*F3$UZ)2zA8tf!>mlQorQDYNzzz zVv7|vvKciLv7)WFj0JX3piBygW?MIiZY*+Oz^BB-Lkmb~XcpF7B!w~!)UU%<njz{|gk;RWK`JtqPyMLiT(V1Mh$B3~R@#K>Bp*w&u4S2i0z0#lGWw z!EIY_6#04UTfcEBTN21e_uAhXPa>c6$mfyS4YnOY^W-D0p8VK~-H#}r&XPiTtpRZw z%1{znlpAM>%eAb4DF62YyP8nB(zi;*`-2eA^FM2l7qI2qhId|7DbEneB zuhy%=lDun+YYt8-j=f4uFCr`vrROV3zPf`b7egx1!D>8 zMaRRR`SsxD8Uv)z^@_U*he=vk@dNWNw9i0pJd;~(i_f}8zlAlWq$5X9zJdxSCI|RP z)60QB-5Tt^`xt2es?0(yN*0^~HIaq7b&NxL*V_77_1@zQXg7X+;#@ND4`Cki{kZ7h zr<`TjlSj40RxM5Ird-K&Rp!a3Jqs99if8BHL_apP1{cvfvy;(_ zE#jmH-(W9qK^r9CML&Y@k6{gm!9#Jg^J9}_!z>K8rbVn>V5PAXCxayW)*b#Z%oz8W zX9Ssl7>k=j;wYpMxv%bg)>p6(E1j=>VGP0s7+X`Em*u#$;6aTC?8vOzPG@@=kD-zu ze(Pk1!*yasJwXmDzrkNLnAGG414^8ND4B;I~yskPlfJu^y)$1L0<_|X3Xl(`! zl}<1MaN+B70f<OqC-J6%-Ydf+C?_bW zN6kQ+4EDM;Lb#Y&SYtXWHpIex2qUcgU|0ksM0(Ae2XsB}#rYM+7bv@3leh(#CZy~& z%X>;$NyH?s4i_B-|AtBZ#C_+O8a#fuh#)W19wOobLET!r6-}@%AR_fmq~Y+dOB~m} zi=|Ksm^%%+xw?;M86Xh1s5azemF;1`-l`ye0paW%ft7mJj*+h10W0eekIU`I97;5a5u?Lhb#<9@3Bjxut302L3I!9QgU z$N3ZJ@z)AaCIjOzMjZ3Q(09r4#(1?nlNSqaXGhJfpc5OG+b*#*#N0@7Yif(ViyN3H zkdQ*H3{BF4B>)I&pyHE5@Moj%5~tE9J_2xKm?Xi)EhdCtu*9Y zP~(eh@dl9If^Y$g@L#lEOVX|Ik+F9VC!^Cm;|if*WrzTEJU6wX#ngHrmJ>*~LbtoK z2paq8?7iS#?qmM^_2X@a4vV~_1q-N7s{oJO0q^ic!bj>NnGw@EBF9L1zcw{d zq9AT;*K*b#+o>?v=-%UW=v=1`E?_6aM^RsP*Dy3rqBAULqOHQ?uo!C$4Mv+_MdX(W zOr-C`nujzarJH48U`5rl+Bi;mYlTS!xWN4q(y8G-4aygZ6-!9Zq}r|Csav`&Uu z_5=$!pZfS8BY0y$ZbEC$Ys3JiyOcM-47LpUFi!}VR%|Ld31uYggoF_6(G;II_H!4f36;gb%&R&5`C9pzX2 zYw}woSS42MN6ux-u` zhABFsn2zA$5v*_Wh*yXzokPu2d9F7gKGNy)0fmWH#w;gpGgDFOncHF9uCPx>nXMwI z%Xo)K{9#sP1mg(B0t$~)n|1v|RxD`MQkX+#(1bsa&rEEM1@c=hS5{=!S6&&(Rrd>z z13mno^)_}SR#4%~-6W~}eyEsX9e&)uvq(U(wjtNFEHIUwaelV+Qv|$_<-R);iIvix z%3!U!E=)fvhKe$KO7`eqG{PNb>nV_XuAi3mR>fLXZw{dIG>%sU;n9`)9ETB(Fo{hl ze9C(XQxIOX0P2i13M{!bABKV-d)fd^?i>{8d_f-Ax4F0fP*s0+R{MlmGQh80tT5r? za~);BcICiI99`XVv`>0YJm1mx_nl!lH4SZ@!z8m!h@`8twPIEU@+!w(9-ZR^qr(8Q zaX6i|rgjc(%sJL2OM+z!^fT6Q#Zm*IvKOE$6)sA!Xn_bU(qWC~VJ!=Eso)fs@JHC8 z{VqU)kx(Pq>y#8^sk>#T3qckVYFQ9~F=N-?@7I1CBJHW>ZWKG?8e7(qnS^AoKV@nTSbj<72l2JuU0G-~_v@N*lit(nVCTJDol zz>b`Nd?8m5N?&Qa(a>F~*p%&cSmAfk@yfa}$Hw>&tdc&OZC?!+$Hr{C(q@W%G| z2}C4H^`qx1`rTDeItMQY7FybR%H*m0+STyRKV3KWbHggf<}NRk$#aS_FA5IqwZWQ- zXj4%kCOb`cB=2>a3RK;O1Ly0FAQW{y$O3V0uQR5~W=zTX$H6&a;D7*(wI)QUl>*=X zlupyFmckA~hi!a4_6>|>nEeu5lrkklhGtpatDI(q{-3|ze^oiy#rWleluksHsMmZW z&@$VS><-vWx~BL5S(+`_Y3MCYIqC2Y!+y(R;4&HBKLVGQo8YzEG=etjX~cd6Cb~ng z)sf4X`7O3`#cd2&E&ALDEkA{`o3r z-*Mg*rvyG< zh{#q_f!B5`=#l}2uvue*0hTaV=Xb$yo&69Dpjk&i1TFg`n)Z|8bl%L)4t7Z*FNd80 zA6^C=QjQeSw(-tDZhW+p2HPu+RB-OWj)2qLsd+2OUWb04TC=#QC~y963VWTmZ2}X^ zfCg{0cCTVMu}42;$opGvBjTOex~(q;OQcQ4hwR;w)8dVjXcj9=+w>>_2WA5zPRv0# zyck&Xx(WXcF+v4>6-jH489PuR5h?_*c9|t1piquUBz9)2+VWN>tmdk+7R<5)_*n=b zH)I%-$Qu2#hsXMB>-;oRouerX7+_ zXX1|ks9=Lmr_%-@c&WP+*i53K;1Cp=H(GEo^Hu7*RA2Wn zKWZd(`4;kGj}8BC+5-q`FMJGtMB{&%FnwN%`jjwX8lQF0>s&5zx8<$|8phTyx_Ogc zn179!Twi@|(FW2sa^wv%83IS6sHFEvn`bF6Pg7#&Js8(KJPJv7V1ivqy+o^_E~75l z(>&w3t#Rqj75>(bDc;dWuidNu6fF;4OSAsI$oF8QzuNEO4EK-C9Y+H$&^QN4l6u2I zQer@%Y0D|b4O{yExMlph&w28~sXN3(`}8#t`6Um(efF#$sDWJa!D`D#x)_i6l@Bk% zX!{e{Z|9Hi2FqpBd4F=7$TQaPyY=+uI8T6wg?BY96ct`8xw~q%c+4k)=Y8>16!g!n zzg+vYF~Fxe;CSqcD7$6zt5-Kqtt=Ij_ccP8SgSjU`KM3V)%wK75B)f=b9al#zKvNm ztUo~j6S35F^V!r(qRKB5m=@4B@;O&NXU=EFN~WB+q= z%hX>Vzio|w>yAQ7j`1rTJK{dt6`UVr2lvQP=STj9W1)^Es=dw~>&nM2?cDrYcunx8 z+~N zGc$l!*k-zyVW@jNOkDT))n(5 z?ziUR1Vpcz>q`wIFe}P&;udbCS*@% zh)GE9a7ZGDB%S4;a35MsNCA^{tw>1-X@QWEP9M(BB{k{k7{7dAA z0j;R91fAf)unRcX8t?3uNV6ektjGM}2#oIAZY~fjdSd0)iTOw-WFtoG0+GqbV&WA8{$~a z`hl*DIp0;=du79)Glh_S0Tr61jMWDyO@0HI?D9APUbC#h@58WNK&ZQ?*mL=n_vGy# zq1oSmu$w?`f&|})t;z4f5|;Ugvp~6MRe~8EoX#>Ow~*)R0)0JoMt2e724o|GOW>lB zDf>!r84!UgB;0uum7Ijg0~1obE4p1v20Ohg0ZcN*5J{1eb4%Wt+Ok<`uECu zP3wG~5y973yPH40A0sl0doM|}8VCbU+Y(dWzD`se#pgy$q5 z?|7AJ2y;x0r^_mcI|aCKM%f_e@z!jfYUlN}mloq4;7V83-|q&LGMXsl@$MGpuHRB+ zHXMhsj_9yC)*+AV94`r#Q+AJk0Y9cPNc0i5K~jG#y=T)wgkIjkWoCjc>&Ug0(R=X=u}`+al8nN6OG z8B9K#%lOtPgWRL^CQnVFk0~|#=WzBt`PT2$V_!e^5Quu<9XE~#>o_`FkC$=eR3CcF z?%@^c-1m^bhUvo~{w#l@^^Ub@=7$?NGOcPzBKoiw+wnis@-XCbY+VGqA>WJRbtyB0 zP1C2=MtPU<+v)4?XmPpf%-Ssu5H%NhH-AmOwNzYAl9j4~s}#$|_#*JZh8Fg39{_ASo8-9=-I^dt_G@*K@OBfDIn(X_x(SPO z;989(EdWAbJ8yvoEd%B%eJ@0MND0K)R$o+0XAHnNCGPF|B<@q*8#VK}=xS#1}U7ix=6htWY-Y9(-FtelXiA3DjWf~KIZtW;eyr~yDJOLXGdUK~@sbL(SvX7?4n zv?U0MrzNh`ln=9ZIdTt?VS1RK{!r_r{eSX{0h*cu&; z^EeH!PZ8IP@-z8|NMrhAv>Rrna@!yaHs*K*iWtPF0CclsGT}wrYC%lDM2RAqNOCd6 zOoH&a%y}j)z^TUMw0T#}aii^i-EE0%WTkp4MJ4ZTJV9s=6AqxtuYZ#YL zxpDFQsOlPBZzB*e6C^`a1b}7(Uz!Sezu}+Kec;C1s&ZQLfUtHBicbO-kOG0mn2@#y zunQ_7BWa^?TsTW=GV|&}1rN5JMZilu#XFJ#PqVu$W>7zl%V!N~K?W84GSW-080_Q2 zHb|qSCsZyrBkZkUxh3sj!zJ@Y5?d_`*03PsIJFkG(jT=n~ei)qcP%qrw> z``p9h3fbE$(XpsJhx_vE9B&i2Fy&HdgB+)i^LJYbZlTGX%{@1Lun0qD8o#Iky9JV3 zi8s%B6z{eWLL4!hwyrlSr|N4EA!@UI*?_<5N#9z z7?Q2|3M2+1Kx{#gLvXQh+_cGKwk1)m4~$`V^H;gMXhB4+x-hic!YTZw@iC zi4K1H(A``qJIF=lU`YEB`sCob%Od|3xCBFs?3@6xhSKD5mXFBW(f7+T@oW*iAaOkA zHm63(f9H$_4{^U{`oQ6CO$aa2?J#HS(qto7`QLIAD3%igSKT<$S3MwJQ3kIV6-P<; z1tBYIA==1dbOM=HE64i>nFb$iQ<7eZcHqQ(w7xg;%7s&cAf@ST4~{sc`|iR{ikw8! z0yO49=7J^jl{06L7?C88+uNF8=q0tg$r?>r~yrwtr&;>V&EQy)}$PAJS@reL! zt~7d_Yo*d4G)xSMN?D|F7)8`YhI-hC>&g@jJJX^BmqR$T4~zM*LtB858uX)oeJ?z$IY{3$$R z!`c+|f4>}#J*nML%wB1oY)zosjHh?z#wZoIOl@8g?NNiS-#(|T?4nloQlkhNZ-|*c z4?jo ze#tT;w1^F%p-4TeyU8PV9meD{wmV-rze7Biw9CUxLm%s*1ilNPTm9Bi6p5}<{$V=W z53oPl-gAiv%`f1;rNDI-W98#f;VvzKECDTVNh5Ao@(;5!coc|xw)W#lF-$h&@O$Pa zNIgVb1$hXmQDnKC5MCx(JVt0uEYe(X6673KctYu_n4Vtvg}b}KZREBw%0>zXSv+d) zJLi^pi8G6)a|Y6rz1(zrmw*!_j-8VJW`+Aed!{9T=G6=^Ga#vYf|q!|&XghX(`8Oo z<>ef6UE)g<2i6POR@7mwXgV#(tiHeIr&W^G#Tb0*n9OgRx{m(pof93yyUvByk zVsY+_5Ildbo%qKBAs_GIM$Ktba+Qv6rCM7>$siN>|I4uF0{{Ox{3Ym?%}aDVw5$t1 zLmId1qO{8cA7lzPACjnebtf%=AHbgsgLgHg%pzH;L*H6Jmg@wBI6cX4hAiKjc?g^& zuZ~MdbRLf6#b~o^^{Y9{+=S?wUh0+0-g>`LlI+m}9-Qm0yCg6?460B7_6dYgsnObY zOzdb0qD`B^_4s4`9)ISoA^X(5j=%CE`0&RWAP(N|x=^zPo4I;BA0DzrcWaNN*4}zf zO>_zS@m95BhcFHMl@D(#rDKSiOdP}83hUPKPOg8^o5??X-VY!N=YaSvlZ}3gx6<)yy04ss=8SJ+n4ybIU zb)h*kbi z6QW4ln0FYN!$%fdICD=Z7;MAJVbEo6J|rW3rGjvJEuEJz8>1CF!ms2shs|U{9=d{% z@nIhV309jrha8x3#4vvvV*IJYOnzQkK#jJ+Zvhj2!o^xaQU{~ELfqt$LH?7v(eFmO zoY6O$e6j`j6hDOwK<^T>u{9yT$XzcqWW$DJPa^_o%NTON%1o|S6Nt7GyV5Mxs7LU& zA_!b|_zvT@W@KO6yf|+(zm>S9lzA4Fp3h;2*DqS&B;$F#u{pXL_|5YzOA*(zd<5do z+I!ELGt5|NC*(QC-t=cBQgm~=BFQt~i6P6grPpQSu-XuEWA9kYvw)g1rK5&7e5ZxU z{$5=7hGhT*3u5@+GfxBl@-;lxf|pnQ8cg|t0sjuwTw1+){d*u;>#3gwZaq$l6BVNn7d*Y*k?7@`b&EP5+ zOwJtqqbo3xJ%A78kAs(gI2jTqB?I|op)c;6SMRpSe}3zpYkheBz&T{|k>0HCQ0umQ z!{8qQeVC^xo^yp&KKruq!?$m|>duP`%mbQ35MF(G*Xq)z^!xik8V`sm{Xi2+i=>l@ z56qX10XH^%xBJ7?=8DK-dT)M`-vD}D`}YBi=XEeVm(7LF9c_CyJ;bQ`?Utqf^_GmF zd4NyzAs9qrB0Bmxt3+ZYYpAEm?<&Wm3NewU0;S{k+SL!9es1XT>c*GR_E+>fq73Mo z++XTCEAXK|#edFISa zMkyv5ZT>06+Xiw6UdT=rjzB&@%i{`2`~uOyY_N`$3p5Q29qYGzib}HnCv-ETepmov zAh!J?DF*Ta8iTdJW{Eht-@Q*s&%PCW*&9F6hRY}ryW^Jjr-#$MU__k1gttG5=4Jkq zSO4yOE`b0m1Z$5-psegW)8H}G^d4RDe{E%bJ|a2tU48d_3DJQx2WgPvk=q(vtT}`R z!&LR%w8heaB0ogV+E&o-%lq%s3$c)u%a?}AHG1y+ZXDUUjcY)~zxfG+iq!j}1$~&l z#gHaGLC7Z1mmtibiaTc-6#XRl9KZE6bj`yL+)W6MgDazjK*(jHRgpXvx(%Y3W@s?M zwxDg}TeDUDrP2pbDq{6M2Ma5R^*qw$#gIg!f|bZugCo+i;97l2M#uh(R^#*54? zbNLfDjx2r>|0nt4Awqf+4ff@1DBojF%Rn=Smsvl{d`tvgj)cGgQi)($dn(P;U<6PL z><<0PqZ}t=v_+Yv#_{$N#4j9MQ*Y-Uc~U%rD79qq2=~qZ6puuAAJx0Io%Jfxxtos2 z&O}yIR(MB_j~&TWM_h)uLP!}v#**GuwH{GthST-aT7?vrDyJLHv6}EJ)+B!{9WMRh z=MF>-y~rz}&+nlm`ltCvgwR)D248_BtAt)bhJoS(j0~;bKTn6j5OgQgdKd@UBjT-5 z&`ol4s6d6a|JgiPFpbLJ-eDpNiGiNn9Q;`eJ|3QzWy0i2UD$&j6GsEf{%IfEx4Zsb zYX8c8T#gs0QUNVmfD#P@qfptT8-r}v!RsU!2^ZH)=EnH^se!8y7VW4S_&{l-J^l;FKCqY?#Ko zr25ikPR3@<$;fK@6xucWpk+ga0zS{2mY;d;Lk$PKVEe`23ulDVB%a1t2#CwdLpx<~ z@f-QXuUBlIL9i1k{`C^R{(LIhH-+!Ke9G`gwr>3(_X1%oxp5R@tUK8GLJOv7-}dnw z^VmgWCsZwTE(6~?g6++p)_4ze4Xqivr7(aV7(=IXQN?UfJ+R4RB$iaSE(!^4r;?h9 zfqKD7NRAlCJNMLtRZ{X8>+a*-EhM8kaBWn0=%GuEcY0ijATwdEpA?r?yNc(YRRf%& zB09%mbJUupQMZ(pVxo?Afz3VO9cKr4)#(A2%Z>)W4Ri_+Oi3ZpLaOzXgq0SP)y8|_ zA{*;rO@DTp=D|7@VAZvZa*IYmjnW~swVto=%ZPRl+A-H2c3)OMIn61IR0k)wCeoj9 zoFb|^_$#7A3a4&P!%#}-Hd+-S&)awi64_pu$$}rF6_uxxe>;3tn6=@U*Ajlk$xF<$ z?7drC6V9-qoqd!O0geKqQ+leHUXeF`zm$^fw{aqpl0CV0tV1})4yaHwzp#}luJ|F| zRReh#73KEMbl4*KSUXbD;5R#J>u6RQJBXg$T}icfm*?6QCwP?#>tC#et|T?d)($?E z@?`cJxR%P&}8 zq32qTdPWH8Dc}sP-hpclNlQRq7t!@VG}IqWOAin<_+7QIKcaz?w*St9>BT4ICoamG z{Nns3;8jOt@rziSw!gox8f%|>q}P7OP26Tjn)jkVGKgO!AQdJ+>(jT1d9UIL++hFFqCUEUA4F7}GtmxwCa9sn}BmFBY-|L*f^tuUl92TrY5gs zvEiQ=8R{N-m8>r^oBV#B=B?N$ZoE0WWl+>`uLF%$%n=<6%ecrz&(Pg1lwaNoiZ=pL zsOGk&)J)VR+jdpK;y3uEUgK#jEhcuVfMJ*MF`?5(s@Gvrd=f5?3)KgK)TZJx`&d|| zVFm(_aktchS?d;Iv|lr-FAI9Upeq9!WSGJLhF zZ}94AN%g&2I)U(7p7n`$v-X#pegNu$uELO1c2E{){GMKxR`)M&9wG06)4~g(U3?XO zX3AKL$}US-&*<&l@ALim3>s}mJ9r2EjH6z_tiUR=sQ_@2i`{7xZI|6r=^dR$ee6Nt zwL*R(ud^X3PXwYqKR|C}s2AEcCI($BH-Y{nii)^>!K=jI9pA%MhgWM#fKiC)r`JT% zsoX?f4j3IEz)~*3hne|;ijDah0gfpHemdE2Zo!b069E)|tNPDf4}X=e6-x3`fJ>An zd*-tssR-IFeA2ovPFs9*u@^%emgWHKX#H(hN!>FXyT@ZYS%}M!S5as-QCu?s@G6g2 zgTuG9h@VhEmi$EMWQQ0XUAzaCXNSs>kk*X&L84;9kIaFBcRl$AxsqaJ%77hrQiM11Qhp709Ju5B1m<_rZP5>nr zh>@;`^I4>I!+h%&m9HPBYapa3cO2ksD*juZr&<;ZQCvJ$Xnqx-Wi`_yqB;LSref@+ z4&IS%-}P}vKdxIx>*7Y1R*Z8`ui$sv_bdExYc}nd%c{nGuL?+ zbYYqKwTzdnCbT1k-~6tseW)n6RB=3K=y=tTpG=-`3xZ*~yO1wlyFI^&MZS3XfSc!_ zZU1gKkh3jhGNpRCCMCQw--gNADeyMgP&pgSHt|~Db3nOMjLXb*LI;&DNe_@6(*8LU zcUIOM^^>be?^vT>uO)9Ou>RXwm$aGnehOToqtc_b1&@oT#~}KyfS1TKnf70>H~KXa zy}?s4?qRwXu#k&z0uJ&beRKT8ToY?sm7|iEjVyBuFI7xk6>S9A$Kzuj?K=p<*>b$q zH27sowqyKw@S9Y%b4ES*wS&~ru|iTM1HU^B14rZQ)9nP31-2zu3FtD(r;?WtN9wbQ z)+5v>mXJZ_B~jQ_H!M`;(!HZDN?K4w0gCWJV_pppK^=HSJn)hr?y*FF4Ntl|wVUo& z3^1opHF^v%UyI|s^(!iVqP_=fS>@yz=h?5{igQc}ZXuZv*+20~Vh4_7*ay1iJ<9!= z@O9Nu8Gt{@z(FciTw8E1^Am;A)}CBGApb`13C^R*0W(p^7HJn9oAXxy zLQ4g{ehSO&^RPlEt?N6<)TU)jP@77$lTN7IjR$J$LZzpG@>&KzAZpLjfqSmt<%rfg>NjN~kVtgydAJnV-V1?qPzB`szr)CmF3W9J`sTs}4M zp=I5cNu(sNVCZX_&pE(6qXlU?jF{0`y|B&o6UZ`^5YCVzU{0fmml-#001^fg4cj2W z(BoRfkOi_>AuGv~Phxu+q|<1C#oOa(@`F(_A=W)k=pf?N0$-6sAT@9k)1a8bAO>!Z zlEWD2LtBfj>;MaKC6sQ$1$OhyXGQuvM(7}pMDvvIdtF_RmT;PF;1^k%IZSR+7}EJJ zPy3or3735elb?bJPKde;ttfeXe%eE9TBss+q=bgk+-Acl@k*Tb$+}C$sxG z5K01Vlb8+GMf=X4*N)$i*!Rl_L?1Wpa`#*kT--stfg zN%c(qir2)rd%>Xx)sXtr2Gx(-AB@4O~3QSjuMg8_g?aWfX)dU0@zDY6FkmVxt(qC^Jbt6jXfojS@ z+f&FBTl@Yrj!MXW9cS}WJX8zD7n!kkQFygw0oeJ6yAef94@4p_=x>}_8E=}^!JU=a zdgKhy({PFzET=%y1KSkUipn!7mSTFWk zRm>}Apj2m@U7fZr$?wx9UHGF{_T$fvOYlib zAb&mB_CAa3Fn=px|7;=Pnbl#yfCYB-CawMJPn6Gufwwi^d)6iu88_UF+>%$b_E55G z!xh1%>Rta9@;vzS>4>oZl5DE$3;){j&08O>ew6e0ox!rOZ_^&Vb$t5xOitj|s7FTy z#;*e3%r6N=OyAIg+0yB8$|Gm*y6u@W6A=TEM(^X0SjQQC-CLZi=k* zz4Wqi_?r6F>yp_RAIQ{=3k3HwFB=;JZg1V)cB8CpBT`Ct z^8=DDi)r%dsh>3ch)b3Vu%OCkvCiq4 zv8PewPm#EFwixwQv=SlELa;{v7;B>&(A8>O8acgVw;bLk4Ihw4?2<>c|Dif7%X+9h3HFc!Ij>^BC?dP;+M0fSN(tpQ6Miz zJMR1WY+Jft-+k2yOOP+e95=&wCLP>sDhP~#Y+$bfR4wC8e)A0Pjp^61AanMOq#r** zBhPi_6Yb^PKOCg<&+9+c|4zmG+XL{Ts?aqQ6uXSm``%D$?vp528H)wfZ=iT zs@^lIavHU>l^A#*l7=^kmoe!NkEV~jiy|0$Fs~5R&EUi{daa>0+KC23X146>u<1MZ zb=x!YGf|go>MFMovMx=-%rou=8LqrJ@@e~@J-E!%qBu&<=fw6AWfu-Y7ShL7OUOzM z1I*sd2QI_qPg%BI1B+f3A4uVp7^M>w!#$3;iE;f<9%RE+KseVKYGtm1TxX-1;lf5g zvWwnNRe_vTb^?=)KWfedr9NPX)1QDUNjkT5;MJG+(wf&9r+w_1v-s2Wn0p@Ia0b-;v~A7Aw364lkNqcs1_1ATJ*$&M!y;S8k*4o7Cysz zC^Lbkzy`0N3}|fna`ULEbyIXj6@bWIOq}b@VXg5LbfTMo8|FI1eDqZ78RCagv`9XU8_#NfrfmkAty8EJ)&z#aVfYxAyn+ zcA-c)C}Lp=KW|zYVphTJ3B$T|=}^XODIHqikdw1ef<3}&2QjaG6n0z0Q*EIlyo7&~ zq}}JD+&-(@43018G8CItSE>FIpj98@x3s#H8RRS>TG7g;r|^0l9t-Et?-us(_7n|? zd7cNHPC|HUc1=?jfX2(+f2~Rdz%*1r)vpalNLvB#$R#qYO*+UrWd{f$UzY`G)nc!v zv>TEHYmvILrCQUAd!ohzcxfS;3sC?vm0XqK=;nCJYyat8#ze)*Fi@mhP?fqZiUy6B9D2ASa)41t=~B` zXedV&*3s4%_85d4_LrbVJp5VFD2&yL);oNdf73EGSm(&l%W-zk>PRYnj?>V48C{P;Kj&|y;fG>_< zNSw2`v|92iTqKKC#~)Q)$I3&3oZ8Adnw7@^UmX-JvG z#&P*UNDriIyfeGLhitUNgDm9Tvj$KajFZ}}Qo0^$0uI7*`4G}VHL79exP%=rKUR9h z2#ARtc=(HP0)5r=Q$i3P5SToN`tZ5w2U~vv{jdhmRD-t392AZOx zc+V|f{iphs*iguf;%u57{FSClh1-vDOeTI+Xr5!=vuEG7-0ydazZTpf^NsfLhfmb4`(HpatK9~zuE2VlSbHNva{p$4GXwz(T%kU zM*KfTfrVg(#)GsQqKN9sga-N`741;O)wG0oEA7uMDTiGxL4C`Z>{4$_fW+H& zi_0>*r6`gMaVCB7u`MGr1DobO!oCdEd+TWM5shWz;=W#Q_a(!`J()+p9T-IR1ugUF zX*B@$o|YYxZuPq+49TBXFT)v~@SsYjN+*3w2#56h<+N+~DeJds@okDTVDqyfH*>+- zpyeH5KY&X3*0K{pHDdT6XeC!_K&PW!9A=!F)%!J> z$sTh12D2kL2tfo=jtjkR?v{NLASnAkcgKG0*`;YSe-#)qF|bXc@w9z8LdgLDZ;^S_ zoRv)=BaAkPVQMi+s~_QakgnF)gH>8Y0{luJ{P^Sz1@-KvW_J;?HXL$A>OK~z*D-pz&Ix&D{PUa%3fOX1&Q zgKBw(- zkTy!mbe#U5p0osXzeWRr9>uQbQ&J#x298q6*tR*)9Fka*_B6R=Ah&8xi4J{R8;^E4 zdc&?uN>ry^XX8t0CxTRXr+`v=#j#?0I4k$|3J$UBR)#+0JMm3CUMPJQm?XFKIAEd(CHv7UiWGnv z-B{r?uE>B6Q*b;GZIUh7Pk^29O4sDl?Sp&>hFEA#NR>oh5x>#8Z;0aEEy^D|^^v|~h$R>qHAQlZV z?5e<4tAQ$#6&qGjCi;Kc=kLB&V}wh!sPFO8rTlT$x}AQs@S=V2jhO`*t-CwvRVv=f zk*09qdMKhpnrtmZ&e$LE6o!olXgQn$Mc#%aN6x^9vrABD6?VlP1B}ClLvHEN)iN-` z!(#^24z!}gIQNh`@u=~8B$qx3zae4C6-g?b<8X^iryuoKC=A22U3!40dW-27ybT^_ z4~BT^niaOayi-8w+2OLL8pl2Q<+?9+S!W{7_VGF=*{gK97ES=QkDJ(O{7nsvc&mAM zCudppemcd3eF*JQ*Cw6QaE{^3Ac3&SjvIYgr2rpd zYL{lPZ5&wYj%bNCZQhoAM-_(HQb_)bv*e6}cM6H9ybQlak7TblRv%FfOtYejB+_+5 zoC}V~D>mZ~z|s~f4w)@kx$(Rtj}MS=YYjj?u--a_kx->HGo2!8^v7rZPYlUZ7{D{> za9N37UKI(d9_Y+{qUC{%qUTn>{6x<4{AzGft#j;L^>pyok06y~_5Pp;GLj@Hd27hE zz~q1mThQr`f^&mgcYU;GO>02*nonz=WRZN692EMBXl)dKAt>u)=p`W(JW)0#CI7hY zFV@g4d(H#~4{tn_b>fG$WrB_IeLCoKJGDBja&}*jc-FqQ!U1}YCuN4Kpv>@Z?_27Q zpRDe2K9~=!5oH7*IU~EneEn~bVxI@k`^Q6nANZU{U2rgX7cDU3mRGA-lg3|38_Ek5 zkm$_z$gh5QG`K$z(3#+ff#ie1Q^r8$?AlYYFpg)gU96d1dnk67i#w6KHhwFq^5K5H zc+<6GLtW8MZ=mljLGZdwE%ZOV_riN`ys)}1;nhIiFSgHIIa-%i&q!jWe-*fO#Q$@~ z9zkHTxo%SdGYphIRK^P=-6g>{ORn?%b z025(fuJNm0s82r)Sp-lv0o^tKG_*Aq6*-AJaOAs3hE{R$VCx73-iJ3&PuyVrf%H;! z{PfDFpQai1$Ov)mBD%I_=wX5`#ml=V`|oYus>Q$mc># z1G#Yar-R1d2B`oLBMqU-uZ=lgQuPBpjYQIAHL_?a*o;7X<}HD~h~mnMvN?tT^qQxj zw;tY=6gWwY5tsx5yoKx_S(h=2*An3Kfn@`bSy0pW1*UoK%Q>Z9^{$b;uRLFT|bw|dtEXo1S zvRc7K+fspKf|&AA&^N#Wdb(B65?4a#EfU{*;eb1B~_2&ZM665&LRq-?pq8niDjfX!}0P7;ulgTX5 zdGoE6_0OoLZ%`w{rmK4kS^A@kVhl2azZKFlrD{mUa&>oIHdb!EjY*Zbh{m7M-6tf?NQ8Do5%`hjO*O7b8EFv& zJ2me*VN*HLY^@w%U9JhbAz8bvs z>!00?{$ANxtaE%WjAUa#nQjA&w1(Vn=!^9}eJi?0(~f0RvTr1O7uNK_<_uKs=D#ya z;z)j*(5kLG{Mkm^C+S{1ne!o%`U~-*y;(@h(b?* zIH;f|=$gAbVOJ{JNpkXL&0;o=Nc6CdL2N3x^Hb z^v|E-B6r^eq-(^-W zg6YybP_sZCxQdtu8k^U>(z`tT<*AjyW5OJmQ>KO)XI}cnlXy+6^P(l<%H;6X5vWMl zb`hQB*7&rn@al>xyz@Lra7qTQ3eG?Ta8@QLcDzUZuo$V+k9x>5WH348Rt>Nq+S2dO zg*d%E`UX!0oX_obm;$?foMb&!l{F@y(n{)RT2p&%RA1e+y&PJ9D=eI#3sJ6znP@v? z@jpGq<0aBPjo+^T>j%Y&2ES;_NEhCbYEmeE91mO8GLLyR%b5JMN%7Hzc)WvCZG-_C zto}l&X?P;9koP+tZT*%KLI4s#>O;Chh1O4zEsnW^%-Qt_={e5qnPxP zrTCKDJ9L%ntp;bKyp#-fo+nzvF3&W}Z8(u*VuRbNvejf;OxB$)iKw(lT5J)rq)nFo!&46OUS&_%xQb|RBQBnJ76lwwGrSOb7 zdd#n!S`)^`u{J27Xwnc{UQ;_DsAp31 zejL4pXeVU@wT`yD&`z^uLws!%D&uX*w-_LEVS=$X8iXw?xL{TXRBa11=RVmiXHT7t z*=p&lqm_+#536^k2m^0rWIPLSde`|+8Rz%mt}`Xqb0z7|`aBpy={>PdOlf|$0x7;8 z4GRmO9il0s+k@FP{jM{{5E`innPP-KNxuh&NE6&4VvDlw#WOkIJRZhm1gP9Ki_>TZ zeFAGcZ$&wJ4s#o=XYs1&0;rTkbIQ(>#<&~rAdR;Td3auF(e#Vivfo-gE#k@60hUP? zPC#AF{#(xq)^2yHiP(z5<`0Z{K#^7vZL{Pj^%z!Q@`GXuPDWOmR|r`TjLBa3{EGA- z9cV6~^y(l-Vg}^#agI{hwOOG%^~F~Jaq-2%W;~($Qkn^ZQm~lh?xC3iDqz^`LkWol zJV;S}-wwWois#$WH6AKso$;q{1wks%<+V0{#qUQC>2Ho_B3{ys|#RX_BP*>pj5_Aq3YBF)dU=nTJ?7X?7!X#mTND6N-!~;E}X?>n<52EkS;d-?QLG z|29qSyDBOa+@&dRn};9s6MbCe`?>Sw*h?kd*ZBUaznlBM=Dy8uCpt2h=eN#ALsDXxYHp&@DpkI}ta$9u%Q{up zGID|VIN!%iHbPnq(mC*ka{_w_$2Q}3d@Ce}H7ONIQsVl;Gd(MGTNkCxNszc`TPl;oEOoktlJ*q-tI@>$X8X_;Q{)tI_XZqwNLAqerw_ zudT<7%hUTnNPz&&LCfSb7FfT}vthEC{>Cqe8UPs=8vfp_h*gaYv3eadSfSz|!e4W; z2S*(1JE!pbebPZsx=Ll>{ipVs5nArrj2^BZU@P@%!=`wOB%+ca-X7=hT;kQ0(RSrF z`2ob>6#+8noB?oku61`t);K2bQ7hlS1iUGKS#d3uQt)*u-DB8MfXa=oE-vK)Y*d{) z^XosG;v{TN9k@2#fQ|*9$Wb?p;F9*>k5t&({V)L`gNB-AagT@+OF#^5K zgN@ND_Ap-^uTs)Ddk{D@Osy(0PTX#W1L{I5w#|h19Hh2Fs_rX@x!(_ggMVRL7CeQ~ z)ZuvW6oLhMdfEYwg3Ro}ID1Z5rJe@>S1FCOdCK+YtuN~DCm!8AlNOZE zfd_~%Rgs9&_5Tfb9Re-Q3ZN?DRj-lBG^C8?0Q{}w|CP~v^whJfe-RvVD|lV-&ReT@ z2gSBP3*qmY!6#Ml{F>dT{)5s407^rJFVOyfi)aoWy7T{;eFN1ki9v~rYt~zWz>Re6 zt+nv9?)BAOq4-}TeLm-Wp0@Z3Q81Gh#G zS+caXzf-UEl?8G^IdfC6Hoh-&Q?>po#Ngci`g-<`h%FhsmyT}v)%^D>yI*o_U{wTs z9t$ZrtMLG4Cqq^IQCfZTN%n6qao(H^+}ixsJM3*^fp6N`ndOao&{a$}4Zc%v0P4{o z@vcIfof43S#c<*BR{(6GS1tQUQ_%}A8%5aBS0xYPRv|H3NqP4hCCuJ4VGO+r_KAaQ zUpC&)-F^IK#$``gu+vgpUF#gI0l3Y5f>4Qy87>&`=LzBr_U*##LafRVt7TAi#|gs zF~8wDiwA=<3xVXDt8c;9x0R2f?L1?{Z0Su>EVJDHLpLG&*V3b`;uhzM^f9DIf2ufk zxce75vb$uGs7z^3LuDec5@e_nbGlDLK_O+I?bO`;IAuykTi`rcU^X11SR=3CAg~-; zskcYRXl0XvkmkhV7^Vc4e?K9`E1+EALMnvoCwU|o-r=fP3mFGlz>r@ALooydRFNL` z27fPH+H`=m=l9(F`^R;wi@c31x$}gGQtzP?a}eZg4=Qmq1A=gubqJT_PGMOvJq%5c z+3Y|UZ>>sMoU?H+{QzLB!M^0|zLU2y(11Jo^Z#;ym`A<>7ZWs^- zg~f0k3WCRj6Q@8L0TID`48&k$bN~>tIn1yF>UEvNO?KMmBMulOfysp1;fX~WlqWjU zv(r8nqor8Gt5xm0ANKC=zw8`jS|YE+5Hd?7{qhqd0aC=Pc$#$Afk;>cRss+QW$Ohg zc?~c=YP(ni-RVK%XpZ!?r5q{k)wSY`0M}_Sfe653Hv(V1j|6=jeo!3lTAAZ*JZrlr z`fJ3^m=3hPo14dm_+4GrknTHkS^*j`KYHUx>(l<|e@E{Gvj;%rT+uYBC^pfSEP>(qp4ES`=Ps z=>(n!vTwXYP11czjdFl-vZOIU01i6Zi$q7%XA$DOm|A192iBYAN8)afL6=rmkc8(R z_*21tKOgf`)n%o|cod>Hz1fAI+W z$93+JZ8IZ%`uX8OwgML`e2|uq2Ulifm2P{*+)wPQJluoqIW@jGgS!Pz*e-DPf44_3 zXcXgzrN0&iCsv?;hfn^G3_)6mw%G8Ms|NgWCxWJ6Lsd6c zrG@waz(IVE0yDemPAfYo&XMflfo9mZ1PS)b%u5GA2yP$~+DI?m3Nyg&@mWq6-a~#w z4{Ul2mj6OjWY?0jJ`G1h-wTcF4`aQ#ONm$-W-#A>9Bwexeq7^R&ReU;a*e4jPvr2)>~C9Ysd6-{5XNl6^MGh!oQ``A7XU z_zuX675qZmEuknVR*}MCVAeo8C8AZ`1twY{ac~ z(VD*ke^L~yFmM`J_NBo3N`8WrjIRfsP0sr5T5!{m14CZ3qo`)-T{>PSL#GT$&rxuNY>L6>Bwoyj#jsk5RAmj-?0bkFsPL0l5VLXZ*(y z+2p6$lx+0_1*5`3rN`>^{t8QEWh@;$?T}vT0=t@0`3KrOq-Ty*FS9R)u(&MNG1!Ra~nf{hgKAFH8y|Wg34)u!zg&@LwDN<>6F!J{=YLG8x{j?gWztvxAi14f7N?)w-QKr;t2`Q&v3H-coZ(hZd6DLxY^qJ%SYm4bDP zy7-flvj^O;0-0Oz&ckyrO0%}-msD49bVJ$;-fd@VVQGczKy!|KNvEu=04&#PD9lGl z(-ugRyyAKf2#TGsW%Nze`%c?OkbZ)lMJD@y)Beyf*F(}pb{w!&lP>IF zAM@A}AAZZ7{qluu+4Y`7gb6x%d^|Cjv5FiI!p+qfLa-$bG(3xqlFpBo>ytU^-Az${cgqi&umBQ>uQkF6i=V4F~(p40ulQB&%N zbB=jTKR!WDk3Wi6au7`0JcTxESFvq(g`8tauP*CDv+dVxD?K%1(H;dWNSsnC1eF5 z4Y|FaL;u_Rf8RcP`V=~8NX|LG@Aq>}ZJd{?|L4%;*CX1>fOc@>2 zGzFo!vkE2^!G1~V#T<~l5Pq3@54fffqjT#RR&k_9%6idCj0{*$3~R`OEMSZ`dITw> zo_b3DXvCe1jO`0{iDiK{CTbCPh`f=EZbDs2afSqjIlF_DHf`PPvfA-63~j-)1K~^M zA-Ddtg063*>dGl$d1uwTnI8I`Yz}<`hFd#bkl9Suv&bS-Ct?9DY*)i*FtEolbq*Hc zp%gpZtH+vf-GQs0RzBZ|IvMd%V&}b?SBq}Qf-b^zdZSyC@IpxReixKhNny-&acZ`# zOMmI0j&~nEf$ROk3ZHRr^jWS+ijJ~B5*vpvyGExm8zhyaz<7sM75%#7H!m*wf|d@R zb25L1nQ4`hzJ6g^7>;c05Bn2sILC}nwbyqR9SFBWblAyXqi}6#zvR^kTv|FgvwXOM z{8H_<*6V6xXI*-fm^;IU+Cs$>$FjOqqL2->#mcF~qB>w}ElSwRkH-+wPhaO)Mi9!m zfs0n7j|pf#xpq)VEKTNVEp~LLa-&lV7scYxN{6+|sVRPVZTDPt!|AJSw?>X$ab>7* z(bYUXL%7b5w-jG6HT8N^SaURX+msA|j>+2NNTO$;E_>1GqOPBJl9J)3G1Ujhrt&|1 z>CbD6_UlqF{XPN7^3$fZ$8@0R*)FyuZJI_+FXIbd^2%J4x~z4b3K8p*V3Y{z`eZg! z!&042S|MSX2TT_U%fex_zCP5AZ8aH~i2)oLTna}wwux6p*e+;#yT2aLyo;NF|GJ9)r@UBo0q$AWaBQjmb^0B*Nn81_=-0 z=1U=L$WAjPmhKtM!?<;h-m|1zPd*H?&!{fNK)0BLHTNUy4*SFW0YnACS;*Ec<~hn_ z{iI}CKn7?wb7%!BUWOEQ;o&d`UAF_zjH!GfM`F?cZp4ky_fBo{c@I_VY^*S<+ds{l zvGNuk%P)JYuYo&CEM7UIX?PdE-j4imMkH*#@@$&%aRJ-+CD;oqarTTx_!2|lrjqN6 zdsOQSN7Uo}MvQB&>v3ex>>_wf}oVYm}n+yEpw4O?k$xr2%>l^h& zm0L3!FB0H5^HbP{Wd%|p9bN=cS*Orz#SCj3M^wBEStrVEaIcQs>|F5%!h_+oqLEct zp0>FvWdJUHMdv`JA(>hfipVWPW@G*ExnoR|r@eGACrWjIbu@OBKUC9yQCsvqZ_$6S zrkCD5fs=~0IkH3HtUUg@V3T_}BVBm{TC1#?AnuuFL1gUkhKtS5%D1Uou9rvcj;>?{ z6OrC2;Qj(*REf>%&tc_?nW`Km(jTLRtskp^V#(J`nLWzBSth!+q3mDJ7#6QgQ{3DE zj8sJ>id$Np$5~TWYw^gbktHwZ`+t8`a+A1&r{DnQIO1&691}?0lYe5hD`=m zi5Yz^dn%?Z$e=3^zsANOU|6K6D zSmqKH`V-Qcz@orcugu8T9`wDIHyjuq7QOtkY@x*%xHId~&z{|9f{yIkuz^+4$i81! z(jC-3Z@Edi^h0Onm+OBi`6*~P>Ff7cSKGD7nu9NjUK5L7@Le^4Tp-aBbo*?mIjFAv zjc;T=l7irVi7)9MUby4&tQM`w?TvcJabZnW{|^FJ573 zIX5juYgdiGNwzdxNl}X7pj`N2dB+h7mJ+AfI=au{K^1Hs;CA*!qY^-;%qzZatvULK zdd19T7qVgHv%ks29l)OA8MNUvbSQ3g%C8Sz)9xVU^}%xk^|#0LHytz;a5TAh;~#sg zvuaI;ov)fd)}YvaaJ7i4@u*F&peV4eld7Ho5xAZDdiru-w{K(xSq+y}6)k#Izx@n+~sr2-w*|7YZ0M#UiSR0{LQ@e z<%gkDLN`~m6fh}UVHsC+`$#W(u$vjl_7V@uUdp8Xdg;IoyGnL`TElA*ysFYy5$BmX zD*QU1hrjXl?VlLpEz*A`En|7FqBpWh{^_1?0C`F3sTv!1+R?c6;POzx{Y%aLj3n0F z_Qbg`9O!L3hvij!thz^pDWKHG565n{QrEsy0HBZUOJix>_5vH*Asi>z6BZwoZD`bA zWT?GIM7s|k0X+>X#Yv35c5R{7-mq7`&tXGP8sw)VHp!?bUSobYdPH?G1<;iRWITtB z9@KO$TN*-%y$&*Z7@*DA4}&itjQM66Q^9zglT3dFU}-PS%zp&ScUR|ElGS``)mIrf zN<|a2+@49+)Kdxpty0;iL@?wkKae1hL9&9ncj=GZ;=I%Ia+S zA-vn=rJh?#m-nK}Nco|qPU%{A`A-!cFK$D8g^lWVOct*_;&BaoXePiYG=DrychtS! zyB4aVsBCgZdy}{CkO8R&qh~eW4YuA9)ic$`bK27(v`XMtx~WSeFO}n z$3@Etgd8wCcF^~B+U4VAgBix%k^-J!=7FwFc@fLhT zDLzCyMyRv#(uE||L7msiKkX9m_UCEqh1GbkzUh6sKP!g+)eHc#m=llsSFCWopKv0{_-RD2|eE%Ddv2O5>d`PjKZLEM`H(u%XP)R7n>`BR2Yoy{$t*5gbABQ&Unk|FI8M zh(F!L`82|8db*xb9IY^Z#&z=c#p@V(V(2JUC_X?pEstj{QyQ`+x+yq2Crq2Fi*&#%h0ajfe(wxyBXNMNM`ap4FK@)iT59B7 z=u>J!Q<2=>*p}Gr#`QK$3U0kQ&CDT4WAeSKqYnGfPf_+}YThW@I6O4)k;jbbD7&2} zvb2?Sd*}_fP{UR(42!LA_3u}+#Y!M-^Qmk3{f6b)EgHv#WjhQpyg(pI*LwcbN5vtf z=Th1W%GY0%>OhvExmTu~t^KD%AZNG6zTJzun58q;3LI8BR2nRAcOJo`*IbFG|>I3*=`KQg2&XWD&rXG9$e7e1TwP^yr1{9aG4wHXfOury83lvJ1}SlymPiF~tiui@@ccQTFP4#f^os z7`D_C>J!rySDvcycI8Qv*PGn=>P^NM9!B}{tv~XwI(dOV2wq}HITyTbouhe-|8ghl zf-}zam_N3gNn)mD%={KDx7Mrv+UcQJ;yL)i1_rN|$enc|mDKlSGQ>GHAhhlMAvr%H z3ImW-rxCn=40*Ht8aKz%biifH6U|XZIz0JlB#|dQo9$`jrL&QyAL9(y80%6ZyZadq_h^O7=k;(2H%>631SUR#Luk$Ib*{`16#$(GSD=k zMvqBsTJIgDX}=>6|Mvmyg>%`4D*gLt&b16U8F_PtH*+eXFn!2m_8Sl)6;@5>nRFzf zHj{i9O@Afjgt-5eF~kt%vmdkQSLI+8j-DWw)zcrGcTm+bT-z#4k!eC~gAG;a|4n zVFiiu;MDSPr~V^JcW`c=t?(Jc!R@znq;$ymg3gOaye%1xOjBrqdZ+gA0w-JDo;Ol4 zzq#LVcX#5*eLovYX4t~TCg)B1vrX;W%=<{OVC*65Q zoOjG$|qo9N*!AdVwgj;=N}5sEE_M$MF(dT{i_Wzb=d5?N)gy7G;L(Zv$GU{BTz z#Aiyn+JFzxh6<*TXuLFN;tJA5`(4g6As86)Zz)b9R0Cz?Y1aG>BXqoLT-_s^t0=uk=PNqLl@XkWY(2U}D85LG=m zp}NW^+sbj%JIh?dVW@RY$LhzO8& z6h?>NPa_pLG7E8JA_|VF-sIL&63CG7`<=<4q5hyP4&t_l;h-ZE*e z{Jj9HSFq!g5bJ}kWKIj~Lu&8ZWHA;l*b%=Wo2{+hIuOKd|Ic<81Jd4$?M}hc^}Fy7 z%KtB2KX1v^Ig9*P`EEGkzve2UF}BTnuEyWbcfQDfo$rQn|0VNw^X494b1$Ot*U}pF zyS{-Ra{d2O_OCWQ0m}YA0UL8>>uOfbTQl2N6EIu&I%kE?l9wj}76)wkAl{7FY5sAZ5 z{|?lNmUV}m^jjA3J1MIt%L~pu=DVZ?EbD6R1Gnw=RlH4~{A0iOZ~yXr{Ewcp z+cLlP&$y-*p`u#q%MV~z$d^8Q`Jbzm|D5pod}H0(2Y$zWThxK27XnXcmTdWpbJ6Pq zfjhT3%9rGdK6`C-iFnmL+plNKZ4SsbZdjkMT2kz}GV`pjcH#A3v2nBWeCj-%{yypz zI~rCGsphI5ce^s^R%0~YETG3(s+YcKI1c((RpXz+&inG` z5*Eo#EZUVb_I&%Tr>y$<-HX0r1$aa#f7u~v!urBIooeC1=kH84)2f)@T(1>SERw!v zr#nW4>3=3o;8_T>r}USmz=rH8lfzO~e8*A1DVc74*|ZDoo9F2jqac|yljwJ=d$e#xsw;3e9N@N=G)~^q2p#UNXrw?;q8)kAqn7B! z-7uG=7S$pB#e$x{;S7|d{qk#w$}T&!!jaX2Du!U=LiN124dBJf)(xh% zIN7A6XQ$?imhNoY1v%K#@R^gdlC3w@P(u%B>Gpy*W z+bsCX`(#Jmy;T*I?DWr+Kh3944kYmZ)xvMQP|nnD{)9Zq-?cpX&egVN8)BO=$jNfG z>seN!Eiuc9NFFdQ%AoQn>CTyx?uE)N4-$iNV^vq? zsEqp@S(qpV`7G1Lz6)?p{L(0@FEEJ=4q2aYPanLpsN%l!6_^{uzTf%2><+|rihn<# zZvRM1&Ue;NGjV;Ss3#-);#)VES8n?LI+OH|MRZ`uxpg#g9>ut3{%I)=BGV941J@i; zsqgA)TVqKR?8Gkdfd@TqNS9y@5RV-F9Qg$Yi_*gs61yziluyc}Y_($lVA3-gFWj=W zoE1UmoQ0aBBXDm|8A}a`}2jt4tWdt;#-WY ztKEH-5vyjNg&-@{{P9SAhy349^CC~~__`gnYe~JINkUTiNmE}iq6zeBqft|~liHTl z7I{y*zu!q!vyl=;O1>MAoDv8;p-t)aR7`|rELxdLJ+j_psvFn}qqdTC*5l_ciHMbK z$d=k^*(3l5r-rvKTKiy^A*R&PmRXjFTj1LLiINm;Mej+6qq^=F6t1J%s?69jM$AqE%3|=BT zP*c|?WyoZ+FcNl8bko-^jG#lFzb9uF(?nxz`3o&g(`9Qch%N&+(N>_|?`WD9>^Uha zbtpMi|KhjT7rtwn`1UFDgXx*F1v0Z>FGo}6J3MM}3H#Au?wR;B;!P^Fl~GjCb%JIy z^YtMQKMA~xf=?q$>hO>WqVN{x#q^=JpVLO$D;{z9dqrbRP3~4z6qjxnAPWoE!fZq% zQ*%U#a3D?jjr5WA+4-CGg>Dofjkpyt-Efd8P_`07oXeG(FlLeVWwV1s zzdCaW0cvn}-WjT&`scA*qHvwLv+i|Q8s0!ZxEqPfKbA2XIjQ(wupVKUaDk?^742Z{kfc0O+JgsK>Y$f}wbS&M1x*>S5X6&+ZbV~~td zL_4TPB%W-wYUNf}dkOgeJeK*NvvCdn=65}owL@5iVMg}kL85`9{gx>4sS_4lc!I2o+cBdi?`WqwY-{<0 z@3`aghaJXbP*Q59)?KM8@_IV42lv}ni1KYk`+8F;nd~rGm(cjvt+K&;V@zgc3Vsuv zeDgCp^7H#_6HkbJ$vr%|QB^;CanRK)hzCwzf(uxh<83P#z&xI>dt@INLCwZ=dx1rK zmOHL44BS+X>LZ=SHt&$A#4-DgU!)YIER}x3W~ut^K^-jONqdH|HM!S(S;9KAl$_r&TnokHegE&PBE>S;*75t%1I&R zd3|{Y=p=fOe>g-|6``V2b#}5^h32h8rQ!Fe_avwKSm zo(AQD5vvwOvBYlK4Z{eoQ?!-xhS+d+1Fk#*RRo*LowK=m^V+Aym-9u7zMC|zclV2bs2tx;`AlVgy0^vfG%kPL8Kr1? z8c7?(@Y&YFH0poqD{40hD7iN$PG6`DD7R?N_K9JdaJP!>NfkF{?Ja3kjewiM4iCi8 znDP1hST7oT?;eLxV3g3FbxU^|E%Nith#_>1^fU4hL5V{vZEP)w!ObO2^8%2A)6|1t zIy0xHTOGzBecjoloX8@52h@TjUDHiQZp;*9J1ydAPp?g|M+K<)B<>;=Cyu-p*Sg%+ zuf7+{;>c8WpJ=hfBHWY0W_Y?$-7Z?Pb6m~elK^v+tdI9jxMmwltmH#Y1JWozoxNg$ zt1gbp&j9y`jRDcCQ+AK(Zu7AQG*<$J$oHx;P0h%%=Xi_XX&@4vTSG{Be?zJ;%-N98 zQ%TFG`t%Lc0{*TtWlD%d-NMk5N+93U)LSXhCvdLMT-d;k{el+7;E|-#7hsT%4_BQ=-T7f7I$o@Q?U2$4}y*TIBRbSkgBZ>X$4}wC<#w_>RmRH*9X?a4b`7#=q#t$m$d>E!Q=?A74*@p7|M3Pqas7 zu!i!|wNbMIGI<|(UW@qHRsvZqjo9fjM&OVq3e#iQS>MLrdsG?zL*n{ejK1^SoLS@* zBA6aX4N{BJy@=05(=(2caf2(;dv{dc2pk;&Wy#HeNfP(Vf6ed_|uwgjR z@a9hoc>A(uP}UyfXfLs;`C)PWw1^W|Tjgvkx0xA54Et81Gnri?y|g^KzbdT!2pczo z_k8OVEICGMiItmya!gw8oypkmNK6$Lz#4Ea8wJ9qad>|d31srX@li0Sxq|b(_xf?$8UlkA#8tJp{kuUV&kxTqaCM5x{ z`F&9B>!&aC9e_h_lg;8oniaG7oLhlFtZ~H!8J5%!Dg8%PFe50RmS1xu39KP=o zFM2G1y5|$PCRnoYL2>yZY?)<0ZYVo;!N0J{m-x}i|Nh9rd?N7GQBh!mKc5?F&%0NB z-FIn)tatIt;w6R7$7dzv@2o3bFIp!F{AY+^>yx5YR7&!fj<5P&oOi2VUH0`VqNK^x zGVqSZa71VJUG+u7%P(l|4ErA3-tzOUHoqy~(q@eV2>2H*?{u@AQV|>C_ORuhsU7iHZI9OHMt>pjb_Zu0zCdbHq$8Gqv3NgzO-8;MAXOeSR`iML)5FZAAF6>- zrh)ygE%DekpQnQr^qkEvAs&%;=7l=@?uTC`-R+WXG;Am*oz2xh7d|-8_(mu9K6k2j z+-f>7bBdZ1UDQ#xPPB01`aho_6|nIeSsVX{rlha_be^tF)iv|aP*cqkn$l#AdAah{^93KqZkpb+SRu7s{RSYb8l{B z8qd-p-iK{Tjg<2G;KiwXq@n{{B}v@GOgocjJe+ZY6pvU8ueAJcMB+h5vZE%)On%!_ z**iYUFgMDOner_3-i1_d`Ke@51c5uJ!gP=Pww@B5@cY0rT~>e4w z^JJ&)Z*xCv^`>z@-BZ6xL}4%z5AXhDsFx8v6Q$=Rm3Ez#Z%=J4`G$TmE}7n&yqVsi z26CH1u1sQ1iP_6&oUC;-znx;U07F_!hIs3U3G$ms+4{nnewye(DzeS4FE~m>I!4ks zAc%1c=X3Q1@l96{U&P4FUzB(}`5}e3RW;!<-NeLSlNlfMTuJWySdx0^Dahvqk<;V_ zz<**S!ut(2pE6&uBp^}BxMm{F@UkpCiXxIO`?bnj>llKvKn-aM#7W6J(4tvqN68o{?gdp8Qdm36HOpJ8I+xztwonwq51LOASnXp0~!lPAC&R#(1 zlhW#@YI|+vKZz)<7E@hL4=Hi*cMaOmT9#YRPtwsO4H(BU$q^qX($?Mfe%oA0Z?D77p`qzdQ zt94}Ju5emL_&%b^dA$P!xh-=-Z>k`=f(bXf+haC-}j+lPXKDer4tlVv8a z?vA5nY^*$@x`5LwS>-(~DnGJ#wXVHnkgXs}(8(Yvz-7oXG3|w#^%p%UUjE+uQY7iw znd(H{SzMQ46j6S~CB+z4c)SUc6d)GoH0(F5yz|E#gZpj$HQ`9M8|)svN&0569(gw@ zab*EY9G5dh52t9Kc-%Vl!?A>_HP%M`K3d1r42;Y9dyoC%b_liP9RHTJ-{}h~w|d(P z+~bt|0tj|a?;nXx-o{K7x*#}8vO0Q}+&jk9HI+JS8hyc|QMj6Olu&u zQF5I_%@4pj=9@47!AkG~OD}(D>E}P+G*C&_aiv?EdB07^MI2qvPuwJ^N;b{l3WyW( zpX1g8NjougFNC#H09n6DEoBJ1_Vtk~DVph`!@Ku?`$ga%P!F-@mSO1;Q)a`fDZnV8 z-W45Wi)5_(RI}JCsn9hU4$pUwx0e1Fd?gk6@e=rdt;W_YNRxE2EL-X!iD5WN25iN5 z#mJ!GA|h91Az$yzGXLYpH`kO=Q)Yfdb4GsgQ+$-d=b_1m$)gIQ8Lu z-sXf^+*dDCo6Rk|mEa?g40ed^@Y0S^3cEwF)EXvZl(`B=4vhd$Y`b zWQY>o>h%6&(+SjkG~UEHBnDE29Wh+B>Bk$R>v15B1&fd4)U+mblyCE-9sYB*Cdiu3t-D^K;41aXjk2dNs(Y)%;a z_~S=N(J%n9LsdbaBxW^RVGt!l|7pTv{L^u(%P>g0EhiDuB$#RB@6O3Ge}ABz`7)^^?1nI6cT(?ak=DhF>|r$y zv|?SKqi{j+C`}x92oAFyByp6?ahAD8KygXXvR-ztYo==q4)gtc^w1gQsuL-jX;~$! z1N98^-WeWyFFpDDuO=Hl;mBU-O2M@qzP!+p}cQ+$h{Dk2ZXflY+<;Ar@&16<=o`1Il7=h1GJSMrbYsnfxD5E!2>#wR{2)+rsxgasZ3s=)wmC`dJ-SN z88!wJF!iQ*);9rkc~iWK`^$j>xrzoD^QeWC_i1TAF9A-TzeI>m&hHDxgX=-u-@UD|CfxM=QHQm zSN#|G|2klU(f4;(=e+2@&42z;|HP{>5{LMw{Khxu|Md?0VjaxK4`}$mMC6}e{L89& zd)7>_+ExGmX1+Z=XE_`B_8aVkvx#pT{8#;ka?zJW`RE_s4`UAF=X-EWf_C`7^Q9fHfKqR8`^YK;b=s|Tyvlysw zE@YOd{@ymKdMe`+pJU~I7IpoRA6>DK8`F_3zs|YUVMuFKTDr?EJX1u7p%jwdWZn ze8r^bE$S@mE2gSnpx47!eA2TpnQS_^ILHK^<39P-=<DB zys1dlwEY&o(j0JT$K#p$;RCLmL&dj&uW6eoreW0N%CVQaOjf;gCcV|2ccnwVp4oi< zA3tn)_;u8kF8M}N5K>|1MO(=Qq!g@k^aZiA$gn0&ydhfwD65-gTVADQqX!%_X8px!Np7Pv57u8~r;a01`Diom-Z&Jh zvnq|;JAoCnSi6PtLH^sDgLiZ{N!d@q^k7C6DYES(QKb73U60Y(n&#RJwkhEX%8GHn({Zw{7q&?a&%OSU6(V`V< zMgoc-YNo$8EZL!j#I9SiY-h@3>&2NR5$B*UbZbdT9Jqq1+>v5oQgwAZu`oe9w!?U? zFy4w_gWgvcMB^CoIG=4R5Sx8$ST;=fAe12?!d>=d6;Sncd%^cz{ihxW_+zGWLu6mvwlfKhs}2OUlyZ&!DdN-=<`;!ZeviimiR*@qUm2Z$4=K z5^|64$?c;qvNm^!oG+QCeyt+Ks!^u*<(?C?yxz1XMi=sg^jQO;G9D0iLcbv_^p8g{ zROV;o0~alGvA)`Xat=duUJm}8{Jk|gaIH=l3jABy-+m=w;mVBW-mhbp?nva2M5tlu z&Q!OaIF9`q`;07hr_mi#oSqU5lSL{p;;0f=V)U#U7=wqCl-+{bAd9PQ`{!gCkvVkj z6x zrsPT53<93b9w$?M5^7E&LlDK1Omy`QH|};?HXH0zHc>e{v(d64c4o8c3!J?eIE=JB zAEq1&XKZfH)INubkqME$J!LnFuF0Yiv!%f|W>H4`5>^d=SEf+k(n@cEK1)v6Y4K5e zTvd{YVdN({RgJfD!YNrfu`Z2EzhWzI+mS*B30YzULY3iwt9C|)!FM&V?=BG};mpdu zSyG0o)-BzEd@G1&*rFQVy5lp?K4IK|OO(Gy7x#SYlngmp7UNwD5x-9xbC$~r14Tz! z*txx+^56lu4_W2|TA@SA%P#2|TYI;v)HUkCM}O9JagcTmMS1ZS^f5IicP;JD2;NTk zg7j%_q-0s+R1Mc#9C-nvX3-YBYFe&IgFsLt$O+!8*e_^FdS*5d>zcTG@d~u_&4w=N z3xe}U%d4AP+L*@ z_YV);IxaerICA*cw%D=-UU$AaGrOnE7jkOFPjua>A?6){hLpGc;5Kw(J|8Rl_JQGW zcu#v}Hh;koO+P*$s9IJoYZ0FA9;At9HyhS^IdolfXVI>dA%2{$g(RkMSq$2T8sg#* zm7<~l&_XuGYU693%GPpieT*n!cJEM_iRJq&yiIBBX4Xh5Vnx9hErShdvzr;KRCE@m zTW1kxQtoZ4FFM}|jc>CDa7MR=cYbQqu@X;~K)9HjA4|>AT+fRAew|=VaPsvT&`eun zO|NNO-!9+GPtH=K?+mwvj;~hRT6p`8#hO7qMT!2J-Ri>5F_|e0OOZ9co2ttcwb3prb=k(< zHP_xE~Qk;O)Zxe&gVrujfQ%}C3TG8j&YDj{OEGm6QXV~*m(z@Xf-C?8y1sT^oYLfAzY$`=i<5<6b9cIa z6yF@|=*q$-?c7O=4B+l66_XT^X)fC5*c8judfH1M1*|r49{!N(Z7)YKuAHD5(Lq+@ zi~Vkz?WochM$c+|sg>!#p0$?V+sCr2vV|sAVh)N2A*6XaJ#S$AI5g$#1Rp+i_M&?h z2N?4`QhCK8wIO<~rL@t3$vs1OaRGO%q~u^S9ix%D3m06$@*aAgtQ~sTp=+vV1_ygT zA0OkNp3ci>B?D{vvW$#aTi?(fg(jaUoo~rqKImqt@_{d8i%|&`t6UIu5 z3NcaR3|+H1nf9}ukzEr1fM12C#a+?K{DX<1-cR?wZd%iYE7zdy{VdGOi@YzuLyImJ z8O?&F-_X@3hZ^tq(<(eHRp{ZAG07kSLs;&GmRI&6_GWnb&JjpS(`P}vHeRQOO#rFd zD|0vP_oAZjB3Hw`rGQqza{;V|5+_z(Q~ND|P!0=-mC87bX(&}oAL@o7a7U*+s@+!6 z_GYt&zc(?Bzk7)0?;dewO$(B4F-3th52_Nfa>4{^E~{>yP^>d$x9=RZD_XiU+bWvb zeF7lbA>h&dfHWWZnKGI0kp8kG0|!c|vYmGyv0-p%WQM3CS-c5(3CaVwt{{q)!1G{f z=bf{Rz@gVK*u!O^0nFsmo3)hJ0o(-%eqv(AtuCC-!W>UGzHf8)*Gvm_6UC36%vP=& z)-YEm;@V!i$E1e1Ye|uusg52&O$a}{(+PG@8?)q)9V_9H^_TJhVyL6Gx5nDqem!*( z{67Y5{Izip{^r-fUT_Vcn1!fyQPeecJ*hY1CB&HrZ#*e5(z^K8J-xv|h$Re_Wh(ys9m&{4ne2(Mg*&vR{nbkr}@b{XhA9f7wwpHyl#XR^{d6N{o1cM#1 z&Nu&e;q&XmR`w$<64jo$8`vcb8y)K@Tr+3h-+cVNbC>x(zQN-gjCIEKO2FYc(E(B6 z?s#ARF{dAY#8;TOc<1tazVp^R)&+WR?e`1rZd}kg5E%L0%%aGVMF*mmJ0#0_3G>_5 zK2bSu<>wzfRlV@sqm?Tn=WKXv!NW_lnchz|zC5+!YyVZ>&0l%9W^#3$U*wg5r#|Tp z_#kQ`;I*S`KJxqSfWKepD{KFXyYHCI&wO-i-NHu){QO^%EeZ+;=o~Fu5k&+*SdS4ztxRhr<Fz@;_Jcd7#H}v{H>R!D?1}KW@KZv7$%eG>W zlFf#ND=@*z9xYz|1?tb1?ez9D*TCID9btWhuPXEfQHX8=A)!PX0@pe=XB1DOL~N%= z_q*KN#uAHfpBSIlec9`t(lT<^nP&-WdaA$mzNT!nd;~ev4+f=Wq9#JEZ( z`5_CEk+M!Em<){zSE@l3viw4=_g7P^-hhZ@^a6&jIKjouc|qB6q~zSd0k6HHwZH_z zUq?wq-H6Sw)&70x5okvx%dJ04Ni?OdX4?sfT04tw3-8a@He=v5gTl6q?%vQlW%-xYsOQFa3GQnxRPYdo}c7)YVd$-7o_f9x_FQA1aK}f#URl9~s^0ozq z{{mR62^h&V>{X$^^fo)M^H7~>Jt)RbG3joNVf9n^bLGL=BROfUJmvGZW-!PaWQb#* zrJIV<@(NWUXX~5e&$)KUQ>Q(V5jQ>7W;9JylUxm<);x#Pp_q~ zhy9$=@(}t9{xbDUJ^%FiEGHPz-ZfV-57dK>h`$F>lB?W#G*g8GtZJEnUe-@H9vM=7 zrL5P;P$k7$1yjo=1qY$1?U!#&Sg1sBEn7)Z4Q(YWlc;1XDfAc|g8=t}HbLOMEyu=7 zf7JLO10^TXi1`}rt@JUeYpx~kj(6u8FkPR^K(GSTUPBtyD|Q_>WhmsP^OcGfxyI>mBjr>SYt zN_B~k-OOJV#{$G{g@Z8=d_j#7itP6(Z26%u38uB28;m*Nh)-+$r1DtOiGdNc&p;m? zBe~*`r8#-&(MT@!*eU5FaQ(^GhSFqn^+B|6uTJY)WcJY&Y5>)Ze)w z_8%P&lcRj+CpQ#o?36N_2KP$WMa3r}?W&P~>=39F-Mj0ILH9_mMKJDX@znG7N9B2- z8g>bHzSSO3X=(euCsPq_cK^oAVmG{nF=jH$>2|fTdfvvdKA68gaIzdlZRx>cDso0D;okdjLFFTi=k_h|km9xl)HECE!;n`2$m6FCpmK=pd zyBURrCOjHsW||01_6+M3ph41`nZIM!s2dni+<$PhgSfkf-?uxhW6vZ>M5zV}ooC=Q zyI`hk==M#Ub*&D8xm**|mIiS4lD#b>IDM|ayu!f?V=F#Or}^AX~V%@+Q5Z=S17yX(lL9$KS24*B6>FH6B{Hk$X>n z*=ks_&z->3IAT`50Z;4YBS@IFku~Mg<6ExjU`@;C6nW9wJ#O#S#}H_KPXqHII-3m( zSfL3ZHy%o zaml(LG#EW#d08cTI~V$gbXNQw+xi~+oQje^JBy6A7WB>1EiGqzWsuDF7i+uOL`W`_ zq@d0``I~G1E{0+jV&rrJ+2tNNEf-Y%(e2R;3S$PZXD+u1*5jTwHMLZo&P@{i$nE1j z85UnzPKUFC-pm?1f&#V*mQ_GX7cr7iMV+b#VU_JJ9n??+TR^u<&nrjtY}BIF@t6!~ zdesq@bkP+1$FT}^U;#+9%AT?E3yeSy6pd98n{@jeO(URCbDPd(%P>tRKqo(}#_RtvFJK?pizvtGR5N z7`y5s7DQ^s9w&U&MRBzR9%JB+ia~0CvbO@cw#3sWNyw_wARX; z6vL69;&lo`b%@499>^-eW|)_4%(Wpz??OdfcXGEeo>TUQb<#LAF@t1hJf$t5q9BYd zOy}wV$;_Cjg07;7n`F>52E0La&D}5}pwxmucO$K8w$qyaH1FLI_u>`C|H_Rkk0QnZ z_^D);c!m|ouuW%5Amt+&L4|PrK;l4E?>3F(-pXfdM+z-X8CQ_0)>!hdeXBh4G8^fcI={EVjgA7?}xC4z@p9(IJDPbJ{j=2`v(G zUGE@WtqO%qBCo2Iw9}Wm)YSH9t(<-(w!=d=uv$D0|s4U7y5zBherWyIIXagz`(f^k+3PGx?M-wI^ zV=g4|_u6w3nHqb4W6{t^DlK#1Iy9S$kE++3UORD9FC~SN)&!|BL_bw*Pi( z&%+Y=Gk)?{|CidkAp7#a-P(`M^L=vToCS~Otyzy|Czdq))!fH?miVrL;B)EU=7a?J zZk)4qNx-@ZNx+l72RF?3Jtzt|;9HX5^FrjDd0MxB`3Jtsy#Ae$zQG>fbU6H8aR&Ub z0Oj9r`ybT=2+ur@eQiTv?n6{XA+~qx#@FWAU4GK00CDW%vki_#2LfM}#w`EMOkl*3 zB_HNV7VhM1npwh~TGjdVy?IZGu73aI7b~x=PY;b=##6uec;t)z^LG5|>gE}LzvpUR zo(wq`9(px2DE#?d8`tlA-Dj0(+wk)P4Xo2IVRvTF_?EasVVS-$x`0pfPx^0vKQL%& z^MQ}!7p>^=TXbz?NyJA#EsHQN>#k&3NZ)N=okVHdv45}UN|uGsuuW4v^CDv~ON8Xu zd`0oW+-O#3AohuLRJK0k^^Aze{OUfrD?jWf)g6O@2qwrYF(bX+t`bjgd}`+%lB^c! zy=V=U{XY1+_wN57dg}_QTu;g!ee`4TI`gv8S9@P^ujo+hz+x(Yv#)q?eQntMlW z|FUPLo*Mce@s{?m^8+6zG3w5-aMjomPUYAWl^(PG^* zU&!_p-ETgFRw!ugY?~RTERFQ>WOgXGtke7|yKX3#QQo6_Jb4WF9{sEFv&O|5rcUg< z_j9elO#AfWx2O+VS$F;06O^*icxV8PiOO7rOISEJsM<1=ji4<_UnsjzOJ@A8Hd56s zq=NW=V?S)9Bw?Y%qD43eTO6uMJF;}A{~G*_Duy53$dB)F zRGoJAwZ>;K)5Y6jr}>eS{^{DyM(Odkm2%_4gg>wTH;HUr_N|^aUFKKbdKXI-op1 zOnV6#$A)6!P!k}TGDX9k#8O; zUS~GwY2xtwre*>%n6m$evo{ZD>df2z6$03b`b_IGwr-;+F0IT=TOC0~tZ0iBIw(*@ z$TPLnDk3u~q{xzvvS=&XDgj#)G8F=5Z)Ebn#4 z&htLM_s`$_(W#bFOis?Zzu)V+K9`R|OC|xL+ScNcb)#rzz0z@R?vK>k;7pp89j{aT z>ycomj#50Pwm_AY9MB4rw4>wKcVNMtl&oK2%E%2L7(zah$D3YcsvGKdG#+cyeKhDB zkwA^U+f)?PP>Pw!lmC|~C+04}PzC=Z-1$J-Z_$;Nv@hCfh^J)1y#X1$>G`W70||N` z9oM@ZR*QLNMnYZ!TczE?U>HBShV0BY(330f>ZOs)15Bgl`rhjg) z0knpZ)HUY{A{On8OQmE0?sIL^bQu;Y86>vp(Y=kv`zMi4;KD4r9@4Qhy*c+RDu%e8 zj2tvdjUI?T4tnIwlo%O^2k>{PAp05-;TrDZFFiX+Ro<6*f z-EiL8PbZ})N%oME$?KT%n9{~}hvHzG$9FB$U^SkI!>A`8sgnt+c2$nKn~rpB@1I!8 zE`foGO@h9qJJ?FUz z=k)edG9|+gW|yjZ#}%)Py{i-MrH>B#?tx9ImJys_6e6E1DA!(WDGaN`8odWrjk9|j zKJe(-%h|A$j@lYO&=8Mqn+)Z3T*)DrV4aSiD%1AojP!N?P;uprxl=h&x;vuC-?%cZ zMYBzw1puTGpbsovMXWQnqfUc%yOg>XWBKQgi=>bKwff&Hlt?LJY2B~AlfOH{v`HVk z;rh-={mL;$9!o$*!4@@#M;|S*6|xdLMFO!5gc~fg69Lws%Pcb8(QLBqN5(V0;VQwo z!#^of3tnwSVVkRuNMMSW4h3XcZ8^lBPYzsI)C5^C+j~>;zP3bPa>H4wEb-W#30tI< z+Iae?V8K8)Gy;q6)*u&56d2tZS(kBdI@?9dYVYX&9zBhtYZi^;R;@!fP~`4wT|I4m22=^iv)OM zBwlfd8)o=%YcQEt(}Dz-oX!FKU6xEL4WGha?PYjJ&`FptJKNQke+clQ5SZzD0 zKmk-k-EiKRq*JIedjrqeJfG*0nG=)sZ{ur%xe{W@Z8#T2{LL0yfj?b)IaQHx$r0l= z-t5!SlHP%4=LmMqo%F3iwN#REzd1*WPk@$zvqvano@U}wVF{e^#17rO2$s^Pwn>)l$0zcXjr zsjpQF*Rjt%@G(rEZx|-=Fny2K+hv=rII7T8qu7Ax;klA zIjN$Cq8B3?)B_NSVtl@O=o^RI9F1naxS8%D05zPI{DYke}|k zz#UNK+iJ3ad7(cTsMcJ2hyFy4Zem=B{YXnj5l1*#(ZP*^DVJP^W~yvIPY`C>(i@mz zb2XfoSoa*sY$_xsdyYEqRE!uixea$;x&D$P!JVVOCuQ)nrQtI&Gg@u@jVq-AWGRo6VM39_C8B+3>K%ugC( zuDBAFCz14uo38&z@E`?t8k|uSo~cNE&6%9wOgH4@`HME^^_eW-F%H+|`gbSN0`vOR z>tQ3mYw(WW^2LOkl5tc8PbCcI3|AExxj7dqnkg9yjl($#nyKLF-eK2oqsxz$zM7(B zQmi2;gmhkf&om_t z2GoxPs)y-v#AHG}&%Rv$n7il??SLCq6inQ?-FdTx5#jD^&l%DVv6C17&$4vGTcVS_ z#k1nN1v^!VMYDTNGKh#0{BMR9j2R!ye|J|9M#@aquNvZ{_tO7jfi2@Td*T^y@8@Uy z=BafvpY~hv3}(I=L9^De*7~hzA7h2F_JsTSZIt+2S_wbrQorv39{tMa()x&h&sjVN z#8DsL`kDn>Lk|5sH+vCNx#VEYu4h)ySd~!a3V50I>}&4d#IgeRI~E*0#9HjPUd)Or zbFTS|-iI^9;=lLH-24|`j9@+Zn2d)r8&{nE0Mgf(msi8BwR61NS$72fZ`PMh&wbP2 z|L+q2t@UM7^9!T>L)m01EWY}~s*jgko1g2tY@eSSv37xE<5$;S)4$pJns?lHFJ9ho zK==9qQ}l3LXM2o}wKO88Gfp?i+S3u+iP2t#-@2H?JJ1OxmulC?bCxKK2aJR-5|iSG zKgnmTZ66#8%t+g2*6flLkDYl%`-(R&GG~`tGF4&OwV}l1y0mP)A=en?wNDp#@8y_X z4(qMjJ=13cTV&7iU!|nKJ0VQf zn@78PK8or8_w_eu#SO>v0_W3?#hKUKPdS%u0YpW1^jp{SRlb+{bT{E3G`XKIn!C8q z`Bc%|NI-tpz2>0OGI7U_z?$DB^ z(n5#Jy@^pM3*FP1ahDz3_@?h@+WP@`s7F>$h_+G^Gt=G1l<89`@A^J{=n;lhyQ%NP zw2T(XTPyRoRR8crhE6`IzaP`D-*Xo#Xa%kN2leK7@jwjlmzBPI)SBF)$A3uz2UYj^ zKmxCH$oC??r^xqv8}oS;f}}*5q9hsF^S5js-tT_ur2FZ#g?m$UijeFz7u@w8Izqw? zkCtX5@`eG)c~X42+hKx%X8-~JIFHSZ!-$neyTz3E&0e{|tyurCRjPgK6jf>Zl<{iS zNt^m5e|(F-<6)rqPn1501OoQ!o{D*_f88PzBnfE6i%H9GmQn-kjn8nV1*6I11MBVB zt_O*(m8iVUvZ4)-D#`~m6~C|2-AoCske9G7ZV#=z(eHXX(&vT=j3or^Cb4SBVN+#D z-(qQm=3D?04ENyetvODp#^8b5Jmy{nI5J`$CM{F$sz{{uYDOo26@R!8f2yep%Nq@D z5d3)6!mV*y9c%b3x5G;?KTFcqQgP6*9GxmBsIsAtY)z#~IrR%kFt>I%BNJ)a#!$LS zK+95t=rVO-j!N%)5&o`LMr1VVzFY5{v!oxmFEYpA%(MMh2sSJ~gYfZU_KPOiZOd!ofjqbKKi+fP1z%%^*LjN`Sv5P5X6^ecuokCPRA228xG+Zs{4DJzR)(Y<#^g0N>vxAYPK30w9hDa?@Qlxz*z{gdN2QBb(JYb-mgAb3?6tcIg8#3JWhJBB69Gsk>k|@z5@v_f(9|SSjWBF%2%yPLg|2K%t1OGI?6AAP{T9-|$Rqgx zj@rB~x+1FA!cS6h_rlwYb^GSN591C}QuNuBG2|m>f*HTLtplirrJeOxpz$wHEm)_P zHTT*wwa1C8xtss@Wb1-0fX<2l4em{BJU`vB=3D~ciM27W+*1?oc8b|cnVMCDaBwVe z4^#M-+u4%Kh^0`{R#l-xDyhEn!j-(=aPGL$Kk}W`*Rq~lQ5m5?8xfPyaAnlt9!yXD z;{8`rsVxT!{DmX#j-;y1!s&6rqW!|^0$WYr^_^D?t5h0F9+%R4t)67D1oCzLnx=s< z01AZM{dIp!r-Sg5FR_#+Y9GuKTWGnQq*z8B&pw#<#IiukyHSKB?b0{z#{)u{K;M>b zGoAEo1E30mPnAa8Vv&XRI8{?_+$ak64A6hnzj~eJYFp74=>tV0sv0>Ze{h2;vyA9& z#o98e3M@1Ryfo+owV~rZ1u23sL2KU45$3a!WZb!9`kOz7ZFqx)ZqbB>;(0UwwJD9R z!clJs9j9=^A><@VaYh1SJyV{UK(uQ@R={T}{tYkdw6XCn(KBj*wXG)U*Gujkq!U3p zm!MdU&_;F2J}hM|m1UYuyf;iWF0K^g7`KE{@XXY6J)MtQEWvOOm^JrZQ42oIb|JW1 z?*J9%Kh#|-mJPSDwWP0UY!s(ei^wbC{}z3zjwThlO!M8B>fZA(e5mqR-u$gK?Ckv> zCA}dpO7+U!sV@2!c5Befb59xLjkgC5WoZ$ImkXndybPR7eS>Iw8r++Vf`>JbDQ@zd z{~fyWFiI57Z~Z7LRGBHo!6K&Z0(& zb92SZZzlA8!of{cz9E%&1lq4Kxm#y0W|ogN-5Hy71l(@=O?t+FBM?JbYV(zh>^V!| z#P$F`Ni=c!L9O6CH!(2FhQOZL_)49c(9}rEf%tL-J9F@Y)<4;`#@WdcpA#Oav+>tThfRDs-YktsWqSg=gmr{Dd)sz8aCPab(Rf49bkO=o*XAxk7f zwMHM@%)|L}Qi@$B@_IJ5Su|v80-i?xZ`(Z64`NZ6eh^vLEDR;?(&+>YkAxD8A6$yN zlOeq{>`?eI6Hd(2n#S{bPY_iYmMS>GbtPNzYFdhpXrhdEgDqXSGXt$oP6fJb8GabZ zzQ7sRsuB;wOrzdlqU-{d@#p#>ZZK<>ts^VGP*E~!67G~#m43y;om3i=&MdR*8ZS)K z^9Qn<0IUuaWTrAnqIoM#f_ z<7%t=WT*!VN>Ej|n(XD1f>AGj+sa$;YrFxH}13|`)n$!#nzQ78XAn`kgXix$Usa(#eU_+>fR@!BE4Gi|d;-aQ1 z?!LtMW~b!7^h%~LRTRG)R~nXJ zqWxrnR&+!VRdPd%MuE|Erb4L)SUvBXHWAu{La?CHls+pYl<4-%>Vg5TTNwFBm9HJb zIyh>&;18N1r{E`$?0*W~06Dw)yn9qu{f3IJP8;3EEKOpnd+Tq5>W0ONskt&)OBO5m zMhCG-bw7Dz0}2h2+<$hZz{!an&F9C6n_vo=A#@BnrvE2WI0@O2;7n_X(6||YQ~Uo4 zjnOk^`T87U&G%h*)q9P17;Anc>$yswSBiZX&0O)te?&&FJrEhK_}A$iSaKJoLr;a*;-7tdrlMy>`su5F_Wj+wncSy&J?r^i;aAZvN2 z_b1DQKO9M0!k<`kO!-c5dGc?4e2hyv(_Vj5{8x6l_ut;08&$skxf6?v&%A#sH-K|3 ztw7FNnigJkW(zAUN1h&@wk6H?qCATo&D~!!Z*k&*er3wS==oc%^6a+>TRX~f;*UWW zuEhjonI^pFufyZbQa1WBQ!>7N7r0u%UJG8xzG7#Go3p7(EI~_WE(`)~#Oi!;r)fXq zBWzLpEAZz<*B_3Y@~6IBJz&Yyb#A}Ly+wd8skc1$C8avj zRoIe#X4%b(zH1g_3{kDpm76)ahPru8r@+r^%1f-yKbEfhX~^f|WI_3(f-lyA=PSjI zszYBh>RufKOaS4pHD+scRa?@qO1{x=KLuLKF1sS<_=s!fM>oEE!)t0ffl&>DaCO|2 zU+?)0GgVJ$i_CgQH6_Lnk+Y>mwkg1Lc`v2xW3?wQM{=X*Ep`H; z-A5_MuvL+4>!_DE?%xmSPKsVDB~tGV`c^D{X>Rzt{mgC$8@ru#F(i zn$Hg#!E<56nj#;}IQRadpf_wraNJ({XVPKWfAghMO4fMuFE=R3(Nz9^0qrLWK})u= z*y1wtj~aU6`Gm@-j~G1ZT=q?O`BSwRKX`Q~QiJ%xcEz(9-N-+KL9JL~Bj!-Qi>Zw0 zwsK=TDNE+cSK(e|PFu9any6S69A7jHgU(QDa=}V-^*X%!GMp`IMmIN}v(&$4AxCph zD5U8;{}S#zWPlvflZo=1LrF$)Wshzn0+Tg&sHP*=cQROnXXtKCnfOVEoaD4KqTkeW zuw$>7Hmq#bl+O|{jBM{g$V6}GZspK7PllF;!VZskO5DMo>o}vsO`I#1nd&lRU*ZO2 z8YYpDj^A5Lomzxc4ATwg2603;S?G#GyB)nIs0m){*X?8(v)1&l5j z2X7Ov8t2p80U7d#Mq#k05Kb!Ek2QWN^|^8066j$W&V^e-he978Ny&dFwCslm%acu2 z`yzRPAB|?lnZ2h%`^hUAHOrM8!aD+X#B_2fxZpJI-Po{Q&; zPF6BbRgGtmVXn+XG;eK6FbPvRwMXKxX*QG7PLB4-;Mk>)*_BTyB|w=TLD@?mKKV7Z zn&$Hd;D2)Jq$K*F;JS1FHZe&TXF5CFIEtJ_ElNj8)w|AgTH+-BB!}ZCeQ%Dg=UBJ? zub#z*PS*7)RYB+P3QZu3?X8Wusr1PKbKdOY`m_?dbce}R8XSUh%^TD$B6AaT{2ZAH z)+Wq3E3xrH58IGQEozGKFxkL=OkACnrp(v{(N+t`Qo8YTJW#t_e(}7+5MFbnehk^TH@v% zjENm#{Xzdaw|TO;Epl+tg;cnMJM}Av5BGgyLX>)Ve8f~N2;UjDt}t;QTBa4S=^!%r$$5n4f09Nw~*we_rOeHYo zPUsP}mcka1eucJ;`g(??g*Fp>*l3dtF!Zt2;R{J)rKos2tx z7_#LweA&%~Y7<>?3Z~({(j8sK=HfvPRnd>c6Xx0ON}`_d!%R{XaGHgQ@k*4X_UbCg z{0$g1JlN-8W>>aPg}W6Vt7|wo(C9HD1y8o}o2E()zs69NMet+poN-3v`Te|8C$&7E z(V*m3WlqHpqApQyZ7&kMCPr~wK#6&ZHb9lQIJ&NmU1eN339wQ}MNi?`AXrc*gT zCZne{aIbe2;amT@E!g@OpvVA`Aw<|>XMasF#2EJ1i&tP8RBFh>XEp=sv4JbI(3 zgM?+_#)d09D~DX=Yq*j`BG|_=(%>{W$&C$ZiUXJI)?WO^$uzxPtGect8al`_PC6i` zDzlHGzkxvtB$ckPOxg9U!8ld-Wa2aLDOi-2hCJwG4x8h^-oY(8n5+qj%Q>0%wldT>1>&xH4R`c7KKQoo+*8`9 z0u$lQNGGCZr+Ih;=@zb>QSTbG7(R36Bx~uZX zI57c3$feUqmiIgMe z%??K793xkGgtcaMmIRAo;B}|*U^iK+u0iqTe5yPFsthQtqHx&R$&j0xcgnpn4b_L3 zjYvNwyR%iU<8Mngbvg#70gl5!t4*~TBTIVXV(jFk4L^Hrh?|xf6n&SK@WQn7`fqY3 zfu8GTR0EqE&Otb2OBnUFjkxpnqx9OEX)VX{GF023=Zb@@@Lp9ka*5-fcwDV}Qqhzq zsct@RBpmB%Y^EwBy(EbqKw8teNCT+4E{c%K9lgRw-`l3f@@5^6F<#$MCkW_5g0)}3pr%Z0sc>#HYQA3wd z15pUq(WLlgK;s0e05R-F?7fCxOyO~&T)>#e9?{_Gwgj5EFwi9T2NjQbr4_S+Th=eNPH3rp+QEq)hg47K}u`SJej>$6$nb3NLBrL$&1 z=ub2K|16rFFn6uxy`LBEbPi7qx`JMF>KG*3L07bHI$G{5DJoxq% z$yy)VTE~KHt52oaccOA?$#c=Ho9rq7(8z@a&Y^{RtN+%ec9c|P_Z(QgbQJ{3o3O23 zUm^@RHF{=2L5$a5_rlBTebp=fChWOBMVGD>Eeg2mb!F&SA&8-(LSf!tt*l+imxZ`} ztxuWbWL;f4)~(_zkWQpzj&6jca)JOppMSgG{vDSowbbj z#``UbK>Lq>d(_CHDP`+dTfXu-O@~)d{w_{$7uiF96h4Db!mSllV>R|-1)$FSFJ4h#N{x#??rX4h|sUuS3<>emYif?*@X7& zlmZ1v$TbOWG%xVtZZdm*{g$`TjNPNNljK1f)_{Xv;_`jQm%a2<^uMJ^zw-QR3u1hUaP5aM347|_C zqy2)anWu){(D|li=s8=NSZk)?wV~`5+13C?yYQdiqvNico|bMkM%ZpJx2|SKH2h@V z1~#8{;a=ZeshWay%;W-uDBBwHEB&sUC}p%{&gyPF!trOx9?4ZM*je);Qy~Z38HiH; zf~`Z7`i28T3qq60UK)nd*jMiM7Nbk`Kcy52OoceR`Xrz|)7Jfw#XJEl8Paayjy7qb z`y}>%*_xYoUg0!KQi~eo-3?B|^DWZnTc!Qnt444*U4f;CCmGQhZD~@8bc~jiFXx}o z8)3&$SuVA*@?X(9@;AT3r<6f4{*ibjtPhe$sN|fIaoI_fv~!X(<*T*i{a|EbnC+A0 zCrhwXO;x@~Alhcd>a?K+Ta%+GiDzRC|A?{rIL^q~X4wn1F?;M>rHl0-+yM`VY<_0&F%-oe?STweLGOmw&y4=G#GktpmnjXpeep4n{H zq+}KAMx*DfOrk}2`xfI*O`O^25+u%SIE`v2mLHrZtM|YRQ!OnvzH%2eeF7u|(}TM*+=zzm6>kUW!2oBiWi~EJS&1xp5VPB*v(06l?Vu+e75h325k(u#F| zsbk8rjA((dDfXPo)DhH3*6M%=N{c1D^RWkBSX?Io@_(1uQTSM0Z(S+tYbf+fTz+-gK7h{4f&5ckS^oX#llV9=OLHqkU`|osyyDnW?lyoMTZJ zWb+_uLcw5_NZHAcibQwsd85hUtM zR-UGY+(_70C0g*>5aX^_X0?iqj89FqPW;8gy0Z~DF4yq`+63h^XF3D}MGTphr3v6e zPxHeB+lQ(0tjv06S7ULoDZRk=MNH(xIA1OD(tw#9zbG`$Qa28ZihREyFOn0xCaZ&l z`91FnkHM{AtK16BIGQcf>7c;MPp8J2Wgr1Z~q0U3Bz8a@!x$`bZ2 zM}ln{77n#==h-JHp1f1ZCBPY5)XW#B$>%@|ol!$CF5zl*$Ft&fN=Io}cYO7Q{-6$H zdN==FJE$qrDg^sRas1|TT)b7H$tHdK8re~0>Fjj7AXwFgJ`*l^FP#m0?WGG<>gK|e zy@ZRZ(ftO&-NH&>HnwU@ju-|F0q1P-x&FfVJ!x88WtJ3?m-0jw%6!Ffc&to!H=m0_ zL-g3?;9i#oSQc=2%8PFiG8-G<6QND1+gC=2t5@5|gSR7}pQi zRY#X|VAbf<6hx;Bc30lUObDy(hF`BX=4MnXCCwzqR%{167HN=PB@fnc6H?SWE#sFf zQstZP0a%TjTEn?Yk0~AuPSFO^$YN|bKZVdCS4GR?B-jRbbkMC>QuZ8eekulwvi6L= zKM5pvnqlruc>+=rlBH>ym=iNT6$wvyf9d4owo!tx;V!FiHJg%C8vSE zwlM+oBG~~D1w7Q4lSxapf!xtdvIB_EJEdV4^k|_Xz(-)qo-Y5~{L+7+r;8;vmYRCESJmALTtVLHBNDQ#auxl~*(*Rk7B-a%P35P-c+I}>c zsq-hQ`m3C+I<7XW+xCkf4&xRv;7FPH1H`17fbf0cPPS*4ij8>u|Ljtm&-aK=z#oqe zOEG$K6JZoF{ErRQ{A<9mekp6_?};M#e*=!8I0tF}U)}L4bjL-k6~*4q`L3&Ct?`~6 z;JwRxzsPqN>p79{9@e^7SYckW|LBk8JT>s-0hG$V6Kgfo2^Yhk(jU=J(sb=R3H*0US@0uDJizxYK7{P@S8cw!rV zoBzZU8==3j)_Y=V*MnVS>lgRUC z*Vu>^Dtuzq&(`=}imh5sK0>NXg;*0<`40%TO_SmW<5gNCo7nSX&wQE&Naw9uiqem-b>7^f$X;tKSild1>H>1Jol;+9*R0{?DU zZ%VVgN`1L1XYLK8#V0TMKFW>v6m*7TQ zI9D9KX`{>r8zntsX5MORQGc;KzgWenR!7kzscW1F~A3 zs2rPGuq9`&b(Wo~fS2Amie7<0tcP+}OV0%4Vf&sO^M)5k>70ouhqZ!{9@ypR)#Pgj zuqraBncJ2aEU`3s_cdLzM19hSu{yzyE_V)p;l|~_xP8>B;D;~R6!`6zYW?r|Y%L7) z`c(dVX6ZOB7A?QlL;LZ9o~f}3Cff&eV(AI$rd?sJb;w;Pa{P*TTS6MfwLGtSExZZVsa`Jyr_k+IAqSZSk8US(D>?LfxJ##`sS14w z^_E8a66Iw>zO#RX78^7_ZXYjyI_@qbhC{1}*)cBrBXV(ps3qX_eSsg^LjTE}j@O?I zXQD)6(n5VCDP^i|$TuqIhffhJbl^E`*6+uh-5p>+xQ7-e8}_)Z^$q6}S98;zFeyW$ z#Cx!A2gObj?sj?59HUG{OozQAGw++b2YS7n{jKl)Oud_y$lq3fnwn9f`(o)N$nqn( zbB?rD$(TQ>gxge8**IyY8V*g5@YRP?Y1ze`O4JTF2e z8y<;?8YsXf)vH||yaX2001rT^`KDds!Ak)BJqajuX@RD9we=CSx_t886mV zkklbK0C$)QPx~S*izA6X5V%35tikS<^TbY^J2A8-s|#g{pztX(O%3NOPiDa2i6#T$ zCl1Z~T6Nh`gXj4vge|5+LR&w?lrYBMHYO0xR}t83DqU%wx~+S^y-!`R0S8O7eg(kd z{Axt)WnvOD!+kccOqpPUDle;MDC@odW z`Puz6u)NxIzT5tzYOjrJ{yCKQgRF?*qjAOdbs81V27TU;E52|x# zLp>}Tb_$|P<}7jDro?q*yg@sLYGG(iwO~g&iKck`uWK@hg_r#DMU2-+4-VlV#nlRT zltjbJY>Nf^QPX#TBcC`tg&qjR_oRtMKH2PppZ#7(SEQ?(zg?{q?D*TmhQf?T4;uxq z3Yk;cUApgSH;SEaS8Xztd={GqM(Tc{|5ioY$YSD9Ud`37u=eLI(T^WM7n=i^vXz=6M7E2Bl{j8Iep?+?r^C(~1{^K2lZSxC!1_QL0V{DPZ%pplapTt~ zArz!SqBQOScn5M*P5UKBoFUeDe+$eky*QgFGcg9)k{l*g{acfjpDAVTp|R&%zT6bR zEIaZATmTGF%*LS>&+80=|`jX39Oj^koYMFtrX2h7PkN+JOlIsKD6qMvsp0RmB+HsBM4Oev*&-(1Dn5Mu+% zLr0I-3U(eCLYtfoL@p7Ueny=TPEvR*iNxIepnbITtKQ2F>rv`__v7zF5s}_*p=m`# zw_v;7L~(N522SX-oK{p3UbU7gI{-%;2s&LZoI4;dymNh{8 zNoeK|j}SY-*nX{Jg?38U_hAfH7E>p5qD=S_x*BtX-Jrn+1ICsr+`8I1PX+PmB#=Uu zT;H@qiwt;F5qJzYhG@d5k`pfoRpRbXs8G_lm+zvNDK93F+u^zWV}mEmsz#BKpBSd% zRF9-Z;lGjFX#khReKw}ZCvAW(8F27t^unSNYWcwbEwsCgLvc%szx0ieZjnAVtVjY8 z<-m=e4=p1F;nBQ;+1yrIX3B)A(IN%O;26fKdTL4ZoF%=`izD%%t$e;o{jhqCWxr!ex${n%@9x^ust zKAhLdo(2vEm#d8fQLIl_$u=4JFAmmGvI!SmIh13`3wPY;`zJF0Rf4k|xLR%P!e&2wURGp21!#HJmqEx3E9NC`LR5)&#B4J3GMF0AMiFJXIN4LQus?bcWBs&Et{ zS;ad;xu@7izuL4MljPyyeY0x13TB@a?VBw*R_vDMd4f zrp9k4-=Hh|gKL>j@#uf0??>LXPD&OOTlGHS?53sjkB-*$e|yU6>Pu9r^Ol};qLo?O zRjQ68ZPCgN8>|(nr8})TxIiDNqC!Fhi|VN#H|uUqH(!bHMXCfRnDT3$CEe2W;dx6o zH(Yqq5)_sbGqwC+LgU53z>In_=PCoUOij5o@V28TwUq1(*bS~}V7u5PQdA3F@9?mj z>+x+LWNrdD=Mydb=a24@-aXye>kO+)-{T1SAKJS3?{@d5To2o9;lqP0D`}sfOU%8Z z8c368as+=^$JN~A6_jH~A|E&FR3$c@Y;17e5}QtSHZ6mef#JF&z9D}-85OZ&-Ahcz zs%r^S@YxL5tmQa&yE~AQKAUhdONH+q3CFRu7ywFij+pQPzH2qw*+e(avG(~0;nYX6 zz?h-)x!a1pF?O~cF6YGG8Sc@BMeZ8nDW5F;O2OpK*2W})0Ii|RJ38x=yP9}GHhA|* zVj2lx;t$H9WgaIJx@@J@Rh(eK^2a&ou#FQCX`Og$Y9RPemYxezC&IZ+_`BG{jw07* zvH+Z(80lqL@ISmBH3rUSF>rpfW9A>8_*Ypv9kIxfw}utvOT4d7 z&HQe~&yT$?koaZtS|8{7URLGz({m#vbAX9mFo>J4eSSg2`*e-}&SdL+ZXV`L{%b!x ze|5>HXO}WD^9w{T&a>#}ga*DD{YlG8?{8N(yji^T9}T@%so>V#0nA3-N;qE|2lZ7? zPq89YbOtv0a$O4RBD>*D(Yz(>j)K8Ci;rs>-ki52=j_PKe|mjkg^8YjjkoYM#i2%a zWk8<$kke1};w`D+<$KPGe5v&fFZQtWY22R?z9&eY?02r!^r2oXS>W>9?XIcuXok$K z3?~*zXUw9K-NQbY-3e)Q>wi-fXHb}Uytzdjc=78!k9xw2xO0;l#HRex@0U9vD0Pip#ZTm8kEMUO~PqNT_@II)IX(Rl6v*48Pq z4YgpIJZ?OPgAqy*rR$to)jfkc#-9Jp3-w=uUp(yb9QDoO6nXW=#nTsQ+V_F5<;%aU z=Ig&iful}Ri-`*beSY%cZSC(@^nDz>yhXO^nojgXJ41c>7Ny*(dnK*k5x6+=hP#e){TB{+p=`bxXkb4X1|i7nuI`i_ZHf z@Iy9QDOxV7E2W&7e06Oo4&wdQyX52Yb%Ls68U}!_9Mv(>vtG=|{>a?4Q?|awg|}ds z#ZfDhDnB%Dxs^;HFH$l=^)7WhA+Z?iP9V$#15LrIV=;$!8o=F~MxK;M>`17tjuz|f zQ{DdwvjtI~);2laPFh+;mE8rJd6KiN=Ht-(taZL~_fkF`wSAZC<)ml5!fpe~i5xjM zAR455r%karVt|%((xO%}el%R-VH%CV>v*GR*rQ@D3YBsYWg{qSrpyG}q*OiH(N01v zfb$8PFOK&lsdhVRv;;HcS=r(-FE!eyAsBaIEV67kdM~Q^zr~DB_S{2m(N~?6)FIgU zmNWThoR3NynrWS%P38AXaGY_UQ_=Uy#&}wqZv34~*YmL{BQLx%OQ+;1Hu5-BS*Pwp zTCs_q&ht(A^-Lcs+(Ia<`nii$mvXw)Q8vkZURic~Ohj>06aoy<8GQc8%$M|R}x9D3-)hVsQ>^Nz_gL&9lT`;M2T~~#K zF!tVQL6TBJB9`b0s|PGJrmx8t%sp9qdh@(#xrcI+MBeJm3Gc3^;6CIz5=l8~^Lg~c zIipGpFKG`2p6k^%ozu`1Iwf|w(adQ?IuKDSq6>i?;(}2r7HlBbaW}vb!|wEYTSI$d z|ESjhnd_1$X*XSIwN#y1luFCZ>H_stT~*%AxsSMRrqZCT%4gR*Q63eWA3l9{SSNSD zy^SaS1zT!qu;xxvqAf?aLrfH_$Bw7&G@YMx@ptqI5`+31FNxY0?gSwB=5TMGn7oFN zFUJYnpF>wbb(gC3_o9KasYeBz(`gijts~&2hV!bN-bgPPPaSU3JEnAJNC60P_bzM| zbLh{7j5uZlpp#E2-zk%hNwyh}o$E8)k{ZC!A-OxR6rsaoAsSmJJ zw{@#iSHsF_#C1qy7)m53+i@ITiPt!`zY5IlgE**2hzOmT_qTp)U{+?`32_`owBA_5 zefw=VUkN~S%2uGQa;N765gG_s#0-`W2dmyQ)9Q%hy+)m^R!&zY^p@(ibc@(-Sl;>Q z$L+GU=lzAHUkm%-H;w`F9R)FED5ICH-z(p95RL~keV9+Ey0{1lLxe7i5YS6hhe+MC zaEscw{9r0A6YllEL&|s_s1hzoJ1VoYQ=85UL-E`~To9ehGyhK3)ic)q1Ap=PX7J1v zcbXcmwg;9_@T!RudAk~s4wN9VZN#aaDmZu$TYH=1r~L_?rJqmR9yKQ>bkhn_^lj<; z2o^(e^j-NHbF==m8Cv;R-h`l`g2ylyf`}NsuOTnK(bA8bN08^(!<0o}q!0oCX45dw zaB5_fw1=#9vEblT;`+VKK^!_^t1QgZYnS7U@eU;86TLiB};qe;@GQ5 zR2I#zuRj5cF8zfIJAwm~MNKZ6o)s5U(N~-!73@5fuHO-iE*?)t^tR?bO_M#X-pq(% za+pu-&PVmpMA#xB$$2Y*^WfAGw**rbWQuLP90?K7EDUygc-e{2b`y|6Mk_~+kOXt)sLzeFp0Iir@pbCP&d%%c18XuZmj%Kib*{P&jlH5nY$<9CJoU#@fZH55wY z_rSSVZ}ug2Vp1u3fUIc?PRAU&gkqyiN-oNbgT}9A0_@JJ1AUyFfAkcrq(z-o97|Qz zu{g=?YW7)nQdgX;(iWASD0o*q`GNmsbT8y6!Ra9dcGF{ElIax4t*H|aVv{Lr6xYFU zD5or`p8fDV85tonoAbp7=I_)t8RCZ9AtjoN-*$W0*_6^8`^r5w zl7SXA+A^iEcy__-%^`$PIJSXTbGtKxpx$-3hk!S7aZ~uqQpa@_+KL}LwkW@T=3UZZ zahv)jd!VX-XKB;PhxqwRd+ldah1&^7+rk@&#?6y19@N%d4p5P+fZR%vFFsmyByK9k zf33E$Fs_C$id_)!;=m}*5rjsrK9>z}P+ARA{U+F3j#*dZvOTXoZrEctbr<+6_2G^V z^yCgl<3iwotRH8vv#0Y<327eBkWxHz)HTwoIH;?1seAvFDnPzgYC_rV(W(n7Z67VW z+V~8&o6nxoAMAKT+Dbie{gN9lPX_u3aH?|YSp6rHnewreWV*g2Hw6Jf%uD4;Qoz(b zVb7=qjfWhEG`jK*(itVEWHFwNtl&H|9Qp=XdBD*Dv#dQWwJ}e{HDukz{!WAjE~~-( zxJtd>Xz##&<%vtyHK9G-i1*&O24-luGkG4;>(Uf*cZ9xJGNJS@2=2DnBS2_bN@WVR^Hqe3CPI8=Qn{Uk1Mn6oRGx6^?{BNmKx#s_$)akd*@2~LMg6-pb zaRGGCssE8W=Pe02Ute)lFJAWrUZBsdCN0($;1JCVa z1)M_!K#3AG_xCej{9rKBYq-L)@R(#4`}=IEs9NnpNQ8yq=N1vjH&lNe1mz)!s!W%`IPpN2mts& zQKYo%!s!l&*>rhm!0y=9H^6q(%-Q)zrYfo2C)rf)pIOct6V2i4njf&jVBAWnm@D_) z1I;D1;apecyf}bWW3lC`ul)Fh%6ZCpOQsSUKOl9CDMh1#<%fre-yzD3f|8#q^^l|M zWkK;Mi&GIO#WvAQ*bhfJj0&Y-wK*i0S!f^f44r69%~T9tc4XJeEDh9Cw&cHjR}~iMG3m6(-!Ne;;FdoyR>gti{jnh_fNF! z0D~dL&|<6TEp%;TzUY^)IZ;%Rs;gL6qLV%8mYmE#W%Rj-r@1_OSFsrVVW!J39n3wQ zX&~V}*dahBaW+^EfKuRE82Q5c!{9@2LWMar#N&I*y_F|1mw*b3y|=Ww;65~@p-~&7 z)Ofm{uYUPqLwDtR=v+_@ANIG3f9CJJANZ~8Xiez}}6|JH;(^^}>f;$yl`U-(Tgmh{dDu}ddplpfN0NR4ImKduEQ6Yrv zR!LHpB-XMC&oUK~6fnt53sMLn6$oj_cJ3#3=Dg?3AN>r@eAFh-^1JWry1rL3R2DZM ztFXgr5mIppSKOM^^6v&Jo%)g{^i{lCI>3fUc{k(xV_<4IX+!JOXrR4_#{SC83E@(c zpL`Ao6LTx)?LKM5B5IH3sSIz}KqF2D{4fIfgYh$nF}dv`j&&MAjg15FViBIoZykT9 z6`B>G`Qb6~YH$rJSSwPRXZpTNoLyJo2JpFTU2(I#aSwslmlnn2d_EK^B$>+B8ZKRX zzprg18L}J{I2jdCt_2X-(pkl9*+|)3CQS$31gP-mAym*#52m`2w<6&awK-}&;Ag`y zO5jy&W&1F)9P+0f6-HwI6jlzf+Zark>W#V1cAhn;Y7jydfK@4~n%V3k_yxrBemfKw zi~APu&?Qw|H6?}~R}khH;>jFV40bYTD1jOP1F`zt=ahoFG!5Rru@)Eo;{?9ODTSy0 zabdM@OANpWM^Ii%4=ANY$hIDEAr7+U3`ZqkfjTOE>wV8JN$S#l#xW87KqdfUHLC^) zqoU@ym<6 z>mWv1K-m-mNDeOMS=GIJ5jR}tnMLu4Z*mg6){5|YeeQ706g}QJ5Hz2)ILZkAz+sxD z=Iv9M^bsxwtfazi)5Z0HiX%OPE*Y%vE?_cvn2d=*&e+fxe-cvM@WKoylpM6q{CE*R zw{ssDewMrPI_Rfei^2%nimBCgPOzLzCYs8yTIS)ff)a1hBvIaY?L_k7v>irocrF!M zCUc5~-V3>?^Wvyg1LY>{U5fMyPf1BrTNrR`DGr2tQVMQyB#WlHj|LqdRK#(qJy?LN z<8h$ji*xYz96p8Bmx!0rMdkAoROpK~Y|dnjY@JiG^;``m0BttW6vVKxb{^vgucm^s z@Fe9)p@le?1K`iwVEz>4CW20a*D{X)$4x1xxo%>?CR+_9)iTt@ z27|cxE6pmVM_ImaiUYyUjWnc|gYGuBVqvXAjV|cCh}2yxHMuyu5@r|t2ha_tA7?6B z$0J}IAjAknLlIE8W;x;x;;o|*&60ZXqDbWI3%CiSF}R4ej4Qx86$3j)$nZ54(O{aL zb(Tugu6Rlm%zrD5NZ^#>e6Z%{HK=g%?y4$6Qym`?oXPb1MmZTqPM7dm-z(QjgGv3m z^@sE1($Os*MIHkSRoE*1;_c{Bp{RN);p>jitpQhal;R>2E+W~n+QUSr5e!7Y;sy(+ zn3%oO**oEzH@XSTF%&QrVPXemuZL#t`(6r0pc251NqZqw1?OE$!WFwwpHv=f(L-UY z8u~ZlnZ7m#vw-bn?Y6a`J|_4~K_>lhIJ>v~eWjwzGX|@itB^j1wLreN9jdb;TaCWR zgjN-rO)HMga*@82!3^Eg^ud}rSoEur)KZZ?Q{kvPdwGhWKvsDvBr*b z`NYZsytd6|PE?$C+%63sDCpE!YVxG{{2$LkHsvsV$0f3I{Y5QQxYPO!|HTP$Nyu z!!8@pVo!RLsj~>vm6xF#ab`2FiSgK5vjjo zCj+39OeKMBzDSWTvrVvP#-NxPLqt7An4b#D^|F*0Pd%nkln>epaB+4~&9|OweFvl< zBPYXX1e@QNN2>3Wqb=9=$*?ay6FKpBz@gu?! zICs@%$4IhrWrIDE5;6}&teN3|WA?^98^Ly=AzbHT*j87c&_{zC*yx4kRMktWy1lzAv4w;tc&TeMG@YSNunir3F3_xe^mUqq|Q-5xymtfN1qgqj1Kfple7Id8xJmg*tc0>$tfFWS5E|`9SHo@&mMFgiX0C~q7VH8|0-Kvu_D9! z3`zJkWZvl70@WSAkkgN0qu6T+SpbE0Em!93wWpW=MB*1WIryd}FIuk!=r=zENwVix zcU=h~N#6eQhW{U2ygUB^@o+6L32qEvd7ZEPl&-elX|Ufmyc#fl$1Y-C)xQ?Jqk7S+ z3lB{s1dbkYJXy&L-eI~k+qU#*=w{B6M}=uCyDz~Yc<0A8m30uk%#Ct|&_jPyZUSF# z+;3a|MIHF%r2v?Tuu zBVEdX*g}%?52j%Ln{=Uc>2_hVYXO+;@=HOz0R{`?Ew?sNd&?vsLpF2Fl|zT`S`On2 zDjF91Dz8Prd98V%Onr8?&yM1fmI|jz%DQ)|((753Vr=>|BK*0#5w9FT z0{h5M^^xgIi>=$c_{(0pgETA&<1Dr5LeI{Wy_ln~lweTDP&X+qsk4IMD`|ZumfP$Sp1;9UCG+Je!r9n{9RN+*^{ce3)X7Ho|=Z_6S02D}6uILhE zDti%Ob}Ih091HXuf{3NP?~@&Ux%qO`-)Oj^8SWB&veq-UqicHy@(m*5t>hjb3d+=f z2Bc&`LPz_BR@xN7=Rw~a4cwc9exFfh00iu13TjR(T%^#v_hy%zF`=xBMt(G^dpwlK?z@RWTc8;UmaNGuy2WSPWx32Y^BKg?x&jqzhXv+w)~;XI^Cy08Ya2v(w*2F^D=!V= zE2!b@FOqK}bD?j6kRVU>^|OKF5&_5CVdXBK9KFP1#VcD?sY+EGLoN=>hs`C?LriD_ z@_mr~TWwRH0;fqBIV47JQGQ_7tvqgNJtc*X2vU*i1Jg8+TLuvzvcOK;JrC>PcbkCO zLSP|BA-O?_*D>Q!VU4G}^gewNxdyGck8;oSV-g1}Ub9Pcj^KAwFbU8d zD(boO6N6W(nX6st3sw`+L^$e~80Z^M!T^(tNPuHFt|l(_j(v~2dJ9F z#5r?nZ1L{wC|ENPnn6e9Vdh#Iki9NcYyZwBM{sP!K?8^-XM`|j!(s7>Al9x1`%f|~ zj-&-+o%C?i_w_$^mPUauu0#QYQK6MFSH_$(Dz!Ck9&kG^M)rWuVy61xMxbDpP&qrm zGv096HO%@4BMP#1yb!v2s(c>?g%jaYb&eA%0Qsk|e+;Vg#Nf4xq`=Rk#ODZ|otnkl zb&!mX)+|qf)sCfaF<8lnzZ+5qVrB8HS)Q*Itf!g4S^<$Gx-voo*%ZRf2)9_WqVxf$ zr;{I%C(1jiaTb7@s>q^%#{#-Q;f>A9XK?ng$Jx6d4ppwq0<$yZrs}7YXU1POjbq{^ ztBl;#{)tT^<28ytXanX%M{%OS^whM8-kBo*)?wCvLjbtx!MVdogxaY5ps2*_?G)l- z0!cLFBnwxhWP4x*4-%_GJS>|Wi(oG;sXqVrA>xEW}T^h1Ml%U0t?U zetll32Xwj?{pS`aC)7a_II0N!jDg+}Ke={150{&4sJI(UvK$)RO%~_@20W~-$k{GU zUU6JE0d{uGI^$*Kw_RjJYnx|OrzYWL50GejG2u9cgSi&EOC?1nZf)NfP>8^pbq3yh z6BbtUZ}7x`xt=swA(jDxA21ciY7mETd-jis&;oF8s1tL>M(Qy|4pJ8Q7_U22NX!iwQJIgw-n zR*bf0dzWeWo#L?-DFf^nFGpJrQOgp+j(+2ndZ8@VshV&hwaH3o5wn%gkAqjPsb+-! zF)dPEdgieJ|Akz=5H^8TJVrj;K}N?_%`>baNrr4!tngiBy0QEFpAjJ~1rc|$!rc0T znva1IAC)WIvq z@^#EhhHiD%M2Eu7r zun7(IcW4mD1b;ECB$RXmpWFxDfIRxcjcEp7S~{|O_MVKq(YmBdYGQ}oSLD-r6qgFE zc2vzvL+VQUhr5Q|V4!$hP_~cfA&%K@c4TKKSb8*f&WrM&i-#a5a8KJU&fXxwx23 z*o>jdSO&ck;1?9<3Ec$(f+*nv!ju8qFq11!mu-Z*?|2G*#c-6z{mV3`d_f7-sNI{a z!uTQ%ISxQC5}dv3G^3UL$lDKJ2aT2D!vqkI$kiG+yEjl4MwmGlHw!Vem54EtLgJy? z%4^=v#Y1hdFXHM5$qn@X3n2W1{{H~}5zsz^iR08z7jDzG<(Xmu2hHA9#3<`+mh0Mp z0&kxk0~0}y0s{4*9LJ>^9K-r5r;Y*59?|dw$sf5wx2k|&Btu(`ZW|MTCr*3T*wu#jW~Wz`w?5jszINlH{RE>+X~!X&-`VKo6fc zh2R2{N>bF*%n0cShg1Y!iu+i7vMp6s&OR+g#d+q^za~p2+44y?Ci1j&%lvXPKo6X( zM!%A7;*MkTR>&U0yWfGSh85)-<00PV!i7)ZA|O}L#fwDV6APg99$W;?s!%WjH*@ZEv2LQZf__8~gR#^$^bE_V z4tI@s(y&=|-#a`3^iVYd^<4-ND$+m1VN$fNcn~`Q{92k0tXEp8#LEmm_bc!Yg#Jk-Gutoq0A zb7#Ptpl3Sa((Rb@y0@QlJN);~|5wZm{NqXgg$I0I3S0+brr#TZyCBW!w<#z<>%Z%O z-%RE^gPZ@0C3KZw34u%qVa+dnUVCBA8fYyE z*cEU9YAW~o`F<9(VJhgAb3un@gQ^Y?+0FYuJPQ;G0;}!>2ArJ^UcMNFwB-wzZ9L|? zuyXNkQm@6o(X{v-3kYMj1Q0SuH{A&bl&r+Mz94Pd4?=5ei$60Tk{mt}SNM;0F7l6K z$KRpq_j{5P=IlRYI&~o&{OP3iyN!2zOqX3xrF)?X^T5g*(1dyLFA(YsT6^cWL!{oo zZG8XKgrJ56V7C3!x&y!dlKZ?2Gwxrn{?B|LC+P)m878ICkyP)`nSBhQ&cxX^Npmm& zWtJ2Z>Lv%&O~!K8+GUX?A@o?g>O=09?8BSB&2L`bdFIl|2gGdad!_5!N4md47uM3js&Q6E`Q@FpcQV-SdB5m*9e6|q-59h?|UBXT4Z&RN9r2O~_)L$x#dywPP!`K3e(XiJl zL0)L7=xTiF8y0eJ;In69^0#_tUf(n0*TyfT2l2)N(cnOJmn{M)t77#s^pVnkSNo+6 zWXVHQ;JenKtAk>foN1{Js89i2hj0>sU6I(c_kBuD;R=r2!Z z!!9Hdktwd!q4u(UeEfmzvt-#Qn(Oj+@zLbqVK!ZlAwS+Y+9 zr>yDF4Ds3vD&oMsO+7IPW_JOg6-yuk4)rV+=rUmB6pT@c=v`PjsD(}L89|&aQ_PND4i-F$ zv6tY`r4lPCey)5%W@S+4yvNy-L{+IH!E8TNhRc3M9k|2N1Q4H=HB0H(^^mGn_{#!`QAJed@11A z11U=IeJS}~D50}LceS`x0i$84Kn=nhCD zV^}Zdn;~-O1QSo^jOR=>tB0)`*R3Ws0ltN`;To2x?CC6f9F2chgec5qeBwdf!LxwI z!T=K=02%oUmYt?ee<)qVH@1}>6P&f=))%eznor5k&1* zd2m`>mEBW9G*G}YmE_>;e4cKe{q5~uWPKCnLxfvdL&{P_(22ZtYy|nijIlEhlb#|k zNL=g?@Sg2ww6Kklm~iv8wZk7CM-s^ZUsMyk8`UW_0Cf^TET3x4o3X2$jcAg>m!C?M_%T8KSO^5Z+l^4{^Ze8%65eB+nEKDcT#g7+_X|PQcSD%u%(UQOfZI#G zBO2S4WoOO``aJF#R6O1MBw!-1!edRV=}0__`RG%x@BBH8IkXby%_01&9*h@nowC)F zA78@*JckTlxZGW$aosCJu(K@Ie(jlf^7*U_=z&;O*o z7df1_qjRB0ZQ(M)$)m}uK4H=<43iP3cZg?l2?I1#4?=EbObmUQgaKMyePYUss{rya zkMKUj>-uPaohgT+b<(^x-D+PK`(9?6lk;L_<}`9xN~dd zK*~Y|iP}#3sN&kmRM@-n-)@(Th+>DQ@DmA!js`EcL)+5=0O1IbM~GXskQrWBa`5GQx`4MWmOcxSyr)h zyTm|W9X||4s&BTEFQKw4o8LAVodKqbn!3VTVKnf@`O z+t44Ci%P}dvjFTDAfm=A8jNf#iPYR$vnzg3Q7(;ku-^T-CWNEPdveZFBe!gkLWwzu9gu?GwHoJ z%kZ~=f7)TCwp|c-O>R&BEvBreLBWn4YA-M7q|gFl1l$pzk|!Vu2`rbshl&V@M7r|I zgA3pnN-`QFVS#cR;<1NU3Lz^tgVaOaE_(7*`F@6E@p7e~VHImvZg}77{3Ld!y->*B zlQ@I14CoRC#^S^m|EnMS48nkQNl;)SCamgEq-0&hFT%xM12`PKTjXt(3EcJ21I@me zI{Ic4WZsTKn%rUND9fKE?;uuvU|p0RxneKLA1wbKmL)bFah!#E4ZSOcwI{<=K8J}) z?S{q@$8TLC&?Vy`^=h#=8_RUM!7j5sU=VTLRMYL`z9lPY1L2G-!uSAZ9 z?Yf0PNiUMZ_sTrBBnIw7ZnkUXXgXAFc>`~?x-sIu1z3)q0&=0d9(+oZ%r&x-^R(ZEftcFc)#hv3gQjC5_$WmeRBR8y>sc#@sqwv_Fo zYAmql=@!2_UK6P^;C0}y-eM!*+}@Zj@iznCLl)tmg~CV|V9FpHsBCrVyy3u3deUf2 zzYP&Qf#ZD3VCTg`7Z~9;!a7W!LlBoVP^D5$L>h%!xCc~j$j;ovMD7w?1W)qeamM#0 zO6>s)jfU9r%^<=Sw$#rYrNRFsjgG?W7}j4lZ;`~Z>GO>nwqTtKc*i>Ad8dH#*9#@3 za0mT|B4g_8DY=O@5ZiEgiE?4eyx^kN#2nl95QyBwq5-KT!OKf!EDsh`?{~tHhjrly zvk?$_aG4ol4%Id15v5q#((fWn!$(R$j#Kpv#7Msd8W*^q(H{q6C+@DU_&PhIV-j<_ zjB3u?Iuo^}v8*>O34)1-4CR317K~0kVSlCkVz?FKO-A5sDSjhuP@E~p1-+w-mMNTV zT4LdV3#O8=PCJxLSVw2viNRs&w$B+GHE=%|WLLvAn>ZFkAcoEyijsZ7T10~7GVwbn z#5&U~Jz7>z4jIVlPDOrM5)lV>LqVq?`(M^dej6KEPxkZT!^QpM9 z7JLlw{Hur=gO5|&f2k3ce4?Yev5>=5H7Bxhc{Z$cMd=*k21kM2H-b+o3Q6k=Km|^2 zN(zawr8?WV$%*0c{(a8z&E@Q}MY^g!89TlMK=hyTVm-3}MTbuw7m?hWaj1#o-Vu_j zJhWk4sOUzH!U$h)I|)yor|>U=h~i1!a4vm$gwBlfxvsK3HVQDArT1`QPp)G3B*x1E zbC|k_rliZz8zjel^!9%|-(K7!c8A#KQCl$KIbvHPzxIBXf+*%>#b9FKgK~bK8yCkz z;fM+5#z+4jb9UMb`0?@e`Qx9UY~(p}7NVD{eL^8_xi4tdl>eUv{(BDu28H`X#03FL zFy>coz#UYzbY=^Dye#MLD<|U(+g(_iDYpMt?}8Cm<7w5?*s(4 zP!?~`PaI#~d~^*htdw@nH=uiL{pZbnV4go>*&8WM+b8)2Mn@7rT2@Z5E4OlYH{Y34 zX-`l}l=4dxSsK_pU7r2W=i=x8cGL5gfEs%8*~|WG#FHHd6eL)VXZMK?gaG z=>>=VyS@D+&qn|LtNusd3x5GY)b`k)#}3{LotSmOM}tSm&5P;ek2Tg5i$Nx0=ZXCwGaTDoqcM$k}z3@HuqTG@!1I+LzKhlw&e5I>4tS$dO-jIPWfI`fq zn3?$Uzx5;a6Q|?xu`6Bmuk^)+-~0iZR5thajTt3RE)i{*_ex^hwzOimh7YnoDGZD~ zQu?q7=qrJHuc5aHV=uzrpbY#0?!V-Pt926y-wI-)3cr;Et9TX4?*$dQrTyZh{*Ua< zEVtLjQ|9J@T}BT*Cr1cK^EI4*w9-!T$jcuj$!S(7AaYY_oVUF^KT&h|C+x^k12QKm z2jgE}|7b~j-=M2l>jKE4Cjdhv~ofqO@^Qj&KR;yBHS50g# z|4yv)G;cyggP5Nkkm?vzp}+R+Jm)}<_}6vcKkCQc8wGStVu=>-I)el;y6)cBt~`MX zA0gsCCQGJ}j&owF@!RTd^ur9v>*D)0G54|C>QpF4M+CAnT>lK@Pb10TKr*mm(nEIW zE%wdzy^si<2o`--v4VDj&(d`Ra#EMCOEs^E5dU z;z-kTORjX?U5#lJp+6S?7{%I?KSGa{O~5{oQQ@z&%rG5V8GE<7`OP*SNAu%>6+8<| zYK{qK@3q3YSY;evn>Yq!8TQ_|WMX}4;|U(Cy}lRr9t!gm;Xz?$=bNrL-C4QpJ$g%( zb7G9${4IMgV?vD2Be|jH&4|t+G3YC7ZcJasE(_LAvi4|=%^~!G()rPhak|){l;+v` zYd$m$u=e6)hgdaYtC4DDqNm14%Gy#jGfV9uj!FQIw9}%hvq@f7W(<25DYH78*9-;Q zdL?@oXAG`l88e&RTycFZLEqcae%IQB_?+It+AZ_UD2$5tA~l(ck7cKjIr^0DTL%s0 z$Y}T&i5q2h9U}o(u=2Xur;4QK%-gOGOd!Ok;VxE(2?jb<6WNF6(ZTt>nt@<~I*E=0%klT2J>#?oyfD9GSR2&joPv zoOaqUFF~9DSDy-Ba7r4UTPHL3F5W>)Z)A9F?+9O-uyh9iNoW8oOm;kZ0A&@=+f8*d z4qz2ZFW0eWO=z9LM>34*>n#hc_}ooww+Ih#}g!;%tu#{L4+-Km=O*v4x6ai7+>v$ zzKAJ(1b=@9=j-^VX##KycwB}dcXXP@o?L|Uo8WosVs~?&ISpizq{G#XHykNK@3`L- z`?={eIp(1GNCRMljh|cdaKXUDvKU<^xQ)-Wo+yw6G>yy7BtfCUF}R@_e^=Tht896d z2hB%_r$9ivX{vl9rBL>M9nn=-sc$cU?=)b6^CTqG z89Ta&M=-oZl!*MXtq-X!*Y4`P41O42#l@`uV)g=YR%H;DSzIE*->} z1TiBU-bw-xe&wYfbqmt~paVSML9}6`rnH~XTBxS#sb1lcxe^#);k&NjS_a_8A)BvM z+pvn7u_q~<7cGYCF|6$i8fL_Nb%T$;h8viIb=7M_<7XZbA9nN%1a5B{$h*MsH2!qJK9hfM4B>-8#I8ug3T?LV6i28)SQ(bsd+<5ouu; zfFyf+qiYLr5euje$*|U~eQ=tx&*MsGogdYN(RAMV7HVkX+}8l1z&^yxT1+tZj^GIH&qI6E2hSG$Hdvoi?)7+WTCxp9=g zh0%UqJ%C<=W{dJc3kH=fL>n|#98Hre;*&#myJqlPd}4h^5H&RbdV-4}!dpwzN+aZJ z7gei1E!6$RIU8$lCJrGmvl%p|ms{6?Cq4GVl7T1XJXboCtQxzMa!b@pTI2 zm(^>i)N9-Rz{Oc_g{R0nTb$^vWO$`E<%tEUofX|^I1P?6w+LUg+5v9d9cuck*5|FI zeA$?D`0f-DUREOfByWn|RXv%`&80bjgBQoS0_b8z{Vfaxb|B;M^N9vT_qf{AZE+1V z1kUp>)S#8t{!0ax-vALfpxZ~XJRw<&k!hpdu#Mrly1Mjw%wjddccz!^G0~!M0h{rP zzPnvb9_GBIgf5K7rl2aDlB=wiawgH10R?uOLfGcvs^J=(-xYf4?;W3Eu;=s)^eK;y zZfg?2(TWh1q&aOg4l&xga6YBE(ylh>!-Y=4HD49@$j%6>0~}GA-F!^IHVn6CCHHe; znDVE?G*8l#H;2`&PusNxPp1_$@P6stIDg=&r6rBSj&TWhAb+%XEKu9nZ{&_(x0r+S z15o+Q5R3Qs8St09%=BAof|)*u@d2rI%jor|WBemb>=qcRVdLpW_@`a5C-OQ!Ed;Zd zK8GEnjyvKDB|9hZ(iaO1@;%x5Ox}RCo4q|E18sm&@b+QLOktXP66YikD>93SrG#?y z7@Wf)IPS7@;oz_I478n(XTH%ocJn_YUoPm5voP{?13~t6KccWQ3V!POf0al7KgI{n z_X{~1xF&G!0iS4}*sFnC{1yhLH2S|3c&O1o!$0c(mR3f<4C#R10{P$Cqjt@2|J5Gn z`ppGjt&i`^uYT$C$0`3{pG9<^m%vjSvey@&IqO#YuCdPf%f7%+KmWZ~{o(=xd=uW8 z_J29xU3LKD&a=QYO~6fPue|AB)qHMYSVG`}urdFNJD~s5;ja$*|G(fL0mx)P;<*LO zmIjCy{yUf-aqQQ?Iebrpvm}S;lliV9DX&SY3R`nn0FE zu!MeW(T)AOO=WBcYea{po z2x2kOA@Tk{OONFEhq680;)pu`Lq^dDAJos+`d_t(Lt$5H5p9SAN1rq_9=I7Tq7Ax` z9hSyO0+o|1eih1UW5#6l%hvU=?rPV5E>Nez!t~%=$pDlq?6t#>U|6jVkQ{@-^NL;Q zKyl&C>Nzc0;9rGP_$-EPWMO_LLznQQu)1s88l+HV@~UPH1DS0)iwBkDJlT7^&t&e4 zZN|I!AFq*}IIxWK^7X7xj?M5^?vu|X#%}|G>^RU$(RJWL^?SMqbh$DxPP|tN^v1%# zDx>l}&}M9{Cm9Qu8&vP*6WR>IS1#FZa0V6=TFjs_dvq;rVy5jpsd;@tAtZ`Tz~q`~ z`*eD2zumnJ=fVxua&uhL$;;`yAUqu4fYh>!=pzC1M2Rt~8z@M16cuuG2IIG{$9_^E zw_B!=0A~j3Cm29(myGC3B~VSyU3sTiyFYmtukS|q_sU=4$PsBP+Bt=Oz(#7fCj zeA67)W4>I$LK`;QusZxV?%R1(*1NN3`b_y?$(`){RGZ3P-lIC3N+jqy>hp=u63nTc zpDGA|${;JID7EdvaL1Do3IQDwhkF;QZJ!FY>x(t1k`2f9+ijY>wohj%xy4okCpxam zY1BK~&YOVIs7b~7-rf)Ujkf_gY)!%VJ?xmOU&~%sf2qdjIH+563;VJEai`3br-$dG zJ`7CAXw|L&&QPNRN{cTMIS2Oc8iZ*PBX>SP`P<=BMA`7z)WN5?4QCOJ1q34pVN1=p zP(QYCrI&ZfAP;+E^-FgXuS;l7Xzdn7&G!9)eY>e4!iv?NX2!}yL4YsIGs^4sq)cjJuQl_APgdaQZcX*)X4fPcpbe@{4zfWUg^Gt)TVY0pe7i5Rm z$TXI|{bmT=94$a@J9eOgO!oGSC`>>wMC0*VH`ZbRUpR5|ptpL6qR!t5^3b2c(5{!k zH9`4r$wTM)jbNMxbsY3J(C!Dd>X!dDp3*;x%Xn%t!v{%&lZ&;GFmqa-dPd}|o!tia zr*1Rmcdj25Y&R)NH6x0Tl+iJ)53I~CA*v1i9FW24vOUF|@_C+aWk!-4{rg55c1uzA zf{}PY;kUT=(3cLg3Ey<}uj$-{&tQe#x^#!cAeA1;Wk-(?f{C{6)6rx|-M~9fQAFDB zF{Xk~J?T`j_ZMPF`<+Jb#Ytpav`{mVqy>^F{Ud8(IQ_xRx?L^UJxbODRu?VA6`x>& zdx#)46REeT?{hvlMs{QTdwnbOGf^(jEuz1ywIh7f6mr`D!Co~?6j!kpbq{@*iSyOo z)E?`t=foVoS1_58e-Y(cx;qaM05OMVbZGv<#o;Pc#yTg8!nwC$(eB8mA^ehNpxrCm z&(BDq99n2b1bJpa&`9N&0PC+7H%&3e;uI)f7pcbT`ixZ8I>$^GKU2G6J$#Y5jTAPf z**B99hmpu0@v6a&3;;Xa+Cp}te6LnQe}#7s`*(f{daE0I`G|PQJ3={rN49d33Q1h% zx>Csic8f+KjJs)A{h(^rOhEaQ`W_a#-c&>DF+^?}bP#(HD76pryi9&LH07JLc7d|= zqja&>MbP|M|j>&Q#1V| zj8}OoSIv$g2@cM0NhOewgSrPJSn0gq*}(L96XO_f)>a|hNQK8o6yqV;qTTN>4s~a- z=`WM`5nE7xX4xK&dS=8(E-0-t)Ta)@7yx+H+o@iYzA%X}Rp7S=d5c6~**BV&>=3xr z4U;*tb8I5w=s<2sz2UgLnrL_uYHTy~kIcO#>lENuf`H&T*uO&gZ>c(uU+IAkRH3rk zcUE<#yZ$Lw8y$l-bgmv^M+^_KIHog?)p-@d5sPT3T?@@sg4Vv!a}vWP;y^u}QZZ*e z4Li%^Y-c#(BR4C@V0eEF@`$b4qVvil{2p%ymh8)J;Av9fsGR66D`ot8n!B}W)`jqo zdf^zf@|9xPq-Ld}4a1s8vRwUX8dNL8G5>@l-guZM_%IXk9X(yuB|rpv zs;TCKG&U~Kt6H(!?6P?zIi8)WhMxtk$ZeevGQ&|FpUK$AZQbZuG8fqJHvHCOz?^~B zbGif;7t}4tEpLaZrR;@9-Cy++)3J6o>wK~!G1f`T#reuDzLXhpTH)n5 zoOIBa1beIVUZOI}=OPk$S_5H5h6M}Bm{k7J$z<_38(OJNb+X4zpmQQB zRX-f;r;ww4%*Kmk6-dQ=R@t5s6%IIF_}8I&YTjZ=DwsCa%2W6~B^CbXK0AJEI3akY zYX;?y64B9A&RaZEXo>=@xAcV-=+fKHH=hI2dn(MI>08x$Zy3C&4~Q!eUl5~w8>j-N ztn^XdC&RAq8*V;WDr)<5%EXxKC^BJ!M3Wxys@Hr;dg_fB1g-ZZ4YfPWW%E+gYgUgK zHE~ZYtVpTa1s|hsrY5GReUarB1b90tEN(Gwhq+nakRQv z41&*q^8w&>i%gV>!RF))vHKD8{^#-7iub|ov5{SKqNG3%IMNx{cN-|tPs|9PS*V9c zj~2b%l=Q)IFcI4=#P81A?`#>+JlI11Z}tNA@9FM5qniGJ(_QI4M=HPsQ98^AK0Qy= zq6dF7wCG=o^$nPt^yAB=a|ZWYPrTG4%y)94H5P21BRauQ&Fm7ro%BlM$I|g1R{ssW zmvJjQ;XBRbLu;l4tfhAxlkLCtf@fuT02O9)Ixcu5g!Bk(v11@sSb!W&(<~>0KYzrF zt;X(%A(x#Dm{krW^z{(Y;n{Qx2c%&%p|@lO(m;`vQw8dFy874=UzgE)=ix$vF=lcP zUYJh>CfRF<7y^<((Jkzqfq-7YCVMz|rFuyDKy_^KXUQp*BAEmV5aQYRZK1JrU$h3? zb9@n6f82oQIK=X^jk34$XV6BV?n>BT8cCITKk{#s1Y{YnJ)K5Cmcg^`RRMB4N)<$S zfIcrq0ZzsVC35=+C56393{0dFilh8t_EhB>GrfPzhJIs(Rf4I9wJX9=v)Ivth>tmk zyDxu^h)%rv^S{!Lt2J9iE5NvvL)cC1s zXvX1=S5F<~16d@TwdXk#tNt+&!^lVLq0ZvQe`d@n7zuxXu?+ohrqTyst}nj|fl4|g zD(6GK^2NQr3$1fr_$)9qaL$WseYX1f{*Uh)mViGU4SYEuDR!>^p4Gl()Bf*L0(Pwp z3M%#Oc{~-w2)w*BVDrL7zEzN>Tnt7zen`xR&lYacFWnyNw`6o&PSQ?4M@2 zQ5$$!*0?h}q4iwzqU8ql@uAjSU?b{GR);1e#`RRZ6x0V>Lj zqj{fGk_WA8`#lq>U^KCv9V>farmIr*zBRuf{1SPS*m0sfo�z@_puj$B{;YI^%29D&2M%|I`l;kkUt~e z$U^TdiXGD}rc)%iXj5APxEzi{{Wzj}$SY{y_c(Buqdm%iiNr)G1*kKP%a1Nv?Sjbi zSwt#b8Z%o0oJXP=S@{tQ5Q?_OU5RjI31MUvzS%_0GP{?NwcpRidYa$FC0tm43kZ@w ztfnSVyulj}-Oy#GOP{X)wEY?SOG!Nv*gUB{=Q1rRK263%-7CM= zy`#bH6=ODu{!$Sc+!;viYq+EaSqBGgHpNtr{(*&H z5fMR6)AF3kUD9b#yTEz|V0n_#?SB{`3OSf$;7Qtj$eXD*oBg26*8;yIX$;6tGvz87 zEJGbOR4_eOr=KZ8toxw zLJ8tC*u{So%0(dy&*iBrek!R8Qj5Vq2%*uc6c( zWRV&CPa7dZFI=)CI|l!g_nFdOVdTS)GX#qUttIo;U1}Ksi`Ce+u44xS08rU>Q2@$Z z@=aXmtwnYJ0dp4IQyojcVyEZ{CMVGQtzaL?DuH-qboT`sfU?vr; zzF<2yEBq+L0m)OCI=hJfdvd|(eu1@k_pG-NGK?n4in89}h84h8UGN01aL?xUix5|_ z6oUP)gs4bS(my^rdMKa;;3*IWLWE7*D%|>H2Nn!PD7dlNpQF4E{Ri_p?^?e9`N{1# z0#bt{;raF03#x-{8EIoH{`n>vU`@ZilkhX)LSC`vYmY;FfH)263K;)_x>K>eqk43_ zY`(mMt%PYS*%WlshQgyM_*JU<8pZ~K)$fbJ&Py^9Y#cCPS=uf-0BAvi9k=kb296IS^s|L*Zf){FbpVNy$t(=} z{NeY=-Imvpx%xpw%x}dS^b`ZTJo~=kDdfSnK{}zRd>=0gGA4`?@=Qm|l!{w)A6Y+{ zQnOoZM!6uIRRKqeFtNi=Y491tPlSuzjB&k%rtyfBw;ZZ42xYOOvS~?S6I?bW6y#v6~gx zyR4co7_?lZftCqX^t2Wh&5la8AHjrVcqO)M7H_bi65gaLPunlg&uM9+lYrO?>n&?4 z*tEydA?`vE0e&SIhw*s5X)%&YR6C&j!;oVcgt(gb00MOp+0aYxHSmVFm8Q*Rk||*F zbU?(21ThwG(|c|k-6a5V3hdyv=lk}pHLMs$6phhlona-6ZN~Y7jh}20Y7YK}w2G-y zNML@tyv#v`Q$%0A;WKF~A_f7KeM-z6!DJR?^<*O^;wmw<66m`3D>t=fZ}4C@(o*qT zUTivaPb(NF22D$11UZP19XhV^E;xqQ5XByvyw;pC>s~t^2WS$}?)w zAB4)jMNgWLK-FtqlJvec5Lk>)sgawusBuwoL7ji0qN565mpm)RGZHeex)DMkz{KnU z_NwsV_J^=yuJblmMPXv*(j8Q(b1SifgMGW`OiuL)#Svf?LuaYT98bJZ220N{<3XCr zcMy3vAor2&ia&)(Zv_6$dC}w??wpc=AFmr$_eH~9<_YUUV=gjd0j{M`1`Y2MJ(Jsf%T==tO0Kd-MR~;ROr5P;nOxK zhExp@oCgTl$=SP)ae;?l2aTh**@C#J(+Y zK_lJQZ8QkBPYK)|NU-G~aWfO%R;9Pny>jw*c1i3^)hIilAg;nom^F&2R@tdAr9bSL`$yB21&X#Q9#|G4q5Zu{pN@fYBn- zY?Xb&K>sd2!`P+FPAD7HL-x6_x5BMsR$L+vVzew;0cV%`9?HwJI^<%qe|zJi0s`OwI~yIsT<0U>haHy$kpC^=tleNxmFnQ5aa8(5LGud< z>M9%ttf7&3F1y+;>SVE=i6Sxtj1Ky$$?&Dw24SFy*6Zvx1N<5AHI`)DSmP4m0hAob z1+(|SIA9$U4RzV_RrIA!tnzRkaz*SyzB}g9mWGbTK<0WXQxh+Y$ws6ldDV?Qt{0<6 zum-lG@{OF1{li3U6@F_Hm-G@>o6_ZvL(wHz!!&OChLbU^r%5m^LNZ%#VP9Z+Z(Ky$ zB-U;{D}~&%O>*`$42O;^@LN*2)Fz>~yCkXnjFfW4NzOsU>JIZ6K1{%vFjez`sUvTEJ3Y+fJL#edP`ne-!TtdmiFFTa3*_oM| z6>tgzg|SvPgfrKT7M_DqV;LTKgmk6oY2-4aDTIz5krw13u=mZCSTR@ZT1>3a6 z`(%Tzjc6;FIKl3%9~-E07wc2$XOuX+i{ML@f~8l)Xxm|OV!UKczvhnv^)qgL9%q+S z4BmT>785s}ExlEw_Aw8jckp3cupu7l)Jm>H|IadjZZR>=ZmRTm4to;%Cn_1?^Oo)q zDu86phkAP8qm$Ky+G(!2FbJx#%Iou@wT{6S95@IcE7Ci*NC9pJMOR|XRZsu7Lk~Bp zOg(LFvB}Rwq`AS(J>VHNCa198QN7teOFHrsL!ARm{V62O?^2QdQnt_ccylj48*eRr6bFqGFzX!TYb7Y|fy17Zy#3qC1o1+AJR$3^`j!uwtn&Es# ziphqv*Yjt8r}SvwT30t13TBe~^%H>tL0$0q;8+tWfOXbhvoXwnh`Tgn z>1Tq(1xDdgT67zXlbj!0{`t?awWr>gO{lAa5F_Lq>4DdM=Jb@AIGM86Tl8G0VNb@AsVZ zISCbCexdFBU3=rc&RYSiK5f64(tdd^U~h`H{f&+)cfflaIxi9)h_(vislpR{c*%MV zh4wHEk@~xt?&aGjq|Y8hLx`;X!ZhMst!0wL{VsEB`vp@Ni-kR|2Nujm(Pc;Bk#f!0 zVLuJ@BBH&C^KpT(d`drdR`;3ZDecMEx&$ib*}pqZen)*!MM<79tcfsD!6{EsSI*v# z9A^Aw&v%I{!YqHj@qnS^jjOI7(rYsA19hX@`w{d0PHokvLof7ye&P+fsh^54ZDn2< z&^^(r;7t>kXXNvA69BYX^b@2zo_ab_v}nZu0&8&OSm3^bqm;PvoJ6XdtL@<tw*=zJ6M4Y+vISt*@b|53N;C}pzZBP< zdGj`r9|FHY3;ctQhqf~e4|G?;oBvcQ!NomyZpjLB`vtPG-oi+fOQSJ4)*ZkSvm{De znJ~J(C^Opq6$VH#?&Akk>x<_4QTR5tzEqS5C?nFByj`%Fr`XCg+d;>+02|WkL-!4O ze*h(Ifvdo+78iFl=6%rp<4+k4fqwQ2RX;u`g(K!>R+MWrfgk$zI6EqTn42z_pY9U- za5s{qS>SH6k1*2XvS+b$sJkbPZhV%m8`g=1CKQCKM1_SYHb(FRx*i#E3Td%o>Grw) z>d7sfP+(pNByMAYOsTz8gr0T_X@LZ6OxP^pOu zj1rA5>JPcs7>9_ff0b(Bdj`1aCx$n5Hb&K10r&+KM6S1K!Y)Y~~7Q zim6MwN4;mYbO?K_+&$9iB`cgvvYaRaCEVQw?j@@UiX5XK7OF88-AYzc3!tGp^;*up zr&FQe7XkyEx}hjvp)C_6t!J5i8*BhZ8jCe@JVJXiK{tSlZ*gKb)L-E_S8VN;Owl*) zXLV9Os0DLF2+T>DtGJey{1$<}}*nL=Z6|8Efg=G9D=wjyCP>|)87u6}w1q4HVsM&0X045dEOMCeS! zWsrl>5R`ed&G1#t7T|AzlW-tPM@do$IR+uAw47_zEqPVmeAYvCl7wn3%AoyDwSE-y z6D8d^_#fqVoOhD%sHoPyr60Rfo5~$I!(D*i-}+$RLq;atq5ouN2!Ma2LS^S_%Y?Tz z`TaSHvr@aRL4{c(LMP)h4RXWcl?vQDH0lq0^_!I7hya%}>x_dN8m&$aD**KtO#g%( zMogH>wEd1Wdr5ogsoat~$a>3BvR9m2$o|4{vh1D-tMs3Ztdt~ekdhP)*L)?Iq@;=W zI+dUtHBK4|bkfYh+0L8Yy|M!O_AOd=A_rtN9I_)h<=4MfeG+ZC)-c?x&ZAZQ7(+MR zfW)I6-o9!+fGq%*wE0pz4L!Jm$=ua9$$1_x2HPy!P&ArF$&|4U8EHQ_Bh12eK_}S) z9T32i&Pyh-Cr@P8$$ws`Q$fwZ!$!%eM8k1L5|KvLo6?86G~!!8jOH+PqjvrNB1m1E za^ztg-JiEasq=VcW~G&`zYoK*v?)J6kEuV84I&`GL!dIdJ=L#`;875hZJw^tCjsj3 zCgG!A5>>kgV_EAbqwKRYk#b&zvGLFX@gPWc*8)ddl;Z(?uvN;=Yswc+HB`^X#q`R= zHCou}1{tZOQph~GvnzLyo1wn_;OoOl_iAG`gYFSJ_c$%@;iiesH4Ij^79%ySlbY4m z(x6-Fmz5UortAV*+A5Krvy<|QqbM%lY*K+pqFkaVA#1GaALZl`&uXhgdQ{W3U))42 zmxGja)BqY=*%<>E_Gxw_!BJK?(h`#E3v1#od+~x(GMT}{Qe5^p#J63Sj5U?)wAR^= zc6Wvie;e+(>wzObKg0Atqi6O1TT2Ix<_%UAgTEq;U(R-yl*T%{Q9?W1l%N<_oT3Y^ zt>X{0f1V~sJcW7u!~k-R-MoV=1SfJV#@`-ihgfG8+eepe@7G>RB4i`@-+9ZUeGGRS zh_ffcE}F?dDATaxTlqe(^Hib!OL(Cmv`DklNRhR&{yKx~w1^z1r{tu{+F5$WP6kW7 z6KC_9-*niUUrggr(xetCjQc$52G2|L-^k|I##km9@m18;0x2sw=ArBF*sm=)Qpj8x zf`cbDLvtF&<<)2gnp8j6@9fws?^j&iT&UTKsi3~_mqN`0!rvU1sX8wmBiDhMFqS)! z8`EltbtU8&zk~!1-)f}DrOt+0X#{6!YkAwSuvO#N3xrj%j6vhXXtvQu*X zSGgnq#jzJ++23F3IcZ46wwN_>RbJ^8N0U*Fc%6p%=MAY?{f;-6oA?iYD3)ac5mkJg zmTt^6t(i%F_=7DxP1l%SyN5NXdkjyT#?o=`H@=-{}B|p z_EE#slHD4=*%OxWFWVA@rr*2F8+8lWaay|Ab#Sq=;BubLip$}*@B>$``8aq z+cxu$f|Sx)L~CiXgN-u!ICMG+RHZudFA7gr*)LD<(%Esy#BFAHWdA@(P)=xS&=!$=J$!=aeskQ~UJP}@4zqP; z%7&u&@s9sQeRaWb(2p!F?u7OG@=|qDf z=XbB)-L~#Q;A6v-LaUwX)TDPP9NXwe(yY`q{mSik^!q2ac8O1~XI9thL_2i?bD!hc zr^dFN+xCE+KVg2~f_ofQC#Ym((6p^%S+1ssc;yhX|-R~Mbm8QLbrIUCoBNmV^PmOy1=dyE;%|AVt z6IcxHLt$U_e7ow3bh(Ls=2M-YxQLOzu<`4bT5Y=&nt<|(b6c|Mz>Hca6s1u9cDhU6 zTQkhv9lM(AFup8ffN%Vmy3S=JY=s;*)nU%7g6W_`wKN>MS5ORaJm|d~uqH5YZ)WNY zi&kVY5*$YxXRCiaful5WRv;^mC1VMzd@T%KUY`>V&0qu&pT&9Aie8~KG69((tT-D! z+dcz)w~fEs=B|8&Q}t3IhVGOh_80Q!FMC*g-A2c_E&u`PM%R^|pYHzn=b`S;Z|lTa zwD8WBG%7H+u=|6z2j4e1x+Jf!;_JjYTewy5E;Nh`b`Mt7FW_}E;%Z%;{6W&Y>{}3c z#75@v^HJ9v@aik+jx(?i5+^)!ogW7$d@I#xTG9x$N^oFVOcG!+QSLC7$;j0CXd8I@ zxc9nDL{0Q{xG~}g@^vaCz67NC;ez)N$1#y^ZPK}m$Ei0WBWfQ{fcbQm+SYfR`lj^- z#(&1fdt6vJrS&R4+PxeyCRu~u<|=wEFp+dy-^rLBs~sy}$FpB}luyg}J(8EN(Nf_| z&}XQ0@_7#>^4t8VtKbmNGLn3!>#Mi^YmY?JZ@OD6J-0l1hTI}>W-=tt)E6dTz43Ev zwfG3X>}}TJyQ@rl&Wy^7dru*GR){?AZHCrfCx@npC>aH?m|e^4bl& zL7iY0heO=WleJ%R$u^(N(_Y&ZQP$$Dcr>Gx7ezFD ztl&B2LHS3QtZ>5{y{#!mx@7enIUeS5_l%88&rV{@5)q{fGEFuVE>u2U5}!8o2u~M? zHjnWj?^iiA{Wm_0&inHH+huQ81ur=KQ@051cy`^bnbGRdu^yM*l1K-8;EOpr>lqVS zl;zRhtgc%(Dfz6iY+q|s5_79r^X9Bhe)M?9M`Ppa4XDU~SN87Jjz$$t-K=KnlO~9= z#f{m3>kbEA!Hh9u9J2Qwbwk?X=Dm@a4ui#%j>VDYak_Q&^Z>obIzZQF1CeTtU%bMUO4Wti7^#7{ zDy1k2r)8W28w-*EOHvN9cYCGah2r{s$|O1*s3w>Wf`Tt8gtzIMUyBALsA5ERz>bk- zdu3JLxxvyi9>b^}hZyCKqj$8AN3|-p7Na{uETN=*$D6hZ#-8hqN#$pVnW1kZ6_kH# z1mt9ESuD>vsguP!+GZRs&dsygy1FsV`jg4N)3qg;k>RYpB1>D^ua4f5!;euA$t1ax z4JWPaM9oGPp33IkJZ?;Wb^@SgpSH71sXKfhdz_o{iI>;id5Lu3ks48H5fB+NwRN~R z-$tL(Z1!Tj0__w_%D~>FJoF|$OELL_jPQ;GXY8_AiyGr-HY<;=Cxe*X#*Z|Gi`gL@ zx`|I4UaTkR`s&vXYnt*|sf#00#G$c37hazx?I6o{B;}w|JRWURw&r|3aq1UxFY-^B z;l(H{4-uZrDVQ-kdwaNy&<<(&^O?@o5(K!qscz{CRk0|7%W=%0MfE`rCeK$ij^o zooTFawR+smSI*9v9B}lffJ)XH9pxDV8dt64ZjK(ju<$k*wr$_BgRU|XZ+Ba}i^ol` znHcDjMtTfunicuk17)!`4N}^@q`xR9(H}mQFfF6ya97-hf?SV=hWyAQU!h zzCl1xs`535g5+e^Om|~_ueQ|2h+JfK3I%k4L!7;tjD!b7xXrh2YQI#2_<&cz-($hf z!RzK#yz4EDryF@dRlzG4$)SV_Fa4ma#4rr{N@V=t-%?6=yBqJfUx2LCI?IndfJJVz zGC#uifz{Qyn{`j!)^?*SLxdu$B)}<$kruFVcWZoIp_<8*mK~Hxxv^-XkhI;+rP~q@ z1|k(AGlb7v!`TX`$Z)gXOYZaMA=6>+@+Z|Hy1}~(#S682j1Swsw2cpaJzLXMk<@6Z z_*kA$!$@Y%rx{;1I{|Q-b73;$QQ}PihAG?s_%)@<$pz+0aGu58Ee~0b%W|~4gc)^i zl|Cax33@YCmx|?5fwP!yly1EvZk`qlWbkS%;afU-c>7!vV)yX3NiF-lr)G%8gd+LP zAeP|dFVV;ju?Owq8b5W@K;)I(~`KXvRE`= zP~yIf>f8J_S#%1TvH)Z`Z_49^;&*IGD{*~wg3xpMd#qB`2b0A6rL4RaAwa((6z*eW z*J&3XO%?xMQ!<9zv-J1v6DHDM+Fn$Eenm)exh=i>T_#o4DRWdY>@rzU;U;D-yKD8A@kcSQ z;#(!4y{0Jfo>cFkLo=BCB%C#(B00Y0kt9t;wnzL(mJ}ggX>Ck*dZ!+i(E@W0DlK=V ztY;bUuexK5b>%|kmz%N>{bVHkEh&|bq=BI(%?Y5;c(KOTe{lF1ZPv(&{#8A(5bXg)Wd$>bGl)HP=$p;Dznmgh~aza4-1oPw+_(j zhX(ZX<8cNCF_ag)ozX_ssgZ=?cmz`w>Wx$p7~jJ#aou z+dhbCFZ4j71LFUIeMAR@1n76g-!IUAVNhWGywCtYPSC30XA^_suK4c?N(*@PTF~); zRmOnK&;5xENX9_F0E~fG@cZ>X8F16edHBXk_Y?D0`R`uy%NV%esb}Ve%=7=yFUU6u zZg2;LDS|f!9E?2b3O*Qcgcrb_c*YUPZCv$}h#pMPZ2#l7Kwoe5p|Nx-TF&;Gq(^0_E|;C|#1BLga0UPAF>;Crirq}%2#S;3!J z`P;Y%b<3jl?*|5blejd-`_CU2zumGb?frn2kH#(C;okp47z>hv?Xv$4cIx^f_kLSQ zKG0k0i1U6s-f2`s0sucUw&;AlT3zioDz2MfRt~i0a)zDQ#a=V{+Xh^Bu2a* z3Y2K+_EYig7YUIYmF;M^E3~&?fW5SzmMc&i*ZN$US_qWFA?7AdBOcH3@p5(djr8kP zUYVJZ!<=D@;NP<8GK4#6|CAM7sOFH|UVS(8-)R!XQ&iLY+HOWo7T6lJIWvnV_zph)bgZ_LhsA8v1 zNEU+B=kegx9MP5w7O$2)hochQ|IJK86N>rrbaKF%ZL`|48M5vz##{*I4y)N(CY$YG z*WMMb`gB0J~43eZ{K~(|IPX>)#DaN%~xYP8R_kf@4fVf{`qG9 zu6PYr!M1Tu|4-M5jk;;& zN#i)}y#f1Yxrd<(Ce!kMo$z+xdurl5(UzSEykha?L*&17r@kj%9t~AM?pqBTI+8@_ z?xy5t2s|EyR#Mm3k0Y>;9Z#a0mE>!gkqlPq)0E6fCpvq&#DCRoe{HDcmQE+SjVeO^ zPn-@_U+5yla-P?|I+LzHh<=e9an3Hegp#Y4v1Jw#XAr2mE7>)4vy!Go;BTvZ$QP7T zX-nftal9F}($Hv}Uj)-+;mX@H&`2PZjG#!gpr40}O=nPoFhq@=mAgZhwBBE~te(&Fk%}zh#~+$?=XFGLXrb zld|`G>l(4%q8lG{%g6Ok6M$g8teI?EIqObSAKTbVcqdif!M3~-^e+tf^uYH%)v}ry zS#KlW%Hr~H^pY2#CCi2xm%wQN`-FQpMN#%NKR%2_O1-jE_9JXI5;Pg zDPvVQu(IEdR&o49SanLLpSqZH*?+;Bc2+pRnCDVUQH|?y4?rTb#(;{EhWiP?WJQS+?WUhNN=^Nn%mqxQ=%m+ zkzeW~g4Fc1+=uB(z~`Z4bdjPs4IWG+M6&$&l|O30?1RGby~Pf`z^;6<-;~98kd-QvBmtZ zI|nvc`uY*DK~QaQU4iVYwdtKJ9lzuBRR4Hn0KT##a@9!i6(Xq(O5fTX&4>xG$lZAn z3+ouXMJ2*zu`&amNaEN-Zlbfy z_rhbDLsVOhs-10WbUmYAyiLJ$vBIaQCc>;@>XQje33Cy{3HuNlQ2neAi&hqLLJVFN z3+rnFh_IvbWuFV>o!Yn>v|fr)_ueBmIx=%gzf{y5W3{m#DokFr47Sh7!iQbL&0xHq zrnU>GXramL7+>Bw_<9>HSzpMD@nF<8SGjY_Vr%4(<~bdeJm9*TVXcIZ`zkHbK)uVJ zNz^n)5;b3(g!l7kV)MI>?()ZFE*p1e zjpp{y*Ml`5#q5kWtQ~mp;PNw<78!2VW~SN9Rq7r*6ZCI5N?z1JGMzs>Kndc~nF~q_ zyX8pZqSe`QU1u>ldnb^J$m>!ADn#<&owD(ErPb@;`6W8vGEbFWy_od;$$+X}3+cGAf5^=F8jUM8{B<7ki$?;hFfF zJ4(%?OQSQ%8+3h%wM}u=%GTxWI?Y1GT6#EpfjbPyCDqWsIn}3?X z08@FCPWrRSf+Bmg#(}nfG~pn3OM9!RbGFlQ;y5=&zNOFfvU|`oGT~w*y{&`qkCI)i zZfP^~D5I#Ja>GnOyfr23DFRmcKnmwx4Ap>hN*yI z7CxAT)w&+)4{1E(VP}7hExCmIx-2@AmfSO}c3On9tuB1V#cjHkvsUW55Ppd>S-OvB zH7<^BbFtbje0YTHRk6bNHnbNJ=Pe|YM$iO`qes2$x6kGMq)!R_kTBKc&{mou0^NqAiU&KffFT>o^x}o zHu&s)9R~*py1bCTrzRQba)QoZ{4p8(nCsLQ__M?Vbs@N1fYhZ~v)>-}zM1fki8FlVS1Ie2}T>yi_wt+j~XJ zVzcGkJ?GFD=s>?9*ktc)a&OhZQJw~)J(gr}VJGfGtQp^!&;tcj*m`FoYS$3AF$DG%+V z3nQGJ6|O9xntK} zI_k^pN_CRSVeKVFNiL{;c*HZJ6#T?Adn@n92meHeuYaS3mYlC?E>9Vq9r~fi>*-u3 zbr#W$_w=isG<$EfJsmZ+-Pasq6}42=T$$eQsCL39#p_GF=CP_u18?EXd4!SPtM%jC zb#(_gBq~n!weGJrr7Yipt6#B*@C%YTuNJ0kEJAsbS!~*eCdOIk;hcgbka<~iKGa#~ zMZOVjKiE*9&zb{ z;RPE*5IS*K<&rCTk<5TDzp+TCO@}RH>fvalFxXcEnoJh65XXL@hZpj8Z*Q$VISJS9 z7&bP=xIHKN`ZjNmu+PQ~-}vAB@v<`qTG9@mpE>=mJCTt^ny)QL%Q9+t5oSvuWOj)S z#v*(E?6=?ks7&3Qf)j}NS>z^i9(Akrs?G_0uG6fZvu&Xksd2z}R-@Jg#>4eRQ!-b{?#^ zqlLJzaZY0yO0LV;-4+8du>cq}=Pz9IvtM)G;wua02a|q@XJ!M|{2C1t^VU7GY5t?ZYd%E71PUgO)T2Ui z)0;m8Jw4)oJ}7Y}_@k@A!5;-*SvwWn#0&m6-Uo3(i`E8LyfV3H4>~6hpGaBz=z@8V zUygK!#(o&E()+7d1D@O92|X(%?GVqn7khJq4_3RD4h7{O4&eS=5HVl-*y*9C{a&8* z^J99S@plJ^R{bPh9JlJ@gvIgvML$f;Ec>8l)pHY#bDIeYp0ul9lsa{k!;6F+Vk4Bei)G(fS_FKba;SV4*#O&I3u=cBCwPu0BN zin@}~>wdA{U5)c2J6j8lHSEq^4l@&MA6r`f{QVam>PKkfzGGQk;s;Oism6-_a_f%Y znRV9t?@xU1X!7K4UZQ-E|GNjzWWys4P<4ft-qVQk>KciGQuo>5U?mxpis`=(-`A4| z3AVsnst0|9#iAY;%zMGPOl`b-T6a?zRytRhroW3anG*;7Kxe6+=VPiP)`6@y%ci~H zL?T_Ab@6lo zQt_d|%9LhWT)mo0B@EN%cV{%ZM)@u3dU`|J5b6tt1qTGp4ZrJyqLae>{d~|rS;Aui zQ2ecBPxFMepm4<`*|-;^GrvhAv zXd+DA33$svkJ&y`!d&0gQ!0U3Y7Rd5I7RJlP2ygM$(^XZ*n$I=V6Rv9vxARx!j*sd zUBwZpj*PvB1d>`jr<<=c^pKEeUz_=G#y zSI3D?dSAsjhYs(P(lGXv1FxYd_Ny-46n03=saw* zM!?PuD_@mwzzBy!pCHfu!Dmtx(2S1&C!zI^P4BU z{R~eKSdoL65Lu#4EVDk=S+FfLZG(!MAv#PrWen?w zm>dq-+{4{X1ZT)QwgIY9g@tUAuWzkR5YFQ57A}hxClb{Jd)EWe_cXLfNGjHSr@rxd zyTvZTjqg7W-k{O?cFopmE9Y~^kCb$fXFAl_ zUA{_u%%;1_D{SSyF{8qa2gT22EL&w8#bA=_;W#5$x=%QCD6jAE9Zx4`ObOE>RNRzI zGLIr&GCANzp|EhgVz70)8j*5>|N@zbyLPBUN+meQ5drdP$ zv*Lo%g*Dxp)0uE`X?oBMH=xcP#!)?6fW0b`d`W}f6r#n@nh>&C!^l)gOAjD1DsUzM zMol9wsSkVC4dHz39Ds{h`$&Bx2mEp)uo}L!LMwh%<7u=-cCzCe_j^6Q57mH9I+9Z9 zO>>ri(GS@G1@@Am7w{;LyGEoi`^71!2I<%>?i+M5{!5gX`^r@QV0oQo%<7&?hhez?7nuB7KFx3Btf*#;YKBeqa`8>E0#23-fl1DoJftv$Or!e zyv;($9_X;Z6%>WW7)cGujC9y{;`UIILAo(f!Csu(&)s7?CSyJ;nVqdEk&bhZ=9%(J zSINhu5Z*I<24h)bfiARF!sN_4J52rbm26;1LxiqQcAq?C?HpVeSk*?Cc$xZR#NpS< z4lxq%X-fO00^xtqCCw0v8d@<;Czs4uCCg-Pr@Nr;jnt=ytJ8qzYa#2+UHj28d& z?4Oaj?2uipRK0EdL03MW%Y<(F290inXIQte0Z-J)XzD@9OnOtf+XG-WN49QgoR-dJ zX>wI1nu-RW%{a~awUN>^-qw`IX&!uAoQk|OoF~Ka%XXM1q?-^wPAY`m+0Kk@F(sFU z@Qp_TQAT;jG?Gw$U&Dx{(=~p%B#nNIx&QMcEDs5XCstkvOiEt@-7TkYoK;%>Xu#D_ z$z5-N?$>xU>E8HLO#58jioY_u{{hTGrSB<9ET9_J=*>}jvKr@k~~ z)penrmgER?F5khTsz*cEC)Ga~$>tN|$}!Tc2Xi!!0CVwmq8H_qHap5M_c?suFy@X{ zpj)NBFp5*<9c>{725}E+y7Gi;x@5aYqOARW0_kG{Nuj^~K5i6O)Ct%x z$z;RW^(K-njvMiRqU5`&m1!uYy$JA49g7oI&|<0G<8+Jmc}Lycizj?_nn{dARBk~s zvsj~jXSgrco2wu%J;vRBO{J?TaJ~GX12bg|l!Dfd5olI$|AavZyGx z6Bp13b1gMzYol&V<~nDts+zsu8g6j_JB{WcO4|Nx+?InKgcS)>o)Wx{0$3GFfmN zBkU#~!2KQ9b=m(hUv@wW^kOJ95*=^{eq#Fn^MC<C>D;1~M9`j~M+2&ZFNlH#d*I^S@OwRb zD7+T)r}oA(i{@Q-J!hI;;y)JhKUL1Kz{88;45N!{WMe`iWC+8 z>*c4eto%4EH-9cS#*Gs&6cQFPR7_rq?g1J&=xj{k_*ez4EFsgOmOq zNHOW0-jrE{`xI~ZVSrYgemp>5!a2PfSI)$o$LB&OW{z3#a-Yb047OvDvG`;oDA_{W z-m^8r@Euj**n&p;s}BD2{7%4Ty@w_$v%s6efV${Uefzx$Jo4@oS}Pqd{G&!>aBMy` zfAMQGIPzJ$t0(~Un0aFOI72p$Jo_*NbLou@ACD&)fAs!EKc)%?P{hQ|?bK_&z6i>%<{aC1U*SM#nKwi;)+2d(c1id%d z&Z-W+Qj%7_l5CzamIA3^_pT5v-7%nV@g<|sG1s_sM>cZDAcG)hjHJnpw+yikbNtxE z-wQvjtpCefM*>?0vC^*b6OA>O-Q`_&jGl)UgVVpWn-=!k82>x@U5&Kro6l!|_>Rg& zwrHU9O1i3jV!(L7$k@F?E;TpQa@z26LRptA%#_O9Wq#7h^GxXueShSX`2+Vgz&NQo z#qc+bu5L1pcO+Sr2_ z?m^uRkA<7jo%K;n?5gVkM?VMNOtSF+eD-!k7bV@gMnVRdq}TU?Z*s4*)R?MMhi{>` z@hAz_?P%hz-(I|EMRN5mfZ11)Zn$Y^@eg&fW1&9}HY5?&Z0I@mVjBj%m=o(Sf^y=T zb7qbEsfwFX*R?y1v~>=mgBn-pQ5oR@np~r{6nNkZuh?#SzfZ(@H$9@7zW2S5V(co- zg|BJtHFi+~@(KUmujrq|*}XnfBr#T52@~U&+yh z!1YnRXtjDL^BI}VSjqxUiKEJGaE||==>5=yCna+j`zZIPhnN$2z(3) zCR_QcV>a#|R}IhsNp~m_OT$k;xuQ$#Eq(O(H`U{en4@dp+myXe59?Pqo@T^D9Th`a zDmq>FihPp!yW*kI7tk>)A4#rN+}VXeKfU_MmWYmmn$Am_!G=Xx z#(e75u?!y7OU@}>oewSIRfi=EaNo(yp=UJc`x?M0o&Y1&ud#e?OIUoR+csH@U-kQz z;BMaTqo`Lw94rYghgQ!{U%N^Pi~R+s>^~0H*(#4cgW~1LDPc+m6)-e_hw?Gq$dc=X zGihjX%Z{Lmc{(v?ifU!@6WOt2nPQa8IhgAs?y|pFJ9-3Dyp;z^=#p$Xdto&~pwY~A zvIpNr-5?E{3_?lpZOxW092{95orGZ*dYkmb);mdA7{d#RMbN3eI0AoELZLEGI(_=CpH_H`r&pEYv55ARV?UqXAT|NN6E}U*YhG%HWVnx%s}oM z>@ZcgeqW(obl49FdFf$cr588_qxP)8h$ZSQ=-~}@rL9@aWR7(;*FH+zAGYaxZ8#t>D(AiGRS{+kK#%Jv6~ZlGQxb>VNV^t z{g(M>rigL^%ameoYWaepLEcW8>v&hA=3I&c6NO< zEmJK1J=$dtL&bZipO$qC2+%|SrO$JTH*CK2@B)}HVNTfRaGt`&jK^x04RSAPihefs z;`J}TCxbOwt#7IFI&b5nHl#}sMtLFVB-1y9EtBnG(ODhK)3PzGSZfu+Id|#a_~XDz z7E#L_c$?qighc>pNf35UU7c;R^E@lXp}UR-duco=c;1TM9Qp^Il9ndd5NElQuDefW z3^)VL=0x_>;WlGe8!aqFX;+YOSm!3G%p22=&MI+_n5L_7eldKw8H}ZzZ`Q0^#9%XA@E;m zu?~}gw07-v<>Rl*^&Epo)OS*nmY!kc)gZZmX*uRC9R(JJ#p@QoO$+VEFBiRtl~9V2 z5_+P>lw~tEEVbM8Ywp7kqmW}*J%C4@<5e6!Yt(XfK{nUO*Bv0i7m0#=R6fOBYYCuk z5~~rko5QGV*fE^B0;W%m&ClQc*EdEPxZ zHio`+j+Z@aKJP4zb@O2KrgbT^U_m*W5$E6A3&y(io|ON%!n+@+xFihW(11GLyl+PG#rF5T(f=K`u+QXEMX{2ayA;!|#; z!vuA-6`vh@ekym5^$^{dTO#OPkKHbZ2^O$g*-PJ0l&MB(;FfX|%VNbPn$m^w4qdXi zSn-z(stH$Pu|ij;AkQHhC=s8i3pYSqA+YFVsJvsfe?H^T|JLc!Nlv7Z8PqYtep`6^ z!WsU%<0*aXtH2SMxsz~kVZM^7E1tt{y*qcVWHN-L+@z1zFuCY=$AT(igBD#M z30VTqN7B?m|1qPj6wq0HI!?Nv(VMgi3i~N9qytrl2X~@i~`PQ(4xWfzPV&7U8 z*)#8z0}}V)`nBl0pTF!7`tAcZo*;_mE3QC8WDuz^6a{mAv*fXN*+YKcP5Q-r_3ktA z_0Gi?jKNY>NSr!;$*_Lz#k-@+cb;4JH~#94M?;HppT-Dz{gn_iBqLao$YI3=Rmc*G2tGRv zf0jH9F#7)F)`!PNZa&dr?+F%lSyZ zlr{sD!GDfdI$m}@R_V2%P`uGs$DDr(-? z=5=td3Wll1{)tXyuYpQ(V!zj| zM4LTXU}rHh6d<^jW$K~nDuIRx4B)yAPD7BYt@geKo52>3oOJm$>6d@u@^-w3CUe9tj z>%WZqnNlpDiFLW*hDrS|jqwob6e=A~Yx%mS@#+l25kD{>T;7r`$On?ZlKtZIuXUJ5 z%v61TGab;($f=G0QQy%&r{W!T9p}E`r1=F$uF-+&ZG(Tyy+@0WuD;q#HE6z@<(#s* zB&&uD0hz^H7Bw)k(~`|j$u@^Xx|=Iqry&zMo_pAZWv}z$KB&fQ&Z?fwlI2@Xi&x?f zH$1b%_Y$~e>vBaak3*@$s2(jxgbji8Kei@>6=`bsD4`=7OxMcP@x{$%wN4V3!^%}v zIVLdRUNnhac_o=TiEk15ylx{Oq&!-!=6$Z#*OUN&RA* zE?_Kv%hII0+KTx^!4CsapzjBj3ebKUS+=3r0+GN5h>IssVHxJ98bO zlGP<7CxKA*EOWh&Y;X&C(L&Hg;mk~w62}-VP9+tcwWH-SVOz(gV=adkhyah|)CA7W z&5rx^2`U{3$BWyl=Z`qMM;Gu*7tGAvuK6C3);ldwlg-eKJ;fcDu)>j@&m_*4D#zF$`|Su$rMG3&P0~2H zBSz<|XRiUpn$r2cFO0Koxg}XpgU7mQj32Jg0cSfie(+jNmvq~;rzP*)V&tn7=M?ANsKr7m8Z;sk?1MbD^6Q9X0RNUXsf zRo#enOkinnlq{cc?3fb&{*KeyUTPTK@Adlpxd;8AB17mJ58xd!IouX}GoO9mBE9Tt z4gapCLsud%%$ZB|ToUU81J%?5;1`d8FF4X7;k}uwV&s};{o157BR8YfqLU{Ts9(3i z9Fq)@NLP6nWt5ot4Tm;P_#Q8GI-!8fF0dDC&F*VZ~myy``DA=PdbBu4PaAa169GlEh+?4*Ib)`gZ=Q zglyIfy54<-nnu00@kph^7dj~cUx-tLj9XS8ZM$`)3-BNHlxt)bP2pKi#jXP8 ztYD|MlV?2O?CJdQ!SH>>oOP({j60E*P3oUcOj8^7dEkGYDPRX}%;|W#Mv>!&E;B`7 z!>;x|$3yjeoH8N_r6w?k-53tp!<&IXp~d=Bq|0O$xMB|8e$TQmqi13pqM z{ggDBpIsn2ubHa+-?{C&vaNJ7JM!MYQ)&-ADH9v2LqTJb<+p6BI6u=`vp|#WW&0az z6x&in2nvLaU3R~F_rilpPl5}+g)GH;N~ffDd0&2B`TnsZp_Leb#@fnO9bw&LWMkvJ z9Z8ApbK$KzK^vU8 zKP5;NY$!l}=B7~urCwWR@@>YU9ScjEWmauzv8ci!&(>fEZ*q((OV6IFvG}QFlDW?u zo*{vM>G^SkcRW^kimHzan}I59j! zPnN|6Um=2sQhNHVqdbI@gs47b&d6N2Z@GU=hy-cTH!pUSc1y#GCX~3VY4$rtZPuFC zQst+8-{|211*IOa`5zFt@<0z0&xky%QSgzH@zSbzF>VB0*G?*V zh$0;{E3J%x=Tn;$f7KARX;xb*GN87P*v}5pV)53tOfsVla4)GgoAX3=h-<;Q7I*3^_Fn%pAT6(sC5wutyew{gkTlxA3)7qH< z<4ZEUw|a+lJUp5CAG06qphZ^ejoaKm(1i~a(wX4P$(nT|KEet2x+^UimL|PYK!5M=bwjV-7s5UDD6Gum#?B^DRa}6Ubku@kkeP`z&8L! z1>BRI-Z^J+f6cpGI@JBqu1~pP_V>S=?lU1u88t+1TMxQ7du>!tdjqXG$N4BGWyFx#h$R| z>7?Ru)$96ZPUMv2#YuQ8Gh0EzEo7u|B*oWpjT5a==1-C23Js|Eaw?5<0kcDLBU9EF z7*adgR{HzTZiHPVS$nf+zgMH=v3r~bz75-Av#m5FDI7(dmcmZ9Sg$BDLDeHpk`q1x zk60@?I%4)~9jBzHsm6)ZR6sG_oC0vK4Q@|!IX1!4IAXaUB^y*-{jf^?_$0V+D$&6T zn%vywns@IPaCcjYYn>luAIs8BIn?xs9?zq(QaF4J-`2A2qw%4!;6Q@7S++1vjABk8 zgwhl#(Q_wGgJE@9m=b~Rk_2$3N0N^CrTF*-*7Ak3GV})&+Wz+LYg9w)6gPCxl*->d z(3Bs258)9SMz$O7Ky(!Ssd8}kVP zM&mVe*xT8sip8M^0I!iI@xtDnE3sAHN^05KehS`ygY;k^Frb1{asbS5h9bZ^d&|+i zE{n~mRmJb~&Zx+?ND0yhF*-Tj!%cBwWUawbf(JB#qj7(p`-VW1)O^?b)dQ(v?l^XQ zo^k3%ckxig-%}#Y>2B3hzh=ua{&>h>O`E`91A?{~sB-6zMc z(RJ~7#MhdR*i|3&C@VTLTd56aer~RigcsN9zwn_%n)6I2Uc6%=@B$bf@|FBO`6JWi zea@jK75J*=8JjXsVKR7?6`n>f!%2->klNyI zkG9Fy4#PHpHYv%N&r1yNa2zn=${n|G)C)z<=e%dz=EJ%kIdf`|9=MBdJLyXHwNDS|K-iF zU*AR4asI}iXH*hX#Eg2uCvK84^)VuD&b&8&&Ai160-gyCUIYB;p8v(o-~Io} z(Yy7~wI0?^tF@?AtK93!2`b`5E2wNDFhs~!i&hc1s~pBLRyo)fbyY%oNXVvyNRBNM zGJzyr+^oUUa#jXaBooT ztD);de;Inb<*9AojLpKE-y6g!(lp9hs9wd0d9V}Q7)C=wN$+dIa|7REM zw;fXfr$)pt1bcFW#CvC#9~Lf}!&-O%kL~f;CGR;T%hzov`r*ZYG?xBm<=+<(|E=(= z=WCY>9Iv`$H%EPym;Qjh6ITX>W9Maw14%kvhjRN}DADoqfrOkrg$P%PWkN-QJs zfk)FwaaUA?wc^zq7tqxy&W;FJv#u0^P7KVrqfGB%cgL77UsJ#|_-CjyO{W`lH_mo> z@_-uNvF@EWf1F;A^?v5*+i!UM6Wxu-XVzV2q& z$ffR~U(LQW^d4#krM=Z(-mR& zDsov)SxZ|9r(U$+X^B*!Rm-2(9>nY-`H2|UQJrJQD~<5ZO?;)@K;+o4^9H}6Q1}-{ zQqm$OG9LIPiiZQI7hYIlGH=XIP zEArYmKgl(W2^r>Q4J@}zXZ2NjEuXI2X-0}58%`6suF($${ksr*0al$(>|k!(wJh5@ zPEj|VRbeM{^iOER&Wp8am5qL%lm1SelJt3^fdkDbULa1()9~Ujel@_dG3!bhulieY zjx|U%G*4`6zxv1DF~I=h$XfU@+cR}}c@Nt=+tgRT{6QD2=`&rn;}-a3|8s*{!#<(^ z#Gk^8=;N0VM*k@mo>#P#m|^Oezih)JIQUAA%CKqC)AFpY^P|kCg@#2hDB#T6qSsmh z;l+bBaj@cT83}I;N;hX?>NiYwmSWM!!tqKLf5n+>>%O2sW`7uuITcxjmMUvM=4ji` zJ^r=(&+EEB1yt1|(glKrNsS>85Xv75;oq$C?6b>~1_vP!8Nh9q@;n}fQ37GWZPU#Ie_ zOsX+BTCfgYw#|^Lo^s-plt+3`H*)@f^>^D~`{_QgASZJy<2>QKZB6^BWyofHsYFgo@qv1> zP{XsCSlNJt-I#c~gzeXXY~^n!Ch6}u@iswklkrdCpqwXYe$qIgQ%t9ibURHrKXP>q ze%o@oW29~;cS65%xR9S=vsiT!`^nNBQ6SLjI@T1^5-r2`Z{HnGu-hUc6VipjQ~stbgiS(c5fXULyeNP8zmd5{dW|Y7+Ip- z2&Us0*N^w~b~5&R9xuc&TrVEzvwTRBn>~a7Zh}cFUpI=p-Vr`v7Gg6$I-64(Jp+H4 zk`q;MyS;n;49uT0qC{eLIJjt~miyUo`qFL5R$hd*f5k)mc_Px0I9MJgdGzW_vakx6 zJmkHyg8}2k8~Zy|r`cYTHWk8cSFAAJ8OH8$_Eb3LmdoBojic&JgcT-<&1PNtOx??) z=!cZnxW`qkgTPMfoz0cPNv!zMuq5)yCZ3)-fw*zSjVTj-)zqmU(c~%MA3V*}i|*nm z+?>z#7fXVb3RGIU^dWk5R+22Qs-xnraM><%=Oub^L0&1H7{~l zNe2sOt-PyN!*kZ~_P|xV=m8TiT{vC2JgU^ji%y%J;QjgP1Ul@Qn;A7bY)CV!3c_rZgIj6I+bUe=;o>Zy2!0Ie)L^SXtj#E(N0MO z@kGMHdT3(;Kj?%5A!&n4J(ZdZMP^{!tIXA_O6FV!64ck-(|pSbjbD|O2yWLd>cF+!bb~FkQIaP;s*bs zwmc*P?U}7I-Y((+Q`kLEw8Ak}3h&~p?X&*oK9k_Q=q@lUR>Dlp^RMnu8{^3*|AL#7 zhsGK(Qt!9BTJt8Uz)0dU=n5>A50-~|Kh*EDNt>&t^Ps~;B6VJ>u6o?j+g*)9yz)_L z3($z-6WVUhqhd;mqRJa_{&iP%IwM)KRe=(YM9K1_WOXevc01aG5j2O3 zD7!#>AVA#0AZLSX2#K0_E-CSpjeb3a^r>xQ_{TdRQVnbivb;_V=i*H|k-2cRN48O- zJTp|x`>j9$KT*;5tMw7ZBl=Y%^2EjE7~&mcw-l>0m<)cVq9T~MGX&A{+wz-WCOit~Qw)4V{wExTeS5`hP8yR@m;~G{C{n{zx z9iKKQotxjuNZ$N3l|Ww)r;fU~vufV)dl<`z=V1^uXUR6I2VYm@EhB025Tc}x`+`Ur zK}th(_4h*Kp+tbBIVfn1TU$sB-s&T-Y13SAAsP4jj2P~Gt$6Iydla zPL>__yxRl^gb@GeA;cJG&dJ<7^t2QeMcc21ickR8?r3tjI{ zp)Y1?HF-m+ssjF0S9$+^bvE5`+bo*Da&|i-^9S^)8xG0Rt(oz-SrQyBaOREJajJ9* zQbs19>A!OZt|qanVtx_l5}?uqCni&tNRC`_vp@Sc_J+YMcmT8D@K5wpbR$Bf{Ew$~ zq2JSLpSxtv!mtn+URSM0baHL%cfrpFu8RmwI5aOPAvCxCQPAnoXw+PAVnT0EhyFqw z^6vHEg>#mKUYQauh+edO^6;{4&EGGKT(-6KMo@@H`19?Hx3yij&EIi#6&oJk%|&yU zZ|7_udF6{%78Ghv?&PMwjc2pPF-5{T7shMc2ObZ4daZBYngc-_yyds!f_8+4ec*{t z4c%Y1VRrw`(%|c>h~0JW-k)7$MgroeK6wAT7apGz^y%cI`3F|GWD5_>x1ud@+X|<; z-K37B#^wci81y?5$j&i- ztobp;%6AMaUTl0eJCom;x%TqpDUR}~Eq!uP;7|j%=e3UsVEKw3#k!U69(=eQ8m4s3 zF=mkYWtoF{tUx{#y&;(n;r1x5JtNe~-yXO~e}320z&BkQLs+b-rKV}7=C)dQqmLsz zyNBuuineh+8LVySFACXfz#cRycy=dtJ ztqx<|H(`wC?5B=59|SL;6!+z*K}w$_-YcQ zfLhIWF9ULbpJ02(I~>B!@^qgOuRIE5R;jkLqR?FZISE7DqvhkcbPNP$-*L`KsK+>M z=kEQ<#BtwJ^?7giIaT?P1(N2k6*a*ch4j6Il#XF>Q{9pTh!-EN`|_{TytrGubPiqj zRCGvrBw+lngquflcCYx!(L_5-@^BWaLt7T5a^La<}KX?IRFsG>%IQFQ{7G@K^|E<&I8TuZiTCs z1Kd%O9ii`>j`KnmN*4@CRrApfm_NtSMl@RlwKnDbzOuGi({ zfg{nnBaUt?Gp-z0A#RX3gW#r);-S59b2x7w6l9m(6Eqvj@pQkRE1tjF?E7Wh>>|Z> zAf61r{7h#_@{?qz9mVDAaSZlL#4-YVTb@#u(8(r{4l;Kq=_KPQ42T*B5I5(-^Ly7_ z&QtH7hlVQzIvdNsF0Tvyp5wmg)s%2jWEGJ7^V?ZSvRe8Ka-GBNXYiEIPVJ~54DS};RvPiJC$D*?<_)L*)plb# z$gF8=&Kvy3y^afLN_1vs!r=w%u+3V2Ci#BN8z&5xd}%gDGMdB<@Tf0&ooq<8IjE(k zJ2H~6wN=8K9ye@jsnqVk@64)GjgHIs(FrF@qr)}-vUNJQwv{g&(Tt65r&nZgj)@)x zU&UDsUgwp-8jajtB+co*TuhKGI$>t%lC4^uMBr~o>@FX(hcB_LJuh$JMGyKr#eLlu z?3U~jFq9;TVywJpx-azcFFQ$)2D^8IQ+x_ofJYDcVXg!9(dG=De2}_1=<)Kljk!^v zWfCmK0peza$6A-mH5pz@hie!bf{hY+Rf6MjjxtsLdb9c%W*yrgbAx4Llv*<{`d}l< zO|;Bw!zsj)jqDl75@5CO^3+mNtl%LLv0XCVjbsi9(9n|RH6`*>@g3!gG+mA#Atczj zJ}m}{QAhc#K^SFhz3kQ^E2}2-`D9e<<$N67O$*QRM=eHdu6s>2)YOO5mml0MoqdT8 zEI85fF-Nk$+sCCF!`X&aK9}w1qZ=hUY3@k*YGD(tm?>^P7j8y4N%ndpYz%q$$PX~r z;fFCZ&zfY5mYZSZAO^%7oh)&7WlCD-Ig|@&94M(kcGt{#qYr1O$!zQbN@sHltq*VI zL&%V`@oOXMg|=5|WqMz$Wy0r}Nu>hBj-JNPo>%TXGV{;(|L{N=y#lV%!)?Zc<1(G} zM02H}Z4lWceB;Xq{6;nUbz-mj^agV}^As4@aXFNdlj(z3n4que>;8 z3BZv`#+QoAPOb^|;SkT-px{`Kj=-&&ol|#$ouaz5hAqe#&Ut|13%pOtI+e;YO-s#u zTF9Yk#SxcIB|__TPm87)I75vukcMyc7ZEL)8$FUd28?s(b3 z6xBsVk)`~xuRWjJ*a}ruF6Mddt;wg&$t2y$FpCe`D(Ca96^oAC?~G}j&|DnycK#rl z76fhZQ%9P^O_oKC2)`yF{3Ds+NB52)t&gQKc=)z^cn4jTkBjVKB`m)TM1&TUt$K4Y zv?+P#jg~|GKLT9Dxa;Q$nhNrc>gq@7B(8W9(>Pcc#$jul*8EWU(LaLbIqSc|ZNL8O zZ1(8TWeu8A-_)w^kYvL-Y8$ z!fZuXxqEDF1;iNHbgK6FksaTrSq=n928Gwnsk+6Z>Dg?2?)}M8nu(zv?H5R{2Fg00dfN>!XGh#8R&w z{d#6x?{sZRj`uO0ffH8883W?wiYxt1b#!t(Rm+JfpOXGti=lH01D) zn@u|T=Cs1$-V-bu*q)o#O}g$v`s3T}%#Amh3MHjskMR32|w0zlOL9h)s>m=8&gi+(lzfeDbw1r73UuRBlZcKBYQJV6)|J%WW+8ho@>VA z>Mf=+ETvTUh_XT0^zeGoiOx%-|9+vU1HfAM$WKfCtSetwE9UdzXiv;iUQ?=uhKfkW z*`djrv1~z?A~}9OXinUL&(>3hHJ%6FS7vVSCh=DfYPI}Z7VCeD59+5q{0c1}p&B9w zmlk6E=D_I9k_;+e-GR4HDjKXi-sdZ`zdvev^p3{OZ>cgk8LZ5QZV$ijbuiNKf)wgT zTRW4%;k|Ce?U6n~Db)k?#W?@ydwseX?BJg+X&HUvjEfg7y0;9NR_uSTO$SU=`o3@3 zX>_6jgtg6VC-}#f?hA})f52?E*3)@S^9MeSB^r3`*4DDu<);8}C=M-RTd2ew^* z6!i6=Res#ikAB{t5kD`oo>%AmA~0aiGq0l>GIagB!Lh+1zf1~U@1K-o?*(%{m=5KH z?!Jt__;B#MF;l_Tu~54e^rhnxN&+Klu=G(bzni1P)9kA^WQ0(?uvVZpRCv$G(dQYBK2cfd$}r`q~od~Ds{8LSP! zD(dgt7c%eellnjH)6Wb9Ts4jkuMJv}WZ)e!{3&R+wx5SW1cmWWkAkWtdY*pa)5@$T z(%~<8FqBS|5BFpJ%a1BLlkR~!F7YgQgzKcOvXL;zEnfWUd?FFQ1OXL|k#+!$yT@AA zH%~0cH~%1hFq>-%X2C&gLi2NJ#(SE#X|ZD~lhBPB`GfEP*nE-tT&rKBM~6umc;we6X=|-<(@wQ# zi?$a1<-2`$V{wHxoqd}Ci*VcVD4G$ND$_ z+@~4Za49H z*1UyMBAYJV=1VGX#`4QitIoNHUje+a!xJtb2V?8y0Of01FT??lM>7!!rjbvHzvl2B zH#@89PG+LAWEhSQ^0XY3^aCPu$!+kZi>jK9# z!$ZH~cla8MAnjpFrUKoP@I~2d{twonido`tm2S+sMG0C292K>b*UX0tM0_tvd1*5g zjeHd+7JAH}Qtz}cY9u!=kR`}@(SOu~Qw(Gdj(wfO6nQTX0_|X`z$y1s_JQDE>HV z>F0lo)XA)z4x4LRf2{8lU2q#zz^N5NN_<+s6Udmodi;FcCh9s4X4+nQP27pPomr7g zJ%_)XE2X#OLGK~28S~rN-9>AFj)TeS1ugL@>bsP>qbk-iIKnRR@vbL=u(+Z0)ffGb zHM&s=pDheQM#&oXq@SOSzXnMOzfO`{)`=5|Z4ztZsn&kqse~(DbIyA)QN=!OBIL=B zo@*cHswx)83TnSnnsm-&e#Ls<0PoFS`zS5`QoriR33!(*O_V$_pUyDp(jL(Hwkg5Z zYuJFYu%NFPI|1J>A9ibF$2mV3SUxkm1RiD19|$X5jiJHMO7A?U4lBW8SCRmCgIFVj z7D{>{Nz@KA$oS}ZmDVb|@cGS};4(}v#_^hYlMJ)LJ*f+fw4HnWv(+<)Z}t4@)v^cn zB+Pc4sugDO6#oyYkPv0A8T~6~Tp#Y7*016+5>XLUw*mBMDB@Ko+T%fdw2t9z%G-mL7S(t0!G1t;;f?U(I6)zKBxDi=~gZGrU+Ql}+=XI*W}ocS=`p;5Jv5YS>YfWV9}WqrmbzDV=%KB}3tI ze;3OnZ#&Io3Cgel5aPY-xDcmA)>@@@$Ey7P>FenW=u^Z8&la-~(`yn$nGgrCZB$JC;v=fT=Xuzj zkb->J)M(EUdkX)5tS0xj0}f~1&hWD5>EQdi8Ek^A5t)+aO8ux#0!aAU5#|G~xl4a{ zh6c5De$gQF@hE6lE&XG16 z396sEQ7TWw?1_!Uj?yd6;o{B8z0|9%VK`h3ii@LS-~On*x!68%M&9UFE7`vb2GQQAVgtsk{rK2S=TZ4bi!kb*od`i~ZaH0z$4a-?MI=SNBT6P-BZe$6swHuRannwE9mZW9% zciNKHvW@;qApWi+e)4X2d9isGNG4Oo{MkpaDk|70BWa=~qKzAMH}TX~lyocYgPt*) zmKu7)rQa)t6}VVk_g4-u;j)9U(bH7GMsv1i#-h!ClnS}SjRAx^f8)4=_iI?wUkA5S zBw3kJRv>$kT;Dc#XQDV<=-)Y@x$g)mwbRuL#uN8C**2yzwxng)hQifZkO1t%$fUyF zGi(#y5FGKc)0DV?Y-f5KXLwPXXrSmi7eRShhxE&*s znR;!-p2W#17;)0+6DHp7h!k3W+if+eTFxq2up{Y~2xdr`2{;(9U1@hR^4mmK>MYtw z?9=V16$zlyB=+&|?QD*0dVG;;+HoETkK=O>TQlu_laX0UNOBKgV$xrc@UIO%69y@v| zNHC?o4~$U#h_%*mn!3u*N$og4Ldi!Hux)OUH{H^BlS#${4=aBa1}xbE009Aqx@W<& z2ZG_CGKSo0I?ll_4-hz_e^;Dh-%N!zA5}GS7XxXA zis4LYxmfC*YUnCcb0?IuYGu_Fdxnt=@Q%mXEz5f`)k-qp1}L^r1w(_KI<;BRmP80K zR0^HZ?aXUc(e<=nJp`GH+gX0XMlYHXuPJGh(bsdy65q!xFTjd?woK7o{s^6S@)lY) z$j@TSPuX$Lwi)keV=s{iKAX~4UHxjK?A;Mn`n;X zwzZ6W*$Wbqd<4&VM;3t}QHvA$JAc>^i5J>#N%pF1j@>P$pDIkQUxdi=fK~#c`f;xR z4$fQ`5k8y?Rfn;Qw?U7;?j)!qY`>1|=uac$WPfo8j6)tQkZm33MXW36Ek(gGEL?cR zG)FkM>oyjcMtg4GqGj3Kk*QKJxkYwWOQmrf_kQisV&lHCKHgt18$SY&P7*KZM8rTA zVKh-kB`VcW4gE=SI!w{Ujwkz=?r<$3T$5y)=L^vU^N$(SWXe$NktkbiYRA zz4C?j!68;#-kOU(2~c2W<<%%f7F$DnX5)L^nwie>UZNArk(3`qk7}bOnTd@z>QA+nH46A#S1iKUyb*e{7RYCGb3v(3-dC8cJ zK6;R^c5@jjb;{eNceT{+V4U5!#`2J2MD!S2-m`?L=X)crJN}%wtKj1jq`OMC^1AI8T7oWX2HS*;v_Ng z(`5x-sqf7`@=6gPo{=q%A>4FhN=Ep{EaMsue5 ze!;fwvz+GVSFE0W0y6E*ulB)_dp#_VzqDMO`p+j;e|I79QRSvbr^aVCbsl*1$-XI( z9+VNVfiT;p~_-_-4K9vHbz#Kc>T& z#$vK|Q8#73-R(&O!{pg@A1(Vi36tKO4Aa$To}~jlo0&hMG0=Xnr{NhvmrgkF&L24i z`-fMw>HcCn+B{U-@ZU8d3y1z?iCb_uSx&S5c~e-tRUHyt^H4EN z|Ft#bO1^s9B@%$%V{Q>~h-pgn#3*`pteqEPvDnO#hL3>{(BNtc$@ zbzy5-S&NcUZbURWPg|u?3|HPyM008pUc8apEg0Q`Gb_v!KRjhNxUa+a5!TCvKQ!WbE2QD+CI5brn z+y1(m63R|f^0AQU?H%PDx-k_cBJk=%n5YS^)@-705P`r`ex`jvBWgx&5C#B>EOKe}J z^Z|y0Jn5I8{#C!p%1g`j)*aX6ccu-Wbx=VknC&M$3%?!YEx8@>O^-yUNL?uyo4-3U zVdy;;DR1OO9!%Ffxj9FazoHH;wX^5T}=ZOA$q z;DkL0{r_Doq@e$w!#{%mwUXt(X4slz{MT;F2Xu`E7Gz7IV;ySTquJE#|&{Kai%s2m@4-D1b-LJf7)?|d@B2|ePv zT(mqS57S>q>tBx>VZ`gNwP$n{Df>Fg4=OL~a*)&W(p$(5njGKZ1tJm$Y=?em3!Fjz z_gQ)d=$AR8f(oF-Z z=?s^AdtsDE;Fpdicb!*e){tgAP<&eW@4)H#BkMya5rR`)s_nYKh5OKeM;ZX@Sio1P zt$8>VL5`gTEt!>7r8#N8kErq|Fv)*?o$?me4wYrG-OXsUc`y6$3}IP87z z3>XuV{qwYDbfZg7SIiCycBPM1ju?=P$?2$c;%CSsQ&}oVx}JXFjmAVM-lJb!_}+K^ z8XYpa5vv6bd1nt$|7FkTFByj-b}*HG;Bx3=OF&#!Oi_zx2C4UG-CFtt{jSJL)$764 zECv0k>-YR9d|z$dyS1P=4)T}ok^?Fk!4G3Yjp;7WL+J>iv7=1RAKVXsWq|HM zPN&S$QGQr>FnF8@@Mi2oWaJ|A4Aw~R*G?3%3(*`~psPQ@glJ5<&K-6aKf{Gl+k77# zd9(N+GYFuCqMyrWvMuCt2S}z@c<35^)lYSCfeRDIGz`-JAh?+{EV{VUyz?yK;wbSE z@`+bA`b$v8cbJc$WY&>h_4xX}jtipG?AhXBeB#!s$F!>Qv*|X|VNNCvM+N-eWv`|( zK`fY?W&D`0cwr*?VNbXbTD5X=3My9rDyz97X7ezlVj)xGj)3Y$!*Z5Y_utAHb>4u= z(Zhl&%W$a$sf$o0sl2)#ABbBre{~r-mFu-KtTU4M1o2$)cDA4U0di!X<=+mGs#PN7 zHAqj7a3fk|e~h|I`tR__`>23r8nLWdjnsX?o}o*$K|g)Z{TJoL=f2;O1G;Z4&f(Mv zq$mIwt&CAgw&^@L9_gPyBK@jjeta1t{SsB)$tQ5G4mVRzxhkbIl(J7n-_YJ_ p_ zEMOmj@AI0xUZx6Gz0 z0A+vN=JyqKzQafFpvN=GNDah74uQ!*m&N)ZdyLKKgl^DhO2>KUH`&Z`{Ly9bnE_89L3B1^+(VRu{?d z3Q0x*=Egj~WDd<=*Mu>z7qB*Ws*+Gpx-pzWosoBELgM-0mP^M;evXvO>Hv@yLPhryb`5`V^Vj~~ytiY3IWj?ban~<(~ z=j}&UrogAD-9UcXU7_v|JI{u2Doe+_@6f<>4y#xef0wqmza!g`Z~C$<4Jlfe;f^h? z84M{BxO4ELQG42t%E|IGZ1qsEcZc@jhkUBRX=0?KI+^-+-|*IR+=A$XDLS#sreo&W z%g)WeWeB^)$T>~CuljEfUxr{PKQdZTUufxyDFKy-?mmE$NQ4*2D`te5cs817*{QUp zTb1tl&POX*h%(&HUB@;L;t@}SH1rcfJf0++GRcgX1H4ZrLavwH8U;uV`Iaj_O#!Ad zA8g4cT9i0Sb!{T{%vzlY2=OX9k<`Dc^*i&*z;W4ZshFSOR3DqEi;m<%>KdP*glPnS zWEDgwk&n2eyfraHw5Tv`>FY|y;u8};E|GVw%;H$C9~<6rX{hyJz^U-A3o2u>uZ*C| zh=_{503}mzxP9-6v%t|0qf{Q;c3#nqlhd60vdg}RD9j}ZPFE^o8|?xtpL zl)$fHh5*=O5z z^%>OrTW$2scHIr13+ev|R46W9Z)0R>shxdr^-mQooW(St)O8z)`ys*r#J>zt~{%`2!s$z%wpI`gja0-)h)p4ZsX|CqY(TT~gZgtn@&654v z2zN?LoO^ZH4lno-G(ps6_?vv_8U+m;6z~#6wj)%r+xqP;TH>@S&-xCGZs8W-z7jB2dWPLI3^@qZQL5N*iez4h7` zY|F!*+K`1)lOcU9(@4*4wt|s0Pd<}4*{3X!B+hhKJMqjtiVvubn8RI|#G?@TQ4u<& z#(hLdHm3Y^g-5)2D||-9{52)B1K!f{T;gwrr)HQ~vCzkJxOL5Wv0<;<^Pdq=ij1cN zr^&D9LI5Q*AIW;|#J~9F|H`I;i|-=8x#BK*EuTl~GbH?OV06&JtAVeE1P89K30VcG zZQ$E;gQfr0PUnO`yoAp;_`(0yPU9B+9(m7I-;-f8DByxW@A=ddXt-Q4vkQ4oGI564 z=dPc#;%aEW3KYzMkha>8-q57Gp&!OiEqGxp z^pnH$*F=Q~7yN6%(;JFx^DFZftd0qOHb}ByO)Q*&iZ$mIjX{fDxtKo>e>eZ!?3%*y zZvzoBSyNJwYfU_N=y!+r`W(yVDt8ng2%bE61O$ z{E!Vn@ey}DDo5!4_#ZCq5e23Cr_H(jtN)%n(wc{9Goa{&1tFZE78rpOUP`bG8F@@r^a`PTX3lElqz>s~n4eetotOY7jWelTQ4oi3R+R&JX6&$7PPmZ60xP~f=gR1LGKonO96^!xfjS5 zESKPlCr124&tt7iwhdHBc<6H5FNvpP>^ZvDD%Tf_qa5>s;b) zxBbAR=W_@pHm{D6-~=wtL6;&p$KLARwlrE(7Hgid*3ou6ZG(P=It?p*gbM1dPs~Gpqkm}C!=&oLwrPx@kUI?!_gekQW{go}!jd`<+ zw`KFaK{yN*nWZnvUeVf;P+zST&aowC7aEEw@rGf*fu_f*v^A#W?$0a7IP-it@svz4 zJg<$7CLi`7{XR_x-&OZWpI_7b^rDM@``3snj6%X_F;^#6Sf-f&I@)HcX)WndG_R(= z_>;VoYEtRk3Qf&A`Ovj7nrW`-ymG4@!TSc=ekupH<#_eQl*@ssu+UNsBo?TF@DuV6 z7t`Pu2dV>#OBZidd7f-EJo|?7QlR$U+Vc*ZzPnMsV@OFWGfNT8nyCoGm40?M<7gal zegRV*n_Xzuc2tcfTyfgXwT!~(&3EKf+_4?v1SE4lerZW2f9G&2x3iJg1HWGQv+F)M zmcu*LhL7O@6_Qw}lW0u5WA9M`TjS|lP&5T^^*X>w9e)w09rX%zAHSmj{g69yG+9=D zWR_WXFH+rw7mmN@uJ^H@%-8;f`>tUdik6a}Wf|*qpTa*mY|~_j5|&08NpJEdokI1> z?N)P3Os@7{gG8lA{?w8Nw7k#*y^_6gH4 zn??m02X!~cij35pR7$=z0Y;vsU!;Uj3}%Kt9;IJxZ(|fqw2;r7n(p8zE1vyQz6EVt z(m}dmKt|e5<>@I*gN1Chal9oGRzK6Q1GU&$>eE{Z$%bH}qWbNhAfyYuz@KI;?x;u` z&o0FM_|WWLU#q|HH>smy*5J*Dj_kJpXY`(&_I;ZyV8kcb{9n_5{jGMlJKr~s#plh` zJZJecO!=M-YQd+krqYr<163=pTTSn`!t;|Z(DA-Rz}3WQMHOdGMTk~L%iWldte(yq zNYsx)hQ}AK98hh20DzoKH{sJyOTRmgePKXPfGdrzU%Qq+rxPC|~fZ_k~Z2zc;R& z<`7W<(UH4_)Q$D26(H+{nfQ^}WGo|;dO;*Vi8th=qX^_AOJj=+N4f}To|%q!9ubyv zcvm$Sbekd{?>vD$mLbHbCBJ6iz>INQP7x>Yzs=Xoc=?$ee%*!SyPV)W)F7%-Yvv7` z3w6@MDEjBV^t#1jWH=N1RTm|LRnKg8(n)O_HuC>!zs&@iFy0$iaTTx_c{2FBxV_Rf z59p6=FHzTv=^KTX&Pzk7!VnYPLc3J^W8r|pd84faq9`frq^`TkTV8B;jCPyUWxh^5 zg4EZ?{0s7~OEUN`?SpU$`m;3@3KuKylLmKR*+yFc=ZaG^=|MmeUu{U8EBo@j?|ARe zRMq!VLFs}XDGuNF$jfDPjs5m?WtEey_%Qk_!*ib@^=gc5G~;ZP)&`=R`gjc!^%)~6 zD5I}u|Hs#!YLl*yh1`|e-P<^U-3(L^9OCLxL$b{UwdVY6sdK{Va!Up1qx+|8E5T*wmW>hm;KTH?tcXgSPe?p045cG!rmfjCD!UH_~6B z9U(cX>c2!TVE+58_-t#(xL6&Hxfy{TGS1rT!s&*uDkrT&JR*hO1EDp z2dV|($>p|MN_7v7S+rC}PI-{K+YT+>dMhvI->wT^Dw+@qUhj*KCq`pwwUTx>>2X`;-F8ox)pj5>981s>&n2_29c$ zvlV@_+i6(}^Dw-)PMM5sf}%-%Yy#P_ruJN-ItyjoW2rXffvxQJDgoe{hvvWFNmm8z zTc9*!k3=LC_{K#f29^WyGy#RZWtW;nk2Wol`E!ZU5x5DDJGw5M!EHjEQw-{ENz3?8 zgl5Ss-Uwq``Tg0#Z_#ma>kjq)cY9XaeU}XlH9^`wWLizU9h1@rJ^6g((VF1cOcadv zc;xu%@dU?xjW{Mp4i8q%7nXEZVl0(4(O2)?Zz;%%VwR|t<~5L^__`G$KTl|jak3qa zi^Q8Di1e0>FWHufQYilNqvK@1jTk6*+yEy*1N~VZ-e~_Vt>)OIU6O@rS4M_5C9)eJ z=(9lWX4tEgOtZfZd8D^-8-3GQDC!nS1<|*f|LAGbZA}=hJ0aW1&>@Dl;fp)8RWbIh z%nNZat{YZ+9k!?GaFo3hI*Vrsiu|i3fwt(2-?_56p9beCI1; zBdy-=6WcJQOxw_)tHm}deuOijl|YQ@&*B}@MrucTO1Qc<<9MI@TUK&DBOB=2=t@KR ztg2Uc<0`W3eO={)U|1kB#L1_A1tB(r?0!+6<=_3H6M0dixjC-PN_Q*$CU+Q0;7N0J z{(^sZX_U|JC(?K#-90sY0*>G*UIbT{S>P;HpH9Jdv=z%* zA#w|XAWfo%{sS>;$xpUn4|p$&v_c+2VbvgZ>7*Ox=O-ihw z7E5vkWqEiI<9hPu?s2nlYfx@Z+v8LEDMPe09?J#%KP%6$PN7#>#rl6X$#YHh-^8OBy{kTBpOz)JeZZm^B0 z%(G#WNSsgJGD~N<+Y%q3fqw`}q)sBovfW`Y)vX(m(n&C-NLk0f=KpsA7`jEqhW1Wv z-qLGcT4X7bOoR;~(E_>{^nJy^@cX4)NCXiZyDPFfFDsg;G!FG9*F>dH;gEwrr6j3k z>j*Jc0y9JspXOxZkI12ATyznZX?f=lw{H2ONK!dpS-o0h74+QPAApU~d6t5;OY)PW zOnDFsX>ET;o;41ZZ+H2b6DtFKQ5KYKXj z3b}qx1}}XkX3_HRLAzhHGBR)R)~J9@OP8%Z7PD-ZC3wTSwcNzThttNxF1s3o!j3Io zckw$;*hSbReBTD{pSJ{eFLltm-Og6+^|_pYYZr|Ga>M_8-Xq-Jd>}_BXi^^JQ-8bFoZhb7eIl+U-V(AG z#G+3a-f6|(2n~jaAl0n{iWeO}ZOCn%MJDNH)M4!sCy^YDa{ET0VEp@W@R#N`PXDkQ z=N{_QgvR{=sAWS_S8-+gL9!u7&d0xsPN4(5XL=g8g)y*y{$}X|>eqm&G<03wVvMza zM1`EX*8HHdVhgHLkue-UV7`W({%}c3&yEsGk!t9g++^54jNt0VV-*tJd&OMco4Ttf zGA~_&Qd$Z!`sHgGNUo-0(W+A*xq2lDG&77%CS8+y(HgW2MmYw%&mZZ`5TOQjK>xP{ ztmte7v;wc6;Ncu&d)yl0RyZ!?0^RZzaGHT(YEn@)MRb8sCnCS zv$TIjM(O2snRQ3`^gK~y6z{C5*6OA7`_0a}3^dY6xhth{uXr@+03Pm?{RLT}k;sL5&xDvGat&Y^r%Gk9VZ8g@h++|UAGN{VR zS0@&&t?1L?C0`uRvExce?K*#3Mkx}ZMYWo{D$lf5J6mD2iG_3`cOjx?ZHNM~$Xt-F zYs+Rs+tO{0NY;fGr1CdsvU!UOtUZlx!>Sajv48$iQY& zwL0m`GgM;+eZx94^A_oLCS4Y~M$}J1c)V`A#fzS9UAC=gBlD!1Y8=U3S7`6=xPT5n zwgZiLC-Y!sF79}zNL`jm97Qaq5l&0jVENm{>IaQV7AL@%j8mD-P#f&Aq6xeB{az{k zqSahAFPvNPq_HgX!(W&6Zu#LMExo1tRlgJXMcaNRfQ7nNw|>>|eZ%We(WFNN)HxNJ zwvVoD7ZJKU^JhO_=102wpl1KrwVf}?W@&oCJ*sXeE-$IEXLM+Ko*Nq26g9o z*`qC5a<(^;(r5I%4THo*HZY#DFSO90%9u*+7|u*bNPA~7KU!f#^xsQ7M`=2L zyM(FRyF&oPZlvzD&%WWUV?ieA)iw5-3#pLF0n=r}NRM>du=*Aw5uAAt%I6>Vcxjj| zM=7?AlXhB@E1)S!x{bc!N6YYb_G+$}2upvkqe8T($xJVglg)o&mJl~*xKjY+Opt&s z5jJob#k1b0tbCk(Wc$~7cw)NL9Ty&f8w_aI^?RTa$40-B$E#a)`! zE4kHthA9vS@gP@cFn6TyluBpI~q@1&L@lcN>2`_o~npBW$^N&8>N&`zggX? z?J!Q%s5ceU|846ss9OZvuF_it#!&FhWH>!Pt)+w<)}rNFMlnKoIHs=h2+y)@N!3>^ z_+pp`yDx~a%8kN#6zUJk8^@skzlY^4^#4EOAHgH+7U=l#!fNN_EIIh6-0U2xx{vcZ z$5idMLk0Yc%2P4V$XD)moKoQDqeWcTz|imzZ2dZEUndt?5CcSco+5=dxwnOiU=Lz>?>y|CDk5kiPt=-PP_UB;yi;d}Q|Uwtk2^$|C!#Y?sBIW9nTHyhk!aPr6BGnM!rW*E ze?-MdV+H>0=HC*=u%1VfdPWlCRG>=lkY!B;@@+ma7^K>Rs6;iwvFAE`g*Zs1BcRMU z3i{FA$lJAnud8=mp3&V9p&(K9@ozkCo&2y#aHz2tre7s5gR4Luish=@J?gb0-@LY5 zSWJJ!MKqvK)6$P%uS~W_54W827Ioc0Y-D|?)57A|N?*?t41vkUTdYWqVs_M24 z-WNm*Ueet_S!1QZHqg_MqLYrO>oTTFHB4iZ_H=I!+-bd+w^_Bhsnhn2U#!=YP2Y~nV#eXqlfB?RGGo&>T8ZXrR7C&Y;N9?85edX zXgwVj?2wgdn=9R=b+l|k;XaaoS!WAxn+P6q@{WV4hA+;{=vRH5jhjd5OR6pHpBBph zh(^o^(q$jf##?rm7)KeY*>7TPNH8}(%gWT&oJUT>p^3f!Bz9Y5btd?aC_7vpn-tiv?c*TlS zX*sY~d3d*x4X9$l&7p`BvJ>1?{j{~^<4l_-e;6~XdWxx!R}%U&^VyF;)%vJ3^1ak4 z;zYQR{Hq0~UQmYB0)dt1tz{?sR|{2Pv68C(GB%O-12jKE${#8d4`Q8OOnnOMgB!O+ zMOHj5Nlw@G3^0l#_q&_N9o4d8AHRGLI(6#5|N7j5CR$e@ zk0j9>BKo@YUO0{&^+RPD=#C@q<#gV!(}|0oxhYIuy45&IHR8PLKSoi)&1Uh7Jb%kl z-7Z0Y3}8ZJ1APx0FqnGnu~(cpJXCxxG4wkLN*H?(cp}cvHxssR1}|KV^Ae2}FWl8{ z#V6O%fo(12`}+FpZi`{{i6^JiUb1<1f52_0qtj}sKWEX$thyHKG<^ukp>qP5q8TpU z!{jSxW*B)ko-kI<@2yCAcp$h+4iesT#Thdmh*&Vmh3R{oiQC5|qTh4=A6su8*5sXc zaVrL}b?dY)bq1@ofD2Y;x>-R*9MKjk%*a+nV4hlZDq^N8P?kW8EZQQp7R1(sSRr7@ zrbx)JgjCB`xR(xwq=FeU|He-+y|QS~ZY6_x<~R&pDq{@i(LN zp8?hT=9`XL5n&RQx|+@QqGSD_5QA8*=u-mpKZX%^_ECa;xVo!Tek_ibjdryj+0xTiwg8KU+3J@Y+N4)#`fYtZtS)F)ag|33pzfCT8nB(;^Q8eXt8E~< z*R`Kv8-?hq;sC~wlDca;I@wQmfu0^;_uz}Yg~m--gS9*jrZ?@&dTNwx||+&`K!x= z^{z8PHdmS0wI*!IN%6w6A7=_ff;m@t!wUoaCzpRLS!~oV+?M)ix>+!@ESvalMHnM5 zc?i(~+PJJ>nkwC}o{qdM^C2vDzu9LZmjx+_H%*@}9l>!R zwJjfxG=~9X7yx2MhDRx`X!gk>+e{P9N{D`CK7ae4OvQ96Jhw%i;&tLKsGtlAT)J+2 zAYl30jruj+)I6=DYsE{gj!boD)#tw#QlkHO-Mc3r&<>`K_%3;AutI6blN@5j2by2( zW3PSC{7MQfh*5i@(PfykJGS+9eaj0vx80Xpvk7-aa|}}G1$=NVn*XX?veMZ6caSaQ zRS#$9PBp!IZP^=&?afJoRey(nKF??#vo9wL*?sGA!+djLlbG)D{C zMT)26jUb%Fowr#u1)Azf&{qbTm$sg2T>_kZLoFI<)IAHn{>zVH)Mfl{`)Dx&Z0;wb z=_g~`wcV^(Yo^^sws+PPda~C#{aIRCt9xIh>U{(2Ac<@fRe@dNJ-Vu%Zz!G2N9`y5 zO7v`z4c`k+g6MI$WGHz)*zMnnggU z`987B0#w8`18q&RU3VfLjrPtgpKvGMn2{sH1>g95@=gAkw!Pe=#zv)y)QRs)~> zz5XsOZt3oM;?n(psew^y%exEW$RLv>dYQRK%IW=SO(~PDK?~J>f(m3RPt7b^S2! z*&NSE4ZY9LTJ&%7$Rmce=?+dYA8fwUnv=!Iv+VB0<@A9UajtFoY?P2BS)sEdP+l6d zM2s?ajoyhkl}dV6>~ikA(TPjyGa*kQ>^Yn0kB}m1UxIU$H?!NJa31GjH;>d!^UUNl zPa;cc9sDc=s#KQ(mc_jw*!JzGBN@{B^i~}5eI^(&mvtA{GSR0}FS4%3yPl{reCl(w zQ>x zylRcmqQ(s~Z-3$J>FlBAe;KHwcka?0Yd3g>fRZ%%Cc=%KAV}3jdHn+gx%?tc4O%%%0a$Cf+Lu6IHLETjs&g;S%Am89C<8)fyotSHYhjw57~nvHdQr7J z3UxMXQsU$p&oqVo;ybA|FJ6s#r5Pszz+*jg0L#HrS$DfjmQ@eWd`-m69-CrlL@60+ z&P_Ug<)gorx?1!)TAFS*xau#L&252`6HIOW%s8}0q;K^#GU4B~N9)dRk7r!7zc7B< zMY$dtLK^h+@&clAb+!B_WJp%yg??=zBaK1h2eYNDzb=%NfF`mPrFgBWSU*EkU@Hz1 zKY2YZO_^E!0HDc9)4H4%3-Dfz*rB1T=uwtof85?_822Tz)3|h47A`6OSQ! zU^M658tgH;7>ByVXi^X`93k2?q^SpHhb39@l&ihT@W~7$Pk<%O{@vO)BeD1vv8_3E z?1kv85WKq5wuzG6#u&l+1Y-8k*m~RwW9Z6i5~)TMv`N;MJ0bdQ?|?;n4cX~PlygsS zX`aNFPMX5ywro8t=FXEUC5aOPPXi75jNMdh8##fbntnuUv%)3D`_J6<-#)c0;On2p z_-D7*Rer&L4ha&6G2x=QG6v}M22{*$ui z;fD*3=+}B-_>G7W92u3!SZT5@H>BD^eaNbnp``}8$`Z$}!lf@topCQF)?P-Y>SL?) z#HB!g=PLwndxDXrq%oDEP=e;gFQfUT$FxN=;v`t(Z@t-?Hx7!c$*GKSv4`syRro0j z9gSnPCErf9Fs?*|h{&ps6KAoMTV#Xbvlbi)>vU_BsLTt>gt4=F9Pg@_{6Sswf0~g>OJfXMcU%pf4&U2g{c! z<NK9o{eqxkN;WXkOkEpK zt*6{KHqYHqF;bkjHoC!LAJ%10q1g!c(W8b>XMny56Xv?vYuj}v@6xgLE#BiKFrngx zw6LpdnXkuz#6DSHHM{br&8*x+?f2_J2KL2HTZ56S-0|>zMz_8{%}x$ zoa}^ZVtg?L!}e&jmgkh+DZ=U=k{6seHeURc?aEa7>mla4aAV8FrGOu8UwLuA`$rZf zZTNAj;Ea!b@gX$d4%8SQbV7>ml;1@5kDCwWz&X|k{Nc1-70MOt7Sz(xC?LH@AEM)m zh$u2V?BZCN*eO32?m|zqEKW#zJ~LW#gsi+5A#B`caaV>RxT|cp4ZF3xlj%z5`U0gj zs$!KD8krpcH-Aa9dMqq;7R8R{`U2aaw!CJXt+qt!lou2-`q2fXDe4PV0jX1hBTarJ z$)P#!$nvK@R5CTyYE3dQXBAD`*c!5}Mltm1`Z5y%oM)(i!H-G|przcJiIstVlq9*q>?YN@`8lIy3H(YPB7D*Xj4eQqQc zCy=sZ;nWkU*0vWkQ~bS&sXA4Gm=Ofd@^yQMOrr%0_qXI#)C#sAE2U%1vho1d+Nw3< zmYQ9Ph}DSmp^FnLE%O^7csEV!nbQq4{PWq9D$Rs`M>eW;09W80_xTsxcDwm=UedY0(=+Gq?%_|m?*8BUko|M!xO|V_{?q@plOFxU z|3&f4fqT^%U)&7{&jQ!E&s>n_yDQz@w{XsF?g56!wQ!%CtDkuB%7EapMJt!jZCvCf=5Y3C7yAg81~m$QSv(u% z^-A@+Y)#eAp0D>T-RAq!k*8-j-FxZOoX=j?JO1>5^Hi1nwQ#NLx)IJ>>us-w3fxjT z{1IXiUAI-d6Y>-Mp+lA4ul&m`v%>o7ROR|RZZ#W+7rwo4Z+fpQzixW*wvgveFWjZC zS&@xqkl+15_Q4pa9&HNO9A{sr@3Yu|%~32a>#E&nywXR2V$ z_j%76=(WhtNGgZhc8VzzN+ngE3*`Kyp!~m z4C;Cu?;L_76I6AjTcCiEhOGef^a8Do<*xi?$MkIr$PC3)N@ zPrC+sx^mXf*-Ze+8+s<(pLgFk>|VUi-Mu)-{oJ^#MC?}hvD+dKjKk4jA-65|sQLT6 zcu{J+*wHBE`p)V%CHXm!0-M2WrY&eFO+2@Ic@ zKr5EFw62(HU96_AbhoWJ`C8k0HSo^kFNU?)O>V(t3_8DIA0;0~4@Fx}GjaEK6si~1 zwvfGDl~zLrPZ&lqSXeH%<@8|g9F=Zrn)J#feJ$|y8_Dd?3vxI!IYXqN<&*EefoaV= zz)DGlwc>b3n}({ACC0P+^E30LS)+%)W{W91wAbLHNY2-aPHbpcDm zrnkJuZEr?!=O`?S!mY1iQk z6#di8Va&!L-RqUqoThBB^M{yf(b#C^{CidGwYPFby7tAJeK8Ep2B09RFs3EH!Oq`V z3Y7%^QH?cGDpjQb`m{dRGkO|gQRLD$Gz6l!E-#j=ZIe8#-t)Frh`i%u#@P4o^&%XH zxETs`p?<<$3@daEmZ_Mhl84d$OBgx|Jy87xxAgM!wz00-3%};gR|F(p{08!g%1*tW zJj;GpGu|rsfSEUDGt>JIxUa_4S)PUvDUCqifGF5_tK24NJI=L9j0CI4ve*FKTnX_F}>&o@ni zqVk|EJJS1q#-=c#@k^n0$6ck-RLbO@Jf;qtKcnd_K?^80v5Cngu9{*;(^zUtt-xHSxgLwpHeu=x8X!usUabXX6|Y7CE@*S<~KSGDXoPXGVNo}hT^1- zT$tX_MM_H}8uz)#os||k^Sq7Nr=Y1Z@G;HXHYrfk)tN&j--vF4=F~z}%DdZM_%i>E z)YF{#^|~_?{3BhlF|@*;)Dj6uwy!-;(7sZrlBEo`UzEMfJZEJ@4ftusP;$hq-v6eb_xh>N z{lrVI76hK0(!J!z^Gb_vY5gz^Z$7N#gVFGftM1QMM`zYsVnE#07Ee2nLe*zQmb%1Z zoE#+hpKLg;Wwp7B{ZeO(az$NON(~D3s~l>o+Lk&s)JZb!b>%97=_dF~gHq3LNy(95 zkwrX8?>_~zhLY(hj3)a9b&X*VEit_?WN0<-sh|5DX>~5CJtpu@YXrL>UV;A3zLFc} zdm}-P8ddw56hix)BGj?-Hi@C?tFGb7T}{1pnHFc+k|S?{4pAj}x+M2x< zoivv(Rr|w~4+(rn3|nQ1sV}G=k}(xV*w191_F-V)E>+?p&X(o!` zxw#rK96K3MQ~)K=Y))Rf3m*x+-02f%D@Ktkw)9uMC5(FQHgHpLkZ5m3I?H?u7WiOh ztHDs`YahWz2SEkjUSOw8i9dE-n-vcls~aQ{{fu;|w!R=fJLq;ZOpo5GI+G2fPF-CsD( zOSV$7p@Yyw`wQCp3T=_eLyUZ=nZIM;z|gm5JQV{ber*0UgVc@M81bP29e@Au!%q1P z&)yd<3U~1~1PK1=$SBw&+Ze#g1+>^KYrirzN*@wthqcZ-!2Fr`?z!~qD&GJ!IuR|` z)_zd*TQw^Fp8Xz&fICmK+VPCVd^u)8Re{1QTjK1mnQhOV4nI!XjTFNXVXoN{o_frdmY*i7b4PA~ zo!pURl>A-|I8?e>_u<$8D|yhzz#44=TOk`gF^*yM#Gv><4$_H3Uq;E*+o@}*2HWtk z@zSoWsPbJRwQxrdX>D!bnpoMR8IKO8V3p*wnUz;(=2gHgE8rr_#fxdxJJp7cYXbiO zO73jfp(>-CrQ(8Jo2yAFJ5_liQj8k$eT>9O5yW!NJXrp%?ss9;K@QU~)6kD4=WQ@O zJapBgpWU1;5$1!ee90mgTlO=OrbjCR$U}N)#8J~_y?)@* zKijCvrbCKXI>#*KyY58MQ&wH*d>-CZyD2&AcJEYiwJ2h*Ef#kK^PN3d+7O_46DzYj z-HxJ)0Hw|W+qcx1QCO&CCJ)FDAqO3~(OOh8ZbtNtgv$d4GK7H|@VQU&E&|PnLUfdn zW&6cne3-%1o3VZI$=1;og#2P|4^kgXWGeorh81JsA|Y=0BWTNcoY8&kH3kWIH`XeP z-RHVrnDgWu7nc?5r#LS>jZV}#-cM|p`=tAt&s=vC?HJHu_gE;$Zep_HC`UnRkGqzP zMZug!D;G(55o4Sq`{x~B!ns{`Ju7ffxX0-(z!6Jj*L_8Tg`bb|n4%T|dl` zJjAf=i_oa!Z4;eZ#0kTMc+z|Bd!N3ZJLvNM zxJ&Sl*VZqZ(?h(+s;bUK!6V@-o}F1dbaYyJG;ZOpRN2z(79tu8AsS!s_+nL$VrfCm zqZgkREDD(6pjO9a4S$|X(-DsA#q_Aw?ccV({p{_x4tM;yZF?PORokDxtqZE-1ZUlB z{{$k*&9*#_HY>dO@1*jeBd>AM%0B4^^%8N`vC*PO)$Em}p9U`viXN5uhI-~WkY@6U zeNlu6>ev=Wf?JoPuPv{6UG|7G2}znrkEg|(X5F=5C&=Kzx6th@G-DP;4KNYgTuluAome@zg!nOiNR^z0L9ZMIOi zaBg!=E%Tg`NV6hRjfH10JhUwHqnDL45R!}&=NtKwcLJ$B()2`x{Gr=x`ncW0GPwKt_0zZ=z>hYv0eNgZFG zj23!2=O(3SW~&sjd5Uzm;Fvb?G^5bD6H55R;x(YDpI$EwvY37?22t_ zHFxl|XId)G+3B(CGS$qD_jr4z?SRYgh~=gchEospaJ???*0I=Po0_e40<}tSC!Er} zJ>7noWFP5uU;L%G^{2b6d$h1s!j?QVrjBWMo4^0HP2+z`_qVE6haVD0U8_aRU+t!) zAI~0QtJ0|bciZx!5S1;*Ej-|Q4nmhl7k#Lo?190oG1JeO|GQe! zx3y5vmOBJ%do~w~Zz9FA!v$xXTueHng1&h1Xsq$Stka<)0L9X|Nt*6oeS>tREz6 z>2UU1BfKJg$Z(d{<$DqL*j zC&WiWjzhyLqgk3e%j5-R4bs=e51AusTao5r`}spzf~|<6Scm{Y(EGA#@)pZm(|J%j zmTm=m_G(xfb=?n9NTanQ=RNq!CP%O}F!tUyfKq7+-)+;|4OLe{ou(j4-hd$nRl2$F zi=|8~pPo0AjYFaBsw(sAaOr))n?tNjmf89(h*GKXY#I z-&9YQs+EE+x}qC1{V*9^;5){=aDeGBK?M>(NEl~iQ6}_Ly~x6XB^&C&k{?BEW-QQ+ zh@_0-R%ub)cgUiTrx}UyH=KMey2?8Sr4R6M*-#2LlGz!QEW_ub$xeLL4@>CetdehL zyYz4B)zsY;r&=A+P)qyD>km%$^WQaXgV39~dz|q&i5XjLr_Y|`(iNky0+x`dP|44C zrkH~U#v{9ztn(EeXLz7mgnH)n8g)#CA5Ii1IQTVo-R*n7;Zoy8fF*N%I&w;jreUiP z>}%3c;T_@vemH2 zhY@kF?R@eWEbzT4+FLbFnGwXM0KigS9Z7s3f`MFU*FKywmAN)$C>UA9<&wE_#b}-X zxb?w3QMdBdM8H|#;@om)8vB!$DSiU@MUoe-%G$KL~22j(h40+-t zc9kHpjICn59E5QqfY_|EebYlq(;a80W|nWw#^fwoeZZJ2)uZS}Q%_g0%I4!`>G+rq zB(bbd0` zeZ8anZ9~SI(SlyD5%u8NNJdq31lXJ|8z(9Adr($Ceq_B z^y``GFi&qE%{j_E66(ourZsnX616N=KuKVP>~+4Wc_()$kHaKEzOhi38ne^Qk$X!S zhJy>J56T!^bH)Q*(&oH*eQN4LHX^~&umq}lRCBW{y(s_PSCJ_Z9#OS4vod8}s@@Mv z@L2E(y7)dVGTuHJn{bNs*o@Qf3YrVbSh7aKYpn~;Aj@q{+4O`{NMb91&4oJ#?Lbz| zxD1C)9~IfSlEv9kVtlpX{4Cu#z|DNT2_eHD&h?4byoEhD5Gs6cHtX?T`kAQ{b;7^_ zA4%P7b}*v!a(`5Lo{R!D>Mg_h0TKi@=2akQK%nObhw>Mc+J%1cz$dHyaGK(l92Uea zQW(eJa#$YFn+38boD6(|G-oS}NA+%{PGawaA9x2ra)B@)Y&jk35wDvqoZnWP- zjyRP|_L?)9n$RU-naY8q#b=Z8p1rhMGSq3)6n+sY>AK(H6Pr{XD1f^jZk_6C2~WEx z6{e~#`rSn1X7-A;JaD4lChTSk*o4u>yR0-le)fKCBH50j4@qe*!|jZZ63=Xa{X3D-eWef1|r?)#^+s?x(s7Q{!!092e2 zUcxbI%4ngn@QWE9y6;}iT3b}pkKF)<$)H-k3H zO@E%@5foUHS%vGiY`|qEK=S3TyHzTUf&a3VH*`LihltX_1XaAC$x@%6-8+QeGd1=s z%R`OkMn^}X9el9OA?2@F+e-(rp$W$^ZnUr{*vfpL=KSf((y*gbcH|0zJ>n-b5CRYJ z&Q4XLJ=3pu+g&U`lvyM)pd&puLDP}_fWM4y9#)zLgkQheQ9L41j=PW19OiSLOODefx8JXNWos2!Bz^^y=t{Lj| z4p^I`RlVQDw;U>b&yL!>$JmOg`fdK2b{9SIvzYjv%l~bD^idsv!MI7rJMjB7m!F$z zithVvZ80UY53{YCMq<*F{Ia+1T~3Q zsJL<^@D%m%^b)$1x;b9I|KN6RnVZNJAE))dELtg^AH2lF|Ddazed*TJAoKFAA%7@XeQ4mXoQ3m- zu^p-S*)tPsr_@LDHt`Ut8u@7y#gRdd)V(e*o~bUfHEMnuOZY&G!o{GuEj%(uXa;-k z)eWq-4Y(w6R;oH1U*YhrGK0`nxWs8Cp1b=~)7e3nx5k?e9bVs*KxtN2FAAQyG*z_a zwSQ)fESwHmelfeDqbOp z4J~e9EBKV6OL>YFhtd_CRZX{#-(G|&V=SGo2Ys*(JSt}>LGk9H6 zwcp5AA2JQlVzNGsZOa#Xr&&`NvFw$GG5zdQV(%R5Vn>^1FuKS7DFjr$nUNc5l+>B(T zjfBU|SNl7^7Xqf!PxH71UyJbhjV))c&2H=XudSWpc2D-|b<3ab(_$#!``SO_QsS10 zlGc-D`nNjq!J zPDD|YU{++LI@fc=l(S#U7cW_z#kTwc`(50MZ8-0KlxXDtgJ4@yX=%-hZN}D*CWgJU zfmxR;gKRT3N%tMA78uh&)y7LY>a*YXph?H9FgI+6g@pelUfzx)F& z^nq=Wz5;7A)<*|1h0<3`jlv8kDxMWqk|?TVg4`iHa>5i&9=Ggtjd#w-_TE;}juKL$ z0YJ)$Nbk(aDBbN71jcQp#T&t{nk>)P+&P||YATwZJr+-k6|*Tdu3hwMyUGtMO#USO0noveIXwAf2-@92(%J&Rc6u_Eu&E$JgG&jARRxUWN6 z6nX=+5Ah1xi`=fg9bwu#jnIX(^aQr_=q|xQZDs`KwQ)3glil&8x%SK<%Ihe#a+G0R zd+^Xm$fjNwAagj&@?Q)P;3yuTUp*NEDI?n-j@=Z2Ka4^tUr<*`!Y_{R2j#W+qRLoH zkA2^Yb|#NWtI#imP-bL;n5A1MV`$L^CooW|2w!z*fNYNGwrt6(4GKFY(Gt6L+>{mZ zzLxsO=z|(Fw1l3K-swbNJOHtVZwkQRF+~TC$;uv{O!#oY7C0zx{CF?!U8owi-1%4> zSC=_3l5&&Vsjn{u`bG7w#X2C`P_CNkD_DSj(s#`RZTWG9AN99siSK#>cq{xkH`?7V z#Nm8|QaCPCIrM0WG=m>F7zyJq=mBIak4H^IgvSB$q>fv*i-&zti?KW~Ll!hWf{`0?QD6j6L;C3k3 zipc(spbgI5yaVBYFg0IKIf4}|-Fg`1 zQSONnCOc9fSQcwwqe8HDZ)`44NI6PNN0CNNO^s8f_^@rcG0dA8VQoirEy;cXNNH}z^&%B0iJad+me3951+t?db^qrTRrN}ehYJ%#Ox56j97bsv2D z>+SR!PIW&OUZi}@E}w8*Dr27z_tk&t8+`!f^aS3bq<$UsHw%y0hHz?uo762VhEen} zUmC5H(%i3cAYNZs4@)JPl33s%Q|L+`CwVn6+K;zt*r^vkID85$k7NCmT;`R7PI9zd z)wkv7aXP`lz1jyMyXgLo-1m~<560O@Ifla>&+&luR8dg|V}7UT1%WJi{NJB^iOYTL zVfoQ}NrKJ7sREC9i&d2mHAlKbu)JWVd+3LagQzT+pq~goKvhU=*tU%3nKEEI5DNYj z8N6t9DN_+o7_k|QhZy|ve)j6Y+;N__{7d^Y3qH<#mJ>91#wPZ zI*N8N&jC`6DwU#X7}=$~S-{D%HF>v`2aAzxW0wOW%{hf_Kjt*{)F3SqA2X@)drNU^ zP~fqUzgyTemA4=Zw_GKA-s1#vSVMsO_Q0WRZ>!Sk=(E;|-#{}6qehK(oDub4Ki$tr ztAWJgX&^C%qUnP8y~${4M?mWY2_@ry7{W{AX>#AsP6skm5jr2Z=@PtlL7>1;=$M?u zBCMZUcREV&%$VxZ&Li+Lv-Jg8$+!^HG&T62{^1qdENq2^6y~Ppi)C9HjQku) z1UwjHCrq@|p4NIs7E(ApFv(@4rN^v!CsXf^-AfAx+f6{lsf@Ef1(302v^Ia0Q6I&g zsjbU5#B&*m7gzh7aXNSR5L&+jyvrey=yM>U_8`C5yweD37ONK#Qa zw1MnMDT#U`X(*a1eJ719NkOejCuCY{+nK@mERg*378p1B815>HZRRoigWA+a{dBs- zsnOsj>H~rmEov}2I^yF#d=guOINJ|-f!(-OZa}X$Zlb(d|IbxR^7im*Ae(=z_Y}PS zefci6rM#hsXQ;lXkTPP+EK@0-5S8D7&A}juiUF+6^ssJWbo%1eigJJW`yM;e<-$I; z`VJIh!oV^^F&t+q!&DycfK0Iq4vS2)Q!ymg1;ry#W@CInI(gTlSh*j`qb7+eRFF1% zjii2qY}f#oL&}qh;w2EJ@Vv}?FQMv(c-WwyI3zTDF-$_OF}k(WmWSX-^(#|LyQJ%N zQ{}Ehz(oj%mHHv$4g7SV3Si!T;l-hWK4wd{1vbAeZP_>|)&P0lbQ)utRb4u;f`)fv_Zd_zd#QOL{y9N~Cd}IaCa>B4x)azUO1+dha)wJw4s$8H?1|w;#&7B|8 z&&oD%p&sfUeA|$y(OBPx5KU_!2A2kF{@D2sgzE-M2Gc$ERCH??) zLNHQW7lO5#Oifpgk1~%EjJXBf!}5)ag{RX$|11^sv+E3&n%7Z6(oM)>X~82=Q7wRtQG0I+~oQBp_K%eud0 z-));;mSHWFMLWxlr}Beh90w?wKt92Kb>Bgn=~5XLy{NyXuZznz^0LC(K0+H*+>*5@ z&f8|)Ubh1pu3#j>1FZ&WjmEOWjY`j)$A!K!?>vb!6)zH&LCH3nDS0)k+vZ4?od;Kt z&2Jt)KRt7-NwDitRj#2XN;{oRKI|JO@3~+nD4UkuZ!x4s{riVsu%`qgY{!shqJ6~) zJ4jps4kY*o2LN$or6EHd=hc9pTXZd6)AbLoCLS$(pV!6Ig&m~}M8wQ#fXLWliRvj@u}*GBf?!uj8F=8ei<)cH3$+vb>XFvLYL*X%UpGfr^bw zQE!|@hJW@WMkCme+M?zMh78shs5B3Ap8Mv%i$8T30sn=!c+43P#nd;~ZO)omGV`5- z%(rmPyl38U%zcLQ)aQ1Nr~A5toVQj@zv=$gy!3gler_My-9+IwSJ4QE|A}?+uBgS^ zV&~kQw`Lub!`*AXf2LsF6K4)COkY}%8Ua&r&9dB#bMwDl6EpAmxH^wvucWh6PmDbI z!pS!tc`luAt9)YdBxlW=lfPRqXO$;}#HW|<;{;Bue#Xyz_kQ=)0q%RT-rX?1O0dfP zUv8pRlxy)uEZo9Iyj4ESmk&SVwPM~+uAZ}XOBb#Pm6!jr++DYB`(HUrKOBDUeg9<7 zPXc}}UHYVF+L+HqRKW1U<_DP7OBd~#ZZZAj@`?$h0sHB(Wlzl%Pn$lO%lj!5wTNM( z%b$@IITYD9o2mA98XixH-`=^-&L8aRA9wkai)DTHIyv>EBSjaSJjS?Xqh@oJXdR{S z5T;^Jq1!hWwLEv#uM_#D8#!l=cAjN!fTQ&;RmZ=5fxYo3TGGc^Mc;Ureon{P4Xv99 zB$|XCP9c}N5xjV1Y*XtQ9q}<%#jV&H&27tp(?UaE+ZySamfZwEI_^h|7~X|g%c+O? zA1D3%#HO=b;hElt95ObUlI{7LYFnC-l{W)vX6@>dyv@4Soa~e?zuo$}(>;2)@juGN ze^Li0HQ%0PXji?X%JA{#7)G+qtNPV)_A{ZSAQWl9H!^yIYnaY2-=XA90?dK3As!tE zyHzMCw9&u-&O=NfLQv(O6C_jcUK{(`_^+ zl!(0&buZ(*W&$U?m*_8c&ouo-N*aSFuJZ^3fq`>{TWGpVF@OuHd2EC!Juv7`DRtX!U~_T1@g?+lPG$Jk1}@TjtZ2r&?E( zg||Vr?lWuLTMO)VgSNG1l6&?tdjoyj0|Nl&HlBvO1`(kwT01>3Fbgp?Rrb!&F8NK? zH39(r%P%kox!qKBYz9A}VHR>D5_O7+@*|-RjPxdAv!i#KrHoQt;F?{FCUh*BRrJ+S z>?=U%G}9FdvSY?RE@sJEjgyk{wGz-23?k)Tt8-7rZseVJWaH;Pc(ByPIQalU6jj;ecuZaJsQ;2q+4KJVWrLrV6xj_ORXUbc&4Ks3`XnT#P#a zkAJzkN=3;_7p-jJp;ZVt8W|()&7wzw5jP*QyC3Ua8f3;tb6pNk2^TX8x$Gb@MXk$> z2Z7&27R|W&W#PQr@h~%)RV9ZRSa%+yw75vsMj?aG%{^6W;Ggj^-E(!YUGleQ&zMH( z?9@_Ni@K;PZ5eefdBn#mc(tyaDrL%d9Xr5Ey8)WD3?e=>PI*t=r6mjb6`0ajPey3_}JwJBpddy{j78#OBZz^?$GEcV1}s?j>1y*vg-wf= zVVU?XjmDm$ERZO+GdFP=;F`>e#x+^irA{y;&~m`vLZ;h)wc44AR4%R(6I=PnIWO1y zSyF_7gCy&K2{|kIxkE{mVi*w>dkRzOm%N!T^Gs_2`#S~4f2+D9ce2Ji5B>Gd<{)td za7jw@yfScA>_$jdztLW#cd4&>NLU0Dx)e+e4b-i>br5Jdb$e z0XUYUv7t2N22Ha=KO_#e=Wcb-7c#Bo&)sFccG%%e2a-nDFF4Z8+zqKF=&8znBUwB+ zUCPV1kuV8am^m5a-tccqcR1xb4OJ1L$gH2i=dQ#_kZwH_E&TbM9^L7WiAj+4osbFH zm%H9(s>kYuYclS_kiyv1#k?#?Jo|uJ^ z8-17~X7*iB1iwsT&Vf~Mj@y>m#meKV7q6DT$UX)0W)pGR^0PI>uMC#~{K{#1U1f%- z$2%`}6bI?JeJ-(+@Yq9fk0y7}@bivnb=B2LR8l7o^z#FSiFeM=Msf>IYIH@8$)mP2 zGT&%=4);>vmRZl@%kvr5%}3-_K&Aa^%PpOHP-E&eYT62BuBbg0ZO|;A|hR>sE^r1^~L+;%% z6&g;Vb>eTi zl03I0e60PcdLimRv&?9zIZz<&V*b@HL3#!rqv0h|Yy(n=*&n~y>-^wQvPd!duodce z4@rLOSIMBH;%Gb=mH8)Q{q0BN8~4~Gn4>41*%b3oT^(%I=}mbH;rKq@hyzk`td5aw zHMX7=IDS%QI6hne^r0i&pS?D~tuGj8WML%jU8{zy8J;xoX$$IoLx<=jO`=)gqR)V1 z1}Dt@hL+EqtnH%bh7JkR;+PIU&7(|9%_0w!3MCE@ti7T(EykEd4Es zR&<9%THDWX~7pXbYYDavifLC#+|QHk!a-*O9f`R|IACtWcnUd5mA z%m2;97VmfpO5qxqL+836Jm}2C&ROh?#6B_inYAv9f1dlqdvn%sT!P#-&F8G`aq)HA z_xU5vMz<4J-CW;|;Os@mN-3vs_6>LU7|yw6G z1o$<^pALHDJ16eluj!RJRaN)Gc&u?U({AOWyTjy-3!Nt<6ox3Nhs${O2tEa2? z{<<&nC@XC&q+B``KYITw!sGhP;84%oRPD3t@66nxp2)teZ)GUgh_X(}XREGK($76e zOjbBurP>gE?>`#tn+-3a#c34u%fpu*R=$Qhuu)NuTi|6zzOVJ{RUyAxI%r$^iKp@(A!`Y{5_;_eA*f9_z!V8KVch>drqXYahb?48Er zbk->2F4=-+ktE$I(~DH3@e9_4pfK9|a@&4?SodZFH;k4I(r<60@&S79Ms6qFEifL% z=Xgq?gY$??8qZ3Hkp{#>ie&zaWe*jw2gOiV33;7INd>DOv?R}R8rNl;!C_bJwzUvV z2SO9+r;L~Z*V0nZ0>1Xl7zR*t;a@R;+Tu}@@={iGo4vGCocBWcd&24ag2bD&^kI8( zdbmDVO=(`H+y(}Kxug-e$4g9Y=Xh~AS>M!`)NByJkolVBT^svhBbew3{ z#(4J1<%_0C*W%HbLFuq>}xghbF*Vlf(bLl zSWfZE=^9|zQ6wiB+SZc^YRiVK0NriXy6mwG5>A5^OY$h&J0o|kSc@zbp+*@B zO6(vNNw`R8$tka!G-sRuYEClMj;z_AvPIj zk<89ytL2+w+>15xy~=)!qW?>EvD~l6YZS}a3LZgB(PLT>Fk%<%;_J4nqJhmLSx|x-j$@?Gj+ye-g0MZh9Ye?#`TAg2$G8iMFr#a?eCC;M#LCZ$x!YVO&*{eQ^F%tkvL)Xm8+P@ z1A;(8a*5Y4QjwierkZj3cnG%TEJ!XErlSv=&$86IouhPzlIu)}NXrwr>%%z;RqxBJ>I6R1LqF^g0l|FC0pgITK3n31HK72M)RY=C zX8umIoG2l@iS^1IU$Q6lebjd$Lv*!L)1CWVdR*>&8H*I0(ois*;Xr6OL9jK!d~sT- z{HV8?R_aFCE5NSeDr-^3LvWK6p2RYp6a_g2kB*#bFr`AOSN+Nz0CxFe@7Lqh^?M*7 zYAhn*_+yjj!o*T|0nOXnv#RkT$x%tKcBG7DVBQ=T-yNrWqs zzsqP~J2UJx%Xejk72h)PqR@&SY31jLu-U*!hIB;1wHU`+Nsd4l%D^AV_Rn$E3n2gD?Tg%^_?qa3Bqfi7j zFjunz-e2n3%l%5aGI=vD5F2?(zI25K@ls324VGwZ^-P8C0B&>tU9jm>zYDt=VT@;X zuaW$$EFB+35wl?OZpVOvgfe6Wx0JeD0@#5cTTNjO(3LN3U@3(5bdKScF8*e@mYeS( z)I6jmg8ZP~*}mc`YbkqfAPdnP6?HZq(#O?^sQW)Sjm<1H%jRRhvAwTiGvSaEKC;f% zY8ox~>vzgk`3tgSTbdvD9ps(twI;vinu?!Fa44FOoY(wAm*6t3V3~YwIq6LVa zJ}zB7j7V_$z!X(IZGJe^c~XE}Aws~ZQGz4ZbTdD&=cqaXjqlws(F|^3ucl#l6hib{ z>Z^l@W#Pkz^4nz3-8d65vt@(xnNS|6j>m9{YH?x4z#d05Zpbn~DD;*r-R-6x9jHPOn@=Re z?0A%tnD;SN$y0exzi2{9#25VU>X}=K8{8IS-q$MmuS`z=KV+SITvKCI78s`XM)VX6Wn2%M=>D~LH&fk7^@%B8k|trBQ8A*~Q%?uvwrKuD_~ z2s?XEHJ1uPW~@j7Ln;_j$n9M__Pp;opZAZM`CzRRlbyYP&$HJ0F7!c$q32T}bC&!m zIp4)S-$J&GSqitPB4V^xQ;Xyi)LBZ&i*Vjp!TFCt>3inuCH~1YJt7b|B2Kf-)(_Cia=H4beAw^KEkuIsRekoTaQ)G7dl$<&zbYM>3^PF?%n zkt1wPBkZx_ax{P=o-Sx$yFKoW#1en?>T;LfmaM!Wd|Nix1iy@>zDxcASvNY)4NA)y ze5n3$amx>yF`_mF|uGoI=>*Eb2UHPe= z{n=Pz@d6L2ySu77qK~95+Vc*z7xu|j)Ph7cpgyK5WUUEhip0d*NZ!}}z+q*gjhPT& z!`h+HdmOmY}N zX~kcEYYmxZ0o?`t?Y?7P)0RCS%>Fm5==o&!blQULUW=IC%;jJD`7QL`@UxY<3-F?q z%*|g;XUt`64802mtl#w@hTnXqG?wv~DZlf}$9(@TVD|VgoLbJDxlliA{`=(oM zT;P|@*jYZgB06f>32*O5^c*+sPa&Q;?tSlxrL(+*jH?m({=2r>XYCr9{Z0Jrbxz&X z`upO*l_>#v`q%FJ{GoF9k4w%hyf76|7;*B~6|+SvU!L<>%wJ;O@r-cErxIcxB*=H~ zZ`z-9H|0CVqTNZ{Bvt}r(YCT7IAP-+FiJ<2NyE$^lJ`CkVB}P8E(0snu=oDRoHb3c z84U59b&2_LLb&4jmLoTlzxY-hoOj75t1t@L=9GKphL#;awQX@H{ow7}vHj+eQb$r! z%xKx0Lh!SGo>n$lD!57CQ;)&Bf2pIA$TT|-9vd|uQhcvt zY&%LyvBZAtl}XoZ}F1DA9k9|ON87wdokK9M_0T3WefH-tt^rXA!KB=pH-}Q zl(K?rr5_I2q*NBnZuMMQuDQ`$943GamjmR2v=^M+m>EXOus4`ihMj?zS1A2ozGKbV zSPRoyd|ua;N|cag5DX0^K6IdI*m`+qdj*#7O{;cLzAD2-;VO)6E0!3xW48p5iZ-|H z=0Fm~YXzc`xviOqJpuZ-xa>U%R3$qKf&&DcfOIv&*~lV_>1JEm%g7- z>Y5s^zn#lP_{DNW%TUAgeLJ{NaO$H!m{>)75Cpr=kBN~}vVsCzQ8sCeR95F}*qL($ z0{?YW^_}&9c`e}6$y5J&s#VGsUeV1h%%4CUg{ZhoQotByMagC`x3RRJgoO#J)mi}! zZfGXz=|0Z3;**)P7H4qZHCxdHTS?W$y}IAnFJB4Dd7h@NSb%kCEUS8xf+mf$wiU;O zoQ_4V6`2K~zsn}Y_Cq7Z@AsVfn*WU;GPmtrNtHL|4TWvE!WSy8v|6+GPFqs`ATeD+ z-;?hX(k5igMaB$$@!@ptM=U&Muolg~Z`hF@rWNHE=#H;t0TibdsJl7yv(Q^SM%--C zCOn0wb3!XfqrsA>y(F$EnLW=JdNL0rmww*7x-SWaU344ZRMCOIQ^;w|%of8ow^40q zS0^6LOUl*CB+t%)&|gQ&&jShI@#4<~JL#P{mjL~)>u z!;%~3`MIrV1Z*6)2|WPFnB|-77vJe1YVrmNZ+ks|WA6lSOAB{_{)XW_je~zMZ-DT* zf!Kk5uy6sVn-o=@p6Py~du^{qzf}>*f}zyln1Tx<`@XjJe)Yz%3^IHW*FY};6OlZM zrnES#ECZCxOtV`sJidm4x3@9_-q#Qc4M2t;%Yw>VP0sdcIw;^I6nY7YD^>b-TN7%i zQN|NHRaRi&Opis+7Y|6~ctl*~$>okDC4Gu4bL;sP6UM1}-rQu))hzd)gA}`Rzo_6o zlI?{?Qr~bU=8*DJzWoTX&p4ngQ8%l;diR_zh==qLr+8~VhUSflIpo>gW=;cmd`d(O z$Ff?3?GqIT`h||b-Lr$8$z&pl-K=cxCONG%LK3sxF3S>yu+y6>#_0+;3GK)m-s}L4%1&0R?fZJ;pP^WX-L^!yr`+%bifRcz}Z9lMoTA$Ew;jsqb!9FT0I3k6~3*l zEdvk7CL2C7lcdi*dWu|ud)g)K3z{*lOR2gPKWu9#>Bn1CHBJhxRfBiCX6U7OD%1(N_3uWUCt=xvZBD-lE2)yOmOfkvPpp)eY<2;%t1sc&BIBo)%AMyOa3= zLt`Z~B65j853QpFebhvUVaNS}PD?XBkAue7gawl750fkq9X42SaOx#xlQ3lMW>E(O zdqA29q4;j9gYHT>st;I$y*MX5Gayn!$l}F&0Ue>c{1n>SXP)c|A=|A0_ks3k#4k?S z;xOv7cpD{-*7TMws6+Sc^)N76!NRd<+qoMN zNV`HN4RTX0aEw2yPIjIQ*DW$l@;^jZ2Vg~UMSdA>)o7BP8zFQmZMF=J%Y)A#JJgYG zOj0ySovbnDXsx8QydPy&w843YFmOMTKyWs9oUugHjjFH;(783F-dzRZhFhwP8~}Bt z34(2osa#FlnY|!)izQC2uDapd<;%O>{ zv=a~8_$BR?J%Rh2E?7+yLQZF+vnW0xsK^RNn#R*9o=F)2MGgd<~ zUvpi1g|y}t{-M30Qldk^pQY-BRA8g20JLF?YSwyXeW(8n^N&8J!haRW< z!2S|ndfK$3eK8SLMlQdIq`8~W$s8;85q)=W{futR zEc>ZT^Aum*s)?TE#w#Xy(Z3s&=qqNhVZ_ixxr*X5c$<{{6^v(ADD6th`ExGaDkJFO zABxT|Aif?a@K4FD3v#Z_T_q^=G!AxNtS z*GEZC)kmczI72Da(}rMyw&~!xW?jaBExZ6ZMzRlFJt6V6mp}rO-d} zL$0Z5=0XojjFjDw;%!c$pXYdwx@k~bn10O-rGbkpUuFEO)D!@qC+pX%b}mOs<#qK8ZRg3lsoi~yC+ zI&Ycwf|ozj`(>{sFTU*Ky~sO!`dLP>_v_YauU9Y^`TYe$>|dB0zw~3w^-9~z*bIen zCF88?J*MATM(O%7f$tw@%~ve*_5IoEAK37e`Nq68t>uilzHx#3Vt!$~4LEI6`j?x& zcmL@V?-xGxdiUC|L8Vde_9rlc{oel4_2wOBP`PvU=rg~admQT{rWi3E-0t>vj{v!& z{qE8=MT{?+7{VI+=6M(VGiGjU-R=ARi2u6ssW*R$np=`7niUy6Coi5JU-`R#Oy9VW zI~8(RI2llQKI3d)$5hnceV>o7Z>&#X_=cvRKlvYdAxHcY*Z~QQ<@p<;^7k;J!tyq% znHvlCz&VRIZ=3(<62Ey< zEb@t4hs3#CW_88qwZy`Wu}BD)>)N%Wh@Y2Dy0=Xm{f~@tSL^T<^V|Q9Ep^9UA}aex zuM{9xLWT~!^3BA+peKp|qC2Gvu52abftMeXBGZG<@>Ks! z*pHlJdvSeX&69J)k;2R7BJrJ~n%xlXu9K3YngOftS#zOy`2|Wad%k=%Az_u&90qVy zUtJ`==bDKay2M1*De2*8eeEFfr>VWCg@P{iW4)+$`YO3^=z-Fm_;5%jCRWU6^ zd2^N)VK60H^EL#VpaVX#wA^a#y?OPq*3Z?b`*?3^f0_90PRZQ66`y0*S#*71_^*cT zt^HIzLLiEj(iRp`b4M#ZwpDwJvC(2a){A=ClT5lhbrr>nd2*WW3ccrMHd5D&Gn-X& zx5#^R*yUUmqAfet+@2prLnYvm1?vz$ev(6{955t>#vaMhubr^c-Ju9Q4#grA)LZzS zt5n_gUY?jDD4b?$rpV^R83ZI7FR*M=7)dS&MANUYBf1zidScZN-A=DND9; z18^8L8&#c$FTa@fwWP{d4dCu?Rlx#dBd<1qyJKlA`A*qZa44Qqz`5GYHnrsg2{{NE z)D%B)v;RojgV;&3?nXviy^4_VyDGFXhlo0RL?l(0GQd4394EyG^$Q33FV5go>?$Ym z$&<%#xyB6d*;3(aY%A*xX-N>*h!Qt&-jD1LL1Zd!Av-VV367r~COyiJT9@)U%`| z(t%7wF_jZON7KOqf2V=<-ZX+b61&o!(9-%wbDzKe63`qus=Pa)h^W?{L|q>*7!)VZMgMdYBOpUX_Vwv$5ekuVImI6_7TK<)gU{Jm?}sU-o;@lQAZ03 zU4+oYKEzGsH-U5-!|E>??&rUgo?29s6Amjl-9k!=>Vc2!a{j%twOCDZH%8OK6dP3D z*?|Q%qE76;Fa`4_HBu5p<$iTqd;81=V;0~_bED%?NDCk1E8(#>kbFjqszui(OE~m_ z2JC-03Z)fbt^<8sD3n=P5NDs0F2^Yel2{hApeAkvIzo4steixu9cbJBk{Q7tE~#^c z6N$Mc0jd`2hu8t4nj#rlDRup21u-PNOVS}nabOIr29i)5uPwy4cW%d-Qaeun=D@a@ z|M3LNL^!Y&u4#MxgFREJbq-GQHAcvh)?T_Ff z(@~m2i;z{Q(4Dx=>A}`B--Q*#T@f5_b{fQiRS50DpzXojjk&yF%#*jzm}DM$qcj`H z&}LkLYt{7pt{|m-qH_*OuEKaLwn;xJ#-H|VO7E6umpRz{hV{m`i6BJlED;u=){qP{ zdW))L52xX1lFok^c57GpL zo}{Ii!WkUnY!7ZmMbt(s10si!tryPO)as!EQvrtZEox4W)A2n;)V%@x4=^Pv2{4c*A3fBtjloAeh&;Lc7O555muv{ z4PCRDX4pFS-PV$XSN`DR4!-GXb`zFgORN7)&2eA{w~rJJx!3F3d0%a`?FUKft2eaJ zpY-B|-bN5jpgW1LPiPdi^ZR*T!3_BXCquA5@NlH^Z%ejiN6v5ne zzqzV&B(mFu#|7)|({rd}YMY};MZLmu;x;Mtgpk338I^rA=OXs_V)%Hn=)zBp^Ww$> zSdolrh1nc#5PJmkKu;C#Beg}!-F?sG8t53>u!F33!D|xQw`#VztR;Ku2x5imgS_sJ zrnMSUE>pK=BCaUqk74ye@4@WoyQdxMR86>^4oX9C_pCxX3JsEw(_$N;K&HM3!CAL0 zw{debhIBn`2s#0Xx!HJ28KJM;q-e-%eO|W+b2Z>)@z)1C?)BJ8d^aJ z*|AkcD{N`*!C&qUVCVw=Ek8%85at4tmhXDz8f#phHL0xuY=VZ;*$Sgr97^tN-RmEw zIrnxjo?uSe(>g-bdb%qm#H+EwzoqdoDcT3JY*<*uZQCK^_Mv{>PR#?! z+`KTHSAk#`4y%f`Qa0>h*IKAlr@?H>>1}PC(DDa*soJ9%g_0=P@5KIrrq*AMVZA7! zxwhEeNN95~ejd3;-@@^DfiZ|S_L~#7!4QbA^+5biUz;63fE|_IZua%x(um%Oz>d@de5u1PJ5Xd@*cEI@3(57G5*M0gr=2kjE!@~ zm-`)KrtbEGYZZXU2zzNsk%}mBC zTl|8Y+rri|mu50{HrTiR-EVinOeP;HruWjrJ82d3vbVPxZq#zet|fOFLXH2KirFmx zZEHiP4$ihRE(`o4Eqmvd2xgn21M*Bi*jU>|4Ewv73a`}uxTJKU;6%{hg>%YUE5E4z z;@&bJ&i(CY_*Fd>kE1HCR<)l$Z|C}PEESxaj70{{)sxK4vdWu`ZBQU2881F#o)6_d z>|=0fGqiyJPaqAs4@YJN9#xip60oL~)-+$;*KEyraVjLwHRQA3U%zFtPvG*qcQnTq z<1Kgr?!hM4S5L~pey}0L(SZbe}Z+o`-Q`5rjED*r%PZ)d3%I<)@UhVp|d287ly1*)llcK z7vII+(wm|AK{+Zc)M?PkI&<-VW>g>F4hE2$#-c*&L6gS7vnO{XPuAG=I;>@=E6F;y zkwQff_7~z8o3XKoz=dY}|27t0GrXoVvA9^mm|p1*>iXjuG<%UUZR&4Ub7axY{k;zcP}pX@t!p?ZNbaar@i94 zXnZ@v-)lBAWQe(WJ8FTv_u)F;=DRuC_w1Kre((F19*y$#UF+Ke;T=bhNXts*zr7yy z&8)9r`o8P0Y}z|Fash+mpEPUXRLxW7BmDv~Er?h{@{n~6e09z`aYE?nAlC{`=()vS z5p_uL?qa;;oc+mvU$V1ET(S%N`1hwndEfZ;W1r27#@_upgmHH*lZtZqikZUL-TtTi zw=Lb0B8vFT*H_PIsPccmbH#L@Nk^mJCZarPrgcm55HoA@x6h99j0$&R=g4s%82W9CiB*mUV7rGvODWH0KMs?wdXH@=R!94Pss3 z{;VP7?=Rqv%-qaAIeFsrp8n7Hs0D~AOo^bRHYaaY`(|9gL|r%W@893-Fclv<$vkUx z&jei{$+1v0Gw^74aUJ#tbbAo&1xb#Xbh`Sn}l(6ol;G+Bt@>)WS zcb~Db))x<&d^4p$wS6Dd?eF)LP4!@cldOM8iV=nTcf0mf6{TR^ zUiwttav+298|u+A_bMN3gj1+4{}Aatl}AdKFT4yyq{jH3EGngS+J@eC z+ayr~FF025J$;?=Q@-aXK=*|He~woEy+Rk^zkGiMxg3VCA!KAbO2=quApRY?=QFV- z9nbcuOqbu(oGoyBvYqslPM_hb&C}xXEHYDdUDm}DQ~4_lrIVTKM-ofE+jr)BUCi`& zNrkt&AO)qY_>iWuiDk`gFGa)j((sG#xW4-5j{^@w!5_s;eS?(WvNbVL1i5-Ihsr zS_&l^LVqt{MES$#CM_uNwRw)tMc?nA;&|KN=#unnuek7TEBQP4=wa)vAiF=k%)dPz z!6JWfKPH)@D~!2c6W$iANgnB6GqZCkTMB(DeS?U4$i!F1$)}rw5L@@NcAJDZ>aP)Z5}lNeh;{8xyw&6q+V0?slJPJ(}k0YkcsiYQcTidg|&xYlb;r zdwQV#CaUjhVJncIq{&L!WO(W)=u9wKn?*Z?Nm-(7wg`FZIAp=aPV29jP=*U)+6onK z-;iXH^{}G=LNn=fRu|tKZ+KT#&*lUau zelTI*IH6?*3G`ejA>F(-xJe{Q$g0cd|QMEy#tx3u)*Y=RgbejQf9xd7$Pcx#L zI+%snlME(}c#Kj*Qu5gtz?pzpqG$zxDrBGaHF>I=B~ai&r~5oQt%j^QF>*9VOb_%;<&%oW_QE}qGKYnwfRUQM zFjeU(Z7k7fTAbf!S_-FIU8J%&-Iha0Wn^EGlTP4wku~scOEtL~^2-N+ zMJdO$HOEA==F=7^yls=2^VZN!u4}V~2OE};6kqtsuwk&i&2NlOyDa_O2`7ogBW<@A z=hA_IT#(ds6}~2xv5gmDMG>u;w~-Irl+#&Iax5Knt||K}hEu~`Kf_NXTaH_)HBnVE zh~TdbEhWxTvSdbXDmEM{=dA&`T`^vH4VixT)OtdkTJ?wcP#UHThzQUI_uC82iE}r_ zBMpk>CmT-eTJyctEqd%A(t!nbx^%b1nJMe&8QG#LnURQnJwV=t(3#G;n|f8*M_Djt zwW_)#Ih3!6q9l#Hci&Rr7B;CB4p{07m!@SP-AeFh~Th=WClp6L>mk@pEX+MFZxH1A5q z`P&%kafV~@c=C8Jx{I6f&%y9^8=t2U3O}|yi^H$I8R>$9=o9LkNrVuvo~L{__3d-lHx z-fZx$Xf9IQ=~&$4)98ivx$hL1NCCLYIZ3BXX-*rRg9clWrD#6`tg_oq+dBo=(=?k4 zHF?9jNxPcAv0G+vkFv1jHsMPEz!2AwIM8XvH|00Wwxf7UmPY%NdyJAAldBuWrdq$0 zLs*zbxOsnyr=RacQ2!yVBmzr~{Vr9O;+fw$3D$`C64R-VTrb|zV@w=;C}`0fnSd01!(1Kdu>oFA9=QFH(N*7e zI^KL6chh(@TjeUQjX1S|#{>X=PEg-Yz?=f_VjZ??5;WC*tR`<0^1V&bvlJ794|j+- zGb}6+tOrNE2Q&MpqbxwiOS@OW$mGL~QV?FD$TpOJJwQDt2#q)fJB@t?-tM7(W7J)w z)Ojts*ZOjJ9}e23+)Yt@ZS5fzd8w+xZiUTj40lf~sj(X7HvuCe!vg{?8WsI8ZZD>5 zAe#&Q4LM}0C37iA@c>&PK9T}kAIe_a&rq-@&`4TPyxSywp-$?)M-L!n!~5}F5RcgK zRzB8>axYPGY;;D<{eRw()Y~yn;~J;0Eoq8f#rx32#zIAL9XVjv?L`1e*K}9mVG%?7 z?%PU2owKE`R!165cx&&@cWJc}=*d-0@S8Z}I0uBdf3fNJBIWIy@iMw$5oljEKvwC< z(Vq}+b+iJsKPJMt`O>LC=XXWF_H|Ygk*Hdxo z1p^Kp@?3yG=N9H7U&h?sKHC^kG2?!3L@{^yos0C_?sv|+k*SLr^ILh>>pMp2LI7Tq z8Rw$#()T>|Z9?+3j=}s}?7Vf(y%zr-@tjTcPB3O#z_*HZGh^_Acl{DnB-qS~jK%l# z{gJnmcBC&4{xnu~tl~ZQ$3pKHHhbmTo!S3Lp!1<$x)@6#&N|kY-1Uo5FuAktdova- z{p2ZT@y#egJ+qYgY|qr@aFL&EhktPtX7MP!$d`NAJ}a`%f8AS?oPfNtmvnPx4hQDz z7IZD3v!Q|?YfcLmUJhbK2b8ddD;Nc5-}(2|M4xNPLGO++eYY>3SI=%pOUU1)I-bB- zBI}G&)-x7`HGBhcwsEn6ks76X3EYm*hQ&$#^A!y*8D@%9dHeA=wCx&wvnTu?qeF`p z&)JmNo{EN7MT*i-@Ky^e)F=6e{H1x$lg=0Oamu*oo$(9kIQGlt;OY0u9VX`}C8gy! z+lOr@?~yecV&})5b=;6Ul~NFAm4>t@u9?DH#k0cF#|e4U-1pK_sveIl80*!Fcp*jdp3?TXp|@TKlN)F}QF>BH zp0buHh!18zuX}^Fv*~C~8gf>+(8Tujlo;Sq$wbLbWUM8v*SlV(wJ@$GkP@YizSb~5 zgNVyElRlzVo;Flb@K0UWsNuuT0PvJd@>gvqN!e@7Pf>sVSjY;O)@6}8N6=Dw0Y z_*G=d;?~8zUsSyKXi&XEtLUxXpTaW!Mf`e;vYKQzt?pq~8!is7=#)MR4*c>fKdlz| zviR_7+wFUA_kUrfi7!Wp$_&+POP=;~P-=HkS1O5rn3YUxqxQ~j-_iluwgH)vnTL-< zEe(o=)?;eig%hYB<3Gi!g+vyE3!0P*< zMs9Jt^&ZDo(RcjL7ph#wH zGrExI$~8mOAYB#^i&{s(L-tpLrNP|R*xG1-^w@`in)w5ql$ftBwVjTe83+Tkl(v^8 z0%bZU5(Qw=wR9(B!N7s2;2b(AdQ^{HprPZ;N&Uh73i{qei(Q~MPfZkSxLEkR^R*(6 zTGri`RbS+18dkX;-$UkT`5vMsWy8Y*VXm@CM|nD{qP?%uuv62*{jiT-k&hd;=feoT zJVv`eN4rXtN4_FtZp*IDqv1c~+u4^038YdMC)F$??>dHOFNU72hR=*%{=;IJ9Jn6< zSZM?efW?n79@Bgd0D$6#;Jhh>UB*SR3y)&^8;^6FK$nqc^t`8SXA(3@KqRcXNo+Mm zo`%5rT@rfa*7_=OtZO9JsL%{?H}mT@H^x9w`x2M1tD5@BWUShw0R?z?9GLw>6qe}H zW6Sf%9gP)<^7T0i!8@LSs3fjm9^Fq|ehx;LfStN#G`l@t@ajJK`j#}Fyh&vY$w|s6 zTrAtY!DPg;e%Ko0oe5ZxMPGa$Z;IgV(IOlC`>ulAx^J;2(G24|q(0|x$;~An8|3mQ z8?syfn$?&z()Cs3IpWXCzy1px2#j16{7BA}nz+)}SFLL&JFoq+h&$bsNGWm?b=CQk zn65l^7UX_FU}Ssl7v1TSeI0F4XKK>%QSvLsGjVuan9=IwCD2zYReKo93P3ot_rR9e zRy4U6fmR$I?V0rIAKenP6p)Lco|L#ls7+-uFj9Uy|_m!NY2oH zz9ye>7Jo_?am65}ALMY?o(}hUyT%Dc#^cT^3?T9fPKQ!4 zR_Q!$7<@WZkYe11g}0};w1D`h9VWuvjjgHI-L(e@5x=p0^FjG)!T3aJJ(ZC%B`frg zD**pWH!E1#R?eY0l-ReM69ZGMc6`-!ILXpm_NV~7DEfp;N*jXmnoPR3L?!&s8r(ue zM{?}yw!*v0jMMTo`eX)Gi5Kt4u{ED_DAEpf)e$wVyk@`nk^$7XN1 zD9|_`{c;TNUbk*hO8&m4-Qz`DdgGG`#nh7r-wf2fF)&tiHUbnKJC@FgL`@HW6Kg;l z&SydIQ=I#R_Gr%zoPrAh`}9#&%h62nyvP*#+0dS!OG|3BO(ukm;|O?~yop~S;DfUh z0|8&GF%4eNmFXr&=`2B$(cOx(u5Hj!C<||P4(*-1npG5}VnnjObK?qczOMYV%j)8; zZ242qAQGj@twxD2jVBLK|QRhhZNO8hD1u(WP04W3RM*nAzUX} zM%v5a$=8b_(zP|p>P>nY^o@0sj65~odT!lrWCtc>X{$ifqoXmooWFtmX_+9zCx+*4 z0&6&5GvEyKEWl-%Q>YgJY5BB7w||`zJ(2WzJXU-(Zy4uJ&?%2)+fVd)+bpC^&AL}H zP0z!T)JgItEF?o{LQjew?_ukBc~NN6gz7JoYVL>nlsL@8AhGP_38t&M_#4Agc@ey0 zik}L&kpdZK@B&#=g=wM@tg7aU1J;wwo|satXx3tn0bZLL-xN#VN-y(i2RzzSlA=TM zlI+ywNw{<46ScBI5a6wes7fiIQOUhpnHj@>O02!@^bjhujA}~!+;wEYM`?C^^5E%& z@KV&*9X%<2att%7Zt_aBd+>?x0(WCJj6}9E|Mx7~n20{&qr!O#7}$H{|_2@zTKBsBijPO$>b5+{D0vjB_s6Sj{n;>^jHntV+ z$)OU>Hd)JZS@yTB9h8x$3xTq8wji)Lp$iL5xj7%rvY-Uvab{7YZ z^kFC$s2UXsnRvd2b!!vtS_$BU4JQh!Bk@+Q1xK@VxBoI{fu`ifc;O6+mQS%ZP|H?y z8)n4_>1>Nu$_v~#tg0A~7Ob3uH0JXx0oGi~b%tS;?pB=aEfn9t7p+ufnxZy4ti2#? zZ0I|RzN_*{O%FeklISK2B=?+$h8G&8w+UO;qm0ztU$z$Lw8E+VRnz;_Ezja7ZI3!x z?0x)Ad~-w2g-2xOi0Q#jw}W?kp2?1NodUVm5oz((yKG$XAg=F^#DkZUp4%?U?YL&? zHnv32CvePb4m-f~^q_~47M6FIx3vLChyoInh+qxQB!Ftc3wIRNLWE2uM?R}h-FP7z za4q^5c%v{MnyQGahwP6P*3mX6%ktI6`vMKW?3Z`3#Q?|qqdv1T%h@ydG_223xK$~B z((u{mU%fleLda~nqyHh(Ji&vrikOoWYA>F7M4P5>%}`&pDEkM;scgzJ`jkU^xC-j3 z(`c(cKf+VdiH5x$bb9$r{K>whdA3Tj9&wkj=P~)VLQ1&JVeh9yQ!I80qTu@f%Pz_S zZKxeX=+tjDlzu+bp``i10Q|)QpGDSbv%TiM^dc>$eK~CjtpP9aL{}I67F|W12#c8- z#Ppl*bzaXbeSggNSQOJrPn|x#bxpGr!e1D;4+m_b8z^tTnv&V@bnd#jYg#`; zuSwJ@Zss#{koE2x@};M%WSe_r-o@$evpMg1zuOEK9 zKE^9yenDwd8BNO>oBimn$yz5{$AnvV=Qal?`2}ySXBho9^S5Etw3O-OF`!7 zTQ_$)eRN*C?=f}$=ewM`7l^6z#@UQTmHIV$|GSJuX$>>g%qa4-fpJc)|IENVD{0$z zf>Ek4ezte!d{x`NWPg$EWClY&Gni)Z8{1Pv!Fj`R&S?OPxpx&yB>1UrB!BINWa+Oj z?v3_kqoSLuKqeXtKBw*YXi+sDcvImpiFp{OMA8#t?^>i3G@V!e9dhS>-l?73fv!G6 z^0#IB;LzhlU5Bkat$}=DGR@c?^O*2$<8;&#hZ9e$FTGC4U*!sLB>m|iMpFkV)DUfmmZW% z)gu~YD4M^bp~KR4sz)o&LX#xI128gLnVVfji#=Y~z~%IO#G@%MxHltmiT!ujb4a@E z9@?xjN*2p9S8J@*NV#uyr??x7)JFCVJvKx2(lFUphk{Mr{zQa=T^8Q>sd7i_;BZN6 zSeWGRbHDrbxZ-cImE?QZZyz{;B>G>2iO-I7%JUv-OLMhX8~G`fgsk}uYIfhDHrEj% z-t@J0#&AZbe8m!d=AGYP$+4A$SUz@fq;r?$kOwD$($V2{L3pU31S|Ex zyMpttE?g2Tp1mq1;JrTF#bkSeia;P2DDJJksn5lGqNhD6w+nA%E@gXm)-(#r)3e=C z7(2Yx?TSMl+F7lYCF>@Ue!9iUDt9>J3y7RXQg~vZaAwupomUF9s~xZ%a@T7jY?PnX z<@{L;IF;!JDP%iWy_~9lJVbZbV=AY}TC!QW^9gzWL|n0dZ6aY-I(`fJ zL(?v@B=P@~fTEvfK$sJi55c|0mGGjl0% z|2enQIazb0UGZ3-dht*5jFeXuZ1CZ{cfocP9dEg1GGE*m$wrcqnx=p0A~()DypFIc zN%7Kj!ysmf3zu$5SbOl7TYok5qU8n$@G0hkyKQ6&h zk51j{n$mL#d2G|XbpYjktfo)PEJ9`lV+(lvNxZXcPZt6q=WNK_>SlY=@ zX|zeLmy!*gD0gIP3R^WXI>?j-lynl4i8Te9PL7=r?j7WMDI-RJ-N_{cc7(azor(N##r5icpr=B{o8X@8<>_|{u-lMw3hTPbLY74xWO<6_>aZs-*HCnY{CPjQFki}*5|O;BSp9};E{ha zEwKvxgm6ts_yua~n0j~&qYaG{L3QNtOe1`IB$`q z@a5xb67*}DR8zFYz9Ev3v4uQnclee}nl2TOcGG!68GPoJibVs~xog}Lx6j-_8t*vW znV@PNPv((QcR~JEciFTzF8I2yJv{LF7Po6Ht*Rdy@~G)9i~uKCIVQT3xNoyN1z*6C zeTyE%AEMJJw0M?y&S6s*eXrTk=bglPJe-mAwnkaznp9of^nvpCybX~Tcf}EG2?V&r zR6;ahaWoVki^U}`Bdb3w(5FIlY}islO8DIs%`ph}0Q-=rt!b80(`)dst4;MKSc)Qw956y{849PKpSmDsW z?wE?a?`$j0rUMIfe=H!UVa}y&k!J%VNfrRtiPk0KNVK;mxy<3Tx+=5o4gOW+%-WEt zpI`%DmuvtL3PSwJe1n_AF>GJ)wY9m-G1^Z^D1ihp?+DNu3Rt6IpA%n!+HxgG0iwj5qL{SAl&1Ps;PxzB8# z@)e?HM{F{0;~Hmh&b(>;%f7chsjN!)@NupcVhr?Cv32#0W?E8an}&kcKbx%>!9x;} z!Tf=Sp!n#ZoOsOtvBTpfGh&7cG-3?zNkRyLJHQwoXeiG|XJD+gpy<1_bn^sf^t_q` zw*X%pgh@`%_)(S27q=i6TXxoTA5P-Te$_|9f=KQ`BOfuQG)ZGgmLAWsuIg9CE!4r3 z0xUWYVqi3452_xTfLaK5l8W;|9KBlBID2I@{G7Ws8ERkw8~NJd;GFbGY#^Td$#91gS-J;Axg9q;gj&uk}*<9!9y+xHF5)D(Sennb6r>Cl-^ z#veRPL;{yR8PD;}SF^Tu)opk-I2y-msr}qkSGS=xsm$#(Kp7{6iarBzR#?zX6u`wF z50v)#xRm6EV!IsR1!eJO_9)H-I|ka$jNN{GY@ar-_ODeV)~dls6Tk(t-$w*hef9*HxB@B_zVYK%DZM|gtZU=LQ zSHN!OBIfk-KH_=cSh? zE{b9C|Fd2$@Le?Kv0i$;9K~GD+!o{Kw|232`ZLDtOyA9)`Ie6Ry|44#{65pSZJ1Gd z`4`{4&t@)!)soTWU*DtyF1+FCtP>0Nk6!jgjC#%*_Fi+K{B9V3-s*$$XNN~l9N|nd+<{2KmHbUtW_6KV)FiF3GK!_?@j)J47z(c zXza3yO{4PozAfw({@+N?yl_xWM@v}>4@?_-v-1e{z1HydlK@6MXv+;-N$_{~MDA*8wa0c%u_7wtNr1GLxH`=Xf0Ir;t@fVd|{+p3y<&&yY!G%-!r8Vx9KKwZ5CNnm`pR3U20mpM+=B z_v~j3zr-M~Iv?*?#;%Gn-?teY7r_6KOrVhc=YRHe)?W;>0^=KStp%)cmH!g>8E}#* z?*kz$S~1&*z3MJYV3{I>jcaZa%K3_P#nC)>`RIZR(a83?7dI_)e;e_;rq{ii*Ej@% zos9W&{6bwT8zvYVXal>DJLR`?$X+|7^F8^ZFWl>!7cKoh;Ky6Pt6eI3gYiWsLn!p$ zZ25U|-2v-to@Dl>g4lT_;#Zg63v5H5&(5DV^)%e~3B0@;3yRBAAuQu6|Gwclg|Gbl zpVPj;)#m-v_YB`kOXZWx6&)Ka@}Ob$lRL}J7t9A_j73j+Q6`%3Sj$LFH}~fIo|Qb( zzR4&x^D-E}{J!>=o3ocqSs&d`@c&1^mOh1JTHL}9oR5pHoWLz7)XB&M;-Rki3UmbP zNhc#|+ob89cadrcN5m7ZX<~Z19H#_Lj;xdNk#a}InWE8iZh+&KWl-MYH&7!JaxMM~ zgO-qfyzJhRI$UFK>xOyI!A*wEyv{3MzRK+#1v(kRO8Dbni}%L{e1`jfSZ|&8eBq_U z+CTRS!s`W59OlOUx))S}C^H>mu6-daQRpps9>eo^k7~Wqn@To@8lW zff4=mG^QPp;kImxwb%g8Ll{KlcvTzQyvLn|@S}%Jl#(-bEU)7~tr&+N2HSie=t3LG zfA#EE$-OgP+@Ak$#SH%fC6!kbW_WmF7DdV z{aP>eR~r`04KnFI|L!l^D;e2jEr)*q%jK6%$V^QWC-r}7B0FpPj^CNj*NVv6s10Bevcn!+?ni!e{RK9|2yuSm9T)C_P zPdkv)*^S%5aulCT)U_bPKf0O}$hJ<(FAkS1tg_Yc2is01?wW4YW689)($}hPJEe^2 z14})N5+8*m;^cLS#!+9UCiYa}CG1Ef-Wtin?%|rP4&tb;Iy{1$$nGTsLtzozmwA*( z(^%bbJik$UrHlBc>UL^XxAxoU3{otF9A>|Ls(HOCN-%4&+^Oa7$EO+(CC8xs#sjV) zM1no9?lT(5Z#;0VM&b(8#iZPhQ=(_v0MpW5kvuD%j9>pGz2nrtpnLEcqB<OUY+fF%$gH9JD zk`hTskvM*{o2}7l_-UC(@(>3JLtz#>x9!x>?y^a}zM2xo8z?xWrs|26G)PtDD>1zj=+_XA*5grnI6!wsm-6XQZ1tGaO@ zbca?lp#4^WU&_iUY#Sp*P5CuD28VXn8%U3W?M z9UT+E{3hB>w-MC+B|?v7j)4%v?05S4@@c>gBvezsR-aD$vtPA_5 zgeC~6fQ2dq5fQK}pdiH(KyXk%sWxngC<=&FA%KOVk$@#3s02tz$P5soKoWImLW)C` z8fO$4X;Bmq5|Vd^dEWP1>-%SxsnjSoLaawJS6@SG6(|xJ_g#Ut5?brwW9Uo zlShW`j8ZvdpL2tm53ZzWFd=97pT=JN}@qL~psX)*GY z`)p*E`yEHghU*@%tZ+^YFW7_Q>Gx?rt(VI>#}L;lfaJhezS~~0`OkdkqhYH1--x`- zhm=s0H1idG^=p0i8$X)2CJk~hRbgUL{xvvHAyg{C8O-2zx8ga7f-y0dj1!MwYx_s~ zZb}0S>0=CTc}#bPo5<0FPl=-aL^dgud`*2vkA^K`e+x(dXjkLMaP+>A+@$Mv8JbjPlLPY^q^n*Ynw4alka16 z|7a!n74sD4S(UAs=c=zV9N5)CgCm7bgz@Sa4FAe>UxtCBG>Jo;6V{J3M#=sIrQ0~H zvpYV%kUkgz9Dr6|u!NQhzyldtN60H@_h-#N$#H zry?BkH2DYi#7wQ8%SD-P7M5#ypiKWBGxA6H-~s6@)N1ZI;$;8$obya@ZYD-@$jrqM z*3cM+pNKi!=!5xB=nYxniI9gVC$Z0vwLEtq@j)tq&^f~umvP=k&Q zhPfofC5a`lGbCH_%kkL?{;iFhyu$d;i896%yhst$b3zWuYOE2L*grWjETaYS%CP|} z?btvwSd19sk%aBYgyaeI`rr-0J6_QXost9g49Mm6&j%kvtV9F9&kVh;T^J76>UJ#7-GBfLpdG z)Ss$8#ZThs+Sh$)^c8YR!f{^hlu+^oP(YtsJLZNtv<2KU5EhZ>KROR2oWq@APC~Bx zF#951K(Md=Lc}mG_9yyc@Y?Gdzq1Hz~ z#3}7@IRy+dGh<0W2xq%}c{b$;w)kW8SS^R65E_%Q#5}pvP;fl!HC|G~A$6bQJ}Ypv zWzDfUxxp+dpA;R#eMVXgkK>bGEmYs{j^S6$!HvFa2IoX=EsYzcuRK^0f=mU935gB; zTWEW7p9up(Tx2>800@u*2(nf_wd>6c@qknmB4aHDa!7UmC176Z*#HT$i6bS|QeFvX z{(59f**K3pJM?*|pGOP?K(t~le^kw(WGK_V{E<^{j}a^bd+3#%p>DV~lu754r1swI zlY#6#BaE5TReaOX`9$bA@ybRPDnj~X!gwi<)CCG^@0Bk8LLP~}n8Mrnn$`~L^FSU6 zT)PRgBKYD1Lw5hCE1|(pJPHaJD*b^MHCJ2*dTLTsxfm40Ib#3mm{j@Zk-YfhB^+$s z9$x7j*bw7m`SQM!^fg4N-bp^^(p?GcS#F7MOv5cIi^)Ib6T*8oSCt*XAu8_!(Q2U@ooZel9~3{tYYi_ABQ<>flgGrz9I+aKOBB#iT{ zhwK4cP#3JfF={g@v|OAl8G>$d>|EAUhyhaY9RY}r3~6|@$42l0eE)Fd(T6xMV$Pvm z3DRpY)@Z&(Wn>pb9?v%go!`^s_+|_hz91jJhKI!3f%>!k{AY950ixp9s0BiyxLJ_1 zl*?Mh@fi|XC&XXWkV3N!PO7K#$)#|`7-Wvi71#v#h?$v7qT{9kp%V6FhqPWg>_gy{ zF)29HemsZRB@&L;pgjaLg-#Z>-G(qV(5FdcKJdo7(X5HVrW#mj0-Qp8Z{ZF?ThB(? zu$05_(OSJwk;PyVocd&9MVS>O`+N_)E%TjlSA)cNZs9O2w%Ta8#K&huj&#cM^*E%0 zit7iYfN293?a;``?-L6RL`F)>&gnc&$dn>8CSwYelA|TXf1MK!S;b6YaV1xn63;c*>He9CCD$6}LnXMpU87eEz+77h*k^>|4Wq4yx-2{xiHoJXkS6 znLm^FEs|NdHyWk^PSZ6Er<%ci8_sb*G9qO{R!$Lnk?k|t#g?&sA{uX%cJE&VLessn z#k2o!V!a$H!Xr=-N=)Q8E11a3EBsdwPAkf3q%0PQ3Yyw7|FYU07*}gTX}C?rM3p2X zEt^guoKcEVazTjmN0gNe$jZ59KU8w}DQAI)nXI|`g-}UctZuAz@q&`F`Lvcf5f0wc z?|Q3s_4o*DJv;4FzB;=TkABlJaMyDJk(HAo78wVD5#cu{b&M0iq~0OFeE>GV+U^{2 zY(e|BgHn`yyQ7<2$5Dyr@&Fl{`L_jLk`F}dSd1b(*NYFK@yf9XZ~LXnIIuIjSoB(c zQ0QCNX`%f6uNl^BOt)f(2BJnXt#Jgeg0l}0X?kevjy*K5bDOB?RC^!l!7{TudB$bP z=*gct=-8ig8<)BpH-8+?NeNLzpX}JUg_n9P^~5Ul*#dM(=7qlyCQa!{>F0;RQ}5!O{vvf9Vk|zYEJfi?j2icC-GfC++1gMExnwKjH~OG$ba2m zekh{?n)G!ynbV>iVxa=l7J@JagMXWl{H&W`c6OG*gqjVMVPM>kiG~`^MevT>L!b-2 zrF`wW$HpVSf9FtMuJ1Bw<;ZspUeuk7ZCL2>YI$Mwcz^$>!Q)yDw`&}EhOGwq+Lr|8 zMuek3|3wW^62*VPFpR8vFr(*bW=olsO=SE~W^h0a*@vTRD_8p;hCVPCiDzb`5$e!T15xaxl9t$^3ir5F}MG3U_1Y zBe-o5EoIl3@BQvS*vKXI6MWxc-w3*n_v|PCC@kuJ+AL*Rg9jHW2v|+<=8{J>-3ABK z1#yHumL3fXfrLF(%soGBs8`|Psm{cD=DwdHtP@@!5n!Gt$cAW77Zd}u*0Wg`3Ia5& zAp3crC<3CYjZX3!I$mP)GJ*{~QVy_3R(b*Ll>r1P?$L zUo4+gP}8}!V~$fS$@#@PfuHSin2qC-NaNgRoh;`4s;ngsd6o279xa~ZSR-tGsO%mh zW6h9DWjCjF3T~T#Q>crPn`@Jx((fZ(p0Bm`>UbbtXaIH z_wa&CGbewhkW;vu>Q$=jJWKQR%7@w(r2f=15w?61UJD{b#BUY>AAHilyq57IuLl?} z20?JoCXqXJU3z5M>4%1ANV~g}!a1aAvPHre6@8_>{E!ml0FVO--m0TFr(p&lY)WzP z?UF*+E8KIyO`Gh;@DxqZugpv^&JcJlWoP-%EBWLfewq5DR$SQAysrg0vtQoPV>%D` z(_6@fa32%FBdNZW8-W{^PTd_hLoRV z4O2n$o$$qmhLo_Y+8}6jw)su~VKJJP=`YLQnt{(Fo~u3KA=9NFm}_lFNhX+sz*lDT z1l{>qCt%zc1?lK3;aG~2)DN@)P%8FFfQz)?|D`&2fm7^*=RY2_59cckbI4eGD}v7K zNQN?DNIcvZ9a!jOJqA9E3|E2(*a|~LU0p;|ie1+}DOHx2vWHs=7jyFb*{`KVjHxfLpH9bhRZ>2NJS}#sP2lK1g~StP z&DL1G78;FDK3c&qP3q?%x*iW@*HXCTYatn~B1gDzi{to-v@I+!<{oHec6$x;whiz2 z-$674RAo>6!+$GXzJzjD$bYbjz>Q zAPfZfWZzfp6P-rq>Bw}6O<-dx$>?}E6ou2WBvrr-);sq8r%>jQVE6@xzNG85s6A&+ zItxp_BDxz`gqc^UU9gmgc_&B4ImCo>!#KiFOZi>S4m|Z9an1U=dz7;bG@C4@XS7SI z(?l@;zYk*?@c*BHe<(@VhAky)MFXMO+xZJ8q2Od}aKKlGM&uUvwDMNlMzTU3lDQ;o z`rFd#$qBw`&pZ<>+M26}#EJ={n&y2xk_Q!{HW~aPa8}pOG~I~>!lQ_aOF2SLJ+(D>Cr2!=NGjP~;xo~Nq05TYVSW)?)`gM% zKNc4*zli2xa2&W}lN*+e9_!2`3ukZuAiD^yFoD#jnQ{R?oNb$zJEMf8Z;bZvMRscZCaJ zMwJP{@=mn(bIV1Ynx=G?a6$~*7AWZFBlv_x8(7ob7}+}%&M8`?CnfVp>~#sXHI27; zL~jLJysS?GZW%HZ?1}R|Vu)8IwXxAdBtfo3yl|Yci(1)`J}~#CVWf>ivW?E?mrl;o z)7nDg_@oO*fYVoadX~iFl01ev$J$sqyfEw^vlr`fzE;C6QH0<1qo48NKcGFK4(6_W znZVzPN5IRrc^(PU%3z?UQ;?YRs6$h&=1)>1j~XxJ~41Mum+8vhm^X49wYqx#Fq&H@3;Qn0fM{k! z25*eyRgCx;90v`+3sQ&7&BdeOLt)I--`OY|ND7)^__&BJIs@Y9U$7}lP2|@>sfked z|1+{wmn$l+R#-M|DX*byvRtN*w*6@dsjDU!C4{r`NrXFu`>1S13BmiB3^POetajMsIkD4kSl>d^Io_EoyD6oE|l+5R^6=r_dcQH!|I ze-+)NUBOOh%pxqSAPheiALNo!wBD8GaJx~?8mmtpDO<;z@za$^q{%)itl z^!BM(NIriKkY&04HkThtQaN@+nW(ae&ia1!f9R|qppdo{dapG!Dg9iwda- z!hAavn@8u1M{6~l!hQ7;{>M?4DWpe{QBZIi{$kcgMo0a$Sx!^B>Zh@fp0a1_?YS() zTyZwP|E^?J9)gkGl>6&1gjo}IDRw2)oxypUAYEeQ@DX7#Sxr=>+(`c{eyxVVP;L_u z;#_C*#^GN=77#$}5$;Sc2MNYl;DS6TVT7?66tJRKB-xK=d!Or2dY$|;B6*>NUvV@` z8r+qi!RlCu1Mo(LEWI!EF}K9Aqd{8GQUc+v^1B~B!VR}8aY&zF2&|BaVDk z!oZv^5Bg7xiW=O~)hz33Ply1T-vh=_T{~ecmm~x9Bo-?&0lEn5DUn@aMfHUN_e8_3 zxy}RNV}#}_TonXA#xmbvUsv-dx}qx5RYD|SdxJO~NWYrJwwCcZN3NaYAVht9@>XOZ zzhw3}U#a#-*OQ-+(+VC23a^zmp>>+;S2xo&HhsJY#ezxXQ9a-wG|Y;!jaGARldi%1x)?62l#iD{THNVtgCVlM>8PX&avVY7IY6V@d{cCr;x(F^6 z(7e zChDOjTR=w2JUd?hHiBP1&Sd2=n+jU1T|7_1E3g_mtoV#%Yd~tjj8@{G*4a*=R+O^ z#9&r&%4cKpsP^$(L?~Ef%f)%z>yr&ZK~ZDa)ludRqax6#h^Nvxl0~LWxapA(Rp%(cPd^ zT*wjk#AjY5g0nEWm*Y~yS=BSgMZ5wwg4bg>#F7gd1S0;&q#vEA*h!AEJ-}CFF+Y)k z{PJF^c`H}Vd$<8j0vOMtydM+NRC+lXw|7x+^dev}1CL`+57N4D@axD>3;4Z#Usvvi z*o4G+Fw9f)*LKYBWOqTsFf#8HAtW+RDpU6Z6*{yZ9YvcGht`XoOQRXd&_;x&LtUmi zvr{@rgBoaB#%2`X3LF9Rn~g1>63E##wvkubCFCfTCj-}u4Uw%*oyLO41DWNOVDcKt z`(DcAQG(V{&V}*}0-Flst?+h>)9CV^Ia-v(B3ip#I8*B5UJmZ~Xq|naF`;jmMy4)w z<5R7IE#jCItBD#OWkyzX4mPHggTWkbbN*jp8Pt?Ki>=L_s}q0^3M!t-%Tn~!pQA%c ztRK9X*g~FSMSTnPIA`abPg%b+Km(swlexgE%xm(Y_jpjPB00Z&A9Gd7lt(U$*c(b{ zZz1EWBP=9*1De5auC-#*58;OzYwdd~8geN-7PGO;A83*=+o8aDTkHiLHRv)^f|8oj zNHQ)DfR~4X1|d_zgP51H0pA2pE|4e$OJ^7{akDuW7AZF}{1Sk;;=?%vHvSQ;FJ%|04p6vdEUnhb!k?%3tz!P@beY#OLM$0_&I2^g*E=sNrb(Y z$<1j5NdJ&9`R3iiykEq6{)?kB#5d?dLD@!Sa(^D}ZW^?2yX-}zAi{TYVCw(RPRqr)RD^qX}x`7-CgJipN8VsH1_IY#@GgQjNI~2{HGhMyJ3X z3U!mEV6kltMzEW~775H6jK9}@@obkLkU^on{$F$pI*NR>7Wn@)=^7iD*{|ky!26LH zhjR{#=Q#VuiT@P>;U;!$Anq ztuW!E4hP84-ti4dH{4hY>o?((5X@R!=iHb+nv!#kY30M@+A(fdK}P?(AhyaX0zyi3;x*>ChW zrMhJM3SqZ+%)NuY5{Gu(MuJ$@1fugSB=wc$w(7P7DtQ{t%#*LB&G44uxFvH#4XbMHT1Zly*~))J*)H&o_q2|@yPRmPVYE583%jwpD_Z9+o67l?g6jHo>LKJ*S!`3+jQ7GU{uO zc$WYBf8qhmk1vGf^dR{Ay17^0;hX^tUATuvt`Z_b7zF3pa4FbBVlwuT9E4oTH9X+t zCF2BVzZmYWi+t|%T*J$`dY(^r2>-E@QY)G^2mcgV=N;T_b?#r7#L8bQq(7HDj_9SQPPKsI3czmnK@m34$i_ zf(XP8Jc@fiwsGzztV*fhXYSZ|<(@A?w$keeReMcK(U%T!Jnt9u(kgI;XM5(_aF4Bg zd#gW8@Ch#7am+JAtmRzX@Sj3f0%eNal#I~v@oop@)l+7=iWy0 zNRyCR+dkB|JWR;X?fBAk!ja9xP0v(kypl<%=Q>Tsf`;yaff;s6Z~ih6vcIZfmR;gg zn6_-})Qqg1$`(6HW%@8FC8}D-ZWI=!e^{OfEAHM9JPL88;ASTNr>YKR%2d{9QxB;B zA%zPLq|!r5z)+L|_NA$O^bb~$LXoZg$)dXDDLax&InI6SIJA^&4Kh~0l(fqgnD>`fO=lmn~-H6G^hQKP?NYw zmIp{4(E+}rpWwxWXt)=V{&08+1CXh++y7tm4i&hwt#Wc8f|lO`^&gmGO=PB6ML9K- z|4ka*7AK7|IFoGBcnBtq2t6{w`JgP))$JkpVwJOMEx##6U68}5VB1wxXj;ZALE%hM z#*D6?TKedMww8{5mxeKIrPGFh@0u75gW118feO4&+YLekP9ielkOG26+p+!f;JVZ< z8F8TW%RbMC;i)Q0-9i3|KNUS!jz$)Uj$)Vfc*}b=0C#G%{NKs0lh?Dv%+yRXX|G;$ zYL;AD!2`*F1!9Gt3UWZ4dEE!$sIp-c;r((UUURfZH`AAFU=gaZyX*hP!X_F&{5dDC8P&_Qy7hXI zMEkh;2v4Kw$?GT0b~x@sTod7a2f~DhAI9^Gp$04%hol!qVLBpfa*(J2^DqBm-CB*+ z{tE`ArN)!)r3cxA=Y34FCfX;qzhsz{JQqVJQkq=SuFV-X3G zSbimOpIDfTmmnZYgB1hQx~@54a>aO#n42x}A8E*q;UwU>aw1*}>HE6JUB_-SZVW%^ zG2l?vAL%lG#V?&7?DPN#?fe#Q?gU415{QTI3~`)_g9iD)p*knHJMYOahg|nocHfQa z#y)QOnHm(#?he#EItSbFSuT-ZJr!6z57(JI@Tqt(Q02g%dcikVWy#tM`}vq50`ywI`PnnN0AXgzBe!2M{7_bUn{4i&BZqG8^yi9Os?Bfur*?G*r}fwm|CrBER{ zA{y>sP|E-K-yX=8b>(Gj5oBCaa#I>ZrlbY`2LlpG%K`06j(r>|yZiu02pW(6vy@SY z*BooyUY8P81~E)v>F+4dQ>EGRIn*S{hyfmtWy}&oE#>R5b#qrF)ju*N_@QO#^(O`Qej`MYXj%ph8 z)ims){dkvL2qvB3zMpcMk!BvxD`+Ti4D9g$w*4>3PL2Y*&^dXkb1gV`(hbIjfM*oP ziio%35aYOayp)}VczZhFMi$@($!$yq1k#wSvz!|br*)L9flI^LFS;N(72PDzdxv(t zUfjWP&OE9`!aPL4;sDA!xxk@JlQO!)7f-!R)`i5LIVj_)ui%`CO)hC5*;;WCJxP!D zo<#CDY5YF(`80PY1kj5!4gCmc{bcZ_$BwikxiuM%!E-I7tic=LYGh>W6LdZ1mrpf+ z^JX`V__HPoraItW?kK{0=HZXve`8d9kPq2ECxCm~^yJa(Io}8<_PlG7W5J*a0};G) zNMz3CS}1dTyW*kDiR7)6erb~OIFt=t-CtTn$zxpb`wNW)@RUO^O&_K}K$LGcVQ(u$ zmvuM(zCpkZ>~H!q(%+2km}wHmiMW(b5FX&10R&oTY=?0S+KQs=vL_ANli=?KI- z3uOT(+t{F`ql|>?lls#e(uh4lPO*!(bi!T_5)fsfDbxWVDS)5wNdU$p7eaj*Y+v_h z$FY%RBW;D|zNG`|5*u1&>@QwvMHN2~l>AZ~Al^)mpjCV3FFJ7>A_nfWC>a{=Lp^Ip z8DGBfeZiGBKh7zh0oE2A^euZ|I7MgSxFC z8F~c%4Cs1<_kh}f4u(Ts!<}pAa?t`weTw=M&Q8Lyzoql<_!Qe=K6xxjgwstF63$N8 zk3Die)@2HIMcb|~1KAGcnfp6OGBxm1yws>b?!EGjjpSkO-BJcrz--DE$h9(v;HKbh z>nKI_x^|;AyyC$B1}4*|pTz$Yr5`sW|Q@L$Q33t8xZ z!5D%|FBk#iIAyG@Jmp~Eh_Yco(GoR5a2E98<8Mm)bEySn_)vU~!(KMTqjvc5i1t!G zeW8#Y;RACN32&zeKmah-8=b}5C$n|!;Dn~gGK`bP@M-nk-i)?RZQkN0hjX4BByX!< zbnqs@E7|3(5w`G5tU`p z?2&iTL&e{Poiv^FQP`q9B8Rd^0XT+jN(b=!lVoWUpD1H>59O_OhY^H`ojJ_ol*67o zI@^$bgsSVr0$*ngS;u9uVaz9Dz0CoIR5CCaAcG73Bn~=S4X(#FePeh9?FPsrEf!ty?0HmA9{jD4y8?}ZwIZg`>u7dZ5L?C9@i z!yVp6uaD9=)Pcu6M@E?(+9${nn}mp|$QTY)v;bhQ=x#m*zIcNL7HGvXG;HJfM+zpz zli#9bO>WkuQ0huM0Y~RDR@QJRbQT56#7ES;)BUBO3WiFdjlQS~<`#PkW(ETt7h)fi z6Kf!~yF7XxnA42(sBk)XJz4PM3fP?h8UHSC*_G!|E{+jGS~N5-hH@f4PlLU~l zQhfbtZqK#xUP*qkcuJEof(OuXl8z0*_FJu&S-}Tdd>lu9ZX>w=VFF*p3a6`JlH!>e z^nyS9|G)Wv5zPPPRFd0S=`SN*DL(p=U!Yv7EOEbd<5_2>?Y`OTHmh;#|ob) z)qmbS^dRk!>zbF{hru8|9)Vdugup1cfA&h{x@`Ke8b2nwcjkcZ29!dY9H!mtO5)4& zz5h(_WQ_BaTRtNW4>~ zx7X+8o>5Jxk@wKZ!EEqw5A=AjNw9ljb6Z(V-VMf=pa;sum(Vd3R`%aYf|52|K$V_8 zM$;#Y7N*!`^AGPlcBHz{4rwIPj<(hqUiMCHizEK==Yk2{v)Qxiu!Dzl3ihlOi5 z(;fc&a)P??lGwHXLwRZGvxkZ`stpjSx#e)#%UR9ztN&Uu(yuP93_#RiF!QP_yjB)# zSy5lU|N33`bwGWJ-X)aYAD1;Sa-n<0+54QwYF9EIcPC8H+iJ8AW|h~=t5%1_Ec+my zztrNU))4n>{9i4^Mw-SDM*D%{;a|i99>OIFtrKb2X38<){{GEV5!+FUntF1YB$q~n zP(8ihBU~$l=(E=Kl9#*2z3^2xgq~BHTmP=d5Xs6{?|s<$bV37R+Y;*1o;A>lF)J}q z`SlOC>e;I7yII21>1Pn3xVtL~EwVVV?qM3(bwblC7t7@APhOByqRkf!HK^L0QQe&4 z`6Erv;&Oo6y=O{(-*u^me^G|_e2nf!=LK5nR^IuDKUm_F_s|@1E&Tn|(DuVV?+<^` z51{23eJ$y^x9n%>Dxo5l^6&Yj*C}~E`XB3W-Z4lsS@#AR^()>cZ{~{e*SxxOD4QSh zL6v_`Z6-HO;<|3S{@S&j>T&wfX19=rcNZeIJ-dGusS?ZIT|F;vIE8j5?8&%*vhh-x z!nn8W#{aoZqccKO)0)0F?4$0qSAg;%A4hL%FNd55t5*gcf`^6vmEAM+utNOjG|-Ow-pxK_Wx}(Aa6eX(*sg!i^DxF zE%QSfOU@f9Om3&fr5(#G_p_P7c&kF$y9}YHY=mG?b^kE>h|%)YtvzEEoEw~Gq?~-{ zNcYaK7>6fEjMeQoHalq2mjApM(H($N@BQPfBv+_uw4`QP`dHYvHx-Xjh*BZz zf$@1G^}a(6DCIWiTTimR3e~&aQEtyQQ2OnAtnvGvpwAmEeW8mhkT_|3h_n5=F?;u! zEm7A-8vVXE*qMLdNb6GYJF9hpxnn}jW5ue0I)C^YRV`O;JNLz?dfE0vvFJ5{U-H}6 zoihkxRsWT0v|OUie5p9C{m`agFZ%P!KdZlBly&lc>^X0w(`0?gEG@!pNq^@I8Tpdf z@cj$F$Ve|&x1BB|%hg}XUF)$!c>mE^UeQ6LRmZgX8cQ#XV=k;(cBTd8xKo|0eh%ZY zah)j#FK@JF?QM_Z_b4|sJu0wfSMj!#ZJz=iJzZ9O(;v0Xp3C?8>u7Jlw%mh~0E5JB z(;mN(wicc+GORMG={@(jMVFIvh}VFz!YQ8j@kA>=Gftoq0-bW6@)D3*=P-V#ttlJl zm^ID=-5!& ztmaJ+=coR~oIi8bY|Pj*b~wl-%^6wMtuvvfmZ~3St?6xS@;2vT`5I=UonfQbFC9-M+sb==XDSRo6=vPRF)tpyykxwmVkf5OgW(p7hN>}j8ErK*PRp}d zp5gt#dEbtfGs?xqz|U72RFA3N_QmjNF7VIPxmIWf+S1?%vh=xncftRogF&nTh;eHueNtKyk5kr zD!4^So+2u`>1iPiOqREw)V;KONsD%#hHJ^>5xuh*zmrSVOnQ2w zpLndlt7(c+pIs5Xdo1D0D+~L7?Y?g6v^j6&^we;Rxz{q~HfwaV$C?Y;qZ;Nf^05{R z@14(Vf9s=oYTY~rXKv__SN|YIevR9BmeXq^=XvJXL|Iv0PW9$#r0JVutAs^2530R9 zk)`>f&d6ov_|&RP4>n?yj%(lYDn%|S0!X9Fw-aZ+jHSsV<%K7E3MLjh`ACNlPv?U! z*Uk@E-o{}zb?OHxsG|`<(XW3V--)V;P>mV;6QdA@NXz$6M|^pq4~<#A3V>4bsw|2L8D0Vr}GlYKbof_foxb zIU0HV){-}BE20f|x!!DBxPw^{706MnLaH_BhmZY}+H!|5zCPQ?HRF2XmMY2?MTZF`4}gDpKs?F|*X)GSI6NA~4W_vATl^DK15 ztejgu`q@6>X<2wy#>(?X``OlZ=U$rM_q)D38DrOKxJ#A$x%?wnP0i@w_1h-anN!+w zj8WY5sE2Rha`QlaXZOp$4HD>yzig}%K95_RW-5(&^1QzKqtal2?LoIWj6L1T zOYwS;B{I2Wi z-%p~mgEs1`+BwRX?X$wASjd@JYKACZW$GoZJ%@JFIDN>_FTdpUB~vfWz3+}y4^^X< zZ1&jlC`=bSJp9Q9_o6uMf!hh>-np|IQ69NVZX?`xJvsc~GJ$gGiR;4?mT?NmqXUSm z70-U2HUDh2@x*t;(-+w_RGow7WeT2dsAG0f)$$)PisXws4OCSXj;uaw5t_NXCg%!L zEnR;c)wWkbbmFVm(+4_oC(jNI$90!xV|F;Mc&ss5tbp}=^ssQXc`sVA>U?R|!@exH zD0iEEtF~kGO)P)onr`F9T|9eb_+A8}F@uBhvTT<-wEfWfOLn0j1f9bn8T*jx@`_k! zRBv-Y$p@XbU3TfM;;+jJAFn)`#xhHO%oVK2*9$tBHKo;pxRah?B01a z!M)z)fYZsVc^}UmR1`)!sPq{~@)Vx#+kmvXuZ?_43fg=Ktv(P%{P=R&mLOA0{m^YG z78LdDyV!~&e_UIJG>8iSJ$nDcd9!4`#IvkB=xen)jRcST3?YTb?m&VQzEq>QRx{8-R`Fq9C4aRhBcWx6# zdHnUS>lN-xVhZz~nP2l->#CL-5^*w)R5js$Fbk=^*D8MXl54NFzcZ^_wWi9^)P3Da z{W~`;sl8dGms<|yDaGo3K$;jtbbH?1!XCw}wI@56X(Ly?-FWK`=`iB_zVwq>72T`I zpB+o`1_B+nEw|o*DD|?yjISDu(1|7$o!LVEn0KT}f>93hTd`)!4RPq&s=_Is0i1b_ zg#mJlMAvKM&6M=B9_nY#T%B5Po!wM#){0b5$JTqso8W&#%}kXveJTqdtYj_Nj51`hGxQwN_R*JLTy z_wIjJnDX%OLSy3n2UXhb3r{RjMj3Knm8&qT5>@H-W9BEfsz0=vZNzAwsL@8=LIfzk zGt1ek`rW|Hpz0*TE&O)wGDDQbz$ba@_Q>0B59$8c_3&Cd0Tup_<`eC+uvc!r?96aO zTuv~&*%rPtr;VUN$w%x`q#~7CZsb10He&Z32+lievckI9q|PA7{By^aK9mDq@w|fi zTZc0Rnivx^?Mv@m51Rd3`1#(X?q{_ZcZIrtQ@<9Vs3!xj=~k|qzVl_Bdp#=WvDpi4 z{!Nvm{dtd!a~Vw%q}EFx(_RbtssyCsO`km|#c`V`#a);@Tf0fuvxgsn-v$%D zKCoi-p`+H9^0DuWjGh!lT)|Fitk)Vq(@^@)I>9Ag%rQ@kt7_fPJy^Y+3bL6k8dmL8}D+GOcfi5&4%x~)4jh7G1U(#N^9u@Db zQ|+V9MJUB%C+%(F|5q(i>o=#rKzG1ER9Hn?_)mPP@nERssnrYShrA8@dG_*1InzDi+#v12! z6`3)x1rP>kUP}FS*~fuR51-<6|{~x79Mw+(zMQp0&ju0dm#!mR)~`Klr0# zIJq174@U8m&DLAKNd4bW2hnn0X&6=E%wymAP4=dp%$Rz}(qFL&sgb&5eYWmrdf3M^ zM{p<)&G+(uxg!QQKgGCmmc;1q+GdSrdc#lyvM@aHdIM;KKN=IG>q_Vpf4i!tf6ibuxh0p@9gD(U^SbMA#=@YMt-W?H`dedk_Fc;Urk^&e z_7^^Puz#Cq*}P}Gi%tkBPD`dr%+)KGz7$s1R)?h_wR}2vRKHW!{U#haViSPTwbP$A zTa7fh%-KKiu7&x5_hU;&C2CctyuRUA}6!(r`oa` zoYq#p<)$NU?^bI+yw9TLJV6BouTjEiEHQ8G|7#oGm(%xXyX}vs7u*=;Ne7G+uwD}N z)NM-Vc{L}qE4e>?Fq)Mr;ajHjN~CLVBd=e0vHZsS4TmQ-M*Zyc*cfHDG|Jw!Yi^HG z^kE^d1=e%VaRt1FbciHozqu%gHxW*FH%8`HeZu|JO^H-)(7uw)ZfL$EMr@^FB z@fWhc?YE9+<6d1~C%l+tP9r^U&b_;kzV8+;2DSITl7h!KOZZjDkMf%HJ%_emW{69+ zzOCMEpt;vw@2Jbt{k?jtR9nxuXMK?14HWVVBTl|Tnx3(DeNz?EC%B)@{`{qeuq8ho zweE_;gDA~Pf#I`(vK{BI<jVQWxn*+QpE2ru_r#- zZ$IQAI=N}O(&1%(H`3JzrTxdbtH_I%Zhu$*qqyBI?}*nn_ws#F^t>ZViD-4!r#3^S zZn$^#UsW%`DAywzm*{mK=s!Q4r^`XH?D`dUyxpHyx8gKLK}k|)w9$ax@g-o=dX;1t zWz?cl)0)?pZ_ic>dpi5`oXBX&{*fPseRU3ss_y_vOuwMz(;NTCDm}tK8~N#seq&2Ya9ZQ`}ba2 zf^?m{wRx4J;_UuDjn;eO3py4k)y+GE7A5-k*lMnvL%Y7YqgEZTm$%x7894CgoelX& zJtX3IgmrmZHS&;&{;uk8SzR6x4FOyGc%>G7J8~t*9%4lLcivAeH@ssXRK^(pyzXD5 z+^LQWYT*ru*dP5b$~-lEIiIa>)}&h>P1bH#JFT-Xt`WUcJ*Avj-QV7*rXXC!@5_lj6}Pe zT0xIVs;j7RGG^u#M%hiVc>k!o#%2#wl-2V`;+AO?@w{HM#C#jZJpGkiIIWO)^}esl zd&&Lbbj-c8uMZCm&4$ReR1BSRHkLcyGCY8)czdNO;IsVx(-=q6enpO}im_b4i#_tn z&-UMAo9)LSl-5**7a*ICuYI8SaM)PE^k!M^-xqG2-!_i&IHmeB?LEe{^2}A8fFfhX zF+)vyHLb7`sXC8ve`kKp88`FP*;uJs_nU{@myt@3t$y21VeDh%)K?ru#$?MG&Y2_d zAJU9f5;OVlc=`9v{@$)Lf?<7ALu}+AT(%mk?Wda0@AwO8^1b`v+=kDXQ-@9+-m*$z zKwHUJUF*j}Ek;h*^c3k?JyGrP<&2I;DiOW(iAK-Dnf&rYS%2i;_Qx6IYrNppFf|62 z7_ZkXYFVHWD;{~8m@7^>EQynsWNjoNmo;4|a#jz~zECF7wqlL8TJ!_C;y-U9SD7TF ziTaKoRGixUcKMp~)cy@J{*1TQ}+Ox@{kAK96O6ro6cAr}AJsG7I_o#x*;yJU@m?Tef_AvQ3t2nau4H2Uc>NZil~RvM zO^iBTbFY)OmtttD?jPIq*SqDr@BjO27PI(tmVqQ=`)AeFen{1h9SQ~1k5%)D$PE+U z3N6}VmK{`V+hM$8>mRDe6btn>YOh5N^#xTMc4AgM*JJ86A#=VdPN^v=ZB^Y#vEDu< zS|2gu-GItpK~&v)bmOJArRUzLsG47~i>CS_bkK>z->lVWsEc_Wn^swRwUh+vVieb` zao9Te+QBhJzB;H%ZS@DmSNhFWc_`I+bJ8k@;P)*xiulN%*Oz0KoH1EFqw~M;sT&OI?*;n3jN_nzsL^DRtV=CyVJqn{T2 z_qbQS+IuHYgzJXAk4>=B_mY8!>+OPG=Z7P#?&tYU>fJ@!e|LSj+vU`7PTRUCS*rhs zt2Ym5^3M9c5kk<4XccshHMHXktL*6w!*bcg(PK77m-2?sbGj9Bze!3_MYc`-~KU9#wo@u*Y!K+e9z}I zbnNv7$DSJ(Qr>;w=!hUwzj+*1$))3kq{1JMHKlCbO*yu6!@5`Im*0DY%&KQj|Mmyt zanve1&nmSCJIi*|1!?1_1^*(X9fBB~ydt%d~qgH%! ztiXKfcJZy#tJsCkjTN{0Z>)-6bn%THPv2Q}y~T3_XN%~L7bz@!{f>(C=%ytnU$5-C zakVt$ZV>uEC)SLjI;K5E65K4j;c(x}|9EYG$EzO} zdo13Z|I>ScIqL_uB>l1Nv6u6zbpwskvDNo(tp20&zzP3Ba<{w3zXl%uT=~w8ny!6= zzE;m=4;LL(v3_6qR%rH`4;wx|xLkX!O}Ols>s(muqE*5}r(He@UGdb%&GXoFs>Ac8 zNjKiMcd*!Do<6ed#(*=c$~K=XfBl&25{FlgmaNro$*i6K@i;pY(&BS*nOERyhx&7? z$DV$F?8t>T^LON3$)}xT9~>;Z^yA|jZ`CGWx?ld0!{R^8j9U?h*Bv@HzjJJzaUH(Rw@!qdr zpTE)i-lo0pu4B~yY^U<{?_YF#$+fWSdC3>MHvY1_+^*Wu@98_{hh6jnb8?zfavJNa zx9mUduekBLk7KgK(#xb*;R@&F+ipVsZWZY>%-mQv^X`4j4mfB$xQ1L^Sd>3*-u z$zw~EZ@YT#4!iBOShLczo#C4jx;Nt0#w#1Hmma(M`no8WW1F{JwRa4R$Cqu}bM%Lc zm%c@QIC!%L-&ei=*yrL^Uo#$la?$#;@@}$cJA2P9kLH5hk3Z@0I;QkHb*4yq?r-|z zoMYakC2y_`ymGrW?W=Fw4u!UtG^?my3C2C|cfaEtGU&z%yEnXRzWA7GRhAXMOV@2Zw(f() zD^@JO@sIaieq9m9uR8bMrumw&ZRuN5uig}XwK$=?8a?ps4g1D*ee{x_GM;-~QC@C} zKb~>n-(hP5QPRpab2&d}28cKEoyV=_O8@kwyKA?6OLAmBBPFoQNshe9|77Q-#N62U z5&7_ShYzLshJHuv36c7}hnP?Nv>!i~Bk`bCY` z-^o3quW065I&*K3OlJo=NP*O$^7EFmPb%$B6#7E`j9mNhN`++Hdw2DnPhV`DYkcqK zTT5G>gpfWx&ZvrPFQ(75DBnBw)`9E$cbAn_`z?QXDDUu3+jls~D;ttMT8mk}#^RA} zuf6ZN_`2_gO*`Fa%Qwg;kFPa-w)?=~CGyC^@8J)lcPtqby!BugiJF`+pS92g$h1c3MY4{uy7Si07g zoZdD|E>e_v2-a`$j`-;9+d{`T+ZJzKjsLJ|et!en?&$I5?~UVZ;l_rl8UBg&<<6SQ ziy`Rv$2T6Vckv30+0uS)F?zXjr}Hvjo)iAPM|svwnE5Xv2LEV8PhTe|W^zN6j+3JD@iIBsuHB`F_Y98IMe08u>=UTmet zb>)9P@UM2*4(#AWifO8Z!pM-$9TSR7K`bQ70ctqS0zz~Q{Nwfz{>**w$1eI`Li9HK z9gE2J$L!v4c->*~6S9kw_YH@`2V6DRr@|xXbm#Emk_`G&bc6JV{;0M_M zzX8#@PrhC6aBz_~f#-FwC$iE@7A^ZPx7URb3N6)NTeQW_h3w>8Yq#6U8>~X1PDhU} zCSCf%>Ejv4e?4(3`^w3w%(1xsy;&!%)3u`{$A`z3{&Sf}Otg-4CUSB6vTbpHNri^j zQ({0W6treved!|4oKW8%>vyt2Je2xm>lG{eh;`R_t@osP>lM}Jv}1Oc1Wzo{Pf4q4 zt^a&QTEU(7Ja(D|SKSV@xeAr;$|*1lrUVFT-@W4D9dzh3`D zfaF^trhr_)>p8t#W&+gp>mO8o~LRaz}Z3 zsddzf^*q2uEC-)L?iF-@s&qb!kf;2rx}PKV#=tE-yf;|g2-j0a z`)FhgQl?kU+Rv`Pjqy^-J(AVs-nXqw<|^J`qR5N#fVLb}T34BdbX!`nf)GzvQQ`nK zMX8DKH1Z6_1Bffi)4a8@&}vd) zi~PT!vJ}`J{|&wx4t9&S5#NOMBs;)Y+eFX*pS6MYur>hX>XmkD?fq!+KzaZ~3lWudJz4AiI%e*0Jsax6)}aeNZ%97?SxGx}E-jn=yizRf(~ zU;R6{THMu^qd-q@DgLQO_@+pqbe@2#ZzTl@Icact*s5r?#6 zo#30Bf-9trd-$24>_vs$L!{G!c0M?LqWHUqK(^&uyL|0D%HN&r%)}e=pz~6u@%ee5W&OcQZF^~7u{jmNXa<9kz?%24wb>u7g zTll@x@-ycq@VYkUxd>VLF63Kvo0LZi2ETK)Or!!DbXy8%NBbELY`qgZTu9wm=an{R za~`2^LAm~VSbBs#s8Bzap0~T%bOO5vUtAsMdG6n4I|`YeSL@H~;W`h?Dxt?6bLB+B zR$BNyL%ATF9|dbAc;S7o_txNKPT)ij7vo>|>K=Q6kDEsfC@J%^J1;Qvz&KW~p@k_! z69M5=-21@e$k5mq(Lu)9kuL~Y1zKZ7xZu3~sIzniX%HhVT0zCZ=itvE9VNU(Rc}x# z!Jukm47TLLh9|tjLkd}BHRK9CGxdi3bNYU)spUvo?BRvc(aM5Wo876{*nV4Mu?*GS zDFfuIj_`~EQAR{FV0KkK!e2b4^Eux|F`mj6p~95x>LeLs4NE?YIGSxa_8#7|(aeCJ z043w#``c~@zhM1X$#_`dAB8Rvj$W@nf%8sw-N~lF^X~kXy92n5oh1Sld2>zca?IKYXTI*(jeI0V8GSoJPo$zJYionsQse+2rPl`d~OGhcCaadFbI6e z@?5}>ff`3NhYQkM3HFQ?k_GiaU52?Qc~XKonhC9T;PC$cy-D&L%K z2YsU_O`%Rwez*V6C5x$4n({j-v2yn3mPNf>(0%1)3w&PzNuYx8WV=PcaMKnnmd^{A zt3DvCjr70eF$p1g1nNKmgct0T@fd{=jvfOVOCIc@`=X}?vAeBWLWglpU0I)e7Hb+O zl;c4BOrNUr9IAYhoL+gV4;#V~7>6>cF1rP;;nN2BO#kfyVL!ZxVD~W+h|2^_c#c?e z9eBh#Z$n(N4*DjhX39G9#k&aG4RD$!sAUU!3nYDEV_j9%``Lj@7?;I5PJuY87TL>r z8(E^4GhM~m392KiJXml9nb1VRVU`>gxd4j7AM2!JNf>`uLrecr~&AxHWS++gk963^oElN~Etp3`QgRC$x%G8X;E61!}sG zMi`mPjB40F25e7@Y1X1ipKJQKbykw5PO(mmL%OsY*<11ISJ{seSgc5|=$u!pj>j<( zgP51m!?!3lLT)s&df*!{dH6%%oG)tBPfHmd6bbs^H0I4|MAs+iP}d4SU&bLedB8>{ z?4XA(=gTFd%y{R`K*b9%?F73MBvUcInxNuI7*`@ho;lW`t`cAQo;G2;jZF*|A@hYy zl@e@N(Llh2B}iav(Jwt|rI%;x7ji&PFG?&)8?B@NVoC&XIjg*vxfiw#2AKyS(F-UX zj!r(?R2Zf=Vxk7+rvBEk>r*p87s$kFv~WaJ&0#ghSulnZLD}+|FcaFP9me?vf~DZw zRUl=4M#Tn}_f0T@E5cU;l-4t+U9Ea6FA=srFA__JAVe|75}8wCk0Z6FE z0Q~YnoSk$U|B9uiFU|fFOi&XGo2y`t3KHW9s&-gSXAo&#yr%Cgu94Y9#{k)(?rBye zb>#CRh^=cSTq8kg4JM}iNmUb#28v^_owE+wuJQcd%2QY!Y&!D#+cp+zh7#yYn4+P9 zkbVVx(0JOy5JC*fp9k0d(~=kalChN3suzBQYzY&OLzXv-61(u2;K&f)-(`HYjpN_> zIoJJUo(3YooWD5T_@wps3i_wC*nr=GgD2o7cz=~4KE4$8N45QP@VQhYg8hk;M;A0> zUHKXF;9>@kp|Z7LT$fR4Z%|k`ca!6a7pf)@TAnY?9UWsFm~8v`*RIXj`wd@~dnlj6 z4okW!IwrHJ1ZSMVMzuYn=L4I^;ls$UKAScQ+c~|k@p@L)&6&XxGAtEFfuUkY7}v45 zLB?xq$`}n@wpN|qLHB{BLtXb_IoOv2j8t(aow_Tx#7awr@3m3^Xf`lf&1T5y4d{cD{5ZANFFSnyyWffGy&<(kf40rYU8XFEY0vC*6H$8 zkGU`5CJ*;kQC!(VVk$kYD#=_xZ3WWQu2e*jjW9Wl2q$L(tJ6J)T`p;ny1wD5{tKjy zy1x~Mr`F^y(n3pz^S`yF#3&LE*zy{$UkVYF@g| z;;M@T6A7=OfEx*zpL~`t@zMgK3IhFrMB?X8!#=S&iE%{~Psn<03&kjZ7S1HV!ON$} zBkZSiu-^f@_xvhQ-sP%?5&ndf5ny0;nl%dq(4g8=t7e=T%8+0rQyM5JPQtBzOW~V| zbF6{cY*rIbO%FM5h8?HL#wy;;@!#Sas)PKP?Gk|u6Q`%Lf@%ACPn-UP-Uas#S4V;B ze4il!M7MyM0&6V?%q$sV!E35aYM>Ghxa_2(Mv6h%NjFB)`^}K^pNuLt=28=i(pa%d zqbd*K2_f5`L7Q%W{{ze}&Vur9gNDu8zzPl;$Lcs%1Krz?*~35O!92s9y|n~B4AS#7 zriAb?aoVt}Z9*^Y0{Tr%dqMY%?58K$6>Y>R+l2Cr3)reSf*Po;EQzpD1JRlx*hZR? zRtINSd1kh#n{L*>oZ7{(xw&EeC@&Fsfy0GqRpH@_V*t~@46kxb98GAt$%-g7!vDap z0+hk1dXNz;GoYL_86u#zV)(s&bL@DJ#t)Xo6FS`CIYuyOjSm5rKS!;@YD9k;?D;l>eK(yM8nTe6A1hcY zdUC{?Xd^Ak=xGUT!^wHY0IZAy%E2a@DF2~q6tknib$)w7cN46AaVF#fNohnXlGEBK zEeg*@1^#{m8}+A=S8`EX(b7msS3x6sFIlbZ`gjiaikH`pD7Ghb6o{5@o;NcN$_O4D zYrjZeDIRPS-nm@w{sE;k3YbLG2EvzCzk^}BWEL)sONKfH6ln51>Yh%)5i7vZSqCeC zTudomFvA8?jZ6qgL(sVLa|x^|Ww7_{7f5aHL<9NnraERFX>lX&7kLsDNc|%4dxmWD z@6EfiF(E98^Za-PA%Oi7Fog@_CmTyOv>_QaPz}5s5G`L$8hO+}Y{9`E7e)Su1vXYp zvM+N8SF{GLEhm_42;VdSZ}EJds4JhjyRAjd2+o%7eKcj0%64XDQ=yz?1e+(L3P)6M zh!;tuHv@#Qhz*eaxqVwi)X}Wv{{bopNF4d2r{P5WL2O7W`t9P9GFiA zEdEkb_bXj01^*UwZ6+X4grffo3KLkZRwI;pq(yHLmEJqhRq=<1j{m=|3i%DY#ZK$P z$UDg1k#>RPx8g}I4sSeh_>i>p2@F-U9xb{U^}j!HEfkL<@FU3o@4m`>`e)Er+4z$9 zGwl8gBim&6Iene(F z?jqj-poDM4%rf8YE^EQg{N8f++#g@8ey_!KUtpGl`xX6~ppZX2ud=;!L|>2stf4@z z`BArn2H0NSUHikvs*e*xU@_+R>77@iOO1y0iwXyF(EQJbl4r#&fi1Cbwte8;wvi(bCv9ZPPRTAFBL&|UkK_$7Ywe8Fk7x&R!@nx9v>!sEfP6Lh5%tl+h~K5#>$O>pF9R_M%R~ z?!|lODCC{A%+U~|`8Iwp@?xeQpBO#JEd1W@cHzPa*J+EQ+y$=Y4cV%4M}w?GK8W!b zS}}6o;~t^+1IlmrKlo+5;ool}4?ftY*glRdoAOn4#!Mqi+KYQ0tY%Opko2c)VzYHC;u$zD2;U4rrL#zh7od>%US~> zCiXbH3sv*3`!4p$QV9fOSVtk-J5vW|Pt{bQa;T$Fr8#QU4Z%rps<0m(8lcHynSuf@ zwe;f_Nx|c^ugfg*z_LdxFs@dOJ1J9f;c&~ZnFY}gI@6i}>gC^u)T>XTi!B+zuk`QX zmOZIJ?)~CbwW3pXe^%rEy?^?v!ih1ws=rxvG?nn)qU?aS-qebsvp9Nxl6*K3iEM`= zlYFhU<1=V_>2MLTd#J_h!j`X<<04&*+dgS$p=iJ@Kn0ynu%Q{`ow?b1FF?hM#$%5f z4dSBB^4OFd3b>bjV&GmRtGg?XDlt-k_>ct~lB%lqxP5&cSD7r=DqmI)SN5Mv_h01v zyfBu63Wr&gcx6TRd>`gKkUG#8fXC|l&M&9O_WeM1#jh=F=((Tf=^_5EzY=YbRoCgK zN6TAb7yTsmw`Li-F{T}*HJ}^&vpb4>0M40*ZV2>4SBqRcQv0wv5Ih>N4N=5pEex+C zU;u)yvc!7#lWKUuK~N=>VnPEiU@%V)=HBd%LSuAlrpg4SsA!Nq+3ppB&O$}KHC-dX zMWax+Sg=QG7F1C6X2j{T1Q%*?;kZoJ9=5s&Ow@}-C&ns)V0C{%x%q?Bs^i=~Sf?H| z#}9YyP-mC8V~nkYFf<4gYSFrJ74aeqwkv?h3XcHksKbR5#IdX56jSAfOKm(+p>onI zr#`(i7jPXqSWwN-z(War3*n%I`co7J4oaQwK%tzYSg@W?K!vu|$P3Vl#_Ff$=&M2# zdPKlIY3g{O_0Kar8yTW+@UteFZwn7#_h_dr8Z8D(>L=;3f=gr96~tj606nP_zOG9_ z>4WX*K=N>Iv{6mKL=L6}Fg2#*wLa$MqG>o{6DP#G0=*kfkVXIE?P>AaocgVq8d-Jl zlltAw>JEBB)nQ;70}q0o(+oNvBQ0o3PQP?{uI{t2&K|XB%-(b!PVlftuk$_ih{_1k zVTdDeH~Fc)<=7xDOx6@`$W5u#=zM%JE>+7ot&?E9(~3N9& zNDX>O+a}y2D}TX~z`Lq(DwBeo2p0(Ym%FFpHOBzO1gxc2{c`u#&d;8#4v5J{1iU96 zABa3N+tr-tEWsW*4?fy)BF_S=ZMptgCyfdQP1tGf9eFxTbm)A2p=gDcgbvOvi5evk z)9GpFE5_{Sap^^0c@sr%nd=eU-+~Hmyh`4ye2T(Ss-Qx0l`=hta1@Epa7X;sq4So3 zEe$v?F1=a`5LaHr1X{a2Sx{fmLFc5E;t5zgIQ(u9m_3v?3;1zE^?o%W(rLv{D@^#^ za|CDx)y%;VIeKK>#79vkA~1kk&-zPI?rtbU}AfgbNmk zMNeHWK)EcRW#OoU9%@J*ounuy#nL|EGmMjHbz@)TW#e%FzS9oUmw_r~@TdzGN@i$gsBjdDE-ou|o_HSHg@VeYABr&~!F?V_A4iw8&geQuC zHp*z@WYKpsbA@RkRg2?NQPCto8)l};mrmoDz;~kb>zJ0ATft?B!0_vDh(N~21yOS) z!f_uOj2f!MMbxR;TZc}mHv5U-dwqNKrf6>B*D$z6PULnJR>=9I01R@MF}G94QcYFC!14Y*3vG8s?rTEw60{<%V0Xr1pP#J)atNjJ;|yGOy8^)7bdF*QNcb_VMroSW7vSii!tG=bcRZ!1pkUMuca@IFtYZ` zLtWM;wjx|94!y@3xoXWmMfUhF6AL&fVm&i05{%nKPg7^LGO`T3Vw=Qgwv{JBz%F~pv}WIK6aQPZf#_q zp~S&;P188Sx{30%3l)u&6#O77xe8|jKdhZ!u)Mcr`C7Q4oQ4~htsmP#8v@fcwCsdQ zb9#;^4Wvh~HqHz96-v2p6J7#pmSP11uOjJum^E;Ktphd!-t?&#r zqNlje&GWN!a7X}kX*G5S3jK>VI}LtEnf0_^gLmtBtASGANl!>A;lzZy0%VYA8mBe| zS6~7r1yHQL*->dc`Rpb0MNph3B5h)aMwLrXdsV8o#Co*V#B;b|mM2b2U{ zF8;d}TzGty7U7tnQA>`yJ@#b_d@uSfg@>E{tjyr(YNWQUI(TTDxnFf&SG`^gl$#** zZWTbyh_}^L^K;4 z1r3B=*`1%2Tegq^*hzURoQo9FrVR5Sc1N%4SfK}(b$s){dJG{c;_?8lBVe3PqvWAH zjFIV^k;f2Q2yL+x6&NhfvDy(Ovfc<6uQ(&h7ZH;8;qC(PCHtk)d1JDdQP2bMA#N6U zjVjQ@9=X)ACMb(|Vsn5cn}az6wA!bp=K%UYjtXQ!)MBGbKHikeMGKpTi=4+}7HDSB zh);|7->f}L0Lk!guESAvR}fZbW-&kmf$~isn8a{(fM!G(3uVC7V(2vJ-}j4(9BT=I zGB1K?5zEk;^5uLmhV!IEx3K($zYPVz_lqI48Li`uGS3`KvW5{1j6A}dLH|^#IqoQz zz(-cPsk5&p_wTg4v`{IeN^ zV^A9>dbG*E^)x9qpEbetB)cGF0{TjV%k5|lT&Kd*+tZ-|e@0fl-x^LTcKa`0>@z46 z;h#k}Y`^$?2fr*+LCxo6x9DwPjR9)xe@nf;aAU9A=7waL6*{=vuhAb{w9@VklK0Ie zK&M_0$g!hNp*I(kVA}&`hQ&afP9R;|{K9D`lQiU1mKX0x{x`TC|2g9*3w8MqG^O44 zwcpjbs1$BNAtcgS_L@Uek2{?d20^skxOL_QyvgfXa zihr4%BBscU?}(w{gMWQS3=JDUso6??*=(w8QaIInIp?#NUvNG;HQ>k?dBxc1|8UhP zaHZE=u^fRak6rr{RC#v?JX7DC7uN{ZzaLAA;p|#zQFxu5+Trxpy~xs@jSfF-{;b64+HWalk(WeL84v}F(awl}&ig>)EM`Gg#N8(SwP9qztz%&}Nq*Kz%_^IBQw zmCW2_qT2wWT^*ErdqA#)!b7m#AKd2B&MVsicw(-@?oqvS)R(o?p(C^By$Yx{4tpZb z<(4e8<_#Bq$1O9q4B>UqgV30Iu{*h`ZGUs_VSAtbe(wIJ-^L%!J0thD{nk1p`)XKX ze%eMFlJ$+q*4(YXq+&KBOQ!brJV;wFLmJZD31-H~S0;anvHfJ4@`My`@WoMzKPNs6 z`6K~7JA+cn!7_`TZ2*D=a@|nxSe$`05Y6(Pc%5JwHx-AA=IVX*#7z{`@&{xo9D>Tx zQ8+XC_qJh7sH_Kt(=c4?!FUN+*eKgWn1r@qO3^&Z#tHbr!Q6jO~0PHw^C z=a9HjF-GRJ93Q)BuEh9^UcM?obOLn{`z@b>FJq=+Y8q;)3Z};zb(`I7gwe)aKaPl# zhudCn#lP8si3E02ti z3WVozrIKUo^wbBxGCDy5V**EXr!nTefG%UjcqhdrDI*!y%^|xRYtys^8`RW%X-mZwxT0+=Uu8zERP7%d4F#fnU#-6>yH{i$yXmel`Bb3?WR}2jG}!Q zt?zFw7;K-Te;+q#zG4B|x;Zfx5kjvGiXwCB5MmdTgo}C+P8ys)9Ec~EHdh#8N`~w3 zctP!Uzs~9kAojF%LGyQQ0%V+oM`Y09j+}?FGKh@`!wn<&BH$4TK)(&<1QL#FwEn+_ z8^)D*(E(-42{7eurGfVza6=teSb2&IIq=%~#vHfT3yK#kCffw}W6)a#?$0UHQ&7^xb&%Im8>nEb%K=!9Xc`b;wos@d`O3%V2dT_6kX zN_c*&x^8@~J#QvfoJ#as0Ox|S7ni$u06&hOK}_>x^zBaoUQ7^%Cc%`Z72lkx_s*Hh zMfg%U*4Rv=m0kI8?u$u9_yRaJCyoNjTNI--DggBKCydM@xx6ok5uk2H9iUdr%R~15 z`dd@TPQXjUB#*6W5Eq3j*7Y=<*^CKcOsyOEn&+n)`3lZfRT$=$o?hVm111J8-X@L# zc))OW7ri9^ILF$5j_TqLR4N-750WYx1x(T4VVF12=8+mX;bBjJ0S{c(;)c8E+K7)R zdfst+IZ^F!O06x^FwxDK0&A}n=chx&z~rxM$jFoic_pJ9P>Y`DK>0&CU9?y>KWM<5 zhH%73PIsrY?CMj54k7|UxEq}YeQtIGT6-yz89e@+zbB^}tCyg)QNZ+u`ClYl1q|4a z>66Pg`}pDRU<{cyG~Ea#)Fv>$WqJ}!`bV)-Kps2*4jclM-A%ukQ6KF=A;x@p%!6E@ zj#0$`@XAUAkkl~nkip~$@@4^5GSL%18jA~kRfrS3r8v7@25nq8H%~(W5T99Ci(xhD z1aZbzzji7UJ193}HKPQs7&zN-Ol~K-41l4?CK7kV_-4b&L=*GixJ_6CP_bl1z=#eL z#UHg&43>e%^@@u*Vpi~IC?skON#!4!qH(315Um*S`ZnMIPYVjZPpq%9@B8&vS~YgB z)oksoDsol~iw^`T>5DiRG7ocxds6w>f@Oaa^Rx!&Vo75Io2L2--fX!{d}wfl^%UN> zK9mXXX0oQUB6qm+3IL`k92XDa3F$tVuA1WDyOQ^G{@nkD1T~!lx$yDKGgW@b@TN_J zUR)^H3b?ex3+hzGqj4&s7bj4ziVHLwQmS>LQCzwJ`VfR4VW=;$*24i-Ei1P$+xh0- z6{a5EFP^Lo_?J_@vGAdNTNSF$ER~8)3niry!{WjTOF&P(Wnd%Rk|K<%$|+!Kt4AS| zus;I5bF%Y3Z$x$`7I?99MUHtX<(l5k>OeK|ZEx3Rq*n*CV*%y1FiHPFx%Q9OthX{= zy{t{8y8aWcSJe5-UZpXnfyX{nz|U2`TNN8LZJs*5)O4VOE+cS>0$ec5PRZm!)WZ+l zaV?;Z;Sb5p>B94^1!=KB7UKoAe!+S6^ha5JPS>dz094)gj}UEv9nuK4iJ($%r7 zL!uFsV@*eBMt?u%X{!$9O@O6akqTo+OA0PLjbCG`3)piIhcLlcI!o43Y!ixi_ljVk zA0{OzVtCCAVHB4YEL9VVCzvHh!pMHw05ovu%S-{8I-d$A1e3XFX@ZT^DJV!AOYO?n zDhB?#-&=DjYkP&smV9dx?g$+denuw7;evjxFzPJE|3S5pVxAtm?n41zL*)b7n3md5 z9URws9Epafl?V9Wa%h24aCd^>nreM(ayj2%#fJ$Ps;TywYRYY2vLBTAP<^T)^W=62 zCb>|n1H>+i7}=US^T#O{iAxe+9%u&ksje$u7p*d?hGATnOf6n8z*ErXbX?8I!%5T3 zo;tufe)x;@j2w{8NtyUPO$&YwH|!%29r2l9tGk* z;F_8s2pP-rq_qIsGF;U=omAY$H!~? zPvZh>VAJl3%YSyE;MPzuj&}IoY0kqKR~ryfoA?_}|1}kVtGhdP9*Yg@5xk z+hB0JyB*2SZo{%aK3VTzx6w)r2G?!dWVgi5!JZsxS+s(@>I)}N6Uo!l&fmda08XOF zYO_=1W%BWjgANzvtMi2K576YmCV7!IYsGC(GzH!K%s=db<-~EWyLC=+ius=YU zNAP>3jq6ZG6NFv;shZV=np~) z423IR@xmBDmg}Ka-HUF`nMUnWA+_!OVEL(s&wd+kc>PU8xToW>sxAC$gzF#G)~T9z zD+vHc>RIKHsf-?O!+HkF1!AeG^c8!Lu4zZ2`g-daE-VKcda?X4a#wHy6;|RkN^)o` zRtI_;aAqZu2XsjNc7R^*!oS4_NZE)f9(q6ENl<|mtRtWMa&>^U66F$-o0N_#8ohUp zRfuu*M~oEwx316ot96ZH((Br$@t2onvK&>58gGc3uX;l_+;Meoa%_!t6mM-UU)nBW z^giqeW?#abV}|huds_Y&tCB#UFzqb9a;lHHI@GYkFrht*sGv4$SmQ}6(R_`3*#5yt z6)hWW^qDAOAj`7jI?Jk2Nk92SE5b*xof7{0dh!h=^MDP<@K_0Z~_q2hVMQ14VyaW}pMS?a&w;Z-k+mt-9^v6Ci z10bqE8*Mhi@viuNsTg@QyXSrzvTwXLdJt`pc9;Kv3F6Gvh;#d%u`l)6J&iwY>5TcI z=iO(xh@GQkS*J%z=X3FfasHiTmi!OAt}0!2VUipQ+QV@`FoO?$22P~8{5CfEM+3%X zLU2^Vcy|s$^E9I29VCQL+8CQi=5-d7D~rTodzxvdF`>?uN9inN#~!g7q)-7~E?H2? z0fP;#$x(G4F1%=q50);}_jW%mTVTp_yZ)5{pH0HVJ~DWv>II$A;IvfIq1KOM@!I)? zf+e#j%^LW8+)pr7H@EsgxbJjV(S$@C&SV^rw+a0glF+*I&_zwc&-S8qeQcMtLNhLG zL+h$25O9Snrlt+6yKL(`Bm5CdccBjzGQyCK;@UJL-Gx1(T{*%RmcKj@RQquZG5bbs;oXZht;JK1qD)H4lm-L z&F?xm1H~j^bxCUi;=tGk>1qPG?CFy_kRadtNH|sKCo`7S%Ie6d+pzNlF!sa`cx)x&$S@a@enpXdJcw6nVtXtbF{>p6isv`mrSbhTN; zzxD3Kz^0v0lAkUNk@Ff^?yfM__TkFX?e!nB|-$z+1Nc1 z1hng-z8+D*lsfxtQHZ$yZRlU1NxuH#iVE3jty#j9Awq@4T)jUnNg{$Dyo*Xa5h9aH zcNVLNxVh>rjjUo56UG~39r^L%KMkV1sUt><1ZwK6^sn`RNQOed*k1;xvN?id4abUX zj4y}>qn#nMY5zFbV&XvR6@b?hbs9t!=hP=Beh|@2h0aw28>{>^+Bb3`8Y>R$E2x1} z_^u$v){`KW%D|a6n>b%d%x^XH?M<*fHe0TV#k(it-1fyKbmk|m36di`mJgBS9YgO< z&LX@h(bgOjgi*7oQ^)P$xCuTLjGvTx#Q=U#sACn6hO2;pYcdx&huUWSdH2AY4n$m_e7s9u2Hv13S$XxaH?X{Fev7RM!#HOvJT1{u-Z%6 z=r#CKe^v497d2!*vZ0~Y2erysv?epxWo>o`9i}oBN$nRv9P34N1*EJiNt!h{)H6)) z91Dc9!ayBt1!XWU+SAON>&hRhAV|IfoKJ1ZYqp)#z#DbRhk5Jk+}S#>^OIwaa=5|u z3Scs+WAs9mDnE{isIkm-J84ky7Z+GH$?|7CaEogd>)L9uh?qgvA-M!t@3}DL;5`?3 zDR!Zo<&{nS#=@mi3CsT`_8`$_4;QfsBMS^W>UY8t2_zO3uKUbmSS_IsCju+0gCIN& zgZ`>hmY4HeufPC*v}J374sPb^)b4y4OgQ-!hZm-%C9220;HZO_nt5oyYyf5?5>CxU zR+*)lj|eYd+<7VfV36sxrj>C>2^Qn&O1p zCGJ8d(TwzsDK)BLZpu#9H|3aZ32&Th056#?o7KA!t7*nDKBaMU8_V}}sVEMs8?`PZ zNGL2#zw6#-9afP{l0iuy*tB0YR$#C|q?+G!rczd><#&BGH&*1>R~iKSi8FMU zU_|)T)DJBI%2TC|5gwPPciZ=OVyvI~6F=HDOpXX;K8meZ^}=y;G;{)>!84@{cT7xS z<}*Q|5d&kcI3LL3=4g&A2qj(lj1X&my#l7a@c(2c$CX)CrlRl8nFp-aW_+8vTr-xD zbU*zPUNiVzV{O|F{cqT1m@Mb<0xMY^RCo5s6E&)e^TqPJNq)u0w`Rtf3yy+c-f4PC`??C zc#c;Xk_+#ixc4dUe-itqbpcICjFn_?pzWK0)+xz94x_haK_cdU1JRnsae*y=1Ymc{ z7$aB{y%my1SdA`rceA;YzXRHnF}VqZ)^Qzlf##vTbcq8W!zr*t`LQY-f&4DOq~(hy zr!e$`91GL6S&ayM;o{ECYi%mZRe^$RA3Ps3WSdo%9HI9_mu`GiD9^)FCgIB(&+I4= zM8d!cZY7#ns1S#-o{AQ5)}<2-D}~Ll47Rr&3^{Rqq?OtUylCyVbVm7y6pae9P+F8< z6-2#oMGkv7BFS@m6}!a98-gB~0zQr{IAg;_d9~~4)J)ORRJeAsXvsKd6rbzH;!m=K zMwx0;Oo_wBr@vZdtxQ>`vP1* z!0)JZx*>9QpEu+DQ6lfFF?Y5Rd0%LDRuCqPAm-<6(B=u+E+`-eDI~mtQy}0qAiRDM zY_&`aICq~+K_V48Y3VS`Y%w)rybO2?-~X$8Tcm{YtpUonrM7igs00_0?KWD7y6u{s zy}dIm)4pe2w2HLirkUjFv^5@bk|wUxaZ;8&6f$0)ll}+lwckkohhX9EZuB;Cgad`8MNbm3+LQnmsOWVFY^xE5R&N*yj9A2_#&y3es+sWQH7JCYP zKzmdD(sR(2{IL^zPbvBE)>*#|X418Pl6Pj+lYabNw}Yp5+3e;h*u!)-gt`WeM!X2r zz#PighjdtFb`4nnM@95D@64(FSq>NeY4*%nuVGTz>B7qqj`|RLNt~#(C9c9=p+Ke! zq9;|>sq2f_+x%7HN0|TBIC6dL*=45kC~xm~hB{2h z?1f&^rJ|aBc!QSYjn*7Tg+nADIMtGa_hQ0i(qX9L9Iv&XK!oQ=KlXPN)Pr%<2JRP* zfe~j-z*Gjx=XhtD5-f{2d)wv{F_GrzLaVx<-2megH7vH28QV?V=a#!WZ^b_O&r0YL zDW-8gh%wcMi-^~37dUE#CEh=rLGRDqAMgG6B+8fIv0zdpw}1vDu?n&0?&uLUtiOly zQZUYB;Mrf_d-~%ZSTq!F*;j@OkQ(+0^qx0zw-0I0MjD5(d(Y9D>|Dodw~>1@9rWmV z$BI~D#)i~GZ`hE4*TlmS={9;V3LZsiEh`d~Q<2_R;s_m4h*hPS?kv<_j_0K_lJysI z%tD+Cg+n6LawbUj>SZ`rM}^1e??sQIEal7gRFX!ey)d4OF)$JGL?6!I>lIW*uI|oK z6R~;;;$%u3`$}Dn35tilVBM@rA1lp04THNjrvG4@WDKbrM;m4kvAC^Er)BzIz&!uj zGel~hwBw@4ybea2Eo$bwL)@(ed8tUP z&4LcU3--+x*!k4M{Qu^k^Z%dW7xL2iqeh~Wt>PY8NTzkH<%(%|^gWvv8qg3yw0hsK0!0Mv%Cf(9oA zQ&)bg0`3|TzHh=&qgg#h|5T&Da0T3JLZ6s&n9u4Q#J%(psvKSwLXe(oT$mfQ%oip?vC~Gta}kUI8sLgGlAhZT*9Q+Js#Uh@b1({mUvPu1}m2wlwG?_MUx8S4HB z7-ed4-YD^?;@@LD5V{BuUNn)Wg#csJlvieQc3ZN(1s)5!^9hrg#*FY@PL?c~vRfrL zm?g$`jTYf0!mzD1$QR?Cg)OR7b%!7Yc8QE?ah3?8LQ(027zH@Q*oS?{DL*a4m#LYl z{`g5uV2{XO;c0@3YN+5ymUiJWhgsQXhwxED?6@@;nH1l*+p3dEFunYs2PJNQZZY_* z*)_8GPI)eTBu(daAV#&Nlo7#jcDbl|7Fn!^YL?x=3QPbg;yt!T)>M>n78iBx|NEXr8{))vxq?7gVax>gCMp&ICy7qXj z45nE79&Q*SuuP_Q_Io8;%d1?%LtsyP)dr7yL>SrEFT-y zs`uugG_45CM%X7iUtt*QeCk3c2c~3~Qe?Wh?pNw6RhyacoaVtH)X+^2)vOMIOgTS} zNrc-H6ptqN$m<0iB~eo1L8Pdm$5gVeQN#)_Pb`BTm+QN=qDZk?rUg zhgRAv;$VfzsBKCzNPFswwp7ee`@W0%5Q1?2mj+IpMej+cair~H2G+xe1FgJTBDTzc zAg4MgL&Hb^8QtEIA92xL<9KVh6PZ&Wh;}>lb#c$!!pUA@ zMHSj9RciuZNPO3}^GkGB_BQ9WGV=f2`GwU?4o#wlSCcp<3TZl4aK`PTVKv0wXsNwC z$TRw%ME*88(rM0xBQbmCS$m(n7RxpIXJd77HN7q6 zqS=%7=QXLD9>KPmS%oq4N35baLh_vi`MgA>QOrD~wspZy!)6tZI*#ZNLB)B0)>*x= zsbIaR$ejv{l!j@^twX;ug4Ic@zc4_+RkYCb2}HMJV7toWB<_N zDOIq9#?P(BY8x?$Zz2>F+yi*607mRYQLhAUZyQzVbg3tO@ zyV8s}Z#$9bkTXx`LZ6$T4w;?SJPOXuL}~;+rn;3E+Gn+ModfYqh^&YH^`0>f4#A01 zqj2#MBQw%S*L_r@n5w-sWnDL;aoWG(KZ82_gEX1zKU*lC*K_4#kM!}!7n{xL10D5L zN*G~1H))HO#u7GDDN-Ah$hH+uWt?8P^U2i%9m319(uGz_K(Anoj)6HQ~ zss*hmFcpC+0@GS*6_IaMU|2$044{>zRU%arVv&H^EkZIZNvvfP@1?~ovS!+f6hcS^ zLYk1}dEc=!&-XdMbAI#3oY6C-A<6yuyqD{GU9LL==16Zl(Y|(ya&z+_@7V%HS-|gZ zg`O|`G=Q~Y4eLQZ;=D6lWqrG zgB7Ix?_0<$vs?U5=oACo4y=7S=rQ>pQP3!+u6HxPW!k*@%({B3o7n805JzId6nR0TxHd4d>Cw8&t$wT(G%m}yiIlc^+1__p@AU_`#Qzxg zATYLb|AW0T>iE9>oySRQ0%QAPZBo+C=&LGH)Zw^3SArOlzUS(3QU(3sFXU=a)2x#3 zxq2ym_(iYzgBtELeU~Wf`2pW23exuVT<=IOaacaopR|X(-L}ysHxSZNHQ;}cvt}yi zJ>sv;)5;1Ao=GYVa5!B#gA<$W?= zRg2u1uP(ew`P@)-27O8E8a0Y>+DRAk@Een^6|weL2~aDRQa{rK4m zQ(gz&NZg`O9S7e*4;;~fTRP|}dgsTS+vC^pe3ZL@yptowTxU{IlA)tpwt%olo-ULx ztwC>16sIfvU+oi`{DcsMPJoV-djVR)CDwQ!SL-^@W`YzSJu(6IMyQAh0tt3A4)8^g zE)MH2q8;?)=pTQSpSm&>7V%A>d+mT2MSvH9*j_a7c3UCFg?W`yQ`bd{2maD1(Gga` zEWP}Dwv^V~Y1z@FR6sF}^TsMH21-WBUwdn{X^CUs?A(NLLfc2ezoI|s*;|A(P$y8A zwo}8(!^apE`hs{U&Y8Ou{`E%Ydbzs zzE+dMe>C{Hu|A;Iet7>2Rx6~m#?U=S(}&A`hyyBs0sidJL0rZDGKWzTn0*4jNMzg7 zUs`1n=!Ke*rO7F*1_euBx@;6s~6B7xN9Ol17cAX+7F&`H|`BEYU z-D|(}%VeQLx3FR5FoJCW-njpUqv4N?T)*ot860-hzWrq5r1CS zRURKXD942K^e9VC-taWJz`DmYMg%CrrVO1f|04d7s%IZ~f6_s)+XnLkqhc zWaG^sK}bo0k#JZf;}~>$bTMsH`b1f@WC|4f35TpxgEBduB6Y%$Q`iJ{`*;Y-u7x)? z91;XUGAzK@5bVdN5X0-WFuabiF3>h+Qv99}UEkRi5z6A;(gJHhiJGXegG!SjUD{S$ z2!xQ6pr40TAQUoFg&~a%P2C%^pG}D&R2&t=i9kwLxJWxQ&!v+)IvMM9bsLzFMJTL6 zxUF{Z+9{aup4}YkC&%qF>zQnA`caTOORlZjo`*HCSaH-MoO5U0!nx_z82O?Adtw1hiCzevzDtU= zbZo2?X5-H)>-_H37|QM^cCDZW)(e^zAPyHgRD;*5LfTr+LH7`FuC}IlZIQFSkRJ4Y zkFwBmITJqiY{stIIKNC_hD10@ZDK$S8&Pv$QL(Z6b3$+n6GK%KMJ!u@Lfav@MfgLl z;HMsfU4TGsH;2sO!70eCiD8D*jyxM26>IpxOv&%d?mb2Y`G`O?gqiYKl5f(RTgrh7 z^0~Vo=#Z?cvfUjaux%*<1V6(VBr`;HuW65|BQkBOmzJ;nvFrYARn5^z-pSHp5iTg2 zj9;!zt06-wCGGCw!Dl3k;hH699r7qajVR{{!Vh0Wnxvrh>c_rV$X5JaHplpQP6TF_~_*yD=dJ6-?j&H6fr7Fqf5ji9m-J0dhTgIy6@) zn4oDN;MWM@m7e+-&})Ym>cT>) zJu9=t!z%a+2zO72AO8~X*t@-?BF63@D$5}V0h9(8so#;Qh4Ej8CjH@tC`#2iLTcNr z#bwKz5`&A>up!kZABnV1McMVn^5toqcxNHp2zG1Iv~#;7RTt1IaAO$h{a?*K;|E@sez= zingN`6^uUlm9|{MXYGo)ii^xvq-k`tapd1~|NaG3`1gN&tqRyo+(iHH<+Nz48CE8$ z{2m$@ebO_~Rsmo7mB9w`6Hs2m$^(Z`VmmQgK{!&pH*sd(CzLR-9$0-y4dwZkQJ7K{ z_nb2&Y+_qs!>?DzJF7v$T$^@^nhN8yria7;L-hkiI1d912w0bax9 zn9~DnPSx(|Psn`nIShm=TBUSe# zyG`5zJM*2y_GpXn$z)Su;#gzh;w|~DVnfS$>r~BBIT#Q1^I)l5bX)R<%inmPJ8`+( zjZV+QoG=UuPmll5CEjx|JDt2Qxh!|_b6ws-TIc3{#pNy6@D@_6>z)9YrLN?+$cJ3` z|A9+5%{w`fec&f}{x7)1>h;_IO~55)iCu*2pU}?dX|Jw#dIjp(|1vv+ocxxOwlp|< zlh*8=C+%@s2ljFxO#F{qRKuKGh1;HoZWrQR$>t4i6)`g&tGoh@Kao9MW4v0ucfnEs z1YRqYF}~?J7hV~5S{L!D#sAZqV93rRe{KKLVPXZnt!%)}p4T`%wsjwqrk+r50#4n8EXL`}Lkzo|VFnirt( z|7azN?(P#*GP7gj@4hGDGT-C!$$Ivi-80Fs;f>c9eFKgU+r|RtO&2BwfiSLC+1w^Y zM+!!vA&Xs7X##im;t1Yg3h)2k_1VdVCyaI*Wa8{e$SMBjHiTAFlyq4__FMX+~DVVBF?WPb} z>bW3A06x`dHwDy?+A%o!_E?0Ha#>4-g_uPPJJl8;q~KCVub@SE_oFk}sPp7pALqLO z`Q}ys9&3I~_CWd5*tj+eZye1=NQ+}*U(RRs-C~TMdAU9UqNO#HhI$GR^xBozXX=qmahbVhY(!#3>rChVMaBUwzoyGdUzWLFz1w)_kFvYQT*ia2bd2BcUtcF4 zGQf&vV@kc#07L53?$7fZCHe6T?o*9 z3$aHGuUvAh0y(rIB6XPlVIjq#kZa5dqB?369qqM5bDXn{@RP-owdoaht1+eV+fa(i zVh7Z*;{rf~P18uD0p8AWVnk02)C-YDYOk^B9zLk>;ArZ^nsls>b1ky&M|v;Hm*9;Y zjcRW)3mW{b*i9z$9XTI-4uCX;9<#W&q#(Jgf~3#BJR z6N8EjLY))8bJjxaTlQfbUQiKN(p92nM_aTf_Tik#N|QZXI8`PQlr?`aenxwC)HyW^ z=fO~YDP_F>#9Z0ZAvx{>as$2?a>+4EEuJSs+)mg_H?-1x)$Lm{Ex)A$SD};n?muz< z@rPrTIV#WzjG#AQg0$swS1-nA>zFbB?E2>zn>1&3qbIib=JMZ%?aEqxIavzBYZ$wv zdA5Q=#4ND9(ef^LC+30+Y+h*&aIuS%Pn44#(CM~<<6a@<2m@O~PxkWlrmQIp9T&siK+~QNo7XqHTg}U5VlB(Z#B`3Cf z_*T!7dk(mB{73@NY@hOUsI?M)Cm|33F9$VM-i3`NPH&9AXWzgemcUyDuEABdO-jJ8 z9$W}`=|K3phz%#m7jj4+wv&WJryuxcdHtH2pWSND&%W!l-oxih%eEhqT*XXxm$1W& zo*7>Ml6#Zkpp5V{w{Ab@u9;H1N9J*TikzX-54e#0#yjsmO8Hvh$CwIOKkpvpXOeCT zUfnzO(%;>dya!s}8~PHOHYQxc=+aoWc!c0bF>Gwi5|X^EZ-P_w+JYoCiop0C8Xh#Gg&1Kf2#?kY8zeR zGy{PpR>x&npl_i9N5{vR*}df6-xPZ+lvtgu2zGfL2Z(A&u_Wn>scO6J45Y>D`zuG# z+tMy8Z@5qN*Wk&zzYuF+c17^diwe)-uA@cAFqigz^-g7WkKN3Gj-OqD-14tKjW*a} zIm=DO8xPU``nJ`pkoNjm>Gy-Bt1*PhcHJo{Tiwcb-asK=f(7b;yT2g_XHh&>UAM0$ zyfuwoRH%%tbu>X7@o8yoZi`i+^FDDPcR#6l}@n9F*$jyQDV zZ*z=bRqd4@r1H(`y{T92r`R#fdgt)uZp%>57ogU)3orhMZN47rq<7#!e*XVcCpj&5A-i}txCD{b zv0YcXy%p%1;QH2H*B4xqoYoyCEhoLcd`UsVe{I^XPEO0|@N-`H-__D)=O00F{~xe3 z0XTPqq2KewLE+@NY%NUv=9c(ea9ZQC&c)3mz~v-cM=b{xrtoqERT>zSMtqy*NQ!}iPwY?^9by zo|2UjT+Z(#+S%ZI`}<1*^7rm8*fBy?{N`E-l<;T5B#j`D*i!s3lNE$~;`0M6T8xMlS;8yeo6w z!&lIoPB^iIde@;`ieA3x|iTw6LM^5 z*;pm_Okd-yUp>m5MvNwG1jWR)rIf|b;sUFFP%Kc?T& zmcLKGnC53a@>P3UG+?XA#zLGMvwx|*)qxr5q7`vfz*b=GnuDFmVt;$@A;xxXXWfg5 zI-EP${_{V39}h8thy9G%O;24ZRxP?r=xr%>v z!aGS7$JchD_k|&2dKBba7qTUB>^WBGWkNGAi95a65`CN)bGF3MHx`0=D%0@%2@gbp0zrDegUWq{BPOEUqdohRu&CqKMRo}3N7H#K~{Tc=&vYHFJj z2FTj8u-nP%I%iT;0v4s*-8xnUtDLzhz~QSdKL1_Ko6VvR+cvWLMYZ?keA{oMI>5o< zf7>_H*@GdshN;I;@(gf))iW4Zqlh^}1x>kw7291Ep3Q%o1t!x4^k(uidoI1)HMLpz zXpVS|xbK5S;Ik6z9zx0jwB=(xWuZ#{3{eoZW2k2B774+Dg64XpJw39(a5CSaR)+tpm^OQxDAN~Mq zl8=;|Lg2ffi+dNuqugBVRG7VN8Q@~|1h)uj><7*&VKx}8nH;7C@TRea)Tx#4?Kp!9 zrvgeBw=25n7@Mx%c28Lda4nc#8Hc+{3lf2{O$bAP=gK}w?0#r*wb@i*8i8#YmgMP_ zmR#dv>GwPs2vEoS`Q5$$fa;l(kqLT#h8O1z+?6^}=F&Q*h*B$y>)yGqTBDI8Mwa{z zd+8h);my+)^<&Ie-(2eYAW43*Z2`YAF1OOR0`FZ^Q7D$gcVY%6!Q&Z5>qD{;0pWPgPO)b8N|5yO+6REC|bNI{wDI6 z<=f5jAHV$l@wm$;AYneS)jm0TtmPAbEufVoEoJF40Z1|j%cAu&AlU%tVMD*PH7`{Ts8=uh!Fu&HI5u^&zeA~oim zXj7`Lt7IYp6vElKpb6CLqt@I~`4)pE-Pgu8!TNI-m?VnyM(l>##Mn6jl2L=C_ne`?03hH+(7?w*5DJ`; zK%DRWA^{dha^M}p+!b0^4B|2Pl!m4VR?-SO%H3<2;iLWCQ81M9DYVNTQBpvBGz+{XVk5M*>zqt`;6@m@?`2gLTTCZ!(g_a;WNZmruw~F zKo1;dp*~rFp{VUF59D2WZ7ziswiOQVT-MGI9vj^FKNGmL;gN@#kR>AnF&2n&iI$W& z3;{mYd2(P9mMX*&j`#u&fI=N`T?NYh&ZAi%bj*a6JjHYY7(3Q@^O2I zp!{@9M^oejYpC{}Ur~=U6`Go1Yq# z-`aD|E>r|i!7d><98_X=v=8yqOGMP5^e7WWF3C%d0V%sWxAe45y?-fHP~&V{w6b<} zULj8bG~I56CvPGZB`Ubi{dPaT*bb0UfDnxMwDwL_-8utAa~Iovb~x$2$&7mPbGWr zGA_z_DOu^@Zq|TK>59TWCE`Wlr!Exo5c877%39x*1|A1 z%s5h-hoSG4MQ=$aPQ@cg;{x{2EsN!@m*7)P2k=L!Hai4d*|3M|P;|Bs;x1fx`k79h z4HV!hN$07YButnVoS!4@J~y5SsA9%0B@g0kQXvz@R?J&yV4Tc{QwuirL}Q-_-sb;n zvQ;=ad1gW#_2U0;Z4Pbw*Dh<8l9suxTWeVIvLAWp+y&Cx5On0`(cqLwj@jo{5vdC# z7dxpplboFlP@Ma_UT1l%Z(QQaQF(6jhs>32?(1jfSH2(pbjABT@91#AD=}Au=0yMQ z`!gE~*IT535-87=@9TXZ?cMills)Id+6?QwJrEbVXKTJPngBHd=X$I5P+ z^Y0&ZczE-y2Ohmz;deRV4{zGuGimOzO7^DKxD{XKIDdMB@ax7n7h6lKE|sDcPG7n= zRKH3qX(6qtd=*GYA=DTFX-^r|K&}v!o@pihRB?--!*9%bKGoe{RCGw~6Jg|4l;?Pd zN-0TzU)8|cUL9Cuh;=#GwDmxP6|mb6r{DB?Q2c5+DYRsn@+?bqk}6|4`^?70lI=v~ z0_^Z9?qHeE^MQPsX*iT^x$W;h!5TN7>9$w(H3yqMcGTmyJ`7d`h8b~^UD%a+(|GL2 z8O0vMI8lSMh#H(h)Zl8QVREyN!iRPY;5?N+2gDVAd4i}Ql!sRY2I2J}P%kqyZLaWv zUH$7Q^uiY?)saMQ1mse9_)dw-c~UQb2fo2dO7lCClS1Q%8GLrN5>mJ1aVs}4e;DJn z055^cw2`0#w#VYPpnun9)@jS-%|FOEO1l{zdua{XENjM^&H`O7w6~8F^tAqiM{O^U z&o<&Hx3M$!4k6*j$Za9l`;RNHO;CgTIDRa!H_c#f>05nL^T*Z~q$s`_$2pL>a-c`9 z?mVjk#?2;pie2}B0a)u+Awh3;y8bkVaOhsEisKtdB9FE0l<6--K9RBokl^@)PKkA2 zFanFfXL2tId?q#{#%+lyAwzY%!QF5m@&&UL+Bp@hE2E`ie%__gqzD{FsYSKp}0`1w#bL8 zEs1*U1-%7ngdun$+t|nbJy5gq-mjg5k8xfTA8Rt$RSfSiuRiV?2#--cTE7VuEkd}{ zktc7Zs*pdX@}Pk0sn7|a;t_N{=%F8mf&+BhH#_0w7NR^B$Q=%N`a>iJ?*y?^1iGve z1`eZFm|$kkd*g}yXhu(IwChq;PuYQ>T6ihK33aS@PHR^wEA7L5%Cg{jfLY-9JcRX|c6i;R6Hk+x(%H8Rmwn<6`A{5Oc+?>=p+^v0B2BJA_<} zpD2x%*x$fgGH|wNR{Adn!lvVxXaGJZF}9B|0)xK{;K}-ALZyw1jOcVO$I~~HzB078 ztTkrEuGEwYXF-B@w9(-n)h%UjkIz;{3}z#uwj99wq^P>vl;D)oqXNOesr=L|+8KIG zcBw&IWw)IGF0;s1)G<_Po7XJX%x8Bnc2JJ7dM(noP61Q3Vw}ArXG^`qBUiU8hDbho29p))1+1M3Q$^z9$ga{fpR5eJpdB*wK$5YG+MVMoE4SPRjo71KOfF6b)#>`1X(>d^q`X|v~*|2 z<7+0#mr@|;BL#oHS)Mg4CoU=GxddXL*U_1k*c1rV8Tvnlp$=WtL6OeeW3mGYF@_gq zU)&7Z=5iRb0&me+SJs)JFc}vSVH<%RwH`up1Y3D1H8HYtkb(%(U^-a{%{+n7Qr6erQN5*xBO)vkwj&Pn5@=}dgN68%n3Wrr*Mio zi;1!%tGk4)s{PG)OQ z$q1qNv}61nLLv_X%K;7)CsM}FJl>9xOrT?1r@`zzkpKBl@t^pKVwY-9=rO_QB%Qoi zSg3cV0~492WA0M(#+Guo(U+uCis|T%Y>PQB$u_B#K)t4->ui$8_lK?drRyXM%nYL) zBme}>(wN2CRXZ#FxTgElR%n1VSs-hrifTRr3$b@$dl*8}^1v^^0ZD|kIC zjd({(%kts~7!+P_BINOaoYJMe*=8V?_zrn|`Etz$D{PnbrKfYYSk)F#kqX#{N1P4N z6mezz8qBI|7Iq#zPH>O`szk`kSw75X3y?AF=P^QY5Z_I6sN-v1J4_GE7oHGx(=5C<oDN~;zfgeUhqr~2o2}N0pCMb!jGx|O5Icd zbrE2~RxVt`3)7@|kjH4PtetU$-r$72=iDf-Vb>x!k|3c1*h`i6L?w*YEO_hD)Q^cu&eL|J<_NYM)u)5xVFRo%|xU^oHUYPAs; zID7{fb&Lf+HW`NKX$^&<0iQ<_@Auj@{N#?aT;EUA14=<{)R5B-s~V6?xj&PfsJit@ zsk@#@PQYqD39nn+TY6wJ+TM`{a}eMg2n$k`CZ($U40{xGxPg2`kD^w8?V9>~-&C zf$zn<3GrpS2bu-Fx6T|xG90hG7}C0k`!}U^mYD$WEKqxPWHWyn?0I>T`093)agtzm zm)jD>O$?^09a0%duAL5L^~5CYwDFWyTyu&3tt_!-Y5Hg}Ygd}3)X)JARi2<<_=x7h z3J(FY8i==m&y%Kth@&@De@{;-_mcxJYh&7Csc)@Jg7H5lkm;h9^5oR9>Lvjn10Mpy z&J^K-BOTJY?YLhCDwbr6?7umpo?srRLi%{)#4uf!j5%z@1YS^>ryLicyDiHD_0+qDJd1|p)60XT+_|(cN zef(UuJlp=wiU|!=7Jv0=y(h1AITN%*+sw)$W z#DCv~q)zLlaSrZ=eiWqP^MI9c$f34^9bIMO>IqDl4qU74 zkcOj~K#N(6(CjAwuXu)ES*l6ReR7|tVQqh4w;@de@YC=iVh*5s&|gx8mSqz3NJ!3b zXJ&{Ums!*Bn;RwKLA*EJ4E#P3IQj6K1LhT>RIM&G&+LClfH%x>eA9~w*-p}o`f#L5 z-bG8EIU9Ulf!bAVD z^k#q%{0g+3FF7sQ03n}io&ypSu{MUSF(Co>bV$IV<8*p?t}%a#StQdP#2ad!4SqBKgwFrvleqq;KH7UmNf0v3AnKw|>?m9U$l{ z$uJr5@V%(_E{b_|jd|sx9H5TRFLAe<14?DLe)ldtyX_vu41%)XX5O+Bc<8lX{g z8?f(PPI|s&G0xX@a}`L(9eJK^2Z%h+>=jAm{&U1GnS@3?|L9lJqZeiigVxhMTtH(3 zB&fen{_~ZHi$Ry2gqcOf96Hb-$=wqcYhh$X?+weu0fw z3=6i%MwqgsGky_ya*|t~*LprSoh~ruvqCq_5mTcTw}Ss1r*}oumb5M%56%vL+8 z1l&a!32IV=n}%R41dWC58HWbvg1xv11dFm1;%0;84y@7l3BY@!oxT=!6?c{aw4{*v z?$cZAeqkLMmmrN+CgWo%cL?Lu4wWYOUtLsOnR)aF{c~WRw!%t6$m28rYC=99LvCIY zo|QjfhK}V5rNtmpsl&hR6iIW44Fnj3pzncAJTPZ~2?gd@puC9b|Cl4ZLRtJRprkYF zb+k1H0bsI7xC_BIr-z%L0o)QI{!tBi2_2E)SP^xwkM}5`_mii06TW-g{pm+IPsB%h zau$$ATX?Rq=ldbRl_Yn%C|=V+cZnc;=4j>QDUeHpezvw5eKi?!>I$joc`0;{53n2g zz;GdA32>GGPEMsG%(#mRRjLk1+6IZ@uDoBCg~1GcDjV-A2BMse@CGqY7IEc-jV|)H z@ZK-RwM)=x=P^#ra%j0kLxLL589iV>I%&_1%I=iC6bGN&AojO(a9Zj0o6x1B<7I!T zZMQ(O0m9W|rO{ig*{G2Hde`}U>_W#h+Mr0PiXp7Mpqtzhj|+$iHQrsW^_-_v^Wm`P}@%b1mav&$bwta|Jgr#M@=w-$P` zespDmO?qnjopC(|DC^eT#+z|bc6)1u zsr}*_S^^UQ|9MT-uQpf2fx0wZm}4tdLd>pQ1Bf_tg?(`vd!5;WcidrZI4ptX&y!!! zWl{l1@v$a*`$iGtlcK`U-Fubm9u&iL{_WAPsK6f8GL~WrA|kNR&Z~ ztgsdw(gubtKMc#h)`1p*5Zt!Siua$M45rE?jFL3=woVO^WV**PQ<$yjk_J;9GjK32 zOv3Bb6asZLem~g?pAWo3)~^2RWk1`uRoj$mC?fNdF)njln?8#RfUCb*jy26E5+iU> zU>|1AybO96luxCX)(_&>sA^!bZh64$I)wC?PRcWh3R2fgn>Tx{*GXbK!4xorHeetV z)F7gP$)FN$D(vas+L}Uq+4-CFs@?X)Le&q%OeAl3wHDG+R)1f3Y1 zxZU~g7HbtSNvAIXNHd25@dyQZRTd?GigrdlXvoJNFAcL!ovd1n ztyw~?Wsvf6u_o(c&CRE{AZdZ#Bg0kujdEImX3|3Q-Dg<5o!5&CPSF9K0?7F9{_p;O z4YB`!sYVc_hr(tKa*YJ9Geb?Xa*!pit#NoI8&m|$^6|eGi0wn6Jc9*B*t}9vdvLy9 zhuln%F8rZByxU|=?_2Wvexhln5BRj1CtGjy&g6HYNU2#^-}71Dj&YD*zc@q+>e zQ?0O$rKFphLwm8tkqJ=U2`azrPs7g~r{X^Xq3c6!C-S!sDg;t30Drc&LcJ%{l)B3f zf~nLb?nN&5C?y)k{vCs|!M2vt4|*$^aWLVB@b-h?)sVL)+XxwXqoOkr9&G*=Q1Rp& z!R-k{y1pu+6<#*|-O85)rPARb#GmPWebdALlsWJ6& z9=$fw+<*7)jzT^`9ZN?zPg}}{DEfc@vQn;lHNP*^frXt}H8FJ(uwB^4dMSNfQc~q5 zxS2Y~J=3{G_zeM|Ub0%^L_VQ<5H}jYji!-X3MlPCMZC?5yYx5P zfUnDc&`JzXc%`RjLFdICA^_P}oP{!-qHBQz9qADKKVW7_9NTH#qwK67-$w-8W*f>TVYW-GANyI>%5{IfastON$qzj;2<#4Kbo~+ zFQpL{edC%5gI6=pJ91Hg-n0^ua*V$t6)wEuOc-VoU4ACq*l)F&hn}=#H^CE^35#+z zrA_9b6xwK?aTL{d3P62Yv*#*o$tb9zKt0Y~#(-}b&_`Xp|6f&I0Ym@JE-d1MT?B@X!1FZ#7hO&Hq+I1*}=R#La1$gK$IW zp%nkTJhy6quXRQ*o=$0etuB>D-E zl+)dS%6%!(!~Y@KRmLS(*UyrVp7m-53qZP01hwUzA#goVf zHtP0^FCBd8fPeC`hFBZPj~;t(&*cHgOpogX9uvsNfsnH?iUq6K6H%8_LF06A5Fl*n zysTwseIni>wQm1BIDe|3Ko`ZGtf~wwIkC|tt{8HQ46*vCkgbpWQlm|=&ar4yg@vpA zZ6-L{O1^rt{wEK9O(m;Or9yh$8v@ zlD~fS%MAAW5oFougl6aKioNOCeZt4Dz)kVZYmNI(j~Ie9HsjcD*&~(aVO&I?7Z0QC zmx0zt^3&^HH`EYIiLM7%7phdQ6&>hJxVby@_5t|c-DSkk{0Z`1qECdfQE`u$nWLic z#K8Pk+w*bIKuIAbd^Fmkx!zrxyxWur8*WHtHX3E+-@~JA*UUhGb%jM^ti!&XLlR)J zPg?ZtYYb!|eYY1r{B@o`i^3f+^#Hl`FlP{V6N1w>b#G5=J`XxpUgp3Tzo42%b_x)5z3wC{k$fCi%uZ%Bd(`V7J` zc=Cx2c;mF)>xgaH)Qb0p(A#Is-uob_snmK!(G&Cbz0~WFmJw8B)OVF>iQzf?3L%tJ z`F4n4gnnKLSyI9@Tdqc4dQWm#X-`eW+WVQ2F~&5OH{_b}DuVAz6n`0JZQo~YL|wTS zW=4(_69s1Y*JF)5>g9=_puTTr$DST!7ldawl&~8ADPLgMBoR~dro;pwz78V`uXewb z;~AKR2**@!ij~H#qE!=&3{hA*&L70s7+5MZdag9hVf-wNJ)Ucw$?s{J zgf(^z&ZeiiT_Q&2+xS}?LC!dPma`%vQ-g8{a{(}K4hVvZgdm&M22q6$vIU^@ zSvW6*vd2E^m`N0t-mR@Z&VxO~Ft5g0A5wU!Pi6mY>JHA6FyCDXp0=#csW1_3DdkvA zmmqwfdatpu`+u_3yA6f3=q6+NfohB&m`Q}^D)&wj{KrFR%BIeMY)05-4OF47J5iKj zD{BG;>V-mU*M@z#KL-|s>FU3T<)@NYM!+occgGkVgd&cp1Q41Ld>Ig_PdRoe<)5*j zjz}c}NzTHWue zP8YA+FHo+5EVQD^F$Ji;;~Jbm+P}xV|03Hh(SOj{drbiS(Bs$W$KaS8=E5HOxTW?b zrTgFG7n4S`UN_b5zcbwuR*N~&q z$b@ntxBK&FS*(pjaq(ojZbRn9TN?z4x`x=%cxO=|Skj?<*J{e{=9<-@)L)!{Wm$d@dHM>U8E@d%;GCXXedI7vQrq0(BKFIPxMqy|kQ-Do1>AE{)}^-j}&7}crwYWrD&lw*oLCJb@5z^;ClxwFx~K6mVVDj^6EM-4;U8Xhi= z%|;GFM`G+?y9~Hp(o4vKGw2Pim;Vra6rcu>?AJI-KHywK{1r&)b8M{-Po(-EDlA`n zTp3(qXe{F;M%(lB07~6s%8{Zx!GWw2;2Qy|qNjujpbGN>!aJj@I5`DAO{$!BmldON z&Y@#v`f2pm!EvNhC+-s*l@3Il|K;S*y`_VwP>f?&SSutm5^U*N-PqStl0NKpSHocnn!P*#Oo4XC zVJ{@odVO|@q!|B5dmxpYrRb)=)oC6QZhpw!u7N(80@P=b8X6oJJhy@ZBe|78mVgck z#B2NxxO|P8q-$F(<;$eG;o`HNN#jCy!K_|)s#ujNSGj9HSfGo%sRcF)P!=?aYoj2*# zE2+Lb#`8`F+9?!R&m~V2AWKWV zIK{k%J_mUUZ(JaDYp5WEpn5KJl^qbg)g}XQR7)ULcmf)Ckj4dE* zF5ew5#idU%(YSK$1a(nzyJ#E{HsO}VxMEOIWz&z2V#1BR+^SZ<9>zk=T&AhdET z)h1#1@ zVXEy+#LTLJO3Kqez^lULXp)*c+b3APPK(x!_X&FuL1dm#9apf`GAl+F^qt~zBXz7# zz%O-w^5Z(-O;a-zS4|jg_m0E~*1IA;00yq0{7$^VFjg0(B3Db8;mKosX#&xNVGYCo zS*|RCvOE>yiEzH%Y+;2zsIA;iv8uTyGrm5oWZdxmWpArzEFL04LlImgex&xw= zG|*P*;V%s7kUW&nYxy+Ch9-3Y0w`s?C9f7k{>bfJ=(QX^^mt4sv_5`1m$&e^PumYA zX-b{AV@Dyt2wFbLYZk6LZIXRFu^^6Zaic@*;@bd98nsQM^{K?f2NRr9LOSCD{?iy} zaJXY#6^EOGi!@k$nu7_1@Wuo{ee9(bI*7gt7+>Qc`bv6B)DZAFbBb5$u7)sNNcgnY zQ*xj5aUj9xa2v*@CgY^h;?|tZLS!KiENXg-q+C_oa!t)2e>w*P+z>5(-6AW$DaNP^ z;wvcxkRMXC7CP@F0967eXNkm%LpVnB%20sHQHb4HxM2aLr}k^$(Kn9$+FG!H_$=nU z-KQKw1s&?RrIc31549&!i|@hjXSAKYLQaRg4(XPhN)si!x+!t&$`Xq@g?+Q>ZQ+OZ zjF#|L4d#+aEX)b?+MxJ+Q;2rrsipRDpT;&@w=*83sIoI77p+-@!3Xp0L>r7|KIUsp zVv+{&>xJ^#<5VsDWim0MvDO|RhA{=(;3Xn5>HvOsFn@tPes*QFff$Zcpq-6^aksSe zGb7k~Y;e#%1i`7TSl>faPD-e<f7bwS8%x(&d^ zTd^jk9u(w43FGGJV|}n!f9)|yDvMNC?Mc(Gv=tLH1kmrsqMz7$=#bfaR9fnq2Xv7O zFxA7_~1V78N*)tWcznBT(UEHSs&ss#0cXu58Df;FvL zFtG^ZJtoGU(7-HNIbOb;)r_+ThUMpVMp=ubmrgNDyKX1JI=L+YP+~I2j$n2Y=%-Q$ zlOYan2zEK>0C5X9weB{}@W69_b}~DEDi#vWiM?!Q*Ewr@wWWa9`yw2G_Qn2wenGK) z!coUF0`l7C7~83KrnrnciDCSGD%BdBpfoy(nu`d`)5y)aEW!l=l~p;J1v8to#4-S> zd#LJC3LIcA+jw&!yayAY9nRL5dP+cgoxRBXf(|)3r(pZ3xibmF*okwW`55*?q8B1y zhm2-lG&-#H;>$9|2-!?%B+~LaYMtd_B5|r^ypPYfd^>)7jgJ-r@g^YUKn@aYjig~v z{hhcxB!~DnfrcbJc|wvWodp+y96*53Lx5^I9AJSg=If*V%#RNjc&6a!q}FrQu%#;# znqk&q&%jh-ma|>5Gpna+obY=<%nbX79+bFGnMU?Vxt*2u!yui_0tJma1--?z;jKm77NkkT!9ZlM zBVuo*T`RFHh^!3<+!Yc2wt%$E9YUp{TC^b-mKP4GJ=hTztrN>2mRh7<5t;~~Akm!~ zZO(tQTVX2zT8se@6}ERsRrk&e!+ImG0#G%Dyguh@K*DpfOa}QyRIa! zAD+{b*sJ3jnTdDSgz$k+aw|;P+VWNUq8JsN$t{aE?GR1;LYHUdK!oB=&q!kxzX4~a`^U)Q-|W(p`q4pX2I>L5nn0G{W}wd_B@4lZ3BE8WPg z*>Vh_?U{c@8bvf_Ed)F=uk0L#94K{?i1|PQZ|4!Z? zYhjh~$azXq{3MCtO6-n*61DxZxeq35&7}1nPG2r=Sx|YoiaZmh6z(^EGSS}9y6+My zS5mhPz6Z`@|I>X~!=neIeypT`^?S_TwfhJ?`^nhk;b3?$cfHZT$>qE>;=p3t$q}O=gQ+FI&-15dVsQ% zz_xn_yM?iJ^W+K`*N1?!34$eg^B(>>AP5lVA#l4$1vhO%NZF|f#Z9lMpZ z8z|D{0n&x({rqTqyM~}+TOetfc6W}WG|lAUjel|(-hP7J+>yyjk>Q-SwViiQOQjmo z%5t!g@CU!V`;?XeMx9*Tg$m>I*N4h&w?9AYxk5O0QBPcV^Z>tM+Q4;oDou+r#-Tiuj7k1%Md%;ZCVNL(7h2-D3ZGq|JS$qHDDQ$v z(7YM$I>OKWOb^Z_{uO)dW?)OhjSkW6iAocs(ekuP@C(+;e*qCtQ_gVBJ#A4RXA7KL zUtK!+xLX4CNPi8MKB11|<6%F!JPy1l4|d->vTyYcHYv>`a#OkZP|G0|WCQb|F6n ztv^JVVz6%~WF{54LIR8?DatP*GE%#MZweCyjDJ`FQgAUOG;w{8XnHC%Y{>ZqYX_kP z2N5NIfe3Mx($*#BDt=Q1o=+6)ceWK1p7ct)d}!Jk2Qj$05NaSvg>BM?-RpTG|DYLp zSq+GE{WMO3B2Utfa1^zSj8+i_f!bPcn%<(`qZkCa5T6k?F5IDS2A|eo&%?vSqNkA# zct$8iSLx7tD*@-hxpPF~Um;e{1S^NJ;%lNlZXfGCcbG`Sh2&f)@wn!N($D&}Rj($( za|nab1E87I@PDiP`i!cavhZ(Xee4VE%9`cGuu`5@A*r3Zc&f?Y=X{z5rPp|5QEV#J(e(rZrw=5Z_=+QnM53JPg9$f@eUpQCh(Um zcmFB43Zy;}<{?%Xm2eaiyD4^WG8h~v@Rb#$2bLtaVj{LOuoRH3cD;mgE>4uXtDO*- zK&tEpA_;J@p?>^fwB72+Z=QmLIP(-cJvsGBT8oWCGzPV=gOv7USo&@iRKHcPyvS z8&H|5aDJFxI(5?&C)Y!By05^)Op37QquL&)QBa zSP+VJ1%+oSFhyW%i_8OLMS&uRSmmG=um>Wx65^DAA*WUeDTk0%ITi2R_bN#!0?FEn zjAL2^(m)Qs_cz_1XV-K6uHPTOUDvwdRW*=1_x=5R-tYJ8&EJ(oK6GA=^LYJi0!yJx|NqV;PJGfNB#;RN)p-MIflDOEs}-_v@{_h}M#`cV2e6xR1Wo!+TWS z3z;xrNPTaVT*11=fh;AzVOIU9OBW1B zs{DP$+?1loIM`Pe<`;lP`jDbXZMPOKiceOh@;O|7U{1&9^HS^5NA&N9Z(G~+gjs0w z_=wyEE{8^BlEWDqh)a*_5>W_28mCE8fkZd6hURdCoy5m5P7bP!q2jI4dhprBc%OQC zrPfxpx#q_G5gkr(l$2H=LUAUm)S8uSJtqtp<8O=|I=jgBldt3Yk2ujOwGo!G+0H56 zl=aKZ-b_VtbI$u+60Hx01?hf!Rm0qU#28YTWTg>?fPPK!7;&G#uT46ttztgT z#fMjfB*5Mv)PePDXS~LUWwuKDB^Li#^+X;+hYRx(PWD87@5=BuscM>j!!$Z`vuMiF&Dn!j* zIP`y*2k{^OUHAukWlrk{@OobaGCn_A`A~$MN04Tuf`(pAZd6r)aU;&`1c}0u4@|*3 z%EaJL;Wxp8Q5vs~b{{oKQQ?iLG#@*M{i33w6N6V=GUwHW?pv8m}iwA z%Ln1=!3SdaN!x|NzJ^3q{&1J5Dm3Atrq)IbN9re3O*G*5f;&aKALha8)lG9FhgIMB zF;d?gI&-2QDc502gi2cP3Fa_9R+4BXx$84GdIirUoqyoGM`+fOoClQh~68`_-W1$wjAR#EFjh-n<~(6Kd*Y+ zxj!kE7CXuO`rl2Q@kcmSUkEGcr8(&X4=EkGnEdHtjTWlXE+%7sd)L zU8=K)KOO1(+F|I~(474@?bm~gvUrax%yiqO%oOHhv&^cIY&ZC8K0y6MUU$Ls0meg= zXu>he|8pGn6f6C8e)G^G9}a$!TfxXwwCbp_zcqfMzpcnP%*d3RPvq_d-p;4t zsb3amV(N|&CL<=uNRsWKs}+I%x?q9Vk_M{UivySsx6cv%I&K1He|tW1FuW>F zZ;mdv!PH(P$K5iHKoa?L*!s@QBw|IzDgP`>)@IAO&)m*rKJW{nMOpm86x%WXs^EAW z07!(BU;O%v3^HtVvZl6nRwFg2R7cfGSd`Y@tUc%n5?9wCYNtGLGun~g%vAT1%%ho- zM%RMJL~L8}{DKKADGXrAHyJC#@|FKymde@u2| zsMB}CbY)CZ)GbngeFA+BOm&7eGU5KDmIV%>0&=nXdW!_@t*Qq?6dHnIO#{TJXOLa-XVtp@$>WvN-j@XZyj*g1B??d9!pez{q@$HOfFuQ^1Kcj08MTCi=`;e87Sc~@LV$HJQmUGDu@ z?fCLF*3-xAZSMnIJui(4_Si45MSnQ$At3(awXGJytiCaI-we_5FiVzM2YSur9{n*#dRrWr6 z;Txk?+n=m|`DiZJk!pqgcuj=O8)_p`tgQb&Z1;BO8Pf{Aq^yUJzI%Yfw&NM7jWcH+ zeV4abB(^@C;pwd+xQjvwA1CY>+cQloLO3%piOY&4Grw&Fpz4{_55}g~7tSE|g>iEC zd2B_pxL;51dl$-k>((dCt;xcHerUtop^p^r(A6r%yDup#uGL@PN6C|9l$%%A7wZ>& zvj4Fcgh=`Nn_q5ZeUYHuoT7D`r08EHiI#0f3N|jKOZN7&pHSj*?O07aMA;9spBXdnI!5 zs*L#BrHM;LYN1E%!NoqfS0mT5rDp`KYQR;Etm8V!i21tJJ~m@x&Ao2n9$;jk&p4FD ze^ZnKzfV-iIlYy{*Yi>}zQMrisJn#UuKSAb_1W~$#qOlv!g#&#bve9 z)tC_6v}(iqVk0eD>6=qon$$dSK|G}q#mw^NHd7v)dTlM{!CH1iR$JGhR^NGz2H^FJ zQnhb}UHu%{(IzSf($HD3WJ430;}PFFDcTRJxk>jo|Ah6~s+N-#XJ(MU$uE2XeVK#C zM8U3ZD%A*(sjI<9sqKE< z-%}arQm|C+Y&^w0g@+hUbGLGb2g~YS?2^Uy`k-SYF273A#NdDR^xKB^g7{R$!P_+F zL3UMWKb_T&#yF=rW=-uleGxsaL&VEWuU0-p!zx)Wb2~~Zv~9K6QO+nQzfm;k3uX=V z;b$_!ErT3LmQTnJ7C?yOC(w6L_@z3s+%+LO;}w^9+5E=RRR}Ul4fM^(+Lb%S z*loiIj8)EA^q!?JVhrMuiVBs*r3JJm#u@q(JH!&`w~?AT@JKq zSZ9(calidBorLflfFlDIs>Win7XCeUw(xaJzt-)otGgLWZj3K_-87fVd_II##DP*} zCjCyJsmODju5kiq>i^Kq)Hs1Ns`9xfcBbYGAqr{aLoIDZ2k{`8>zDoExbxx5v=sx6 zGZBNCg@`8m!yR0;v)Zw(ut#pq9OcNBSuG`wxz?#q!`@;Rp&v>%!Qb5pGf@scc9Qy} zCs~>G#bU6z<;i*{$WvaMRhtY_LYEKz{ zij-V&A_H?3IZ;>}Ql6No*rEQby9Cx7N^6%3NHYU(A+vUT*8KSK;U$N-mdcdIX6f0* z!`ih0m|x1PzEF)S^$}BcXHfOVq4qM-PR(CQ;Fv7$tAbQ_G_on8tjrJa>Z$6%SxVZX z`bgJGHmMCI>TYIrNFH&qrnYefw7dc+^aOMKBik7VBhCFe{R4S2bKt+?VSzSd8*Va| zICNYSB{vOfqz*kD*GI_`hcvfFxF;(AoPot~#zWLNnh`8i1_62wTApK4rKwKPclLHu zUH!wFznh3`N>}q~^>ILsd=4+$IcSpJF_A-b1zbuGI}6fOq!*`o+A`R*{jL))lfyqh zG+}tROzu?PA;ecy1+BZ4PbhUj#v+a+rYv8J8 zsJe&T6v0vDs6->KoP?NS5QGH{Y0hp8QO)zDzQYq8EEO$21iKneESvnTVFYlrg}FJ= zv14M868+mBKP?;#_U|~y;fJYvR_@l3$hW!orTg%H@Nxzr>)N${t8>cdOx;FYhFplN z@+1;P%V8whF{q(~3^||UxSjO{T|GZu{LCd<;@BDjl<07MQN`p#S{m4=^=>{jSeV4Y zSuohBxsGuB)}YE)4$)$ZO7IG?Q840&TI?+QATdtZ&K?~8`{&b3Tk*CSG~@Bo6;U!} zxj07(P6~pdF-_~j5u_F*TgiMgp$*P}-XY>3Yd@r^md+N2R!X+`u*CaH8bwAI@ zBXIV}=_nYRUh0j3GA99@W=!>99X4isayfPs^y6GeeuC-FTBgo|C}FtO@sJ-Hh@wHV zN=;8w4IYeZ)md}R@fta*$=zp$DnnKK=o&{NB{{>TY90LgB9JH<$r+WQRFs1xM6%{) zw!A}|*DnYXbaxI01GFL_l|cqzw`@zj3*Tz3OWvoeoAE72_Lp8#s1TK? zUAm`kykJe|Y(=iAyrFW&{^G!^S$2vMhsfb^sWDu_VOKV7sZU#fUvr_4KAD38?LNv? zH3vt_>`?nz1GUJmMZ1#wzpi8t)oLAiXbLbh57wp2283o*%Q@N4bdgnq-h}B!@Y25Ld8P^W)JPk)PgXqG9f!no?ai|XbY#@(jt z-u|-@Px#vMg>|h`ZQB!3xFi2(Wm&4TXV~A5LnharKPJ4XtHVUeVbbBbmkQ5r6Z?(;)ONr)8p!d*#yn)kYS zI@v)zvZFy)N&!PL)A^@z>a&E-w0pdqWU})geVaoj;*Nrh=7&h0#x<=wCstLSi|wmg zp^ST3P3o>D64zmD1N{9sx@4TpAXD)oC=R1%xNYYX>QE5u^vGkant0iop=y)FjM#Md zj5-pW!AKXVZm|qn=<<6;6kf%_^5Yh0%x+uBS2MJDg^zz_V>12IL@V6>Gl$e~k!9`1 z+^>^5wDI(ZLu3aAcR_*(hpzk5Wnnm~-i%avC4p8XiRO_Xs^(@u9%2Sb&ft|K4;iOi zo1XV(Vyt(9cs+Oi5C4LfE;xo|(00sqtNzob@8;_A%Lw)&kF_T})+pS6>6YZ{a)=#! zjQvYi+7?#Pf{p)6c=y3?FUD_w_CH<+W`*PbBbU07{RfYLSK0ff{M^G_PNR*b8Ww%`l1MBi%h`*) z-AlG^`oZ(a%o6Y2?(FZz7R&dq*tzidHL=4J2&(DAAHY=w%!OJGv2h@P64iy;4>yT&(?ACh{>aNLD46% zrH+}eCrceDYH-9q_%7V#`wYidLU?Jd!`ourH+^iUzGX+3*`iOLX0IP*?fs`^Z(|1g z>>6jb_SWyesrr8JJjousvSINrB3O-CbDptf^NCOEmx|;|cg~6C4i2C84C%d&Sgp?r z?(U!VAXa;Pb){`x&e-N(2L;Zio~$T19?)6mzVIsyxs`6NMe@S>zS7mNl)SfUl)8NR z<6;?WU1sSAaKI;&Tzbd~E!!huhi8>CW2}pYg8FiHiJ^p1us)iM!3O8ici3WszZ6@>TG>;GPz zgr2)3lD(3>c_aJ9H(d6(#kws#%!+k8a@b=v`^CGgSN`2W@D%=p#RSx~`aiGGqw9B} zgJ8jW=ib5<7YnY_=QgZy^0!?UedNws;pXMy6Yl!#%!19A*c*Sb;F)mOSEd%M-p~Fo z_Bu%8UaUQrrdgYou|qvX9*MYgpLlF>_7uDSvyN4=;RpBs;(PvOiFZ>Ci#7QGVV|#m zToE$&{E-Mq#L*_-Y`+aZM+Wjtn#U zIzC(wHgdz|-q%*OT*zAGvFXqDwi;5#b*$n|*3lXEUL>vMto`{<x+mE`dUZfmAo zBOZ2Z>RBJN>Hy^4vwDtq(7cqNuUi@di8JE)^%-+sZDl{Do{oC<%7s3+=Wcy7_9yUX z(Oi(R^;gQ}m*#wbpnqEbk(*_c{h6^Y-%an%y}Z%7%i(d%;lo7VAAO}SUOHM+e7zr9*qLdm>@dnr*~<3O1SuAoCTS7@cNue$c3 zkpHppiV!dOC@s4$yh2d_lnkL0VGQZ}k>q4x-);3AiSa(7mToqk#_OD~e{GX4M9+ar zMDFnRr)cZ9zrOuw2KljT%&q-pmIU+O@uIK#zZp7dh!K@KP82c0`h2ApQZeryAx}`p*&NH{M$wgQ-OWQ zfG;r?`$UfIaf}%>;#efq)yIt;XJL;bU+bN=z;VHgw#k;G^zEa4SBmbJUx>QKxYAvc zPT%4KRUhzHIy)8QC#w$1&E@Tx+)4VWO)1*nYu~WbUE;@s+19JS`0<-N6T@2GkAluC zAb$xgB||3N=FW;o|Ft6ohgHqnJL`GCeFGm9ANCG3rzlV<1fIZ>0EES;Z0kL;-XV z9FY!V_MvYGXh_PW?YH8Gs547`41l}}-?>e5!&#&dP1ONrh?*Lom@``w2GFV1 zwpOMZ4{NvFAt-T>Jks@oSMkuUF{^|g72U;)a*_*#Qyt!?`sf?Ys;XTQvZ=Tc!NKuT zyTnVO7Ad<67Wq40XWO~cq_Nt@--X8Nx-$R?35Y^hv?v6rmpY`E<6&r(i3wjWExT^` zpPlDw#|yTnUihQ8O|rIW-!Emc<%=9P?6?i(tm!;cx16FSYQb__9dza#>J?;cu;ihi zs-p$V?#E41Y=|Qjy)W>AVmg||s^ z8?ZGGuq|DcDL_^2VlN&%A8y%`CXPDQ3VmBc#SLAEDX>Ori61`P#wgL@16dT~rk!?uH)QrvO2~_!5RA?hy%;j_z z$^qO=FlppJn@TW!+v@$(hbgv{3-yr42my;~D9$tzL%XJSN{xQ!xt0f~MN2Q+hQHfy znE5IPBXJ9Fm_JSn2|;s~xoN~D44;mdiP>HeB!Bds^Tmx9M#z^lC@2n5!^GJ`IMhW95vs3o21^I+JOI-t##zh$V^Ssll71Yw*KJ7|dK zJU=a1$QAV$E>;ipUk&}SXi-o$q!A`PV4`TX686BZBdP+QJaKoy>e17;JKHk0na0S>C`Jr(mg?cBL0^psBXk3a}IdFaE=*kzGlR=rvZM(o9>avaA z>5|@CTPkfHx{%|Lz%!B3d!SB9U389jF6DA>hf1~0}4bCnzmIGfF0 zb5aX8vpJI_bT%dD->d)wGiG`N6o4E$`G>WPZY46O~qFz5f=T- z7;NRfn@8Oued~JPB z<=e(#T6AB##z?rkLN#e-w-osVIn=2~`b#}iLDjKiI1&^z8MN*z<6)2#In8k4^oxh6froB#ZbN9$oid3k~rMWC`M z-4^LM7l(V?1fEd<6kn?CtW~x?qCYlHHZXET?~PLp#r;WFG>0dpnp^Qn@%6<@6Py@| zs+<|SifZY$9nwho-5rGyDHDClC*>^_fGXq!H4IL)W~g)If>40Av~M}nH5tF9RFduwSuE&wojut8IO$W1F97vvWGk$MnqWTmFJR#0fITw zk(WQ6JO#Ms zp8x*>SCMi2*UoX=W247nZ~+LoYR`w$tQ{_E0IouZ$;uzz@W^qy?83X@lEz}KcmPr| z#_rmw#eU%n%h-#zjVxW|57{{6X>q;#iUZ4bj0SGPto8NUTvyhr$PEF$=Ms|0PpNit z_jk|yA;e7;?m(1cw5Qb4vtZu}QHfQ4B5-2QP?5{|XB;0c^u098disIAd==}NOCG+q zir}9o?G0Am`!9y$c~zVpuKs3i>FQ7P%L-6}bb7(^;<|?ys0B`xRqeGPmiy2qJ^$sB z%HMw)_l_WOYvs!mtTp^VFcO;i*~qR|apT=D8n;$Fo?v}lJ{|$}N^E<&-qX8$GCO7& zBJ$Pl3a>-bjX~s#K>O1PzFd+t)V*%y%56{ghLd>tEpW?i<|E@(mgmM6f>iFnlh9CN zpU&fS$5V7oOj>Kpy)M~$(JHnRS5>qAD_JA;a33`{OtI?x&4yP@v`cGNmn0p;Dp9w$ zfT|hzWU$x{0PawIZa;NBxxO$vMH;F|4^nEbgU;DdPUxI@%GW?lPM}01OID@zw4FP% zMAWY&G@H>u`%`_N>84lgzS43siU7A-6|X(mroKjtW5XSTiuQBa3E`G30{wKV3?1ij zBJ4#{62N_p2rZk|xoe|(l{B?s>~Z;mrhd)Vyao+R{A!nU^SZC<3}>(X0Tm0d>NZn# zbW4YguW^^lj~L1lqA)YwKxj+@XWC$CV{jZJY1Q1sV)mPs(?l%Rpb3B-o<>XHk!q!H z_OMF;Yl}dsF&Z9%RZDJ{e8lSo`3@zrhga;tlPf4;8l+rG9IbL| zc~^^FEwHCDGQ7&Kcy0G*`tCNJ?2U}E6o%9uH5Y4DPm2F52`Yv3A-^HX5wG1lN9@^} z75y}a$tl#L?zkVpj(^u3M=}x;;(!jQMy~TdBM~D^PnHWaLS^sj5v*qAJ^zYHZXa?8 z{jxdtG1n2Xqvo#+$ZX932J9c<N~ULca9}Z+{&kY z15Z@#ny(5p!B?M3-Et~H$A!`^m&ZpP!9c(=phy^0T>QeBB93%dj4nvHRpcfXe?-#EYnJv(s~!|rZ)A?*;a zqY->v6GwSUiOM}3<*ULH()mqx`V0b599s%x^v*&w_br~pbngrOGqQ-2y6plY)wH7-_4b}*KDZ}dLR(sOGJ zrCY}sX+8K*lh8-}c~mu@DP5GG!rZvu@$3Hn);q*{4D&Zz-sx4%li*KS%2m5Mk z$N2-RlgM}#2v+HgoqsOk)xL}zq_JYx=O%DE3Wj5k?6i^9u300A6Om%ig7hSLg=-zc zF}bF2j!-FPuYB7Q41T?2ZIv>$cy+~?w5%uE1bJHqi}8aL z=H?d6Y88?{-|zVBp@|=uOzgHmE2|6G4@OBPCQMLdc(=7B5pD@qkq4dN5?F{8JN4KK ziTA@`B+qeDmJ9Ux4k$r5rnELmCtWMiZ~&}8mK4vw&IK=BV>LBiwvKIWew@#*+^I6P zlomuICdmH;0Q(j}=wS;=KOA}5v-~dctA^(ac8^IuIDeFqRUDV|_fP5ahriqCuTJKv z(oivfF*c2sk1-9?;7(g4^zvAORGy%v+N!ecJu7!+Re^4UF62z~%45w7YlFFoxWW4o z*Na16it~pHp)q$fG34+`P#fT5jzS%_&iTY{Kc6Hmv(_0HiIJcjP2+R*j~$PcEt=XR zoa*l!fyB;SL+4p=r^ zvh(wq`A1!HnMzrflp>^!b2OUfRSm@%qColOn%FBvH=oovru2-fWsnx>nRO$|N8d$c zTib*gSZfePjP*V- z;|u5@Iq4$^?39*Oh3T}s7u!&VsS}sGoBZXUE`5`#nWr_Z*c3r1Us~yB;8*=wCEHB9 z$C)a(*kV`Y>Z)+bYS-v03U}*d5Lvh8>8I^eyyca=d9Fy+`k@WyHB~()3g(9q=hpFu z0O;T8VpzoEg|5!q6B52;Wozy{-#hxUIv);>nbAECN5h0mOKu~n?g~z@sLE1wF!1%) zT;JggnIa7{8FSgn^Bzw(&Dot6U zzx+pkql;5#g3J^S6>nkX-W&M!IenSS`(pdv<@Ka2+s76i$Y-x-?G2R86}kvnAA00z**D%JqSJ?~ z7GO}Ay5Z{j!+Q2ynN=Zw;9-+{MgVr!x|b!$1F9#Hv2OK%DG-Sv1gMV}mLe;{|o1zz=-FsuOqx$>m{^Z)nsFD#rd`I}` z7;BAE_yMMhnop#xi#a{ju4mZV1Ck9*OT7B!-qlMcw@Wr)JKiiBv9tCy{BCa9@6%36 zU#?qMW={~ZM_#_)yszkUanz!@EEv{zTbi+#k=J2Wl3`!mwA(T7Ja;{2WMDnma*FRB zE_GxjO#(biyH5voN$7Q7``oJS9D1;52PLoBpxf{w|chN~2eutKU0${c-9${a7wIx-!#80-R z^=R#q+gSjXK!Qlnel@o20Xewjs8UH2049b_c_=@W6^V4gdX$1ldm;6J5iHdf_55}` zzN2vd@q&i~KlP60w&&+Li&Q1q*zK5AcfZrnwPq9JK8A^{m6nur*Mp7Q+YUzAEyxgT zE5~qY6vmb|KW*Eo395F!%v`ih(V&Y2?o}?5@SgfZ^-+W7CbnZiV8u`ezd~IIzJo}r z2Aee{BQFOUZyO^RSt{CIAm&i=LulshZ$F1NUid`O&b#`El7#mIKvzewv_Z0Y;8MuI zzt;kN_^jN;e_#0jWfef@vCv~3JA6G_j}!jYdF=iXG6NxS4sEw9P`+p zKgbgNEX8;4`C#)u2Bu0O$&TED7Qeyj{?ZJ)QS5o>`?5SK0WY|Mn}YyLN;kN*;f z1zpMaIj1XSv8lO(!_4gn^yMV=7j;BUpmtenX*Du3=N#MJ{Y;~#E~gju=3TenS}&S& z_Ab?Yzy7Owin>z6xXm}t7Ja=J9OW-OCg5-`{Vp&_;70+#o4IVTrv_%QtSzgOqqk-l!7gI_de^knY* z4-3}ulB!GJ;wWlfLXATO^2VKsdHqW(djJ$aQu61xiQi*N1Z=I z-_l*7TxYwaUESR4UjU6INzsV<823}yicFlC82F8D?>tSp3|4gA`f#;aBT7!9tCO0C znax<1p#OBZGq~W+v7FNJY|URI*r6O+)Q1eym^-hZ67f8J@WH&nU%aYyO9@5l$5T-n z1b%3irlxk;&N*9YczOBv$>e(`pD@y#{&HjfmC@p7Z&wB1#043@ccIfOcQChIjW#K8 zM+@^tl^4Vqzfh})0(ImDle>?<(K<_;xK>u^DAwc z>IYA?_eRDF_%hY3_IFwV`j)Q=eXAbuo@hVG>$J2)(PH0}07f^;!+B$>qp;^tM_J?M zw)4nXo(O5H5OiGU-7g$%=qyvwl?l;J?2;qQ^*TabkeKHdi?*Q;1_3!8=Cdo5Bx?1D zkywdSJI>Xv;o@OUs;739ma94Ki1xqNcY5i0kpB;?`{A z4-p+LI%%oHzUj=GZS#3$4lfDH6I74cw)b-3W*qCTYPtk7wn}Llv1c}8Lw;&ZYq38pICVm$ZR2Mc z-IEScOAS_W^ssGs_zVtTJi2yXN!42UjJqkMzxS}oI$WEUL`&?tHBIJ%@aR0msy78t zHwBvFi5AT>)+(8bgx6HXQV0yOn5k5X@C4_3BA!K&u*UA0P2bE;SP`axN)YACbtoFb z`BB?i;!-94&A34%x{~|AJ^1P&uOjlgfeTEs->f^ z@~JdFZ!Bw4d3D!gXg(;RaL5>z%*x++B&9N$XSczpT$LO*iGJ8& zL^f4Loz>gD<~*vT9ijny!J>_}rT&>rH3Dp_FsLW4{GD3cehfAfm13{DXMG`usUGfG zpPk1k5+9CsRTBUaEXsqa#fy{=E<3PIvWy%4)&ivU7_7yHYnScDpPBM=;>~xz(AS5I z9HCuM7ljJLSM=Ep#kFZ-MIwl7h04i0wCIuc`H7%rMNvXdgG?BdLy2E~MeJnVZgP2o zlj9CN$$b9r$?+qXg>LTbU;4VOW3T12HnU%;CJo@#@GT`BWX1mBe_*#l7ng$iXC4f7+?_^!hz?&@q;PJs?ujn7xA(77<1^WciE343NYa}d#Atv6k-1WJW zpSpQE5`DQzf^f^+{K!8+56@L|yC%`Sw=62^m)w|9;N%69yhU`;!Rh3JZ<_=Qmb=o0 z!`7N|R&&TZ4+dQByjkM->mJtAN9QGJQSMtb_UfY}?ESyC)n@bAXK~O?6^sI|2s(z!2UBbxT__-OvNY%G25ha$CN|$&ylWoVO=JxdS zkI&ei8C>~uopvvMyD8iQ8@pa zA2YWythu@|=ty+i-ti8b{lzZf__`0>vOmcB)6hpOMkBgSRh#Ih(8rxe<5|DF#{9y5 zTYFl|(YUwb^-ry?*VLV)YsP{>p=HDyquUBN^51Nhyn-&R^&eBWs8o)M9ZJjkP}?=< zesQ)k>;PG_gWX1MqLpD!TA7=%OTBG`pL zL=Gsv+cT$C>a>;&HO>*e@XhnOVUTs}!I!CA{%g;7g&LV%9IDn56jJ$ijDi*g+vpOx>-_2HO_o$y}qz4mA-F8yXa;QpTy2Y~@4KPpOVlpG8PaOJ01ZXeVn1dwk z%AGQUwdDQ?=%-Dh*pUOVbC)ygpkL&g6lc zAs(;XF+{&Ld|PufHXdvd7_c-q1os7@AtZbPqTCDuO1+4g%&Vp>fP-W-tv`2$@W;v7 z1`jy2-O3Cd=NKtbj!7iuvQ>;W=2$2C3tyXnc`+2clf#?!5%gYh9TZriW3K~l7Pwig7&7W(FC13wg~1}x7NB&SBNFj?tsEtHIu zM&Qte?RY4nJKj!AZ{>UZi02P+3OyA)Xkr%o;(XcmUM%Qg$I$xCbuPc!-iucYLd_9- zT@!#jL`WfE+$8}e$pqAp)b}Z%JOJPeqP*3DT_;KNGF{W-*fDTiweC+B&gqF~H56O$Cbt zW^#T4i%8m5I6dcm#MXM^NYnaU(}e!TxMB2c16h{G={PS4nc3?Khn2MUK$*ihm|y+Z z`O{h}Hx)n$XF?{Qk~o_kG~fFncM+=7nj12LYP*cYv#0KS@EgJ4fuz=qD+`m*F(61RUzC@J z_}DgDWW)Vp>{Vu=*;2K9Vzg!4%bZ{J#U#=bhdBcu*l9&^n$8ZQPkYf+KJ8^`U=rf` z6lb^0(~8rrl!WAFW|`$AH>0o5!Xa&k0-^Tkl{ARDpWue)ImLU zQ*0>q9OWT-GTETL7m??@TPm{xNfu?Yp)ftTLh(}LopYYSlXP9MKP^iwy%aOcoJ#Cx z9w5v3R$_`a&RUtAz%>*t3gBp8;6pK(V<2%PSeTperv>&NRgL2k#X8_x6A(G?-Pxdb_ z_R4UAC!1ODypPM0e+LD2A}9bufY4(tJG6GvJ-?oH$>lT>yf=)Y~KymeW85zCRTLdI$KpuhDYUfEXZEi`|XPtt`$7m_Y;maQ@1uObNA`pU9md)eIS>4@b8y7Uc4KkF-T zQ}!#2{faME)qnf_?O~;HZ++=Q)*6LThzVJ_`xxubXhH+&Yf#Yx>Q{YaIcCRw^}EMB zz0N#o`SaZJ9r?${ssd8({k^lhtrvsw3@mU->m=q(a)!w zU$6gBrff^oyI8Vl5AM)Fa3Ds@3`p+JeiB8AystFU9%&f?h?gHhynI6qBi`Azd%kVu z?Y7rd(%_dg;$y7PkC_|nJ%*039!w`&+JnZ}U#F_)NqWfcS-IE`<77$8QU%o2Fr4yF zz*#!ca&k<&d}nW!A{-t~8!<-_&1bUxEciZo6Ph}aHm{*~^LX)>LswV+zQmEyi~aGL z-ok<2n#*>zLF1SAxZT0ogR14PPa;Tud+5PwjTjp-Xl3r{88s*>zSbpP{mD*E9YIyy zGTRU3>EFm`WhCisMcLJX+muaJEvIm&8|o}VH3OXEdWe!5VUCLZHLiPP?}$@?{gE6+ zAP8BGEtQb{9uP5`bjx?9@?IF$E)M|}43jT9RDatzI!lWb>$#(dgIdfvZSMu^@){sh z|1Y1oYP48ARq(e{bv$>h>7Rf!Ip2Nzvuu9wypeKCxPlL3x8j3eZ_jFdIS~e9%=d#8 zhBEPe1dG<(7sih~FWhS=wGr<*r^8}mp1H4)0|V*`F-tneE_qc`O^!RA+6URHxBbah zo$;E8#V7e%+qu{kJ9-n_O6o{2;ZNlm8{2J6SKU3o0w0*pyfeQq@WrDfHj%1r+L}09oYt-H!?{=- z5Y#0d_bIxX`gb1&x#dqN(OmjK*TIZMnHp(u zWM>gkDuQUKf{1HvMGwT!A31^FQ9;^OlC<}P!FrjE7F(U=D{VyrfE`B@Al>5te+ch_jc@>onn^h z$xn)*>L8O4)KlK*ik%PnyNu320bDAe>Bv%PG5WLRIZ5L#^5UL3m0(vn610;O1?l?_ zJ^p9&w5G2DF>_NE5M;!qtGwI%lQN+;*df?8!G8^^+~Iy|`&dg=N(QMb--N$wwkla< zq{UaXYZP<03wIwjC-Or@kYZHUQq@k)#bM2jS_FZ~c{{5;Z;07d5fGy3AY^s*j~_E{ z&)CVbkGd`=QyH$SI_P*PYA4vLbIZcBc^vnZvZboeP^`GlHza2_p2QKCHRk zkGEKsgVRBV965sHW1s!kPXnWV&Gb?cd!eN3*wHH!8lRirlk2tLsVUIM!Pl%zWHuuXwyu5;p?U}e@4dV`I^BKjY95r!bWGBCn}z( zW*KQTkGQnUUg9%S^C)@cZAGR7AT{*UvgU*9xVnCA`=*SSThz2nm4vnFzWU~z>k|G$n@T}j7>Q7#39X|(Heui zN%5D$!7FL-70dnS6+w9oZN))c+TEx}cWXoOF9HWSiekP4hJdX6qTTbs(M(--XXy}V z-E>Zez|_wi@mIk|o&lVf{Dcs-zERM}N8yclLPSTEA}hUaUG^wEKQW{cTMA4o^l@|v zqcN$!S0s(t`Q1#8`Yln5;I;B{*E+Rv+%2iXnhVd6%-}TqRCY_~Kla*fmkS~xo}?0F z9%2Gb#-XmDL3>r`SG_)ow!|{&@TO>uG?}he=J?Z>U}MghL)D;gv3HYHb>ToVto!}a zJ4$+%cV8Rx@rs@KW0gVm9+#a)9}F&a2O)~%_sEEi6m|&3nSWuw|x#r5eGiatWKwT9U0~-}A z9MywOM0d^{`aVdvI?2fasyv^@>wO_9KLd;woCBcfJFo~aB@*R65jrqA{;1jtCk`lp zte%)*-xqx!ibLqp?jY*>og0P2)g3v9b;C)y{-6=}!Zq{G9QyF5+ zNHts;wc!<~@V^*xoCFVDl`MEzDo#(NQiJ1Z8T=YjBeMo^2k<9%Y~@y6{ySl2#xg>& zvtyW&2jU{+R0VMZZ2?Q0P=QBQ8VJuT(Sa;le-39BR3-4kY?}x-4Jl$NJVr4_@W=t^ zaLf!*5{|fhb|9N_n?zomGeqB-Xl+n_nsbQ%suTeceNNHt+DVjT0vcn$J7Am@tgJON zXAK(l|Z3W!9{l0}}d?&{e{4VIw5ouCt z+xJWJTJYDrdl()VyP>TxqN2TJ&gpiJM=rS6GGjI7}Bg!Jr;B4Is^Ty7VW4V}T#a8-)iaMg) z*?u&I{%|6p{p=xHW&!A?Sz4!3+cL;0in;zX2>-&AseqjU+hW`VRW~y2m!0}Jd6+oz z`|&aD-E$0l7<+zDdx4yjJx@YU2_R#rjRooUnb9k*&G?r_Pn${{qw{8g2M_?U@=Z&- z>eg~KVl)a)^!M&NXwt?=>Wfb$t?CkE(6Q<@wXMeb_nTA!ENq*Z3OvsyiaeDaRcbRo zFfvhsGUVz2E0$C8!E{7$Vf<`!@si*a9RUkZl-Va5Z(hs)@XIrd0k@+ z+^qP*(R`=#ydL-UW_zASgopar80>$+0;EPP7@<$Vq#+zN3*J7EWYDtaQfbE408MS2y1ppSj`!pv?HaYIW%|7+ZVsv>pJK{+ZuP)Qy;m6qp>(*>){{SAui|1#+%I(Ae4RD{W9q{W?dXbiIEWnXysFjy_T$+ zyTMvwnOR3@>_q?9@qpyj56$4zk zuJ7l3&Uv4w#6G`!$EApg^^Q5=RPB~}=NLF|yy@`19_%Cd7!zOs=k&*sKm4Gm{eD#b z3v_KCqH9m9ep1f=)AYmw3u~(@87}y#GC{WV4QzpXrdDh+Ez0jB>Fm|>&C9-6{hjpL z^5x=*HCgJ7D@M}ihgxoUeMKbhua(D^-F!NS+oB$5uDjh>)TU{^ketFmcqdhko&u`RD872oj0(>Og{XUMcu`f05nRQ%B1^yi|y)M>|C*n|q6MLfWO7q?@dcsdA3lJ73p5ym)J4JS&It z(WQU?L1PB-iVJ)-+0-XypD^7Oy9Ld?2t+qf(ox8l-X%>jh)k3|uLZ&6fNbF^F5&;s zvMuDqAMBdzeED?!=El?a8CCsmhZpVC#749oiozXEO2UpRQ)^XJ# zda9F{#D%YZB+lK;6Yayk(w-Y-%6i=FR_8F%r@KDXtQ7U;n{nz__VZV_G+!L+rBbVz zcTasr3$`&b(jE;(*u}mZOcYM)y4ccI{E(2iR738C!v)VDq}1|#{vSh1Cf*}$EFo!YH&oRaAY-Dc z7WKzN1Eg9woATzR^?p26*RJ?;=c+rjLTh^aZs*lgN70^^N;D+LcOpe=ctRb09eTuc zYq_T}gSpNC4K9IHjPY1ReK{~O|L@8rJLQulU)ScuB48e)>HJFVDLH_!9k=~^5S1Od z@y%yFNh9)Q;Xm!oJHC-W?3MDXK}MY!m-jFR22U#~ZccNZwf4~*7O}RYSs(1{nv-mJXel?)XOYAf z;{rSmvE5U(RiPZso0ljhog)YeD*^}75zm@bSD)^-oWbL1NZEXj9ICB2S408)Gu>Ud zI=Z{__Ot=LJz1h1>w17ZvkuQxMs?a@>6BYA&fEBB=fqJ=MNVv48xF|R)aF5j`^RjM zoHerH!d>@t%jCC7JERthVaQm|#R(#0EBK=p_Iz0~uk0B@NV$W=?C#eYlKI-`Ve~tc@T*jKd z8MFz*A^~@*Z@@4gB`$I|kVyBocnQPfdE6ca?uV*$D4mJwHJbHR_LCn;AMP2FhjG2Tr*osM* zTnA3B$uvyDxjhkd9c|lI?c*P!<-6Te;Go890G3TgC!Gx0Ph00VhjKi-GH|mI1|9MY zde_8Z^>@GjN06)Jk|eN?RSd79p4jioo850dLCxwx8|U55ReO*2UYzPB^}()|qUkzO z$iE2vDLU(Oh1CL?oHG3n|oLSgNXiLqb!gl56Pg&8& z>v;csgp_ZxTJ(oFd^WhUEc{*{+OLKZu5?<#a)XN0sBm3O1%rXt+QKhORtrS@e`klAJEn%fT744Fc|7uNcos_}?CP zR>9*Ay`PzDEqx~klo@mD%ypl9CVx=&+Dd0zkW72RGlAkOYIy06Q+nVk51HH(aZpuy zOVx*tQZfA@cs@b_8LX>fP8-sgDz#o$In5nlWOvxdL79yBnVB!B2Uq_6(Qlm0FDAX( zn>Xmt7Tm+xQ4>PW#IVUE#s14(J7W&EPN6vSt@*z_68C1z<}AJJ^BbSlKC^2%8)m)Y zKWnv5?CZ0h^I61M9pw}5GyAshd;h_?JT+qm=O6eoYyY=X;?om1{y)GWP=CS+lol1i zPtKU@wFtnW=f*g{T{UaXoSE|jd{=vi@AH%be>%>IoRK>26A|oP6#29Fe{n9qf&gNZ zZ=KV(L^glfF>jv+V1KYhcqe$_QH+7;a1K56(}JAETYL6%I8B4_5<&~L?YAzgmj+~K zou0+{I(;oKfs7)Oq43RR?`3klV$;QOC%wG?NA||NslBD%PTT#F;+N6sygq5>m5zxb zhciWX;EywEmhN`d`f{H7gS&3-b@U7GdGVxA;1Fl;AD!ATr!Pn^u_c@i(t)yO_013d zQR>rQojvOHrq|#L-cB(`8It1{88P)1T3IYj<9?ySB^RSt#tg0qXWakr*ljP(eTm++vH9Dh)So+|1?;Qze|^hYzJ@+h)^>ptkz2#8 zz>Tnm{w?R*+_>fv43Y_Azrpz{lg233(BiGh_nXhxFWv$~7Vx!Ghn(|a^tATk3fN_D zGQ(FpwabB-x=LDNSEs3lFYQmMSUpwj9_eAFp0?Ga9f5R}3-nffyW)qnUoZFg1*L!J zs9n*r>}cmQQ@~4X)xdzV{2P!eDi0MjL*2f_Y?WucGd5m@7x>k+xok7 z^%cr7_0oX%gU+a-&8(`Qsyy}st3tn%Sn2H(Vbc}z83jBNMI?#ndjXymG$LcScRGE+ zDhs8|%vltQ=}tl_k%c??_ZMx=i0}L+FlJ5W1es4?zLi0OiZN@>dXm9f(T-RI8Ai}& zcrQ2qP;_~c`q47?Nh5mE!9mBtvM;XncA550f2nyOB#QDz=;-LFwXOfirWEC9zql(r z9A$!Lxo@Q)QFNp!E=Zk?v#|AHz6>n(1HJTH8$;-80~F6X#=L7fMV}$sH+B%C^rA_k zFel?=L~y#Tc}5a+NyeFdP3A}vFK-q9VU;DNXXVhQ+g+6ElzFe0xwrM)U1e^g$^xt1 zREfJDJK4BFg!WEn0d-g6Xd2m8sJDPyFiNdTVPY*o_}V0KsLEhwX`m?mfsz78SZ7_2 zhX~=j?QPj5g5i{B4wgRd9k||?TatCN|8FPEx*I_gwdb9l#;-yU zTJWS#%DCb+5z?Uua(vZir@Wgsp!ia_YmDD>4U_vM{q3Zlx*-Jm-shpU_tMlPqr75x zPXC|QCIi9*2XUW!fow)S1U1f#SzbQwSHUOj<`bnp#Fy{j_)BN3^$kQp(kI9z z`wDU+r@RH6>!xG#L-W8u1Eg%0td`?F_d53f*KiBQ{{IX7!{-H34*cBQPv)s6<_Kp5 zy*6h-9cTHc<9@qm{OPsXes3aeJ$7N?`5Al^1&{k&i1^v}!jtos&JOSqdq1^snfe7x z*xx=8>b>Aa)B|3$EZJgQ7B{qLS4beoZ_C7*v*`zZTJ{=(At9${ZK#=8lbt>ezFGY) z`^a^#bmjit4sOKA@@O=~s9ZnJOqU7x(n}6=lXQ5+o5HKB_+Bb`@x`C@y9cSp70W>+ z5A_wHEBM{#{M-09jOQ^=j5f6V<%On}kqSFi9OpO29cexi60`W@Tg`PL_ZjbP58AOr zeH8Y0*ZsSy2iND=^`KHZId-R4{5 z6(nhEE;+(PIEW)jhDz6@G4$e?9DOAYXWX=mdcW=c%+|lS5?Y<8)x}NkwGW9U?8aZ# z-t471H(Y7{8$-_;FPXWn?Pu{OYF5r+=0$skF)ZWR_8U**wkPgi`I%|gP}%Wx_JgB$ zsT@_$M`-a?U!kk2DU~kg@>W`Tl&*rc&B`c`l~Gkb7dGCV@;aQv^wj1r?O z{7Pg5g&YgS(-S73UW;)jqXR|FT~>ziGFjUd@2vTHN6lAXf7yR~XC^H>MN8aS{N_Q{ z__|Hc?w91&{nGC`LC?VV|LO7@v^*sWX`fpI_x?rfF8Qy6c^Xd$`;f&G!mh&oq?i9r z2lf4E634`)q|yZ|lRb68Kj%Bc zeInQUrz1!>bzH!cz-VqY-Yp7&9;2pl4gnu{} zJ{tAz-RE5t0sH3b^G_{UmT>=PpMT00Z`pWg=8`STD&#BP4h{T?V~JV(S{VTg2XdB` zPOQm{@L=bXoT!>#wd%0zt&rcWIzHo_+wNlr_kwfaS}Es^iYfnj_k3g2zSn2r zoU!)9V}B>vp5(v3w&vYUKKCLVhvTI_l1RUELw=DzPQUw0=lqtb1w$sktr_FCmp|Q? zb=~hru54-k!UwZ{^Q`#0)fWO1{68@Jg@>dEEtUqP#4ZR8_;^2l2+z&(diKDJ9PelT z>-Epq3pNXP-xK|rv-}WW!oNMp36CrN&7muLPPo44;voo|f`^gwm&L6YH3KGfI{P5x zJ!J|fZgD8roWmUt$nKFVr#k~OP2;ml$ES9o1JE@SM-G+5OPK(&125x^p@QnWlZ(IF z_G6D%LobW@X6E2@N9*%KN(!9$thm;8x$fSd&pdIXFK1*K_4gOz3_++V4hjAx|MnRD zWKZkE{SPC11{a+T*-tNk$D!R{RDQ<&4prZ|T&#LHa-yfK?=V~2QFO!9%GMTtIJ&0g z4FqM1<0Ts|T{Qb#pj$raE;dE`@P(9ionihe%cVOZ&V}kLdDZw1dYVe^7#FT2kH*b( ztz_}m`fWvR{KcVDp0;bI_81Hd!2iEsDS!O>+Wd6zDyF6rSdBENF%@UVzO?4^(+AL1 zgb$_1%-?_e$1z&gGd5YME@kIPP`a^st?0uC)Qg9?*gkeMb5)yruk<`%ZsI9ELRa#x z*dLDDjCZYeU&Q^;#(Y^zNyQW1B^LHeOqk2kY@dVd-&f9l ziBFlcs^#qX;;qE!h(4=0O@Y7YegF^A#ha*8a`a7Gd@v;SSxK{Ugo9@-Y)Up1JGd#d z%8auH*Bx8>k4dz~Sp@qfIqhoK=Q|p|==*Db{jqXdwvF|U%VcAQY^FVL;jlNYZI|D{ zEkdfDAL)u)S{R4MwtG1dMun_SRbi{sg)3CNlTD1&q7KNJ^1W;k?Bo-)tXi{waG_!| zbpzq*DT^RavxM@9F;{fQNF(%HX7`rNGi$~)tBumN=iz*jEBAQIlH!NG)ai@U(%*Vb z|2{rBkoly1FIiV}!65)->Zjnr^(>A-OwyR#^`X3u$Pr3HDxpn@S6>}wR4x83#bwm{ z+NOt$Z_hzf?r~}s7fz6XEW;{ymMNkMytkN5mV&#At69|FMw$Jm4pQP{p^Qq8^`Tbx z6IuEqam|We2ctQjRo$9cD2;!7WQ)g#uhIoN{duNX;fImLhfk^0iQqJATCy`eE&Fpg~qbxAzPkTZ@Dp2Z4oKo?Ti>)56cTWjy#4_jm~u(DSg!j*c>Vjw|pQu?)25T zmKpJUY@sbFwbA1FFQk!LTgW+SC`dua;9j=U1`XdmJ9`|Qmu z7F|7R$20|319O9kc_4USOyI+l1-lw}pkE(uJa&gsGGrOAtrC&#ERSx}uxjGNK_yrN z!Pr240R+m*R4UQm7}HIuf}Q@Err6f})Pzm9H0aO*^`ECB<+i)saTZ+3p#Jx1t+UD^ z$$ErVlI0?o+e9tgL-`N1I7e((cV1(Z+D%LaNjKE%G;*U$@B&Jzcco=KsQrqjRUMfH zy9SzaSoLA$x^*EsGe~PXwy`qJoy%-VZP3+qKES4vyfs~_q29uZCrHaSODmzzK|PN3 zkRou*fbCq3@G@z?ZNg{2G~7L+_6Uc~=k&SI>1G{~6gf-H^rj!9CQ+YpyR!VF_Z}ysa|1eW|k~n_A{TJd_3>7R5wQrN6kVH9- z2k8KBppED*QyC05ai$ea>e24jJWFIa>6B%aW>2SV-ie$*_rv`?@=nJ{Dsgms>I$dY zMQ%k|HskGnzyfb4|CEs`r<|h0Iq2Hr4mE=QZh&W4j?T0=%N2vD4zA{D4gP}N>;ORF zquR9SM7F8HxZr8{h^&_W+I|00{;{GMBx1pI+d1>Z=2y&{^fD!-=&9fBR@VV+q9+3e z@sdLQ>Vn4<3GXI7U~~6i!f!(Sr#^c`veVrtc&5hnqehRFbtx6p&rmnIc?13JLOmqL zd-3Iemj;uWh^o}dvYB_M*;Gkia|s`Nz!7q3QF4nVFYsO>`|T|_x#9q?N4G@YKG9m< zNEQM^9zT6^UbM!PWXtVI%e1-BL^uJ(wHtL0$k$Y~(s-CCQd-Vt_3XCCVV7*KG37Jr)J*wg)fcCx zkkf+-7u;iZos#JWYE1Q)+|xBB6@dw^@`YHwGO(g}a=H>&GAE*9xmA)MZ!v4YzbHMdL`nJDKYO`mk^>oc`177Z^<^bJ@1R zICR`*34(PUpgQJE=09(ZSrUq*A%NwzVdF4K+%m4^<#-(Qa^gtANFVja?DP!i901i1 z&x9R|-wop-?`Vh0!2osR)SL15!!8zc+RR8|(jPx8#_DHpcitKcJiz82KH!vaDks}| zn>1be^kh9-h1#xLI@HXO?iZK%wq$(4$}4R}CbT?f(L)0$#xi=u{MK_lBmt>|5RgV+ zH|~DlyvuIwYdgCaa&J=~VSV6dH)6~CYX6`k6Aoa%WXQf#Q`MAWm=TD0?z;WP!<0gl zq^2ZJ4`Z(zooYvouiH%{w@v0>7j+7*s}u5yw(@Vz z?FpUs3{+rRVmjSUOOay)8JZh}>d*EaVHEtkb%jYZ48$`0Z|-auh=0Jbd;N@=FA$jX zuf}0ADeuFK?DN#iZXbWAl;iKa7CYHb9KLUG_70uo2qMP31+ULu>g}cUdzHU1$j>*} zu<*d%=>-SO3$~`tz~*%=VVAG^?%DW&rGrl2pKGTUgfH7VWm@(iV`xd9_iPG>*xFfM zYklT^dwz0`W$yadUz>Q|G$W{T&c<#HoPPqU0qCd^xNBS;_=UfOK z6)%2`QxqKOv-sNlr)_Uqm%aV^@2>l)(`&tb;+KZjU;KH&53$Pzmvi`w_)E9eUqtCu zBMc%q_^R4=eBYuO4$dH&$dTo1hh<7WHI2-m_VjfGNKPM;6+`brFYus!XZeqMm z-{AbNqo4lrrz77h=}V(`OJ1lMI?-nN_Ls*?pQYr7eK)aln&KBNd`wL;toK`}Bmbkn z{)?kubx=3S$+q*W6Q-yM>EgW4?WzUq5;kye?=84}0x?J^Xlh-jeMqe>{6exdvLA&O5 z^FmKgvSRJ6+ijgM4L5${VrXyMgsS(GT-?MuRth>_GJQt*1R8=Cru0A2)w%m5b~=IJ zk>NokY^kKBcPWjQ`XY*CZWYJM*e~Fkei3^Pq!LnE@?rH#!v~S9EOMv{WNy=lU31A+ zJkWim0X+22DSAK+Xwq7|bi3?BxdZ~d8|#TH<~n`d^z9FRX&L8xrEBn@$|!Q@I2*tt zykdTMwEe~hYd(4X=Et9Y(|Lc7%r}(Y@~EIBAN6?}a^+|AW_ii1QuY$MRuZ24aw z|E$Pm-B@z_2pc1kVY4^3y1DMuq+qKGR_J|BREXxrGxFB1Jk#v>hTii{8AO4r5ZBPC zxUAJ>u)<76Vc$lz;J$D#|IbDwltAja0$h+^fHRR*q&gSAFtliAF5;-*Si?!BI3QiT zRlUvdHQuZoe$6O!P)~KW@ERlRLsmQ7C@mW{tz4Uh3YxX) zH-gT+kmT*{-26;a-*yYDJPG~eI9>gKNzLqL#F?}bXpy3<{9&@}B8|>0>;@qJGsWukgvb8+0rQq^wK4&L>$wEIT zEZl}3PZwcU{#RI>6W36Nywfd9GZj08)Le~C@O0t;e^?{Oqbp`ouudA3EI7;+GKzpu zR&Fl{A21V@gsCvYEPGTG{9f1J?!&pn68rB$%Dh#F#CyD^@tDYhOwweAOv2M! zy+n~t40>36dkhp<$Zn!5++-_-72sh?a!Ym4_E?p?m!73T>C4@#VX0(~RIn?Fi}>TZ zsFrhh%UPe&a%AW_TJP0hrI4(O&|<h#^_bCO+-A)a1)sx%F+$^8(9N=@&>AMUD$Q4rbb zM$}z7U?}yr@#}xCVXAs?tX>OLj*I$Zu$=w0Gp)8j=k8(@Pp;AzeNo!^nt44slH-sJ z2sFcyTlv~-XltE#$N1s@dOB{U5uoeJ za7;?dVo~loc6G=1*a`pgL*Bd>Ls(`1KzkXCUy?)V4+MEurd@TFy)oQ=;yYG_H(fVz zIB%D}3DZ;h6=ul^T79sUZyr{@Ic}si4RF2K4x%$1#@ZA{AtFIGQmEd!;vg0sx+u~< zi`ii>WHPe8M&cvgiiNzP;67Zky66Z8*M>&ZBqz+200&qxTJ-RyDS4*Z^;N>*%RjXs ze8z4OD%{R}dQHK^&~}1sDzEF8fx5`&g;lq#>(w@`k|6G7DCf7MIWI_SuIo2Oyepu+X1{mHXBoOn;ild^Fxd%}v(~`%EYVOB_O485bOHy6TJ-9-m6;7qyc~SEm3#73c6wufJhtswmwwNbhc$E>h2iUx>2W^s$5Rz?9)ovz*j+Jj zx5P&QI`HZAmv8CI!-TP+51sXB@tP#?Fbum|R&`IBdRAt-W z2iS}SF;BY_t8R%q@V)d?e-zi0ADPsP-t3cixhiLQaMXZ$1Dxn9Ey|<;#DKXPQaDUkPLimEg;J#ttvJ|40;y}V zkui|7pslM;TSaI052j|S>NFXt{9SIMFvHJ-YJfzXY{_{buE@>hPEzR)TxVH*`L^_i z8UQ9nXbG>OHgPl~_HnyB6)8S}F=9YITsUv9ojq?wMC7hzWL1iq{lqzm6LxaZ3^DX> zo%&czZtW=x*<@m0l|&%xI)_Ph5-7HK_PV{3wDr=82Bg_y=pi_tglAGrV}f9we$Q-` z9P-7aB;~yP5ZOg{4||#K6(hcb&}!EG(wR2?_wty=E0dFH`jI}FP88OK0pF~kBsl|* z+RLnU#h-lYE$mFWC+i}cy#PY`mCVcrY zI(M*|>FoYrZ<*v0hNoc*f`M|W2h6DXRDED=rir{JHN(c1JUhf@(aF^q z*$FBmhQNkRMXny_1J^&SjnvYfgA_;Fb#(_XmQt=w{HNZ3EdreTPXW=EDz80p65d&H z^s=w}>;=0lX)t=+f1nJ&a+=o{%PuD3a*IK@*HfDX>L{$c=xWc}+gvCnPe)pj?8Z9K zs%^>NCl5HQMhLmpHgt z&2oKj=Z`}V8x-7;(Tg9Qj-}rpaXwrf)zhoZI4l85B5Jxxa=VDzsE_}@>B>`1UY|= z#8)Ic>oF%gVVg@w+|!CR>RoNL*GLjkj`2v%8NqElJw0BtL&;K-k%=@`0bupEL$>L< z0_(^ytrXA|_CA(%pR{UE<#Y&_2IHhGN&{d+N7F%gbPMqd-v`E~QD4|l^XU5|;)=(e zE}A>E=(Sw6Fia4TuSyDK#iCbkPjx;1K2W*G3x~T3o&lq1eU~U~8oWJKlDhWOu}p*A z68|4UAox1zDM%9KHi#<>vJ$ua&;QDb&NO4xE5WF@;a|9@|KEkmW$&3!5h_Nw*Say^ z#gRTiK701gMg_4~>Nw|6x_6kruWyN0_@SSCL^G%@(HpXc{b8tx%4TH zL>A6DYhU(y{3N^dF8?J_c&hK9Ot%$mr zwET*Vk}bChGvfL_F?X@GrQ=T@`@uGo`pfb0b=T-xP%Bi;MH)_I)A4xklD@|i*$I?- zaQ?FH5sMJt$7aX#L^|G&J|2u;G<|b$`CIX%!>r{(R?nteTBbVL5Wqvi3zu}kZ2_7w zyYW{8W)q|S^V&Py?B+*V z&HormXsJzM=a^rjePWc0HZYV|b{i}v0!Ak8=#0=X>VU&5MaHOx?Kmp*Q)li_>d_@V z!}ydw=xK}e*<)oCC_lPfM~f$XOijq&An@7OM%s^Y04MFolvo)veC!DipOYrxSfan&fFK@b2=m3rYp2M@{*&^)LrmZbcx-qfuYPJF+ZmLPqaAu z4z??XFW=qr<@jX(=fB0i21Hq2kYF)y;PdZW3NpDJJ`316Cl3lQ0!QaMm_fgX5{U>c3O>A{F9W zq?PR1N5p(v2&c*^Kq7m)TY`=)9~jzhHfJ=p@G`R)6+x;_K3PL)`F*s=Q<1YQ?(~9H zJX0+(xZZ6mf=Sa!RcMxCOHav-xRR7T;d~%a^xG&I0{p#stGM8usPG_Hj!TQ&?%d?6 z>yjcn`2%?Z$z+6PFnCVP8X0X@d{1p&d+G;=)e})=B&y&bfn0cI9`Dbq^MAiK`RW|v zZZ5C8tIyk&F~YF&L||W)KuH{E(iK+I=Z&Ojn$RxL(^ycFN-WG4Ms6_X)ksM}0;xPD zS|>=#+Y>f7qL)>~-R-a$nucd2M%d$U+*Xs?+*gKpZRZZ3OQNsL36=7_t!Xy4+Q`7B zH}j<1^7+2y4Z`gPt7x$h22cWJpa@t-qIbhb&~vnWXPKk(xtM;z5#e+Vt%$V?zfle| ziyHZs0SBeYfmvu$7+ej1y_054w}(k*g`9qDN7I344EmKRT{(Td=< zO&h;yhyf#zTb#Vx#uB#82E^I;}cZvYI%_2YCOwElyPMAoM* z4CW0WC_P-T>v%?D;k8R@YvcYYHKM#xB-S({P%K1TA8<~(OT zgn~X%7()uMtj>XF_akfxQl#C*yPdeXvqHQ-nJ) ztCsX3G*hjK7Pem1X!CvUV!MJ0nG0*!>kXn6r3;@P%4p6(G}~%iy2a4Yl0*FR=%5`+ zGw1)cky2}Zt+B4VtgWF`CR}4ps>#9z4W$w#Lq+cqJ?_h3S}#tf31;xq2HF*lzXddW z`5cVNR_1X#k87zb(5UKY#onU@yYvm!tnxmxY3qYpI{Ct2Q!n%R_P#pidJXP2zq)Nd zJb*WsL_qYcNJ-N-9?`^_FC{tc77nzbuoBfBu7dDe zL0nqe-+XSg>e>m&RB}ssn2~7c^!2xL>GO6H=jtu}sjKQica|v3N}5b!ThEf43=+^o zX(6p2Lfha@rGsdh)%Kyh6DV$+Pt^A=*$vJWbu${y`$)XKx=hWflG3HF+-XLYbFFl) z3P%)`%`W(Zg<+C|6I@soOqRBxC%5*zd8m3o<6-^wDT~1WBq#i2w(YvMc4Ge zh5{mWb)Mg8LY=Xokk?ZCfzSkyOE+6N*rR-flv%&ne1YG(wI`80bm~6HK*19M`HQ)` z-8O>@NaX#+4wKC_JgeU0o@1+ekqF8;{CT7)n~^!E+0TQpMT)0_2md@N;Y)_gBN^$! z*g$J>dF-^{=-`y2JnYlX)ny?;lnf=!NBM|P#EbGV(WyH6sSqqJyAfp<1!9CIPeYrd z*@T;~l7%-8gcPLukSN1?Ey~kMWWAJ>F}0SbBMA*pEs^EEZ7MBOM=2+;N*zf}M*lE! zWorCE09G7~!gH0jH_;+2C`pKW_jv7Kub z?XV_XayvERinye)$}pQ9g6$qgk)Egt!Q(dykxgAwZ~h9krtU;eu$oeKeg=Li@gTaC ztCQryokHrrH7s^3z380A=c1InyV|JeELz_W6ua3YlD6fo&q!=83GP|Tvl-b%gQIzV zS=tE4NS2wc9RD@qqS`Bta7+Who*FZF$?ZaYkz8kTk7SJ%xwz19P*O0FR{L%oHgK^n zesdFRd}CBkeHIfO-?=;e0jpHn3U(jrK~rG0a+Qv`klv(YE77kdmyiuybDpifu1LaD zFWDupV~4x76H|P$fu4qY(@YO%6Q)oG_Kj<@No928glF_ck{pAj%vt+sha`np&%I=~ z#Wk>1CfBK$>4M|B=(5={yw=;PjP&7Aw%UfMF#UYdox9VFq`}-MIQ-DXD5^VGA&;=D zUq+sJcJ{)+W>$=VskKXIeIH><)SX!griD~^Dfv9IJQbeRcmz&LDI>#KR!d$Mp8L>8 z)L7kskKdLrj(Hz(52dYsU-oUA8F$$%b*uc83@RL@Tn~}c(G;}a{j6kPavHTCj z>e5LRXubH&QUe_qm|kK}Ps=~Ossb(O@&N^1flXr=^7KX1bgmRZ?NKlyEu=gc zCY89wTe#p~+={_q&Mddpduk<-wgh+9X=Id2#Qifgd4IK?=Jn1~6Z45x7#4$k?NGPq ztUE?#aLX`(-owvO9b_is8a*Sofl}TRQJ-emnSnc@G4alk36~IQW`D|Jz(wQ}RDB*Zr&Am-C#5tUY6a2dq7N z=2K73SmO1Yv0qJfYd)DXbB)(4o!G|t_-^)&{I{>J=!KuX4@hTR_l{ic>$`2xtIoH? zv|!mDj!&E1Z07Z>{*r?uO6STf-#0|RENhSaooe4JH+}DWCdS{Jo+A^ZSD^jg8qOu3 z?*=(F(SCpG`*zwtdfmc^LCzBke&Q}F?)!FX!Pel#g$BRSrDRsT^4z!{B?sCU zD@P-0Im;t1?mIktiW9+q>rtZH$O%^` zK!CX*KhQVM`Bol&k;p{{9wsi{5~EC+xexPUaLz&J8q9~5aM9&g13s=?bGE)}R`f^r zBHX;qR$cg0yX{lg0YfTNK7sUl!{ErSCF4*DSI!jf`M$*A(n|+-KiyONpl@yr?7*$iDKc+P7zT%shg!f-}X8d9w42a zRJCr=)~0Uv!df`DH_;4^_js-N3W86rsD#mB6Y8JqGxe z`v?~ep$_lY;+=cL@6fWr-Zm#8-)>oZN2Be0k-8xoh41hy=ykG{QzUoyiwMOKdGLqro?cnF9JUR_O0nVk`>^|o(I0;& zUHTdpFlx!7sF67T{Jyk)cjV^V6L3AG_8>1--clI1%g!Yt;k{H4HwyGy3?+6{;wcBy zU8mx@3%@#KaQEuD=6mKj}5LYAS*DwLK7(+z}jMOwYE< z4-(THWTbS_0CR_x?u(V|2`rDP&dqivasS9aO#pTbL18wZ=iMh4)B`BX+&WTo|CSY3%oP;i-XOSWYbbH6lhW=*l{eQ|9hA2dE7dyTtB*DMwzf+rU5sQXaJ}$d9caSIozG3Q z*wjn=KI^nJyhyA0jEZlgD&IwvbF}CAI+&`sc(2W8Kx~mF#qTkQ#!LY5|3#TNOLwd=+A~&c7>eF#0Y5EeE zU0#znCz{(!&yqQ=hW@v|J~HvlTmO8ddvh2_xaK?J8u!rsi7I-IEpIkA$Da3eTmG&z zZQk;pVWbEn+t|N(%6u8cDUysJiB%#fGjdkFsZr%w9YQlO?sg|wu%6xz z5{!-jV6?+C#|DjdRUNB1g-6762uXlmQT6wgFA7CZpga)aRJ~(BsQ=V*CoeMH=sU0K z;j2O2#@Bl=t#%cJhi0VE3Mrt1Ij}K>c#2$=LXs1upR#DxO?=XxKp#4eTx25r#pE$Y z0^&`cj7*FU)w$7Vxx(&12Y*hCuHdh6Ox>$po+293U{q{(r=bv6XFyIO1t%x$JYX%6 zr!c>@;td9q2XY53b)+%Aw;W7tf?l8%y7xT%7~Lea;rUCn?5Mn8SC3W{DjLnNENyvD z)6ujsSj)(cV(J2XnFK@{nlJ2Iu*#IHP73U%^7O_zyE>Y>`~`luY`C}n4~k>8^(CbJw<4Pz{4 z3j2;H=XF+*mLE8!8E!5K1v2 z88MY*&im`Qj1SK;whNjv?;&dscHZ5O`}!LNFJ%mf7Foej3>^E18khl=ec;xoJzV*r9B|F&gcnO6eBs8&nudl>_cL9J?-QpH?hWh2_ULdKBAd`Xro zR-{{Hb;7Xt!=%wg*Datb^f<=zK%?k_*^28~z4K1LEc#2k_!F zmRD_PR>vY$)j+Cfvht4)OyFjUtf@8<~@YN8d12K@OYhrAy8 zhL#fWMur`OK9mNHpCi|^v zKv1_DC*nI><*`T${^}Yl^ox__-3PKPsw~_sn~EhhV2@eVo}%}hx%tP%oA~?sV9_vl zob}6{d~~nj1m(kno35^uy+SGDhA88H(`4atWwM+8P~#lp1399Mw>-SmRFk(mGA17P z#PuPiV=3i3b8;I5;r+~!ftTpd;zcWz3g#mn_VIdc8Zp2qMLQ>9DcRJL{ckRNE=78? z20gG-&8G~CMKDCD3}%0x9!+rwtRHs5EQP5u9K;70-JA!mQ57MHliG{ot)}1TnKKh3-S;kiKk|&GL05bY279Hp zreIfJPB*QzuvBH1p^16dsXuk!`lv}Ws^|5l0cT49qF0)WObapURU~I&34_f2q{Vb% zYUM!-dp*ZvmM!05nhG@nwPo@o#6hSpEi~p%5Q7DtQCu*VGo}mY4Zs^><2Qakox^zb zJSg3^xg(`=AiJT(B# zXad;0sgqZ{xt5kZT~I>nZH>pwBn;cDHrmy^={EU&d}L%;Mhci&W^9DjG1iBFv^BB9 z?WzMB;gL9*?P^%=q-mAMYb?|XVZ>D!GfPL6)%s-&exGWsFt`ISIxT&HIZ9Uwf$W6M zSfNDewTU1zk{LzWxR|${;D3RGuy`0$f^!Lj9)XlqXuvCQI*qM)_WN46LyO!lkoM#c ztBPFHY4GQlb=3T!)eWA%Lf-i6C6?aQODTfVbD3Xmbwh=mu!HUFF&g8P>269Vqv*OI zw6e8?^(zEXj~H)J9DboqFkzIv6RKOVzCL!*E*rpO;P#&ds-ZHy{^TaT0asBxQ2_CJ zD*a!iB%5%@A&(*SQj%V1co_t@-EO=?yK5Tbq!E-atEaLJ+~@UoDFsZmE+~-uN#uc@ zldWrXrAzw^yC;RTLO)i1B#Rqg{+Yx^{lfI%{5OgLGN;S6vW?FJN<~pkt;sSR7VNYt zMIrZ%Y^u^jOG8;<4OOw%lM2uk%!`7@SUPFwcx1dO-VbVj4>>0^pGzi!eAEA#FOOpu zBsucm&A@>9J2GI-M4X7ks=UU07s9nUcjqjmy-}~^KL^pt)t5bCD+HQnb0R+R3LoeA z`K$(4=F^$JnLd|a_P#bE^nTv^J$Te)mA<~Rg)aw1`pkdQtEhC;`^kk*k5qUs*|2M_ z^k<*NSp2K5bCzrgcwxmej}fr?EsjJnxI!DkSG{fbe>)%`ch!ujB6oD*C)gNr{;;Z| z)7!t&8M?q{?S9T15sq!~Qs2E^WNkR}&3Mlc_^nq&07rt>*zj5NR~?!k!F`=`&+k!2 z=hQZzdcV+(F-x+GNmtVO?^d5)vuy9l6+hSeAFG&r)IA$82r7(QU96IaHxzEX0XQb1{|Fe&a9x>}kI*e|q!-{UN2k`hsay7d7XA z;iX0I8Lzm_ zPxt2=VbQeaTQjwc6dQ_d1%Df$q>&&1O&5OAm8Y5{1fZUlbMZw(llf{P; zlgY_>|DbDrcizUy@)P1W=DBiVF0H>ZyQ#bRj7|E`Ti-{bU|#0wj@t!4FgFIfTHcNY zSL^AuAHLb$+}cEa$~1oCTy8U6nmb|~56Yl?(y=-$1&;rX{-zBmi=!&nVySmG=Nzp# zUQSmcrFg|dTCuW~ulp3Gq4boXbz06RE>Ndwqp`IJ)s4@ROf1>*m{w&Qz7a1eG$EY$ zpd}v$U*N!0$9mRg>st%@QQSRYWve11+&0fAF>|=^cE_31*Nl!PaKi9Vat*?b6PS%u zw)KFwU{kv)^zz-rk1zkZ|N1oE*EOn&VP>Dul<(8>_R>BX*LsWVvk~>dFbHe6M4p=_ zo?V7j9B}7+WthH06?xafD99+NUR~B9*uf&m99_6GQ-;Zp)$%N6oIxz@+V{F!mn+{PEqbahnnSq zH4C>rlyRWgorg_XcaUwxb5DrvR8OWU(tMYZL=B*Odx_s3gnC=qV3dcaL! z6NHV#Ocd0XbL*W86)wFamBa<8>&l6xTQCL$Uz@0)Huj_B&CrVu1JYUoRnfTStlMLW z5MRG*CRjL##W3&cC)@Ij^~DZ7Znj+-sN_vu1v8Tfq9`PzIbph8QA2Hh@_VgeH-z|6 z>c(W>XXwy!wkzz0RS z`!4ICBz=8$bTP2KA9vzBvXPH@by8V(MD*Ev>zc%6|?x1X~`pKJEw0rdFR2 z!#YaNl?$8p5;sdy7Lo)m|p1%Gg|F+;l5u zy7gSc#BPZAsGYc%TNg7nRJeN5c;m&fFL)&>9}VU9kf*dTjE}A5_=L5w1FN=}0jf&u zglIy|xg~RG`KE({Ba$g6{L5elq3t!d=ddHW+ebWUXGu+ew)9iv%CW=wu>KC1v}I%c-^Irxfc<>lin8i|e1xx+KJtbsG6ws9A(U9` z|Nnu1`24Py`uZltaSCOPHO*ChhkWO)EYTZRYldzji>_qSDCu;Fp7|vmv)teYIML}0 z<>(02_kdAay6J;sBzJ{C?kTpCAauGJr4$TqbOuxo62Y5QrcZeUZ^2phK4R!X=Mga6 z6Ny-J$Lw;zp@t05B|8o?;_KqrVn+;U962sTL!EK0_2>xNuA^krjAL+PTA^RgB%_E* zW2>;pDd@^Lj4RnP^7L^ozGMSbj^hvNW_3}I-fjKGQcdUXgCbo9ABMH{oIW0sHzcRT zh1DL#o37|W&~_??k`qozm*&%?!%T%G9SEIxSjq@0+|_n&`T#zBX`pF#=I9AZO5bPo z+AH=<$Bd>I|bO{iRP`xE0 z?fkpU3hPVMki9jci&@*z?HnLa1{5Ng8|g*&NDnMNt3702&`|qn?TUjSXsFVA>B@~U z{+XhdJew;$;4CgmrQEd3ovtaK;$@}Bu2Q}a<2Ia_qGE?it~4}{A=PHJ>I7k3AZ<6O zCi9L*i+jCf9d%j(GsE25F3lA9>wo#-(PRL2KYU6CyKeuT$Qb+8u)pVh<^ zon%Msw==w*I;Dpt4?(BM1#D~&4V2CHPM(#v%zV^kEd$Dz4IE}EoN zsl*L?Wvr(m2B-I5x0H%~((Jlvi>dh(c7pOlWG9HZZ_t2`kxNo>ECUY+*fPt&V9aQu zyi$2rcf^+O1fcUq&%`O~3wK~zIf%`ljTLtiEVB#>`9U^O-dLP+xV21N<2qc!e!?Xm zn8TuwI8bMnS*R-FfKJ29?Rd(j=#oRowVKf93J! z9oOx>;th_t(lD4hRyLFLk65YJYCX--oo+ZY@20=x@#(~m`S=B&I%@jU(3mhGN`v}_ zvHte%xR7>*8Fu2sJs;Nt=*1o2*YZbOzKqLsPFc1w$}CzWOp{~!Q8kw+sLJ?sJEM>j z1)Hi&7$o8<{HqdAs&<;XE+zK&MBFnG?Vd2gdY`b%O++<9VRmafzu|6AD7MGp?k}p3 zb-+tj>=dn zS``?C5XAsm0V^fok`NU_$gW7puq06nh;WuEB&34COh=@wsX$0Ww)&iDEL{`mdV ztKn+7LUPXMyqEia-Bp-dW~&($&2b@$Pb3#4L+h2YLTbBnYR9%rV`|y&dR<{K@x^0z zB{AT9unmNmJM%$N)w1veq|d$u;`netFhgS(mER0~o57l&3xi+++arPuCGhgKw9FyA zX^dmgU!`x4OSzZz0ERnkyiQ~^kN(4>@{U%piKc|A&!~1Z^b*k=jxI{69!qB7tPi!EPzEmqb_T@XqgD zN$cmyE21b6M+!>Q@O7k}ugK0i%GC;r<2G`6i!GFt zahk!pzO}6W#>??%4D% zz&RzTrnleW`xKnG_Bq?)eDrhSCA+i@)}=X+I$|DQ@h!zqMc((L(N(kPbTHG&mOJYA zmDwgtJ@bz5IJuCL2dSg{I0|=<^LghA&qe76+g&;mT_>!z(9vQw-(^Gxp`!*ycx!2% z%AE$Ru($8t{ppI($NO~J(8^-jN30!$JoQFdd8PiMoPEigS-~pY!>+nnM=(41tiOIm z_66nzv%R-^WRV;mm+k~0hPaZui{ybgXGl5f?p-02Fn`v#c&JY_l65PCq|`$Yh?vkf z6MACrhUg8)2$vMkA;t6Tw&zkv=rrogWPYS!a-4Kj2$Jg5h^bP;@j6FUnH5kMf*dvM z!63PBW)ORwh14{^_r$92?5dalbM?K2vY&c*8`NGK`yc}2ZDh@mhyRTyR)tF!$9w%q z!)i9~?dzWG{m-4pkUNSq!-XSHmpsJkq-g%1k^0W=HCLODmFDJr-?4)dUOn%&f1ky4 z3agV-E?<2N8~&@e5Y9mtkD;cr$DowRDCx;nyLe2S$tQFxBS5GbG~`oYtuA>4Q)p^B zR-fpTr&rdJL=cLREywFO4yET`{aL**Sj=&SySdY+!x?1)ZQq$erypEV^oFr?LSP%nma5_F$i5qow}v#WGyH6e4y| zEezAmIBy69T*)dKF_=yV;Fsqig-&N70I1}+cUh`u9| zGmlWg`-{_NtaP!Z?TgNuGS5>eY%LyKFRMNiJ__@Sezk=9gw3PAs0 zW!O??YCZG^$I_l?p|-(;;@$tRoQ3@QUdnO@w}U{j+*D5a$Zn_Ic5hI!y!#d9b@Hms zWH(CSXHMJyoA>GH3jp+U@JrtN--4FzBmeSr*kSKO%rq&aweZkcYX91K+h`NUnXa$d z$mo~teeGPGoVK_*t)Cw!M>&OmvV?rbX(s^COPs>X$d3OgcW8Gk=hy#AK6cjW7T?*2 zO>r4M=+dxqc4%eTen;1z1S|1xU%7|IgIx7f2k$rbYzW`HB5r<${8g{rNxuRs41_KD z!S)g5>iwiX?Y@%&$izPPdc!@t*4HhY8{5fm_FAv4CVQ95-%$LGyyO7+&jC)mGRenl z%~j*9OO^v=UoKapDG{0%P7XUVmZ#%lVclRm*Uyvq6C{JV>XV|$&$ zk_B#gf!@y?yeii-$2}@NpT@b-R~qCepLsp8RBcH9rTh~IE#t#&2Pgi|)Uom@=0v?# zRy!>KhyCeQ9+jw6 zW#t!}5kA(YoIm>Hmv`G*rCpqV`(4wVL27n)3x>uv*b-})4M{*aj4iM8`&SlA;Z97d4K~*99OgL0_@WXs zdA~0*y0zo#hB`qIZ`x6A)C(4+m2Z_lgy7$;VVI7p0Nyrmp2;Xml& z-&>DTkKRlC`%is+Cn4Jj;rM4izifuB?z^J(mB?RY_?<@iu|1Ti475EUw}>%Gxw;v> zW7F{PDkvqx*qzf8fRsV_v*%oSczXeN)hRVAX~8g87Nv z21^spuYi}Q6qCgd%X=2)Pae%Yu!LqKYXpF(7*_d;>~4PLljoZ{KhFl(MNh1E|@5aeaqhu7x_H!c*f>sKJ(W{aYlI=-D_ z?k%V69Bz7kU=XeCcf1TmhvXz)%UiKJe*_l{BDLVGjDyv^eEL7l)9Z$tc&@S^ZjMF_d#yTRI?c)E`?|C1s zhf##(&~FS{$YB4%IHi6BLGdBb5YFOv`fka;oWdT!^qFVI?mP!w^?rn7!0+$~(m2A? zn(;e%EvrJ$Ww64ywn0}xA`H63!x*pECLD!_og~sK(8K2kRd}m@%C4US@oihd$4gw? zvp2VYj@t`xjQc%n$F=~Rzi{(!LhOTiP@Y;s9>|sSk4G@`4}&R%pQOPI944}X-0pvP zHD(Q$fi&qJ&(vAcMt7yoDvVj6{C-yU>>R}O9ue8mb&5o3HA(``1p2_ak0z#AEaG)mQ)bc{bHDhkgcBH3m;ugvwcc5SReYdR|! zdi2f$wBk@uT&~1!1Eye>7;>k!pVt!oEM&@R8b@Whag92d_pv4#8a|CfXSOmB1uT>H|5uPayWe zHvMGfoyLkE&w)mOkc1uxI=Ud zf6P?$@hmk3KCFVsqu|`~t`zG@J`__`$oq1*Me=o0<`J4=x;m^%mg(pTuP$$%W>m=G=(00ErpHLv(F7h zn)E4*RFE$d1o`?!LI_~wvN^ytqRNqaIbq45N~_(aa~8wiObgBnnsz2J9%&~+HR0+* z$b9QL!SyghzsFOAmlpSOh_0Pthb1gve;Y<`4;ayU-bwlSQIsbg#;VT%CfSVgW(fn8 zW#Y;CTuI;BL+uyFhMS_2MD7O!3+(ezlU`|hR@j+E-z&7}_6wXqfvu3m_^ebSpr!o+ zR|6|$5dhKiwKzYsCBNxJM*9W!ZmVUIu)2Z1DDxB~tV;{OrU`Uq*3_emtPXZy{tc{d zLdbG~^2mpV^9*Ahqx`9os-Enu7acr*E5cJ7m=BC0l16%7F3JPN+MP4n>h1C-^v;=Q zpzRQTjE~_w4zXpyZ>JItEkYcS)j>TfuV-fjB0QEKtRixCw}JAU5!Uu4aSP8hwV#iU zi)V!iR3+AAYrp1UE8Jv>64=@*+L#{=h{329hJ2Qf)?--h05$!&>QoxaJKmTt!-_uM zK6Di4%Ltu3S|sc4EKfXMw9MSZ4m!ao{M+WR&KefN&x`_Elo2A|`~#R_5*Sd}M&acol=7(*zARvAFc|yEk9l-13`Ma$O=(|$G{)g9DWoPGP zfC}vWKis5Fw&YP_ll&d@{l7Y`p!|t$=L;p5=cNVvcbD3)3ny*T*>C!m;<<#pBb>Ar z_Q(^CJHK?K6kH=k%{g7CTvfd9x^9-^$flIQ3fVEwc{TONSFX*AuDrWs3XSvHpnK?K z&7^Z%xo^SxD=ME$x=-!hdnP}`7FGL}k3?(V50j){5~rLKdz+)}&nec~UEE={cZYl# z3)wH2;+<&9o_C67k(m=ai5)Vad4D1m++LTNmq_Nv?I9O9M{2G(dl`M_`rY5)xowSE zMUT7dcKEmV|4-HR$15T%yPr9DaPF=@@9UkB==Lowa&fcM$Q$*RdkqeI8l_E~m6PQS zHH{MK?OIs_6jzNbskE28QzBc|*c1R3Q>px&#tl(`oI26C45rqS_Rj%@rJg)$Bxd~` zUmozwGs|=qI5c&A4i&5ST3MjITsbWl>K2T#5!SfCgXZ; zMRF7@x5Os<1m|_;8K6YF_=q5oFf2} z*9(f{uku)*GI*Sh#_wAmH`3Hm0J`F#YLpM$J1}j4w-jyzYc4zw<5ULSbn!!OT&b0X z1{kMUZw_U2NnVwAAA8h(|JKeUi0~VPs~g1}nuCuII1QCrwsr{`)_jlhzQC7Gr~;iC zFZq)qrZkp7ucLR5N>@r6ps|EMqOz|W{s?)K=TLs518nP+vR(3MAki4xzDilK&b9}G z!iMj82skTDVj0t3u)y2Q24N)44E%GoPXQ6%LIi8i12ftRhY%;iq&-&+tMCT&4b8X| zntL#9nn7oxVUV)rWfoq`w(c(#$T5r=I-EUR@dzkTye#Cy;1HY&yD9R_$Z(;06yslB zk0l(XF|TB&Gmmi3K_=$W>5C7TUTydtKN`lXLgIR`Z9Q7nN9lyp6zBK4uFkFa)kaOJ z@ER52zrYB>BXLy_>&XRKyz2&yg3!Yjj3`G<8#A}ug{;_S-EOP-RtF6}@dP=_<@SP= zGo$YIm@RD1hnH->hohD~Ew(zG4olY|>qg5Edp^y&;QC>OwZ|pYfOO+NQ z8Mlvhzdv-zBJ1Madxz;34|T?HwKPh91AIkd|2e2-Vy5S^#P0>uI&^aM4od^w0!&;U zv==~u@3`2JN!5umVGT#<`XSE3#dn11C=r&uHC-4qc>_;HVeS*FJWO_v7=%`T4Hf=8!W z=PLlD4X*KiTkt63Zwvgb&9GT*e4com8fh3BEc$vZ7FM;O2nJt5w(Ta=b8knr7C&2@ zW`*?>TKi1)Qm3S{eI7vtT0wv(Z^ZlEcW(p z{Px~a&d5`&Z13^v?Z*SL+cKxi`K@jJjJCg}@`>pgL@nwW9+)7?OoGH+Q48fpMt5^3wKnDP1OR!1xEIhp#Ml zgKUd!(o5U0>&fxb^k*0!Big9OPco+QBitBQ4^8*g(>Q-n1W1t05Sv3p%p$x54oEbI zM;94H20X$)19QOKINv66f+>9=MKf*Um7k%893iVR3 zgcVqURw=vD+cYhpN;7O#wg?+A1=BW@N-qG6j20- z23j>_D_S*T9Kk~ERF8r@0$!;yw4+M?kL)!#rqlX>%lh&xmzxyWY zQ523(F4^e(%UPeyJBQl6>%DYolkeJjE^+?$0|+x2Uy%>vA19{(r!U8y zE^}Y4{A=wJlGD{1isPSS9m_c|DBU>gIN`ZsHDsZ$22i3so0whMZ6f|b`EW1Q)g$s( z%Jd{m6nJFk1M}`-ECOQYKjYy)zV}b>w@H3+aE^rliEJJ)`PkY}Zc1Jg&6bRwuT*B1 z8B+I8Z{u^@o59x)!(f$t{HHA%)8d&@lP;s}-7JNN^|?`aJ*X`wyX~YC)GY7%?wP3n z+2f~H;f9)4DR~|yDtVEMv5$``EC*c2Y}*KTx_QF=$K)sG%q|!Sv3o8&^Wn^Setfx(~aSMCLQaG|~xtBWVR6%ap1#D0kJI#nqg z*%qf5pw|w)#5wy*EeUc6x7GDqQ&-RaX|7YE#qC-=x_Ew9A4MSb-*ihpWT6`L-(rMp z*{U%DxLUHctN!%c%$~YDpW>jI5tps1v5_0Y#gqGS!83$CfYj-aq3l7lJ_o%wPY&OL z{0z|pEfFT`p_j#q?uF@flqJy%|DLSWZmaO;)y5h# zD_^Gp$xWgedflACaIz>wmA8NsM-gx85@Paa-$j3m-2ZGt>q`^khdhScnT7s6)M>1~ zxqGNg4a$<=ytS@htiIdRsPeq4P~WgZ4!RzQ7g5xmFXx3+v<8IPy_E1=v;#JdGRoy) zv$JZ^>DGRvk>|4ER1a1+zGAhWxkJxi837R~y%Q^g(gImKxIP6f+f?oUkA5ymQ%6_< zb37jBN7L9=wz%*hjFLelI>!Tbd@SDgrYvyHI8>{KC7IM?3u)9N* z=*KVs`W}100*ycu`Erx|2+WhO%DC4V=v{fDi-&#zy}JeEMnq3exIH=x#);f{XqSW4 z9)~5w5QgAF`Q#}U+D>Hs&n5!apegBjRPe)a4n~t;6+zf|o)jG9nX@G6Xg%?IaTeiD zA*-e_BrYm73*}>sAMk``%znm%TQjo|RPmsp_gQi5AU%Gt4kh8JaNrr#sRMVHeXIJ6 zK`69=_&3Yi@3Pfte2`1Qf3)p1YEgh62-h zWk@bUPR+%*vlU4By`)u|4%e|F_o`vj7zW{Lq?SIne`J{!XJS_sq+`6NYOiiJ;h`i(MW6AVQnrvg`APv z29&UYwlAX@Ua6pu$TK%}(0W0E1~KZDxP4z6r?DfGsdopI8Umt{_}8{KIG-VG@^&))R8mUx!1SPsXQCt zQ6?)2KR|27>t?arnrDm`Pdl&Sx$Wo2rA_XR48=Ay9;6^+4_L8@JePG1)j=b~`vnde zA^Hmg7z3fO$Lh}zd9VmO1_qo8Jyt(AxwTo=PNRalrxi+s5DxIqLGMogMl|+R00lNaLT;fs>$tt*qpiBpw7bht$hUNO7m#QKNHqB87OajsLVu@xBnRDPqWwq z&zBc0VErj?f%PURTKFj$?4z9R+R^*5>C7)iwNo!TvRNn}d}5+BJ&Z*eVcLpc^ZzBGg5R5F6!PPBPY(9iqQ@!KMedr(}`=*Z~2*GnS|ME1HzfSeCbJ(M4; zx3vf=WsE4&V1)5rFb%31=o!vyB>PClTYo^KR!RXHH3W~lt+3W7p2lx)cn#Wnkc4}_ zM(p$|mu-CJbeJ+R|<437k}@H)pR2;sP!kWo~qI-v!0?m#^G!s#gVhks023 z@bVX}w+2d^%n18%gCyK4*%CbCGZeRvlzHXmCdLpQnkFxrdAr&r!eE?|k}G%}L+!vw4(7+g>GWX3{nDtaG^BnKMseMjP_} zDRJJ_+V4iAegbX(jrHeay*&`#s^aJ^&Y9ybVTphF%(l=cb?z^xECHb3&)(=OT(PHn zUS-#4FH*075H?Cw3NrH*mInaiM0GylzKn??mnb#)1bF&fdyLtcH$N$~B)VODnKVg0 zta0B<9q%|g?{+}oeMy^OwjbZxF|of$Jn5Y$=r@xm{eDl8i6&8L+zOH;+F}pM%rk!+ z;er%XR_X`SEDr5@)HgsFADQXgvkxOBz<$%GP5tM8`NI}`gKFI#yOkcpauVr#xzo23 zrEm3ZJ%ilOuEXDyu-XkOonSw*A~mF|Y0G-_-s;tDgXU?EHkB}IIKn#foYC^dhHOka zz>MwvkR7Erz21zTou|ZXX)hgQep6W;N{F{v?-A`&#j5~_$gR#9Bc|&Wue3T>4@K24 zHnNN8Jy^C3);hreJBOAl4ovjT%cxy4Zkzez_X4(^%9)Z{))?Q(lmClLIRvO9y)Flr zq*RhJN-Qnbh1qjCupQ~Njtrvr9uo5Zp8Jpe7UW0T%Qj&`bq2Dm*}reNLI%3yzUznj zdMmt`SdC#!Z@HN0nm?vu^ZY?brJ+Cv@w*Dsc>KXcN5ROu@`{^h@$?@`t z0>9r->{AX%8a;kr-f@Wo;{LeYwv1pxLY7tN4c1uLTZpwptf5U?+Eb)}+K&GrE(VRG z)_7e>9^U#}Enf z;3dMJL2&1ULre~j{TtN|Ln-R9u7-9`!B41&;N}|-kEz%Ev^*LU8D+Z-q4IQysqdDZ z{wuwEpy})YXy-MQNWx#!7<~{{?tP9|WrQ23F?u#%w)-5h=VGj9%r)+g0qZf)Vic!r z6VbF{-}Lr(Gt|dFmz)B>EG~|R9j$VJ{%8OQHjB9@TqD=~=Yy z0VZJ+4rKi9<}~~s%XM`NnBy|=`(yn;j!8ml)56-Xf{7*XTnx)F0hT2ZB2i5OyjWg$ zn+1R}0byx={!$(d$EGId3H_@f2#_!$l4cwy&y52>aEb5`AwVfdp{6i0#sQ4a=sXH0|&b@^yx zH@Z1`6fALppd|ex6XEI=B}ix!1b<{AG;ZfMU?O-Fg-xglBO?8@L{K+J6!_9|uNFcfkT-QNH3#g#cH}WMF4VlDREAYuhhBs|7U&7oq)Us6xV+xZ#w`nJ_YSR;x-pt)5 z+8{hIdmCv(BEnlmd<;O*umB@+1pJ{@qNda{Y+S%TNY;bad`$TsPExP#5(I!>x+tPb zLhQv*H?C0B76&=Kr*Mo9J%k9q8w<8F76P#2$r^y)@(_Ky{63+Zv1dlOe2kR<$-8vXBix8W1laOap|i4B|Vr1MJ}K1d|OH zM{z+`vaOTOUC}V|qy+MEcL}moKZsTZjer9N&RIf^OC_#C*tW$;06*J=*7isNi6wv_ ziG-X|`?>jza@Yb7bkOsy5VS%>C}d~|LF);c`5-gAkFb*B$!_w1@u2qKG&)_#-g%0-l5&9UH6;4jjYN!4WB>{cd`wBkG?J zOe7`X8=f!S-yy$~7OAH!Y7O6E$iA%Jw zb8IWIwkYQi!CW0C430+s4d0D_8ph3LNiQV~VE@?;YVtI~G7h>!4tNDlD!*f=(ZC^x z;?qJPoTU^yi-?5=*q9GM%OTmnx?Q zD%ATN;VKjRCs&b%rZqoELv#>GP>qVf+(M=1H0}emdacs*Dj8T}2SM^}gfgeAHx4{G zlMvvVRTRO?4Poy*ir&pNcB=9Q@tQHPwrnTr8}P5{^6^fih`Do)2zkk9r>pbv38Ptl zlI6Zj3~NZLvTb$*wqe44LMjA((Ajagw7_kh#>vPtrr2CIh2O~b>Rygas9=4Hi{?Nt zWbE$d{|98X57?h_Ux+aotd*LuRZ`LDPT(6KV~xc z!FN!_{)i>4-UZX8k`d9d2kc$yad6xavrHJ5FW_Go5P01aBbeaG`yX(Q!d_dPJm|a5V&dgl6Eva(QS$8Yb zg9*Q!$sc z+^#BM34_i!#2Vl3poMK?k(mm5=FitLnfET8JU0mROLoQN=21EX!t=b9{FP!ZIfc$5?64x%9f%S#+@1dRl*-J*stbuFQz zBToG7nc(s&dN>_>3RttC={XSy(t-(7Rz5uG5_nZ4D^~ZUg|Hgns3>6WXY?K*pW-&c zd16+oE^^LvNw(lT<LWsMjBG}S)KIO1bRQrJ%!`3 zkRUY#ANX`IT$V%NF0jA>k){Qo-oW#Bwcz5i66c(zs^cxqS2WIq<^0Dn6wH^Rr=%s?TNYT`NaEe`NZ%a>KN9F}ChsFVV(v-=!JN1MU9)ZPx^^vL z1ul02B(S?3Ac5V$B>XL9BQ$s*+SZXHBbJc2uCv*K2{JI5ywjm1f?Pi9_@{9SV=pYN zVkj6 zoX#+lcP35`&zK;sFfrX;^5U1?73*|Sn%ozok`*44>Ukq^yt1gNUWdd9It#I(`LIYV z3hSTDT(B&{3Be^vGtV?cw-oo=o=Sa_ajs8azIEGfyb8j636rhkqT)0Tw`N^)&2PhH z*|;66OZ=Jl*o5=HUc3l$bU~!z4VsM~B1_|>BReN!-18D3l5r^K>7VW*;(E^;=<^pW zk4K6c!=^taY*M5KZz2<&P_2Ix_uWGr|87)FWEFDu$}#aFXn`uZ4j;Ci;;isk+*bX~ zaRl679=fDj2N2qaDhtKa5bYe-H=X|7A+AIG`%3*S?0$bFz!z%mxe0mAdLRY^bzCP0 zokmQlL9Kp?3xMQJ#iu}JQDSM{e(UBnI1~eu(AYz`#MkfjT|MpXm52&Bb<{D$$+#ad z!h`*1EGS0OE5MQE#m2b;Fp16rG>$Wi)Ith;?h!c{hJ(@y%OEaiGf)Izm(x)ge~wa~ z`lN&h1$u7rJL9fGLZIy)DxRj_m%0ThU>5UxY;w^$u3Lnydjkkxs~UWC8HMj?!E$K^ z5s^RWZPf%Os?4NICf2)|rB-z-1SJokKGe$gOCi~v=Hu6QJ}K`m>*8G=ekJ z^-Q<9>}u3Oa(MWXx&Qi(7oH$1AH+E^%Hd)j4&bP2^iG3xCf?Ar&`d~$oi0zydUe&p zmV)VdOTJ+|)b?L@jy7yq)Uk|PEmAHL6BNJ`O=KGdSfKxLM>e2k%>RqA( z%lX5N9}^E0v0yVeFDsYui3dy-sNw}SQ43g;AvGEDW!pJJAdhEspTIkZW;1X{{xr}> zk&i@kjP~9kF}5r}L+zrk)I^F!7zF9O!_7Z4T*`oSS|}4_KxEjP*L4U^C`>+_3szvK z>rgX5^!Kf5bSiU&4;(Ffz!iuz<|MD&H9d8aOUyv4p_PGsI!OLD68rQNL^u%_+OGuQ za2%*8)4^#OP49!yvh_Srxx|^F1_drlni(dE#ufJO(ryF_|5z;9{TtRR#9}Gvzl)_W zNt^7QNPFx&?c6BqeH^y$fqeUo4w1c-5GPL{-#LA--2S8g7bFY+>#}V4--G|RH2CGr zb%J%bK%`PFb0ELH!EX7y!&-01w&Y!$9OL`;0e}fAp%H(o}a?d>B z9KXT1@-?1kx(i)RJ~-~YaKJg#2C@T|L15gv8pIIre9zCRJOGH59F*Z0$U|FUmoXl4v~+r*?#j)kj@ z9WDRMFFtuQ-#R#704~@NZGI*+8}=i)!6X-YT!}%ya*|bQs0-~=Ta!PvcP$lE0Ajhh z7g7pyV-S+8>;7kc&z$}RM=`?t$nViT8S)BonpEu9>^9H;X7PKe8ppaCp1xI&h&Om~ z^eA6Bazy~MR>oBGGxT=)RNFB6sChc$3_?t6_Rm;aJ1+J*mdmtO0_zVzmR^_D;K9gA zhCQ6gC2?iY2#l={xP@xqztgPT1um;R8xItUu#6Ma78l;v5s_BOhqwUjz{C~=f?$gt z1?jFD)H8S;RM&b2;xmYFv&Rt9QsxdC|+gLa36Hv~xcCBpW0ggQAs~#!^8!!igvLOlw zuSo=UyKScc_Kcd*d6(7G2p`2;hd3lNOfmWZ#gwjkESUGnfp`>wjm!j)a5F5R zLHPrT6MB7R@uU8kr_!mQ79h%)fpr9@003pWc^wtjZWdzK3I|X_1;!9E?dG+ZWAg(3 zr%bUX(zySLwF(4o#|H}8XE_8yAM`EVbsrDrGC%66+tqWFL&}AD)1)I~uynJi(W?RZ zf{+0Pu!D%XH^B#Y;SD0UHAL%`WD7&eNa(O}ZwNm42h9q@MDfb*nzCE}TDgB8B={NO zcpa*690FT$2pm&G)2MI~K50!AUIfwxa*Ae6mndsJEC1H(6rGVs}$q%o{pk}=O9#}vvks_T!wZgKnETL ztu=a=z1J393+6!&;#Dd{OafA!+vjyi`ve?1eg#x`bdC1=6~g@6lAqRjQ3m?^Tu|bG zP|uh~Onb@5n3gyi+m5rON9& zw-WTbq6n#Ews?6|4l7vH*6}#DAFnz0ptB5SV7XW)++Tzf1b*fZDpsgMb6|l7%=MPD z?z_}8sAS%omI})-;MupShl`e{5gwL&)nh*RFR2;q9isVgQ>qankrED&bhgc%zIb^z zvHsGQwsgvphbHE5-gJTU)=61>Bl6FxkQm&-8gEvLMa)oBl4;B^P`%?^j%qE0!G?#K zi4Oz^0{y7$H~C1@f&PWg+c30wptK)SwBfacgA!c4CQjRUaFw#L$dv8gC8TNA61LF+dg7_gW5b9q{c$&ycftcF)maWYM6bZ6SX9QqTKP{T; zZ@Jl7Bt1*((e>k!;GqL<34#h&YkmOz2X^t#t>(x)vT%hpF&C}l5$_Ne0~@KX z3P!XGPLsNg!le&tP^m!i)~p-{NLui9UU}bg`-HK7c?(r-!eor^kMl&f+lC z25zMYZ|cjSJ1dFTwmb`@KBK)zG@$N!(K*8Ha}SF%7CKK8>A6s28ExsgFMm!Wc9<48 zITJyYqfcRf9CeaL1$_%N*Q)j;D!b5H`0 zfRrlJaw?E{bfh25>QhC#&kV(19fao;oV3vN6l5 z+b@7AJVo@!n7Bz6)&x8mpgfAQ>;neg+RCx>BVux^0)o`Brzt=1<3W@-6pjj zR@sDaIu*uAGFn`}VPvrIv@#JU+Eo=#t7LW97Bf(@l-)1>ody$GsTBjJ)d&#lrA-7- zEe8{6F|;;7ihg08nPvx#DmGWabvS67bArJj7ZY(3;j~MCQov~dJWiE+1dQ?ETLdQ^ zhKT~q#262tiKlH+2KYgCOGb`%ntpnOD?X~JbTq??Rcz?5T0+gJu%EGrm>JIgzS`6y-3yu+~`pag_`cq~pG_5q7XOF8{^P z8(Z-}Gx5NvI3k|Ut#wIp4FIcEgwd>=ou5I4MDzq4hTDn7P)eCyBG??XV8clS?TcEB z3uDuB{>K;X2E8>6&Wn)Wd+Uu3p6AJHmk_H|I}+Xgbz*7iZTE)VTj8)wbz89a1?U#o zqYvur*Hb(pXlE>_*`3#r3~>)8G-8`J==8i<5qN;$O7kCZg$yw5QJdmA06# zo`&7ei4#-qPD3ndOC`zpW^bA0^1fvxXS=?;pIG;-A;0#$IXaNycYsXnQTOLLMW;G3 zi3XeG_v|duV6P*Q`hE9VJt=txd8{|(9>3PP$a(){oFlW(=(?-LIW+CWqWVu8-PU+< zsGi-0gh3jpT<3kfGsHSKdiMNpo5%;LzN^0N`RCK~#a}yIxKwUQF#he`6lOso{mOfV z^xeg!WY1fLoaDj=5Gpb9R7Lj>kuNKYIeQ?ck$!(8VOTRc^#hQPE(D)PCRo9GSA}L2 ziUGP5H+0TyZw5#&S0<2 zptZS28ueZEO!+^*^Dq9-^OvU)36PlAHzQ8sXtytCo`)P*l}8Qf;>~(nFI;z9jfkNp ze?gvPKJKmiB$B-3_|SJ^92Zvz-9@FiSmdkSFUo@ge6Ps&1}4@~E-S>oeLz_e1_r31 z@~fo?=2v*NA`*>zsC7`{ljwCwDcUkU53ed~DX?|4N&#@C1~d>nL${m5A*Ku$o&~xw z(dJR7GT>3KgdL>Kkkru4F<@N4#l}jz?!Ur>9ey|Zjv=GZa3_rvbrPKDQGLV09kr*2 zujxX%8m{zKA>#dB9D_;|oG^SP8LH0iLL;U?gj+=)H_6NA5Tas*dqY}mWX(I4Kx0j? zjkNRziO$6XUIE)ENLsi~sR5c1JpKCo@~9c=A2w1yXeJa8z~s{R)7SY^VdzA(*kH35 zng%j66`utVBvuxU;j$Cv z6%q?`M+!laR34Fls}b6RkYwO(D32(kAstu>hMRuC@aDE(9PfpY77=0KgkQ`nHB9CX zkJr@<(AgskYHF~#a)|~CwUmnG)YR3FX&Keq)rYW-+#XywwH9}puf~=v%9+QWX*M?? z+P-JZW6c&=O+Qn;Gj3uwnOXgxC{HBd4OtMU9?*HaN{h0lAP~)hNM}3mXMI)pR~nQH zFa@Vg(M5zq8!DJTD6(}O1+a&P$*j`7Dke4fnTB6764tS&=P3^-~yZ- zo|*-du$5x}p2J51n_AP~&Kqi$5IBZH|Jzh=fTo%PP4!!LuTva*9bBE(M^V<2ebdMx zdnl_YZsfyz9lo>+c}#xyzlzOe0Fhtz3;c?0|GTmNwd61V3nEVk$E_1I*RQ`u7;cxX zb096BvtN1HZmq+5M<*9=hc`*lPZ3j+Xe!^^V8UA)ar6g!ik*APlx4@tJ(v zFGn2guLToDxM9CNxh62_a9p_68ZosyNdyL|@S9q@n!~kLdnx&~Jr;5&#XHjEI5|%7 zSCONynOB53?aTiQ9BJ!H!d`#jcx3i2iF9Pc3cEz|z3fHj{bh^vzeG9zN*#AA4M{Y* zhB=3-9ibn8!^h*=?dL!7ewgt5o(F9C+JD5k7cahfug?BE06vg9^^_&v{{8A3dsIL5 zHfrXgRLKlNTS8?F>f<0d^j7-n9r&3pjDpjRml+;Xi z9G+hpY7Vx%nG8rPagk6LVM-O5-vl;-Za;~6C!?M^54oL@(;vKX{D(^bI7v_f$k@M6Ap10Kh^hq>y376>$_coci*~! z)JJ($x)syLh6^o_MxDc?E-(*{GOy+SN3?@Q4cg1jI!B4zTmAl|Q^{784HM68Y$_o; zYCkW9K|)j2q}6Mm5`BI3V-F^!3u3J&+n30#-UXBjX__QzQyfu9|d8(2B;Xt>&Xx-Q=(WHZ!~@M-nX+>ms z=Q7YCf~*n|RKWc<0ui__J}v2{A0#U_q`K`IBABl5@SH~L4y+8N68-mCpB!sanO&OB zCl6v?B?*xRy%ett(52@{>E4VeX1bE;Goc~4y|6M&V31OwBec-2c7MqVm0LxO$7h>> zK-V%_7_%*n^;tT?9d8jbzsO^Q=s$iv^Jq&Cb2jRUzEF$v{6>>U16VMG%V=bP(y*tg za+s5+GBtf|?q>xPUS=6XG*>a(OF&#?`+98Pb7^?YgnQ^fSn z=@ugmEt-Yjc&BpGl2E~Dr%DTxn$)4g6J^LC{b5q2V=~df+VU!yYh@sR`~r)U1O#5+ z(T{xe^Uv}f3$T<0%KRdYrh1>%B`WqpokOnt^Cm84pGI9W`GISaTteYNBxw_GmSc5V z2n~v>EbN{o@O@|<5!r=EBqs4+F}B~JhMh$#j10^Wn^+~YjKPqGK=c7K3{)s<8OVZC zRJ60#sEM*H;Nm_pQmdGvN1U#L)9@fID!y~|u;}k+QV^f*Fx5yx>j+cw)u!Zblrt;= z;r}*V1ZXpYZIvZ(4+A5Tm}`T`*Q9bkY!**fypuJ#8(8sA=rOzqlK>K}V|X9{$c0ET zd8w&o0>uTuR~m$8nQpYryio1dotkxi`5gMu=P>j%v$v`7-^vC8wd~JW81vYm`Y0+M z&BJPk#>Vhj_Kt&%A&_BDy9JhhveMOPF&(RFtS5Xv$6lYtc z9c(I$lc->F0M?P#5~~8#r-hAsh7@zCqXrg~jA`lipOM!jSW`+`>R9_v!A5D(;VMil z2gIbhN?Jq}HzA_FvFFu+f$)8ycb`3RrGbm*_5yI5cwk70)@*_A?Np|F&~(Rzjl|ar zD`4N3Kzx@IFxCKQYMx(aE;9q zobj-Z0;z8Sut$E+ga}%|;R-xB3FqktN4o&th6@|nxp5P+>D4_}&_i@1 zM_ypU(OI-6!C1YM3ypX#T01IJS{Ou-fG9012N&6JO_g6v&#zfUq`&WlBn7W70z2owlcV^{E`X2OH*T!m%a^%LpIg2pg+W4z{1$Zp~_^x6W0Zh)Kb3 z8RDD+l=xv;Hwx(+e78?V@Y*Ee7LrL1WD~)@s?LKsxK08%A1mC0Lo;z6hn1=ylwe2! zHxeqEj{f41E~%Z)-Ah11Y%Usr)vNjQ@gtnOfl>=iL4ya_$Wgd@MiADC?3Al?32@^A zjL1imp*UwKqfDfm7{ElQ3>q&UNF27L5?ZEKMt%Z(g`@E2&~#1QTQ=Shes_KatYZWa z*^)WfQ4sZqe-6|Y4AdnFa6@v&=T!+lkiUrVPpLmp0+Zi(LITkN6Z;+0qEQhiddWc{yF82ev@4xQ(>xCMqmrL~|XXZK#;h-BA}0N6I-E1FImh)<~$#t5;9&s2<0@C}oH8wDaa9x{i+Q_N%W=6=AqB@dSoT+NLmXiaYA zj4Zn&{1E0d`5Xcr+v$ABOE$KbMA_(-=cnQC9w5{jt#~b!h@Q^uNF`)0%!>hx%_|hd zP^J|V#=~jaUvWgV7sixk;U8OZJ7+sP=RBx)xBuR``#P*8+mmc8Gx9PAdpB4nU9($m z>()O3Jv7Dh8l)!KZ4D;{{%&pl#EJaAW4QOM(+az*R7%OGj*fZj9Lph4m%75^$5#~g zqN~?kiX*M|4R1JtAk{CDlVi6;xFki#hsyEf}@mgSOGT(XsQ!XcY_Dfx- zBGAq`OcApx?*k%AiT%_1B5vs^_k}*^@a$N_^T7k9*H;y*SAR$T;k&;$RD8O+NPL{} z7SPKok{B^(stym3Ju41=!AJo&bVkYJfy&QCl&fhE7||s^ZcKLdxK%YmbZHecIq*WD zvk*%9mxW@LPma28Dm?7%x`W*M2fl@Z4~rT_(WHrtxsJS+Q#5F!BruS-)XkJyVb83g zLBvCx#$Zk_R(z$Y3!}Y!qJgh%ms`|ZjXj0eY&`~QF~`9QmD=mxe_lJ)$P zy5~FILma6YUE;s{FmHbGFci73@?_Sj_FGhL#d45dMhi`EvZjwmZC&R6F`^rmef$;C4Fk=L>Un6=@>%i*!T2Z@6C{E`5 z3?+xqU$&n?>W(8^L&ym3NUIwz1(U9@+v^5mm}Nb`B!IO#+&Om}5Mi5~4*UQXkl*E$ zC~+GH=xcC<^iwXwF-Y{rVBLg_UE)lHl$GLj(s-8uV3J1UM}xw}yTCe7x+@V>3RYs3 z1D97C-a!`tL52vC1qmHYOCGX7#w39~-Tb1;ATiS)#qldi+Bw!Xy@B;+^T_ob?DC#% zUJoCQUhS4Z7)@IB!Gtx))&$%sA)f&vCx@Y`>pMMjU`@_%0$f`a5wFCLsYGkueWGt_ zuUJ6&FWTt_(3e*??T4IZqXKUQ9?`cK#DLDZr4?kcoU4C>A|FJ;rk2SOoHt7hd2%zlx%uGyPUtj4x5O49 zA7xf!oC!VRpsE_a1XN;PxoV9JQkOm-EeZ)7rMRlz5h;LLIp5q~1WM=Z8_3-@g_Mv} z5urb@8-KtK`5uPmO}OaHATY^Sg(hUcrwNXJ2wuLJo4mCdDjMmzq1_-{?!0(_)|3Ei z98UCA!^lu>@i&~I;{VIlo5wYMr(ORFA=rvK(*dn5R;wrqE>Bl0sE8G9ak~cvMiH24 zi;N<2R|N)H;wX#LB6cCtYC<})#OzfGsX$0ugCKmDsV1a?A@{T*g&5L;Kq1@jeB#Xg z%Bhb|+$|kWa1s<$ucmvU^|2tdiv= z!A7+HbQ-gYw~9O)4AX9PG?|ucZ>6tktG|CdY_5`_>Sa$@BZr~ zj@Jy!)UgEH0%SI__#$G-Phyle*6Yi(Aq7&f!WBDriBUJ&2iE_}e!_T7f z`hxW(1yTddxvb(zV-lgaGF)3Y{+G|l#8WpW3}?ncaq#hJ5ya)1QiKO@bh66bz13ei zG=|*;BrZ2nT(4$MS7{VF!IPRvlUo=`TqmtS&d_zo$=7FL<&Ik=H?rqNjU@;+TZz!$ zEOdc^9G@wO)_5xz+Do*tTM=`>$~Bg><-nJwNNgyH$LhvigbvHGp-Mk%7o}`$OmVr7 zbx8Vf!VzqY$h|=OPdS-C+}Av(+Chb-yN2wMQM3~6L~d7uYTTT_Dm#gZ3hx7Ldy@$m zxo|s31Z3lxC5ZM`eK2)dituZ#U!xHj+GTziooy(Kuv9ac zVi&s{B{2#a6rMYLTG-3TQ5(`e(t0cRo+fKeB=)2K@}{5LBt}`~1l%sNarw2oeH46t zFQlqTZu!DHJOH8aCdMEo+v=R^s}oruo)hEWu0*mDnHabpYXzz6a5E6sI2{f-G!Hv^ zQj0!|dgQF>|H!%kn$!JBk9Bb40QD@6hp@Y{CyLa^Wb)58k;As8bZeh64>r7&^zYK> z=T#&07uxDgIvag-Jd^oiod+IX^5G@1q9oiQIKL*+L!Q}uM^s{qd*3IFQnWqfL!%`@ ze>yCmQKCITsw>o=(t4|PafOL&w{ymuY!&h*MHx6fHo5U;$HYIt$Oaw>-sls0e&RK0Y5D6v zT8p25uOwh>oPB>+3c%oz_Oh7!Hdg*xlyPw{e6T&{3YUdQcl9E`Sogl0K?W5Puiz>=cuB-|z!15e7u@{%nry@x>eaZL}r?_l4e$uVY z3iYQcFgiOjbgcY7vH3^lQ!+avm0ov&v?SAZwrE|#hSD+RNf{;g*6EJW(kFGgwETWP z0@v_?=d)4*;eqd?4(-rVZ~T%SiZr6EY}efNiMF;=oknh$h5Ss(Yj;_J@?wASvE&H5 zIRE-m;SgM#^B|Zj6?cwS#mg!KJw?qXy?LBK&)FRX39yUw&&T1Tj|OKtN~L0&7JnS@Pp-Szs3 zldg0MEx6a%x=&bzS*b3G6Gii^a_8bS=F-45{(EuKfQ6ua4?z-NOcE|w3_%(lgHR)h zBZly@kc{M|r;X^@8Zs^|0sI84KH-^OYi5D8u_S=U?@Q5|K-1JVewrKU^@U@w9ELwG zTU~staL{P>^I*WXYZ_8dTK0XJTlV6E5o70CJ!jPNTR#xt}K3A3U9g=b(|<_# z3#Zk*@dUa+fSEAKk&q`co}c^zLURDur+C@|tR|lW#c74V|3Zv6W79!uUgE#A_AzG_ zm*6w!a-xGqSNLafx9s=hO1S5<(fyMh5IkJNofW)y_WW(O+2>_JF%e-Lj@lLURuwiE z1k-%l{Hku7@5(i*(1O25Z;6Yh-uOEoE7`Gc?uT}3(t9A(Q1ups_@)?Zn4i( z)4EdbxT$c??>_Jkaq|CphZ`p0>|Wv$z2x%W(cq{q@`>Ao$tLu>cfBRCci+7e`dtv` z=oD9K3fP8WrG9=~;L3T=N&_~p(FT4HuzqOfJ>QR?|NMKaW#NU(Q|9Lr<`$b~esSoD z*Vn0i+i^QAW2!aI+3BphOpwJpO~+ZFK4LO`P(zCC@+vk9W=|2D(R? z{;!;o#eMl3oTncaU(F7B%UoaH79X@u({=z_vPt23`VOpIS*5c5XiLEFOiOvFE}R4| z)TiVxXeSi9{da-bf#QToorS&9g8s+evTyDTo%amCLX|YrwH`p6(mG_{FZ(>P;8fL- z{)_uc;h8folijD>;3LAC=ASI2&mYRAd{eh}$QFFQi;@R_Iu%$PIs9wsQQBxj{%OVb zx~BOWO=|7!OSE!j{RJ_1+eG~X1w{Nh^Y}^bcY7!$42>I9A&ObSO{?T9RYB`o$7_A# zQRCaox8_Jg^Q^0CeYHyqhH^;4VN!SSUr}MdEhv@>#l;ovNp9>jGdQt6(ExxY`faQ5_y5GeDUTWT|NW5Pcgt}d zWpm2E`+4^JcV-l#M|dxX(>W9r6}A7Dxluvua|dBkl;GJJvU=k6Y386^F+P6x#i1Wh z#qOBtay_0CzF$l`5Hd~Imi(4wDof-Cg{7lGZ z7w0#zPW}=vXT)1XTy-2=R>y^}yE$>h!5R9u{k~*y-uB_4Wt@@-?rAeeIvB7mGHj}L zhH&0SSEr}1A z&;!i03HX?oQkQ@E%>Ca#Xw8w-HC#z6cCd2SL&JZ)Oa+YfQ=k6&YyH7K^B>fPow7CC zI<76A{Z`T!)^e(8!R7q{TgKAvf8=eCXs~9S*Zfc8_qHAUKViv|s-C*n_|I;P}q_MUIl(=h5eniR~hkvbjt4#)~^MjOn(w99$G71aiRT_ z4f+cXWNLXgg6nACQRZ6RLgmWt9f^dKU97my)~)KIRL^TmtAqudZLd?8y$N8s?VKUK z&=3A6d1qVc#FXD>35adMhv-dhl^YHrg>I)5gCs*!-&R6w@HiiND<#~sc5QQ-fIN|a zwLYf7-imnMvuOEXGSVrj-NFq+qm)nbd=yPxjOzZf`!8r5K0?cqC~?#3Na4Z4^v~Yh z2@VJ?*+A8ewM`n{8qX1E@FtK}wr>!1sz1eGTqnP^yM>YT-hvhY!#i50CUs+jnyrGTvy}^%%Z3Wp!^Aht#n^r{i{QzI_km@gk z^(bMz*zRN?>K}K<-1NlB^BoGqVl4uPe7KUPETm{cD_;@Wc9oAL8or^&Fz9Qm z-Zh0ixXWw($h#e?cnLKYDiTprx}Nry12T$c!`!#j7d0w*Nz8baXfuC=skw7cv6>M^^dK%(RHg6WZs}9}S8jy+ zX)Sk;82keNOEPfkqv)Y3R%s)b2wkHl;&B$Gw317NsZsADm?+F|39sKUrSRW@B!wjo zX6dcYyQ?uxt%p`LYhe>Ar3dH8aTGg-mcOE?@@T~qGapfGV&N`u zjMe0g1#MP`6d+bj$&E4`)Ow2F&*wv-JP9?VaH_)N=`-HUNF90@rI$DP*{18p7q3(DLaEj^ zf7F$N;x?x+Vj_jfalqkncgp{~qRmi9@|$#fSZa!3Qy;S_gVZx=nVN2bWz>`ebV-6X z0|{7wB9s~#VXq-u?#RZz07jQdL-P73j6ha)7jHucLXXExgM7bcoaY%u<&0sw{NhXL z&>5T!>Bk53@5*{vJUib|Q!U@-ru28nPU;@~>=afX)SSePW-~6b^JMn<@$hvc+LzVU z$6Q*?lfNcH=Tnd3c%;gYpS7J`C;`CC!L&QcGK+wJ=o-f=_5A_)dHWvn3_}8zaLiI% z`q*snzczyAR!aqmrQYDup`txHR~{lg5N%;cC)Bec@`N zclz-1RH&N$V?zav@DIr)kf^bb*oFPzkP?1pR(2k-UZjgOo$sTkB6&cxtq>{!n^4J& zobo;Ske%o&dsdpp_$n>c``nMm1;1;(E=o($;X^!Z>MJo5Z{*Zk+J_Yr%)a(BJq(^9 zId+ARli1z1CCoy9k;HCNbuu+QL?Xf$Ff~iks6SKCGtB*asm zpd1j7z4k2-7OfViexr8lq|{FGPchCWOUbdR15H?UK|kN} zk%&*12}1VpY4O-pzDoUBcWd`I40Q0`;b(1Acn|Zbw?r&0%js@EbCN_J{4Z%}UV=lk zK#Z|H377@%=cyPNn0MiZM87+FiXo%#mAV;oBt?$?5Rk-RLOC#=26v-hTOX2G?xdXtnQlT zZ0uMy^9QJuVzj!a^BG#+H7VH8A1P}n>o)aa1L|SlLtS6c$?MFEY^DcIf1481nFEP< z-YK>0SyK_(?h2dcu0QNKSD!<8{jSQ9R5j#;9y(ZMhKr%Khg^|cJxWMwNrUH|x~z;x zzR6A9lZRD|JRXmGr9;puVXh1uCAw~Ph--2!>pKSRXQ{m*xp1I3Mrc>C-G5;eU53S- zxheW^g$^3!*d)wE(w3^Laow%FJPa!FdCcD&+LC#i_J^h-4{_r=^-zg;x`2g9U3hQy zsxbU}$^Y(`#%W6JWoY)c72CE0GmU<;+`+rVRNh_o&3^m(M3rF@TBdvrK`k^#;jZwu zoq?2a{h#c`!;=7eptoBb7r*bp?fxvh1Tf@}393KOU#c=6sK+H;cOW*AZLAI24CWOXBTfiI%? z_(rY#xFu$} zy6y@8Q?Vyu;NERkchuB0d%mW&GDSYLJr;&xMTI z+^f!2612GvUwRl{|E=_}x!akTFO1fuRQePa?t^({pkvqwNv%$bU$~nEl@I;OsKk7;rF!{pg4eKB zUTvWQ&C)y22OVv{)!{25eUW@+{K~rP|5~s5-h942r%hW4Rl-%fh$`hq!NW4m{=ihQHtcjs87@2^3pf?w0?QH)g*5^M5!L z7a{%!gW~KhB-M;SQakO_Z(j6F))IuZQw@am9hawv8!F-K_|aMNyEy;dewapQhkm~Nq3;`u zMtm;LZ9*IJ!>N+={j*~e-ws?5Fpw(+vuf6QRj4m1 z_1RnmST`MOh^w13-?~%axg>uTcl4OTJ^dBMTI6eol89@+tzdrF)0b5%k^P}gd3a9(Dus9^KtW8b0&MGv*l~kEfz&q=mNO{ zi=|d(&une9`u(l9^_Sz-Ev~pZ^Ie8V?%z~vwybJ7rV4yZ+M|2-&Txqw?%McXY$3=) z967sq?3$AFXS~ZgOw4h>K4;>@^!WUwS<8Y0YnU`PmfajLmR+y#47;5l6#Rv$YZ|BH z_^d3G36%`eKTOP?EZEi&(BKz~}a8dxG1frbMA`~;g&@^x~P!P#tPCCCuj!`F<&jnnn5 z*Qml{c=|L_Ywz5n>K*o#v>zYN@GeG{Qe;>-OqoA0!FFyP6-J;2LDW^;pT@{CqJ-No z=)cvlm%V&E8N%Y{VPS_IOffbmIH`PEez^Xw4)65+E{5>8`g{sIDb+}AF+xC zVWZBCd9_CfzvVH-l3pe>pVe0GlfUKwD>Rd>e;YPu{9W>z?G{8HDx4LZ^vIOQVp$pR zBqt?2t$4g(pN%94knr;MA{H-67~EjNVy{;bde-4>~w>YzN^V^GM zk4*=?Er}VZ_BNnOVZ2P&pm@|gO3Bf9K4_u*fA}nVA&-%xRBoU(=YB6DN5WCVqC59W zV^r<}R+2_Pldof5(Y8GrY0bWKuR1z2l0CqO*C3UWkdvv3`dxf~jxl!Tzow z3GrgGw9%ibf<=cn0r)LSvg5ra**IOPdCLe8#DyMcjMT=7gyQ3anNFp(ZA}v2$CQYM z-TwFseuegPo~bNdkLNR{-m#LsiWKXm#g(?)&g%Di_R%dic-_SJ6N?0CH^3}QvGtvu z>Vq%qUTbDv)FX4A@V5+IW9df_ttn&5s6 z-zuP!$7kXhVe5XwOG&a;zWW%JhB{2;{1|7erR~gq?Bt~OMokWDJ?C-YVogP(kVr!v zJTXkwMw)K98liu%iub7cW~KH)doI@dvL3;Pjl6U^|Ch2YtQr?Wr|t+(Mc%|qyReYf}bIy@PM6~q@@e7DF0 zsu2!Z(jrya`whcx?b`A`kL5FRTapPLN0c_KY3{#M(29cemd4j|aOZUjHf%54SFX_7 zduSQEuQbM{f<#o=RxFm&^7xce?tq+8!&#k#xlXwiEuQi?d~sT~rhsh2==URb8u={xa1pikogcLKU`L6aB$yC&DKDPip*;6uag4e1>wip&qBSEh15e~3fXI~A z<@DA41J&nA1J#?-Jk@U=BL-_)K9R@NC`ivWeohkNu+GthDvXe!@ZD_pRvDeH`Zq5L zKmQS+1RSngXL8X9HI?rXMORj2rJ#H}vA6r?K9l~X}8u>d|iHlSm%P^WGrURfSg>;w7i)L(MoMeIA1Z8Bc=<#1oiIbkPnHI!$#i9p^YsRscOlExILIwLOxF{M{J?-`UtVd} znh(GK_*U_RGJ9!dd~@lwgpjHU*^b}h0aP1hv}RUF$q#Q3BYaP7O}I#>97=4cfZ2#p zlf?HstwyM*CEq3~&~M0g3Rb5y9LIiZMuE5OIEs}(*((!TCm2O+X5UBP{40(oPZ}lZ zs_N(lT9MtVrE1lX?^{X#rDkmxtL)1x4y$r9kCVx*ec|1sBq%vSDfiSNXlle${F2QF z=GqAdcFKteB-q=E^O8|R(F@&l&sLCPijV1H$-R@0m396eLPvaX$2c`ocVkVw8|Lah zN*iX$Ynvw=W6p%lv^scfQ`TLZ!_t=(Y6?m>CIzxs7KSYobi;TW`aCyh`)E}XkL%uCQnp8IYeZ+ z2#>P(UXiNg*l6>4k18BNZF{M2vfK8s!-T9q`sTy6+BON#82Ev$|7VlC6OwnnX_3Uz zSaviUr#CyJ{a`<=#2NO6zdWdpPF@{J*XqOqD9N9YjWv%>g7EB0nH`)A%$j!rQY45L z?|I>X$?HJ5Rct1~gYcH48radFjPUIsb{#1opubpR z0+L(Ooen$GI;=v^ykf;&!{U9SgVvssXItYhncf_pD2_?e1?D0pm!b{&NJ*^bJokkq z{o~b}@Sx5nCrgpOJY2;#KDOe4*xni2&z$wRHEi7->%4XU3ou>}7w;j=gfY_)hx0DB zr+Fzb{$Bixua8gQGsxFYd+zBN&a$Vb&t2?8HkC1?r3f{|i;%coF)fk1Vgq*@_Wv~) zPqF|1BYxq=a7YZ79~n35zu)f@bi4Dy?3@SYS<5TAvz9-d^LWlzq_81=-e$rwo*g_@ z^9%Q%#voRLL4D9SxXL&;YT?g(&Op#+DV{Wic#}wLhFAV6aho%Kr_X|mcoQqby%VA> zI|XlN>BZ?U2uaqO7x{smaSNXNVEn(Q)#M92pNDXMbI>JPb%Gl@#MyCWYRB=%{_$4- z%U_dK<=-9C$g0xk<|moU1fTunZ8BDPn~cu{R4s5QX2)_wttd!X@T;)!^MIJ){eh=V zWM_F9F5jP)hGe7a$Rb(u%=V>+)h~30rGItxt1sX1ZMizo+|Z(Vx#?S`r9rEBR@aCb zQTxZ&wKoPiTXI{TxzTzAWs=Pen@}uiZ#fh@D>(5|I}Z^4OY(42&^jaHxFpA@SiP?l#FByH9LET+|#!o4Ep2Bshu;FxvZ*=@8@YE@i4QG{p2XCtgoDI56``& zN1+kJ`W#q?SeW+Cm*ZdkNNo+Et}fqWT>g*>h^(Ke_=B0Al^dy#&%M88fPG5&a>v#3 z5X*C4|Km8NKD^+{-3N09gXzfJzK=o34BxGnCAD8a(pF+uKMnAHZ(B+yqf&7HHc`tR zoAT#p>MQDIEpA%H%oWc8K;)V>C~By&y~OL|pjE=4^+V(O;=Nc$gF=vp{vB2PYoL;s z*!F~;PF9gDX(B6;7T!oA$jQ-1WqSU2gCY$ zw~MI!^il1%$y$#7zaE&6Ac?8}*4x^HQ_Q zmWaoep2pyd$8<>{fUG)nBD7>eXr*NdlJn58@xqgyg9#5qB6wI+`PJ4k-N^MH((9sI zyM$4(BK;P5Is6i8|y9u8_4S0%bkl zmVNl(4(7N@CQ#GM|3gYQGd%*!iE4duXM4r>?B>X9?k6c!a4e#b`RoB?qvc8Za>Cmu z+#K+Ikmy0MdyFhF$3l6=3o!75<%MI8@USa4py_CyOd;CDKL_aIhO%!nA3oC`rztRs z!9#J-tjtRt(T*(tl~4lp>7U<9MHz~%l3tbdldw+^I(6;B&0L*M_jX{Jr;+7MsRPwQ zjnLD=$R8LN_48O0+!CzJ$<$X<6cOKKT0g|9pU=LRP;09`#(r zal_7Z=Bd^$gYCpd>iTX&OzOH0d6wbDd&L1I%Z-cFGPC7Fw86_F(h7D8hVRk8k4@8` zc08gL*nEDrs>EuiWdkIeUOiX02lHAA6O074q=>yt=nOV^^N_5!8@`qiLzsh+fz5lv zp+7x{Y<;e#>}gMD-{oHGHfQ=^*^&W8P53~yT?=GyvL^*6BbQ}{veL($&r-i}RGJ3w z)4qimA;Nf-6GHkaTht!Z{T4 zb>5{dGv?s$Np1>WI(jPLl4*&~ z`QXQ!%jtpqwCbZ-Vt!+v4~D|2MhJ?O>FQ!4O5 zlzcQ#T{4p=JApp{*JNU2y#8Awtn50AaC4-#a{3@>;mS_8`|D;)XXp9P-i((s%7zMM zvaF}{sr<3QxgV^t+MYA#3lG|}npwq|vhTy89jt6$d#S_OPK`R0t@qev;Vw8%uZdPf z@ex+Y!97vNHTN)&dU0SH+Mqq04$@94!E<+)28fMYJQh1us~yVDDzN0Auh&5d<>~aL z>ea~=lZZ*zgW~LRrIdWLI#IAVzPUE4wd-`i>ORk)mluCdY#F82C8V=lH&eFE{Xh`d zZ^eEkvAORoeB??~x_nS1Z{!|u3nO}!x;xl$5U-GE9bb+V0|6x6Dh?lDRsEzVj^rIt zgw-q9th&2k4ChQ`kMOUtUS1NVh&6+DdU%cTrV3{FROl|Q6c}}&yd-9p9jodF$VN@9 z#t2BJOkj1?%8PRAc=tLKv@oP8lTrvHo9QdAiSCB7$wpw22CCKKDaDi<%vu%B8+Z4C z+|*H zLr0rS11^Z7bKed*l!M;B5N(&%Y$d6t-6|km=l}|pZXSOHb z{;IL_`;4Zb4|=M%#v&bHYPyDPI}tXlin4%&zV>sDRf;89^|f{dl{T8ieqs)@BG}`N z&0IDCfG+eHF+(PlZywYtU)1uiChh_OPekAHy#0EkF{}Nr1Ni7WF$N9iqsTQGT}GaJ zi`ah*>_3u+%kc~QE9@22FaX@S&8fj`q`HTFtGc%)zjd%+V`Ak@o{mwvr1S+&qS`XE ziTUBjqwZ2)o5gJm=ee=%YjF-bh0GPRj0d7uK{~BSRNB;Ky(ZlJ@WFGNGa1Fev6d_M zE^Mu{F^cgV_P`zg@~pCe!cL~~=)~0Q`FN1#WVWBrGol!CZd7CfaF+4-XWE}JEv&=9 zu=U?Nt+%}7jcI|g+}Xa%_HzP#SMKL*SYc*KvYosl_5h0^Vp^9x;up4J829nR|_v;Xc0 zGe@up6U{KaKbQw){i>?1)_f&j@FyO80OEw>gHBtpV$m zlBvfRAI~kAckeBXb_*pxRSCYo?pYw)A5`pOCyUQ(S8)zcpK;5(=Qi(sEl%50 z-ZIwYc)#7}pfc%0ie0X*}zG=<&(tSV%O}r!ztIcyuX5QdKz}@4+x$ZmaiPc z3%tJV>+-#d-j>XDVnyi5gawk5u!7 z_S(_+8HK5tJ{Hi?5IX0^;fKM%a>zs9z1>KC`a0rq8pB67?#?T)fGhc&Xe)(t|L6Dj zF_iCK$(lJwP5l>!R&tK9^=WN%ey_eevg8{}n*pV6B%*lB(5M@$1F3O)d-=Y$uI@SW z)sPb(&yw48hXI#dtO{H|yw=d7Snx0_NgggnRO6sk-5UAhL&N{v-@EiIc)qfPU4F^B zIzL-{%;W_0!m#9{X9=a;v8wbN@i|no7eZ*Sfbv>c_Kgk3met!CDNos=ThJj{_T%l# zU+?-;f9Ld38U$W$b0__jL1;QrhnQt{dWZbu1y`_50)4@gZ`k%kd%v8YuK8H?>bw7T z5G$rloB0~9^tb-gLHr-AECg$dr_FTdnNBy5cpYYDv^MCOZ49*S~`Ehv(SUQln|Nh~*>z>b=n!8!d;heoQH)c!% z3cC2`;PE>fcg*wUhvda*_OAayNBsCF?ZK0U%XnUUx(|}K+nlKz9tjsXKlORM!_Oop z0XfO^9G@{yLHy_CZco8El-B>!rEnl@66p( zGZk1CH@A4K>!+v=-b=o>|GKN|Ph3BS7pOmvd#(tRY{=_T~fzcGRBQw#tJly zoD*9FhT~EC;vP!Y#4WG4xj7epogT0pfy%3@*?ZP38ubUzQ+`f!^zZ6D!?`W{xkFl`l2)er0{1 zx^{TMr>?-_1-~9|lPHZld%phe26Jtk;y19@UZD=&qw28y0g-BgsTJg`>qZ1uE9+lH zsmL|Io2?~+mDfwO5Mty+zP>mN;$)UKYh7Vbl#W;nNv;C>$4upF1$xc*lhZ;g5US-b zNRJd)SXCm-Wy9oHgTMRNjYelq7OTuB@4U3m{~l$;**5C_OD)Y=tm^a7+o`N!6)J0G zYf>3M%cZ^#ngDxRvGCKz@mt;FAAR^PBb5|Y4cuWR;a&1ItEn%D>6m^6T)#X~#M|6$ zS&Lj?VO4>5iM@^+J8NNf5(raX@Qelbr}cq~@gso>oep1dI1r z^{wK&F;17AR!CqiHK9>ik$ukTA=tn%oGa4k(2d|{s<+t>SUwaXoV=U}TL1P&qLxlX_9U$tcM0<+&sui0 z(|EH>@!mUA=moLZfjr$kBU|gOK+vD*uEV^3m^9iF2HTp>bKSJK^%_$zWZTr3Mr_m@ zs!>s7Le#`|#t)6eQ=Nhvol^^FSUKk{<}u>Jfm;8%Q3S7Er!V))e`#(%vyzr0+OW3x z!9AwTVWz(zzFtt%PP#bRvlrV~*+2rerb&Xo9wM|75Jh7#(`51AQS4oohb*p4f;RLC zWOXA^;5i24f*pD1y^ukMEyU`1-ZQ0hQS#(VL)o&p^rdURUU|(ztE!oYeU^_5c9^MJGg)Q7a%I1bIUrE~^S1J} z?E{M6D}W2t!ZMpksZP$Gk7Fh&r*$zh19@mg!bUT9eN&?_`uNEgQqA~cb3n|=eq9F% zx8Jm^BpDG@chMTdO>NN)Fg)SzBxN1?GZTa5ld6+ZtW0wrZ61?@W#8oMN{>ytmp400 z7V_o4NV+OJdy@p49E|6)U1^lE4%S4}YDw&}>%y{K%?uYjP}6wzSI}YQscG$JyQr(< zP>$QS<@U*6->9ly(?Hj1_LC$0W@9JDE-~su0dn!yCjh^S1$z@*g(kA1vbC4QOPBG6 z3MrK)yH8!J9dFq#m2x6QdD*ALe8VXvyZqFp)W`&6~5mq>@c5UTt zv=rMSdDISJNBwnr-Mh$IYXuv+vnMIPshqyEg~-aSvzNx)7vgGWl_B72$XZ&nPxMv% zu9sb!L53jkMZ25%gO6tgS2g4mrVxj;zF5<`H#kj)ziVDrVoa$VmF$hO;MB+`w2e%J z-%zEAuAM1|4OVROTJRWd8W9ro*j=Jc6EM-qY;`pWGNZ@AcD{-(F1y!6Cww!?{y*El zZ!EK~xl3~`DT2VMUguAiEJnE$vdmaoNsMmThG_Zcl*oDO*W`o}ZZ9Lfo5Hk`fym8? z1UR)&0#A39CIZsEJ01%S!C$rH0hgq&ki;$HnG)2#;p9p{`gh0(t%LuL+O%!b1iP7V zBdqk9#mA~(^cpi_+|dkU)GhQBHH2BaXy3c(pGBPy8K5n2bB@|fyN0e8FF7xGPt@Go zp{Ri0s|c%HGoQV>!o${#<+t+sd$ERU#v*b~u&cH8=j#uCU}f{3bjOh8c(`!0n9xK) zq$kZH*qBZ93cpo6YP-6(TiBI{m&X(D@e#DCk=~hY9#mvcdWy12bX87x=)o%SNG(1( z6GAF^mACVn8~^O=ZA?*hVrAGb4AQBbg1CcJyWZy{J6`tk&E&1qp^0UVe( zr*o{>v@|00JOoQOx>U1aOePGwtI4eF(8ZR%e)1KF*q_cmJSS%kou_*F_N7F=`>sr`L6ZuSS zf8+2Fo5R*R#s!-a$+on;GbN3Z<>RT8S^2I8;%gULJsd7NIJb`QRM^Wb;r$RKvF6?F$x7F8HGyllzUeuNPu`?}7E%acB;7`>d)H-A^K#t}+9h3I=7bed^1uC9 zd#A7qducu+*2#JWUAqRLAw?Qr%!l~YQ~XkMVS4q!Djg7JCy95H7z|YHGToc|E*2Hm^p0&4$p7@zjNj% zzB7FnaA!7fmT(qb@Lj`=4dK4#JMZmj3E(|Oi@6!a(|7*wtQiDa?d$lD#Qx{3x$LzW z#6kI=vC zu%g>sJUy**53;>$AE{TrgdfoA_R|B?KIHx%SJ{UbIdeUKy>OQkTJA0uaYHJmT*m#J zBSYNyc>l{J+qdkm&fk8&qW{bX(?)!JjAxhox|}6%zCCA}E@0>ISB}z;jT})`zn^o6?bms|)I8A7rL1Ir@ERz9Oz({myqD(%!;r@X`F7hz}}I`-UO z>bTF>ZcD$szN$u0w~Mpjb$-oDj|B_1o+{!*>*@n~Yq@IfdF9SZs|2UmDlr#cI=Wa! zj6)N*%oqSq{cT+?Cq^Xa*={9t4M zAuac)|CdFqc44a~j*xLQ_m&b)tm@_b!da3_hK_DhMB8#K0$j`dI)4bq6I%I8wr*_7 z>Soxyy8~LKx)bBnl-{(VEHT;BN~=o*`UHc=oZZ;|vxR;tKC7eQt0lC&<h`OKI5x1r@Gp*SRreI!9wkrzxYlf+%Ifyto0h6M3P%&jL3LX^jtsJI zW~~*^tLtmlx~hCkSm38r`V|)5pqH~Wm&tX1_GZf`^tvx6!YL(ssNLYhyM(k~-G~30 z--GH<7Hq-OWBdOSv(T{O>oa}M*+tWQeYpQQ2*2g@-!Tn_rrm=sj^LuhPjJUywD2Cs zchS@NFoQ4te&+GzGfx%g^dfpWPDT8@%m>|N3}=@8iH*Glph7 zJ@dzL-`~y{f9i#I$5zbGYuW=9KzIZ z7u%3-o{sMi|ExTPU%1;RtCECUJx$7=d|pd`yu-)iWxhlVCs$@yOeTLf{oLdYY#gP6 zreXcl)*US^smt0k_q2TFjSyeG=Zz5G^7-~io8YTk$6nF@G1oAja8%iHbI367Q!QnD zpQ40RZSyOXPwMj>vUTW2k#vSEc*XG6n0EEE5y?TtU00-xtfBMrOVkyH{(_Yp;w%_# zJH48|=HmR+GF_w6eE* z)*Wl`Hx-ljKh8I=dckfSRwlD5O!qykYM<`l{`Ie5*Ejl$}ihf2lIV$CjX`{1w6uHFI^<#?af9 znwAPm-24jrlq*vZG!S{5_7in9{6c*xUwC;XqtPs_qUqI^P@Nko)~D3qOnt)DJt^Iw>&|C|e9GGVkh3q=DB&LFhz}%HCFA`{+G!g~!^AUR*8MepZWqe1- zGHNRpiI2e4LlXKDbp>Hlm`aDx{ee^rzbJWLj25HOSjcHtwLu^IsxBT!a4pbzB-)_> z`rd58X54Tj4|7S$VBA*E1XOsOK*4r`1IRIH#mE_M^Ale1YqASEu3*)1@s2^ll%-k*4bqn6?V;=1i&s*0DsAgO%rP{SHb=x}(QZmL z*Ct+P1$M$%lx+ zb7H95qeEn3LU?g=P?G+B{J8qHNer}!_ndjwM^wElH=%UvjULxe`!W6Zlx~Td0FhF4 zt(d!gys>vRnT)EV&16t7^W$A(v=gnYgmjW8(3guQDhK-nf7~~FA*v46ITW`}-zQu2 zqE~phnaw>q=CG-$1rFEB5n}|eQqKeKtt{LoOjkQ>)Rp^c!9m#D<(3M)5KfKa*}Ppt_-^lo%u z4`jni(JPKqjIGrJc7{^kkyAgP~JKmbKmE z-Qo*rx#y&nt}P%7J!17JsvMEPYlCN1ofquH)*f=rTbBZxOY*Wj^{q1|C2_lBukMJ) zp5Jb`sWKhO726_mftQiB6}J;=S}v1H_%sJtcoX|*iJ^g zu~6GkY8r;7rxrF=k=Vd~9ZAC|LXIeJ4P*+RNf-DoU87{O5Zwr;ldGUT>O`9=$Su$4Ch;QquH>x=#o%30MkrWQhKUarf~^3B@SlgwQA}w=S(Rc zb(1txV@@|mAT_U7iud$cCE;OlY_6fI|{nr_T*C&H!|RTcwFE2 z!7z^3lMJspQ(@W192tDX$TFeIk^Nso4xgkNNEOoKIZJy5(Rs;pH>(jGPFa|WE?4vn z@YGbzm=xAhi@l=Wg4X*)o8ep16yg~};^hRC^zPDQ;?e%aiTnC~v_7u#Gh?!}c)8r# zP8rRJ&FO4u5FXa@7X=%89nPO5%9Y0VCzO=0%vK$J0rPnTU}DKtWT5u2!GKoO;WHp9VRTiWaQVqJTB{l< z8Eg?o=T73T>2>PGaRCcmMIa$}&++c8CfFK6jVM|p+%(YrvUtyeM^J3w-mTfLBAQ73 zeTRDKznA~~cj~$`3*teuRy^M6lZwNO+o7nvJb{$Ew2_tPTq@~n%{lKh^B_3%HKgYY z+g#0k(7HgK9qJNnILS+wnjhT?tl-RG8cH*g5sK6`Rv)w!63a{#HMd@cwMs1moO%ZI z`=Yjb_}Jwxcx8Y@4%Pwr3(#<(ja-C*QDeAQRNI#oRj0vtO}|%EI1~#n0K7LK2^$YBm~=Htv8*Gp;;*REF9bA|(;p zHTf{{dn5)59FQ3bo0jHDlY!cgdK;0f2^j18ckT8Wn}9ieat~@SjpK%!gP_T{pnavv zywAzJi<@Yi%XAOh&0%&?bSAY<{0b|XVQf;X>)->0S$BB>> znA*W!&Z&;>zeedFTnNl)R{U|YxYMpRE6HY?p9D?T4wu75WAMH# zWXoa+w&LM+^RA8elJQ6bS8>^C_!ywfyKXYF(z_Wl1P6%PU5&l}*bT+^Ef>EynR~Z# zX6jfsu;blTvrXD64zOo(%RTDKBqIz;veRLUVL)FZCU_nQXo>{V zDkqBcQtkoQUY#A1hwAji;rNx8b%Yoa4%i{->stNZOe&`oqo~jdw!$})(X*s&6CEHoJUxFo=cPIRZ~kKL0^cmuRr$&zOW9+BS%3C} zfK{+HzjXK8P0!XWzr8M#o$p_$c;!OGD}$b|{p0y<)RxA{wQK)0XY+yAehT*5Zhr9k z_g*U^UpK$@JyNd%-))r*J5znGN;mo}@cH|%JdB%frTB&G7H!?{y-gAI%&+~UbkRTV z8ZoYXdsm=W#E%yL(4{|A#00F%nGFo|OiRgpttrf`yzm#;Rwn-C(U3fVG}VQP1-})R z&a`X|#+V3wG0Y@i7;@d-V$2pAz%L2v>Xxnl`&(bayR8<#yH@aWTK{kQG$?cQHODUr zG859#qq3@Q(Z|2yAwND?l#%+8?v7+p#O$AcDvsa&xX^NI;6T~u-_Q;3eBX0-Jdk7h z<@oyCPt<(V#vO17n~o3B^{_Xm^tINM1m z1CC>}9zHXC_p^25)05oyfBF7*{pZ+7Yu3l$@j1{|+;Y^OV{KvTVNwKIidnBv3!WO7 ztM%QY9|IKs_~qwQOCHM~GnC{BT^q<^d|N2rvC00!$JDW7A6iR-40!__Q@7;Ty7%ZW zd(`#E`m4?{Hr6riL_zGL&_6SxdUb7s{+%w0AJ?cmi_4^%s@aZ|EKz%MdOHTo z51MCsg!|*tP+&JcBS%+?64kBGA)Ya0Cy=2KHSC+26>e#NpGVdm(ToH|>=U`wVSj7q1l3Tl~ioda9kQP6H+Gr~OQC^Eaw(Gkph}^*Y?I*QK zToTR0S6N8z`iGgJh-Hqw#5zs_00!5_{D2&VT5O0z{3N~PWm)Dv7jE++5h-GaD(Wg2 z>MX)j3~+yu#!Wl1QfrT?1;*ZXSr$Hw&)2xy+e{OGT8)xq3sW@Wyi8_b3ON4M& z26u$%#V(Jo!68soiSzVO_3mDLqY^~MS|I8mu^Y$S4h#W^1%jiAxoshWBdp@2V1&6n zj7y>gMT}9RZA~d*?Uxcsc{PH`6-ev|744U_vg^nhrSNvUbp}u*Dz!?sp7G!^!ZShY z-*VNSb*gdh3$dhlG*`RQVk?dq*%eI*lTDYW8fsc8-a}f%7z!6ELunx{Plf$H;oK-? z7cP*seTi4f=%PAcv5;&WYvlgv$k^i06ofPdYjbRnWP_D!uYfHrIWLO#whi+C!hp&n zgYfXQuFz1RtMrJ6FL=j5*-WBYEgCtFf*Gr(L+2Z@R@i^)|(~ z-Uac>?$YcLZnA3E$?h)(R;LrIZ*`+gCSk;xZPXpy4v)-m_wo_gvpCgv z0%7{qP~u&vrG<82CcbqzNNHoQ#P>RDaj23P91Bf9-^AT*h*=z}XuoVex}KegLxCZl z_}BZtt^$iG6UR3BVSJ6n;`ur;|6Z0;6CbQ=WMcq?Hy^v))KX`&3#Ra?38Wy}T^9^c zuP1r_eU7BY;ua$Dng&*mu#f6XkTO^HPcg;SUCQ8PgQIA}kb z#X~|tiQ>uXdAjRzJU&*kbiAdqff>=KqlFocVAbcfIX{&3IX<+u!1=wEZLc1p>wuA% zcRbd-$kWLquV}vYFiyL<$a)77 zfm8~{NkE=^fqUo%no|+paGwN`C?D_Lnl>>w2Ym9Dx&Lb`u!>0XGm<+C<1Q@=qjW!we#r5KVn7TgT<3ZB6kc z4-G1&OYYX3YyZN-YTMcI zjamN4Fje$Ikb&AFn4~`=J)8wGadcB^yv0Kf#7Ky27L!a zDY#0DG6Gf6-tcJRTvPHL`S7t)$30DbT30@EL_+;I zkMpqz0P7v56n*DqwgR4Syiiesl`Iyyx0?1^d+3B+B>#@TFvEyzz1GiqS90sMd!bMZ_ zV$yH!>Cmn@j+1#^BloY?0Vfb=Vopecf%-sDPw^|R^*?0NHaVOf5w^{At$=_f3jKN# z=&K`J5R_cHLuBQKXE|wcuDP?&F}l8}4Z%sIOFdNj{*ENsIrdmOZsA;*m~AFadlvs1 zNX`yL_LOFrPXLlu8~?Tp!jgpx6jp8s?Zd*7N+hQ1VpOmIONYCDGjSoSR3RBxOXALq zZ&ifAtdl3_hCAxUmC25zt{-N$NL428Ue;M-$BZ4AJ3};iUwPpp%C5p}!IC{-R7m=W zpDWzgs`s($6b!`i+gdYiu-&`2ri~FM4J&lOU1*9B0oJ=_YhgyN^4t{wdhn?+ zp`LUYZY~SheXv0kpxDR_=y`yo(~lhR7pksHvW=Lgqc8?2g!crGf|KE4k?Lq!7L#UF z6#B7d7=E3Y`_f~&TS7KG!<=x#p@uhYbh9$WUEEj~VpP|}@JVsPlkaw=s%yAoZZVFx z+tt(mb&#bl!ZndbWTEtt?|9atj7?R#7+qUzxkonl)9~$V#ycNzTzL=-SeeR!@9SEt z!*5_hv?=5zXLZT)Y-^yAx|L@${N+rG>f=N*6k#Dc{_EKKFfnD}-K8p88%rI=R661D{f#1*?zvTqn19 z)W)t{ys*w2?)610+xlU}Z!j%cwyx*{FRx9J{%^+e$Y}tTiv4rf@y7x#32&PNSn;RL zczevxpMA@9HRr3d=jMD8@uoBHf!7P0d;=mK*Z$%a66w3%T^m~z;gfyTD_Y>g2`ACi zKL_u2M^iK6``7zC{kyGjyv}#_=z{&(W4@#R`YH4meEvDVeZ~h%F1aDPx4*sDUA~eR zkUnvD*8hX20hzMR&zt*Kri}*quKk_<%bEwCUkTdtZgd>GFKEA4-oC%9sljVi$M@wO zKY4{~lv{w-k#wRlHkYqh;8Pmker$B%vNUC???PEpJ4?1S%og9^Wn8i&Gyf>wYIcR( z7u%&YZE;;Q(3rvFnbtKQ_J011F5rregs}u3(((8*7hC&iq9}Lt+Dr=#RCB4b$$aKN zHs+<6hpl)UqyKATcF)0c=dW5dMg01n9v{!~$0Y2rbi=gQn&-(hyux>*?UuT1%OS9(f0dV{=(G)3~PAXLM<;H zTmAgnIcr`#?p!YPdZEVk+=pHP8y)NX<=%MpgOA%+_WMS2y*bZ3^axnBZf@7SXZ9FY zD`0YX5VOJC`g`kI_KmF(n-2M{id*#S=7n+5KBGI44t~=ww54`-VJQE%)WWcd=P*Hw zzC8e|13%!sYyy=IMCE10l9E^6kN91NXH4et%{zWI6B%4{yb1EfX_zgni#k@I?==y>%6vBJ9S3VB@~Oj%wPY?K70V+c~-^! zw0D^~vCF!@@LB)Qm!Eicu0mw$uixm#s4=l)N5 ziAqMS^+yeLqOD%p|1bgqb?E88TAuc!0|`jwiM$1u1d7?2rll74A=e@P#DWns`nJ$a&TqT{k9 zVusZM8}&7$0*b#hT_{6JfVrEK398V&@sh8~OPw<^U0Xs9byu3zbz!Dk%u)7r|4{Zl z=YB6GtM~ma^i{igAfRP?{{h$@e5BbhPzT69`P-uoO4_J7U=|%@q&eyuG?D*A-AU5D zI_eX#h1w2W3?dEb%-u=qZ(N_!U`Ua|1OZK@4 z7Xhr0K*#>mI67O_=dp&`i!SQ$-D9Z~nU35;$$del_yiPG8&={XnJdQy5>meF#U4=z z>T#Rez*G@Y>znjV{eg!7B~Rx1OD6J&QJUwj@GTN;B@-ZZ=V9%Kw4vq`!@XLL#>xL= z>k#+rV>NsmL9*g$F*7#tbg(d>XLdnbBO`0|dkx5=!`55vMVb{qDJXHsP{UyYJ_zq@tpOYrdz6av+jD(c3Nk!@%kvDRDjS&9%6_L;wE_KlnuZ?GK)MRFfWnwHQ5-5ik$vJm>S;7 zCj|_e(AMX)S@F=B-KhcLKr4)xX&EY9lVBKrIC7-;AxI7zGfQ1s)wpNXR);G`!?=;M z`G))F9>M*LqK3_k`23o-HMdkN4=>t}L&o3kaZY7Bj$t9@ULcTJhYRlo zKWr23o!x8{O@g46IxJ*e?m~3&VSyBF@7Rt0g}G0Q;Nk?sY;ZWMcN*)fw;u+bdAsK2 z@?$-pTi<<5NuWpcl&mO-opIc>VPOyap%Y|&t7jc;0WkIf()+Uo6kw)JC8}FGmO` zs2<&UZPQOqWsL2&w*mYbJC>T~w&$dme3_D|onZ}e!zJ;!;js$T3DfFRZs<{z+5@jV z_iVh{EB~1MQ<;R5oWYt#*S)&Nnmw&3Zps4h3YWK@xt)|uzc|D+qyxvbn|yCollg;m zXAd!(q1}Wa{~OCEqxp+Nn!Dx%iHvtLJu2+LpxF%?@Q!r7H`cCslu^4o8RXjnw`*5I1;s%9gn1K{+MInH%CB-oecxs`2?Wc!bY_?8s&CawRc{Q7nHfo zW}G`SBm*wv*`wE-?A+>QhI8sV{X?qOG}SG60*&FP@3_k!!m6CC7LGQz8oH0hUh9^v zuKq}Fy7|mcL{`<6f!R24;#J#{6ryc+K#j}pQP*lRbBdNL+wM`)jN#&aGrMF!D9P5M0<-@H{RxkzrQ@p1ssdv} zb~(eBNNNwcSEcGYcR4Q@8-yQENqfLdfJ?jPutgZE(bHnNdymszc$5dcB;wXlb)_?H z&2R-gZ7F^z#Xd(=>N0OKe%G8s^9f7g=)*F>(lGV*%&h7&%?dg$&K38>vI9={Sc7_` z;s?)FobV8YKKWDC@;)887O7Kg{WN#SbZ03_kK|@+#bgw6yT+%!6(YZt1yNQH%&uvf zV43%$t`nLSu8YVep@~D5JGta7&B8u4X`s8lu zJy?3ol)&6#zqGNs##c2A3q1?T{Nc_gY<&_0C0x6h?3e4MDT5#Z)j7Cl;-{$+#G-bX z%imHZ`G@J!JIh9nEZL(4#mDAQ-;M#*ryvMAC1Ca!UFC00x&cjKXtQR^W}LX_q>0S~ zcIh;!fpfBj+smBR#EFKFl$A2Ot?_kP4=3CfJLY8#rRV968NL%tbCRtCDC7l*x{wD= zGNbi>W~yIS_M)N{kS$abUbUfWbo#owV&UrMZOrYQ>R(q_yWS2$OYChMNdx0Se)y$h ziAds9{hM1a$AdjFp{r1!-4BEnRVTxWgk>2v&kZCk2DtMWhCnpSJoC7%LP!5aC7jF@ ztMlnW-}#2_v-xD-FwNY(fRBfDhK);i1Zs++c;9g?(wdkQr*kXU@P?|xhp-pl*;Qz2 zw5qlft|j*2Dq(C=;e0o=p1D186eqo0O1y)V8&8YR8~R>r%}yxxlML*)yHZg#d^R($ z5xW%$;#n?`0_6pn?M)XDwLfq>6RrQ4K)@EjHa96rw3j>V^oV{Z|Ta+INoVicOQK<>oL8Zf}}@Bk2i1Bon)CqWN1@lS3#y zG7=K?5qB%tG=yC9bV)|A@z8TEN#*k$1kGUDR_>)^!@!VZra#H%;(^zr7EW?Imgdil znZTkPcv<06UY8^cASu`*S(}t<>B9q%KI6Pn0U4842%Z(h_-#;?_{L6Bp9blj)D?aT z;w?wqPJ7OtNE!(>u;{>aS07pnC0rOyA#qwfQBJc|?K?^bvLK}8V9Bo6-j|Nn~M#+-#d z^E`=7-*a<~&o1Bn{5ik!4dQ$5_r9>i_x+=B|DG=Az=*n_7k|K!|GOmE*Yw#6&)xqS zFIN$s^4tZ5039zSd2q5Lm~Xi{cg-ANtv1yVyFS(e5p&jD^a(#gO!=gy_UfD)TwnBq z7DRe`|L;7XNZ%U}kNb#OpA^+D_y}hGiX}UoZ^Njc?;jfdgO`+#R3$+>uMNDkdQQkE zjxfa`80>d_x!P;JGcVX>b}?fylfVb8B7Jzl+h zWy9Wb`_AVcEb^53{VwFVZI{%Gmp|$kiZUJlGM!(Td`aFc89Q%(d*Ec?a!x=dcllRs zcYfOZyf$btFR0|fX5Wep??n9Xe9sFDZ)7b^U6#6_^1_Q5J^|U=G6N-ED^lyqF9dpp z7f7&74k@^ho)v>btxIQ2!51Au=7xX_mgk5)f zDi8i}dpZUSfM`=$O6hlcC@X)!C%5g^L{Z#f%n$qLR`VoqjRtDbQPcTdN|yr; z^}D}aKRWhHqTTX)0uIfze_?Sm{f8G=p0v9{M(&?%e_!J}zgBagr(5>Tuf9-Ae>qq( z;y9}7J?LdZ1i)E@`&BlUAJ|O<+J(S;>RZ~b?Sz1H+v5`aSyQAKvL-b$HlWp_kx28b--)8@XlC0|~5eJ=81+4xr!MzhT(qd?~ zV+EDtotLqymmKyO%VnWzvJ7W6h&2L@eJpp15drsa-gL70n@-)Rg!{q?10z8)S9-pG zi>;g*6>~x#{n~o%WUBhXXfN%h!Cv=TNHi^sTzMN!Un5LiPa)Q{`Rl`Koo3`#tsR%0 z(s^){Ruu4^XM+TfiL}5U>P@bEAw{=vS+0PC^waeM$?5{=d*nz0WmFK5mz&G|6Bej0 zqKq0NW(X~7cKs!pJ3vI$iJq1maC@g3$4b7O{QBLIt`PHu&hCNcVGmg&4oYL?^AN-f z%qyV1gp=JeoQ+d(x;Dd~5|Yk4jUX>LL!2}DBmD==!6}qLJ6tm_Eecv4RntR>qLbl5 zL&{EpZ!3jnLpuhw57N>d5pf+p@>Oc#Is~PpkJL1}nOPXv11hF9g;vovmB@j!6H$^J z4SQpa1x-STnn*P|jrr}Dd9+tjXA^e%fH8u>Y}CxCaFp-mS9-YWd(P#k&jyFlUa`4& zUaGhEJyh3CjH~b3v!AFvf}(&T>VLUyiqrT&PYzGqSE}LRp&>gYix2cx8Z{SvZsa;f z;EW{~3*6i#niN7)X(?$Uc5d`%knL-J>p850lbpV2Fda)b7tfbBMDg?kU&q7mBbqeL zg)Kp-LTVQaYP$--C>F@YV`OyE0w`{VJJv#IvNY}SK#72;di(SL3{qXe`h1hBdS{FZ zmUP>R;cE`MTdZ9jNk&o4pC`@z2U-fC?{b+tvI@f^l-|?SsVFmdgItRkAq4(=|Ms2J z;wZ{k62RnEP6Z_{jZz{X8WnIQU&Xnc8Hk2%^0ixZD&1?nm>{FoBovnE$G(VqWhu&jVphoNAjcVU-3MIG<)Fo=fWxx$aefIF`1Tq)4w`0cDit1 zOBjn1Cl?2p#k4F@)B4^ncH_ZRiqD_s|C-Z!Rmm{YxU9C_HnQqRrW`TiN#i0Jfgyon z8N7++bC-rmlL&wa5=5h>{k$lEJ&Upmhr`L;EwUG8#Gymn?1XFmXInWZJndGOYfOym zf);3J&w_lFm&=GHR)AF<0IMRySYwGR!nz~xuYlht zh_VUfXb9QMKqVKUtSlbZMG0OUR%&~pAPRNJPzHGq-f)4{1Isvu%?vJ$L@@g6z0X*B$mC#=WF$&EOyP-xKR@7~x(@ zF<|IP+NDoKWrh^@A1IqHh!T>>__1r~Qz(8n43B+hK?{m=G4ssAZXubrwSPD<{aHDs{LiY-f54f&m#Y?_p?$jRJLQ8Ve`duMt`M{+-}ggaS%Ixe_6Ya1Vgm1!Hix@P zCZ;}!aXMym^=+;!D$UkN-{GJPQ%F9_I6n^4vg4AaVIl?dyfz6|H1wv$CKLwpBFWnc z(5V!!<^VeEi7@deo#!f_!ZY!kG^^f!+t0*Is zThZMS&WAGNtB;K7_SZ%MzT49mSDxC8E8`L-UT}tROCT43!t`(`xsvT_b5xw=F9@l9u#ZJvfcGvwuYR38*gt5z@;v$(K0yz`(tjYfGf32 zFP)I%t+hF5!Fjhn%-MU~iZ|O?U=(*g9ed>6(#nglAiv*R{Yr}k%qeGxs`~AxNS`fD z;q6VV)qT(+;q1+f)l}IA%Z;tv9C><5RZ8X=N($RutQ?=bf`opRfwPzIbgO|J=P3Si zefo6)t4&;cGEt86Wr0ePHP8$wJCbAl@zd9imT;=;a4d;#%bQ z&+pYi3NdF-b>ptYi@j=rex~6nzpY;J-f;{>O~DVh#}@g?Ca*8eRTc3cHs%GUmsPta z9P)>Q$t8X&;{Q*QW!vnzXn@p zAJz_7oK^l_TZetaw|gUA|St zNP#+@ZJX?o+@$MlttuB!{@y?3G8z zypR_DPgAV8hWCu;k%}pq!k((^PF%9$JvnJY&gFM->#xrZRx!(~5&(oxRo_l=U4MR( z>sp=YDb{(mQUNa| zv)scv(s+zTKfcM%*67y?kj78LgLaY^9+{*+xYkAsPdKnunBw$mj@{g|QYEiXEfr{m zWXp35E6rB<`RT37hL&rBxMcdy4%n2FI-WPV^OBsU?KsAC~QVuI};K#JIATtVPHDG8;I*%CV>4#($K$KK@VSx ztulP)3cN@0uP?PUO;7A$vz-9(ksd<4*tn7?m|&i>-FVQFdI3AO9ScXr9iuwB^%x&R8zRw!wc8 zNzU5Fl5(r*sl`lU2w1V~YPsGyH6+g(xv(T`0Lv2_>~s&R8sCe3N4Nfpl?aB&;2*Xi z8Wj^^&&H~`YHLf?#VO;p8R@luk93Q7qqhdtygo<&3-p@fuBm~>>+Y#RTk4HDOGsPl zn^V4veS$vz#oT2cyY5=N4xxQ_y9WzRynf!{*L+EDO`S)?gGt{-zO7i3*Mfo6@3(BJ zdF_H_3-9MIl>L3KS5cJbwIxy7{XZ^=GQMPqT34ix{$aU`zwOl^^z&ZIU!M~jV_H5f zjP`zEkHK+uec>L?c5UAvJA}&yMqr7I<+kK1-C`KfY>s+2j7CN2Kof znyq<0-wM1OhZjZ0UiDuw8yXtv7nXg<=AUONBLt%>-{$^vUBJ1sfinlcs{7wVOG;+F zulxxa=^9Uug73;iYd`2LeQ==clk0r16`M*w;+Ng^3TIs}dk2t1pVE|ynu=19PpLFE z)fXBCi}Np3jSJ&T3y7DUn~zytSUnM{O8WfS2Y+o|%Z@$d8TT2szpiwp!wWXGv%wyT zgLkg~qin{tDHtwFerI6CJhST&Qx9WWo0(z)0Xvaq_dk!E;>(Zk?i(j^|u-if{_1Wx0GJ{X!!k(x71(suc!o#DMUN`A(8?;rN7mn_&{B2DEz9l zr=V>2diZUxZ8JY=d_+Wxvb=wb7PgW*ZfABWgS3F&?0Dwvfd4*o(dXb4z3KMcMVGeo zUsKHhCdpcq-Fe-m8eIxCR0*T zp1Ss!S~g7azXkrCspb3Zhp9%6jXlzc52i1x^L zdxlcsQY^I_Nu>xYafw*-%kJHvPX6Nyh*}RhyXu1UwD-WDhs&Bd-L)$!fe|+P z7n<{WF2ogYo-E!{ReeSo9L30*#2e4m?0T`}3l_RzB-y>P^uq@FjJrPJh8*z7Xnglp z+1fs$asbC9TD(K%fS@H@eoQaf$`F;q+OY4aBvdnNs@@}ym=VrSqYtn2#e#vtKFr9Q zG9ewRN>l=nmgFE)qTW>>Pxpw`SE5EZJIx_@pur3yrlhCT!C)2bj901ea*YfpnKeS! zeYmRaHP!Y5%wy+Bd8=4jnv522Nk#9X|Vk$A{E z9;mm4l=t9Bx9~^xZ*MT7Emf+&s|9lDht}HYsz&?h zd9CK=?w?jqEAjUa4WTTBbW>c&qHE}~b?Fc3v{5^C#gbL_>s%7R#Ey^!<28ysu{f6d z?`YPS?%jl;zImD!ogXDkmHsbd#@6Kw(skM-O(D+z+4A;Frlb4pDENih_1a9PZfdOS z@{zd9e;w~EtkHQ$FTy>jD4*A?f^sxjP&jXf=J_8-kg@17C0-habZeKqj`tJeBWN~N z&TD#6pqggO)4^}Ja*kjN-K9Ox`3#(Gdy*O&QoPqX^zDW_L02H&y@RvLqTex}< zdsH`Eg%i>zMD>rGPm~8D%$*Cubva{%vjH=b&Czw)B3xI5$f!&2_G6Rc_|76zOqWWf znL(fs?q8hAjPTGi)0~|%*QQIjd^_cp2YcEeXIF4ymE&J>x9A~N*R+juPFs;}jPFrh z-I{%x7MWF_S`r$v1!5p}oUM0e;ukGP>Q6~p@-WHUNN1}uHS;hw_3j!Uuyv}|vB@jj zM_3?a%|O6KW3h#OD!|76UF>UwipjD(VMLbeu1qsjnW0PbH&xOYKA2aMx#25Zm}j|r zJAS(OY4u{u*d!IL(Ex3Hv88aIy@275(~`Jb!zB<)#8L65DNX{4LupYC+rHo-EgyN6 z>mt^zAhHFyo@wXpo}67!PcmkWYEt83g?Cu=V5M1xJt{K4t8+&;e6j8d1RF_$P8e!n z9rSiMH2m91Z_JPq+A08wu1E+aJ*e|CuF~cSGJTf(vyRn|u{5sa%i|iCmiM?Ke|D1c z?6=+AeLX;t;Z%XEPQ(vq#x&65Ep>sF|z-&`^=Ed^fY!$N0-0l7z<$Aw)B5lK`MQ#ce5p7 z`dKW0twa6yjnMxE*xf?^{}Mm=97UCCVk*Ygpv2Ui0Z7U%{nuTjzDu5=yN39upyh@~+1}Cbr#E3x>6(f&C&YgKM)|-n0uT0))^^_d3pG zq$y9Tx^kd$TsH1h9O5tBAeB%FiI^1Q(L$`D?i3JrGrgSE920O!^`A;#Y};pvy_qyn z?w?^3f7Xd@~seMWre#g0&Z8mu++}OW<49Z!SnyBS}0>UFEdYQnV$B^59yX0 z8OhTE-rHkS4To;g^JK2X;d00HKfe2T1qVpfY3-^bP|6&$le|4gHOvY~6L+$6)nb#L zsX1&G2SuVL!X`@**-^-fh5D?CsmUX-9Tl=>ZfP5lJvoV#P~spfDfB@qw+|=G3Acny zR;xqg0`H$e=tLYe02$G^3(E@olhzb^r2FhZ7WA$j8Q%PqDfJyL?yoMoa9=t*4$mv? z>Lf?O#IlwiwKS4fAy!JN_w*RlvGufcGMDn_Gph6>and76gekA@Kd?xQp?M^B!EyyB zn1upG6}f96>>Nv;22@S3)}4cbNT&>aIOeV?b3Su8F)UwlE{C*GvUreVe?DajZd;Hi z$Rx0>6gV`jXPj13Ui+17C(pl0adXdi>ff$~bd61k%w}pV?#oZQQcDfIOhbH?*#}lR z(yLF)&8@uf<0I5(xt~;gMt`iL8?%CP=}&VYTib2rt2VKbBJ^86E1|lqhQj%YNeh-| zqOR_uV<|J{A6C#(9iCkWnbv{`d6v2+(1Jqv;`!O{47{##FEa`=LX_2?>6-Ek{|m^k z@oX;GQ-|i+SmhoL67<`ZKj6}mvdRRwA+7dpu}NWkGbItH@sQS z2}RD+@XgWuq-&B9A--i+3nhfq@)c{KniBRXlao@ZXLz{;HG&mtNLLx3SRH8g7=H;a%Q^9RF1uWB6G)W*haO|qB=cF zUSZx6;`hXku6&|+l6<~-J)4*tSUPt=vglpLU1G-x56f>x4`^da#zo0BJ1o&^@dMHV zWY*&&rJ}qffhWlA)}JHA!}-y+C2lkk!`K>f76EBV>FLcAB3oD zDz@F14kC9c3p2R)r44(l{yQZb71`wjp{zDM-mJFrw+u($a9MulnK9x)RX_Z{DMeq& zuepRWoT%|XD_GUxkx@a3%7&G`;NXc}17_+j&p9l=FxJp`J~kM4HO(}*2)jsybQW`! zKnRaKZ2bKoeIv|Tpg0`4XswF7F|mnk+dwH7nkc@*y&9w?7eLk*{I`}4eM2fuyCPvd z+v+CvgK3^|rto~?MOk&zwD91(mIU&_8Y=Rua$C2}YT3}^@4~o-Z(^O(w6xFpWU_@; z*=(6f0FL9PF6Hze8VL~KmIZLywy_irOoWCgKwz8)9k) z39xrsp4~HwL}?>klcp2B)&w$~SdTtr978}|WaI5Fz{A}NwuyP{5C5Jo=SKd!YBlCR zyl709M294}u-N~rLwb75dG3MFe6M*AJU4p%>VQv>XUGkojXozlmj?K-d=_r;+Bhfw zkG{M9gA4Nx$Kd)L{*bu;t3@8$?k-$)8Mx1TN$8lr`u4bg`HdGoec`j1rEjwP=PvwV%s=Kxz%`7u{#^e0OS4B0%}d;G z4qb}UuI8l~51m*2Ml+twIsfgOu6MujdbZEDWMQz+mQ1fBHIAi!^gYP4vrotQ_7M2= z`W)>ZgU5T59v8JW>%5A5F7$pSQ8} zqI`w(rN2p^#i+~w_U~)12Jpg!UNw<^>&N^*NWXez%iVC#x`>9-h&l5ER{tQ}bwC^` z36UK-4x!SQFY$lsb!bz~ltjAFD}u$J#uO0GF^+ouJznuFwqM6NMmz&pr3EDVpv^xv zy8M_n)fcDJ*;}3`&LXm(oqxep6!_}fz1Oab&gC_K;8A-P2yU|bkkz7m4v4+P3bdCZ z`;ed9X`nkDnNTW!T3b^85294o-P|y+Iz#mDM?YW~{7WQda(r3+^ z8Hj&Ep`Mqlx4zhYcLq$}x@nqkpPDKC|r|#d$q;ZBNj8>10$3e zi0>QRi0wh$b0$Cwip;;n+gUN$5j4<1jY>vWGmhFsgjok`K{^P6+g9QuD_&5N6yAvwbatQy@TxN z9gSR<`#45PB}Z_!RZjnO7(eT9w_AfVBk!MqFj%OHA$9ikoo_jkyG1_*n7@F@rh)gC zV!UKUJdY`;*maclQK@T{6Zg2K@iRBWe(Zm_5=mBgP6r3b3iTXy+tAS`m@JF!fpBVc z+mt>-YL+2EB+Bl2rZlQT(I%g2Ub+X9>R({zGe1BRQ9}Qh?iS&=>OIHNB8(xo0&|Xa zK!bm2;`JG2$0a4sihA7;FFYr<-##z_F-hOUze%qjuHJc(o#axUT|^1Lmz6I(MTsSn zaynD0_HDLS`%k88-mvvBqNLp7eS%pom0PsWu{DK~RbZf?Kj<-_s%07~J4qc=H7Bxo zdp436*4~a+y9=_3A#>>tO9A)N#vsk2bn#YW46X)Krw?JRYsQ1 zeEIIRW$hDjSx4^IDzRyjv_TQT1wu*sbj^EPTnILUlxJtfYHE8b^IAyz(W zvBcid9~;-EYEia!SrNnJPc~$+h`gJ^+~J8gn=_Y&TBe!jFUl&u;9%bZE-@JgW(&}C z(eTDhvkIx348b`N37EU(hrOFs1EnsuYTQG))nW%Tw#1KQxAK#Lo}lkMI>U=ziUA8) z86K?`3=$NNN?N{L1U~U}|ElZ}N+5HN*UY=nM%DGSozvUYcg#arKwyz&;{`uvQsp@F z1QDIm+7KBz#IQ$jrpX}3C`8=On_AEDBMDB%;MnBAMqDi#%iKSsAL_hxc>N_60dtW9 z8fq%dX~3F3Z7%mvO{*n6@=}*^0?UTMW8AP&T7Bv4w4$*0=AI9L+6hjv-(;VG=e2G4 z=5F4=Di!{KBPQL4PAm*}ak;_TVS4v2Jv_iDUrGmLp9IQ4FgIEj$!wjaqD*X;E15fJ z8!^+%<$#I+BlA@q35$Z;HrRNu7fPiN+>!G#kU`I~p<|cXo7=3K`$H9EN8wOpS!Xpa zc09-@BbT08iVUYE=hCxX>W_m4X1KeH9y8+DPp1VHmY(7j>FgPKF~9j9Bk4=xHqPn` z_Z4KvfqsHP^AT17@5tyoj+v7A3X+&A05+<(;zW-6FDS7U%ZU2~{{pa$6y+};7LZ&S zNGI7E^xVXf?RVFuY|JsD7$Iq;@46f%>uPf2ond>E#rNBFIw?LLoZW2Hgn>xj{s3!^ z!HTaLZ34_C+uL2)n71p2tCPblBaCokFZDtKz1xfc!^P~Z(po+_yQ%NQ3Ma)a@YaW( z1r#y=7RB#dmlJz$r0X)1Q2J20^V@xz^2-J*_4#A^gyd*IIpHw#V-G)Jjbhd;J}nI=IjV2DRU^W|)~c&vK0yti!gI4|503~5H%ewC~t z7^w+(9x%WH4*T!1B(#?af?G-gD^Yb5C@RXpIsyUQJ%N_lu| z;#KyKboH`EI{Y_deX)_?YDb|c7N)tz!^-1}_vM>_ z%p@%Vw8V5|aVX2ixx^=hy(WAe|9TS!yc&rX8+fo zdj%dXeH%Z)YQxeuK0B?oi=}>Ngio=-xR&-+tMAU%Hjlrl=Z+Sv{vf#R)%;T_lD)!EtLsL&=DW1T{OJ*9~!!%>6Uk%CYQ69}d{4I()>% ztyE2>FpsV?(Qk1?h!!)F z1Qq8D*DN0S<~;T~t}p1;+yV3FSz%c(_xB3MyFVULOO$8BxN;U`7Hx1Z{X!0tW>!e% zX%eWkkwHol%xgQvZjSX^t>WyivbKHP<6i9YLi)yDIsu>(>Rv<5({xQFcWn+5EBI_i zV8;Y3eqG$k6dlT&%MmHXINHK}T)h5B47nQj;8&(I=VbZO_)Ng**eI7kKh2bPUW zvTs6V(*?rTzzvT}nx(M<$rpt_Dj@R7ewQpasNyYIiDnjH$Rnd9OrdQL&YnfX^dKH5 zmRVGGBQCs2DVwP4wO8x2suw#aX-V`@MMqP5f;LL0;hb(_hf&fI&PB!SWMKpok4Ewy zCV^$>+NjclwUMOCG{Q4huD;_Eh4i+b6Op|KgAoLqTOCzL)*vL%QMZ+cW=pmM+@|9M z&7VXpJSV~|I5?QMqjG5GUi&}xh=88yvj*JEPdt5dd~O8Hx#>}X zk?=+DIIi!_f(O37Hy5p5>a%vi>SttuKlr__TeNaNN>=gxtKRlfA4S960_4-_D@jJA_#x1S&GmUBe zLbGFL3hN&H&&HSLkG}K@YY97c4VeK+jq18}nPK_QeYtY)99aSd}t?a74Db3OAxI}6UZ zix?Aw(BBIE-_*%E()yN0S;hj2Y_S&S*lYI-w8iyf>+;$xj=9ayJZn8NKmX+Z z{+;M6Sqv)obP0p*->h+Xf}hIgH%ghK*_ruS9TLFZI@cSLAz$4 zR_!zPs(xpFE$xvz(p{%J|M-`;)#CmYyeE`T?XD`b=3O*{kk%yKF{<*@hjd}E#rD~oI+oN1`16Y;KYkpJjZ zS_Hq{aTQR^HhlQci}ugBhKg7Bj-JbDA@o;#Kvnv}uULF|>82@}1s+vdkd@kStbNzS zc=h6^A>HDX8|p^26e%&+Ey_n#&*eP;^{JroC&Q-m)Er*7EP4eWMHub%ZB42OHHxUZT+PruEvG&hJ zww?b?*#qGB{lofyEX;J!>zzr81!Ph&-RD#>(mopsWS8TT{yk|uYO7s+) zY#BSHd>Dh&SR?XW8jLy>?St{hs<-UOUs7UH2)W|ISFGH|Vpkjf8QkIs_5@w>Oi*%1 zaZXEzPd?0O2w_a+P|1^>f(JR}U&vzoR;pAb;DM?|t>l{Eg(M_&{AT25_@8JbX8AD{ zdVLE9)?czDLBvIBa{#bSAws>{Tt${7rpzAe_Kc85yxdDi_k zmfjl>qGwH#lpRf7LhG0vv0U3eeFix==~w)lwjR%Rpr*vf1yvsU^0(N$2-nzzJAF8;$jr$fn6VXoSr^;y0tIAI zthji7lG*VurEQ!NfBjGM!2-y02+u8nt4~oZN|WKrMU)rRGD$+Rns1n@P!GetZp)|7 z0E?xkx>)c~ZgMPIK8(;TURYAOMZThIf(aZ1F{VBs0a81Q3>rZ9al>u0z&D)URmhD7 zbtbjQFF(+6-DNeIJ!KCxND|TFk)cxOh0HSz`*;%dvdko|{{$xc!83&~DMMsxfrB)Q z%wYD>ADdWaYCFW-eMsHy;eQa7%=jyq>X(KA);H1bKZ;YIMi@rL)Nl!Q0Z}i>5HwEr zgiDc&zA#gmxjs)nB;AUs(W)iZ+ox$=&FML2<@MU*;6m6gI{#Jj6%unT@~ZjH6ywNu z%JPNyu=nIv+b^r=C*1{^57p8TQT5tMSdR?mRz-=H6-P_!`&UnL$Cv4)ZY8t)sj`u& z8N#gfPA<(!Z?9C~^DX64H9tFp@wTRkbQP^IcH?%NP(hX{xp0I7cnrI89BO#l^c{t{ zuI8k$pG7N9ICqx?{5}{KRQiGTV3rVQ9Ds;JaQn1RFi$=Y8FBuLzv;tnF(t_iHa1+* zeK9Tgw7_8KR+*GUq}i>MJtY0+zhw2YS%mlkoYW{+lX_y6r|*uBn9rQ8E73H!L)Ce$qPPF+_|c_dV{SWNTiT4WvoM>F zC~1pH@F?+ZXNuoY3ZVeT9kX}7W9;~4UT)(cP|U1UirNvT z?u0AD3S63=4_X`Ea_D-%_0=R)5iSif@6iA6LNkH>{}24&6OS66HhtmwK;>&4!m}-$ zJ&&3Xd&{PonrKzpCE&5LCt{l`J!I3`_8!y(d@#4@^A#;0-uemg#FcY5nwv6CieJz^ z?NZ^m0KYSmAh7w$rc1t(U$@)BM5Wgn8F$S;eJKCATm)fL^^S8E0y;2orltducj4h0_V-2QLEMUKCjsz!s_`m8&&G z5mb=)tovH+dM?_Xp=d8m%O8#QZbPWt<#@u}MFFzjI{oPeMnJhPq^d>caZUikrQl{i z>*`n*pU`lg4}SqGwRoQjeZG>z@pvrD3e3DUq@xf``S0K(ol>4AF|#&uBki! z{znLbTGTF}wFR{bqT=$I*47Ftm5NqWn2Nwh5SVF2#|4>MfkBqE%Ho1BwFGQUNGpUT zYehoJ64F+-;?2ENNJ3@Hr>)2UhO|hCknMMFoSEO}@%hdleLT>qjmgcu@7L>`^E|Av zz*NLL@)i2&;@Keu{R?MO;XTbgn>~AO*xfW*dDk>v_uHq3Z*^^v5~s2DU>6UVc3l}DR&v$l-T}5kEK;RKm68>YRY@~r zZpAU!Y1ya>@YCbiF zkv@|b+MJn*b0f_DInAm{4b;A7Ign;aDls>he$tQ?(#Mb;9nHRqsbS=c;mpo3rj6mVXAOX#2xt#iu7bxKDK|NH++e6b97=E5F~X3zF8H!2s@GoTnGA;d+KN@qY7sE^Ni(^Q$+39)CX{m;_Wk0%%W1;LR(bvl#*6l^ zicrUC9joRs{nd>9?^#rTKn+QhtD|ss^}L38HM<;SqW7G?S>rk#I(PI7zN>f#f6-Zw zFo}lA=`{~7-RqggG$_0ZB+(1+M?A@1QoZ-vy$GH-u+2D5eAGa^b z3SBPCFY-TSy1usM-RaGH{_#^sA$jHQ(&Bp+*V-9A2d>>acI_=IW7n~wdoa^&EU2(D z3abk5sTVGlS?0qgs>}r$X5n%=p9;xu!u!aUJYn^c5Fy=*l)|-#ZPP0AYfnXiOBIPu zmwl(gk~eeryUv*pP{P{%?sM2-#N2@pO!KLnYn_}ty6}OA~ zUaR-d1~OT(=ICWiaa4~7K2D-y1Y{JQ*T9+ zpKodvlBhl2Ds(;NF8N2tJS13Me!lwa-s?=)b$c&l_&)e_Yu#e-k)uuD)Eh?gHpY(G-HWLr~=)r}VO)AB{A zC}`@l;in7AXq332N?B~ZHapk!!K3`M{dX^p71`-XTx<&8ypWC8@s3=5O;5VN$9cP+2H*>aC{ zT;*myA36M)l9X&nTFz15pEyD+U~lpoPa9dHSBH&F!RW)~S!@Ba8WK`Y8h;2(F{a8aty)@J1 z1}S-~M(GCROfWt0vo5Zn4a?QU7#O88gRUKv@_SM+GAGJfItNN8sO&epBs*4rw`uh2 z-zo7Agv+$9yzdWWn_bQAs`=E1uM&ZYT4SGB&!Bj3@0)GtZQichNhdgEgRusAA>AWj zAgUavOscZK^_l*OMcyV(u!9d2kn78CImk_1o}`o=;P(3GWgC=7^}WG510A4?aMlgf z@2b@zrX|B;_uL+fZlEo9tOt)#8GWuWQNqVfeX|Bd-uIe^+*b#Xi%g&W{+mZsR1{Rb zk-x1f-!af(NlT-C6x6A%$23E1yrW&vJHYX(4NfF$JGyU=WM9=Joai0CV#0)j(df(7 zs$-|x*virAveRfmxaOtGRhiJKgd$p6OBf!0#!O1osPPKvOW@F2xiN0!o1jhF z*SUVIaY~=N@2MA1bWjSH_?zu4?0n{s+XJ&DyWv#A#KSN9&BCiUR)&^1N9mH`Cs18$ zlo#4*my*9FC*OVcv9%{UvrF{z3IKXG5K_J6pVi}c@`Cos)Vsw}PFJn^Rjvq15m8;H zyaP#>M@hu!4@sSo{b^luk(67$lWTM>)GKN-gH&Rks#AGz!O|qEvYHlR&@JTuzEVs`Uz%(x+6^0-x7_PniEK537_^6GqV;s7b)g0_ilqPoJmMAB0i~AMzQE z{erRTnoR;%@NgV=t)3<2c%tHf_20j!gm44&Me`yN@_hXi-FqTMMP-CJKU9yL*v^=> zSqE5>^<~u$qR=H`qE!R-_B+fU@%7(_TgsO9OZ)}Po9J%UxkXai8lC_@=qc?>FoGre z9Hs}RNLrL(zwc-a2J*34Mt&5B>p6Wxx|VJZb}XSmt?1{4BtUT$ra%|53P7z$ z!!=KvKU%(EjFL5t1Jvu`T2ef#r#_2R))%DOPO(VQ?JpmV0(gUwP{eIoK49#E4akm;st$O*)*zhY3OU@v`svb$K2; z@HPZV?N*IJmal9=zBnwiT;2@Kktj**J36IU$!TMkQP4r5ne$P%nnH=zZbA|aN9P8m zWrh~9HmNF<%MPRbSJ>+?R(4)AiPztPtNMZ|le5#H4MnMM$3Oyd@$#&e6hP%Q1%*11Cb+ z;*h49yl24|Vo4gPiW$(3PC@U6QARR@T)I(kWLqK~md=r%yYPg`^?Ux-5z&6A~;x;$pQFqp01WVjNh)>u5=Fr>4Y4xLM zCHGi1c+a<^LA)~0a07J%2Ii0R;ctcpH65stb_gVW0f&gUsUxw{DqmS=#j)(OnJ@`Sf5i1h$;%gIk zxFLxnl#fEETAWPX}sYnH+t+0m{WNkEO!3=CP$CZaPC>LT-!d?WXnP$u-K$8w4)JNB_1Aa`+Cw+ zzMbUa&DgPtcfy##-OTSN=DO3<8VZDGCRAb$)?uyPlq3R+GK0K4C_r8{Xgy4$m@Dk( z6Gbhxcugrv5j<)3rYLMm+VT`9Q$0a36WJw)JVTW9jz>D}b;wq_!vNznZb_o%K6+nX z^1;v?N(17P>B%uZnI^{L<_?Z;JOa#;nbBYRY3qWN(_QtjcE~0kcY0|@zSxO@ zJyDNkfL~hhMIp*#y-mKb7QAS z*NY=@w;VQk^(>O6P(lN}7`L^(BG!0q?ZbCoa0r0}&3FIl0tT~z1|H{_AJ%jIFN~ju zE5xep{ReuH&!5jZmD%#LgMy5E1@iS$fxh5u;>0z}+`zyR`xvqSP%G2)=t{W)-fk5B z=d}+LZV={~xc@uhUI$9Y$7zYLizoBt6U_B)Z^&GOnE3Gk&D`C|DE|MMyJ1dFE}r-! zj{NT#H+A(l!0F8Mr-e%=XBwyD#+X0P={bjjnG4y{lP2oCYn->*X<_9v^ESHqygTK# z)g`Rboe|}>cAB}zcN#Uie*sD7pzHPE$9vrfrv|rTXumnJzcYVe6sMLj7o1&i9Q#gh z!1n0!+Y~&rBY$HMIB6)=z8UG4UmJG{+VHOZ4>sg3t;;)@{zbNJTcTa}M?Ud=ac@wY zTbz;_3u_}2nprU)HH|ZHkr_x?mFfX6RuNIruonEY2;4NnD zI_FU6*@0`@lUBNEw@Cm=7 zNtSWHyQ$Z(EgiHz^lC<3QJ1+|_e^d-4?FYRc+I?rxtd~&wNqa@ul|}{5*IS^P=%+J zizc~C0_cvS<3YQaki0dnk*k*z9%HTXePPxr(w*v({qVAoxV>iiEkxs#x+1h_OWC<0 z$@lUWx8}Z+uDDX3=kpO!MH@czkLs-p!d$QGz}KNms8&-ofJ`>qy<_m~uWGwQn{--p zMd6;?j1#s6(_W#D#85n0D-1=*s{Nt@Ct1|M)`GG%SM|s?zv1tLd%twqO#m%-wL5YN`eMgsQ@#-G}KKL3U)DKj3pS2v$#Q5Q>>EVbv-fC5ewqtZK z_w8+h)IQRF5hk;iw$keCk>BY7!m#Fpw(1ji$Qm48jZC^?IlE<+)_%6bvc6zx3S6o5 zIU&Y6f5I!&JfsrUdM6<$a)GvjhP|QG8OYjLT3KtmD24RH!bm7RhmGrh$W@V0@!=C^ z#?vbP>n^zZom`&QKtJ;w{nfyR;4-}-n9uN8i8h~4UD4(9FX0|pWY;8oDHb{XZQL~s z9->HB*Q}MUPmo{EW)`1V=p8%Bb@p+yLrfyZyYyZTW3>OIwq zb0-(qoSOG{ECrlr(wOc?Ut4_PrNZ1rd5b@@ThZ{G>$TP@vodxD+UDQMU&p*5ky+g= zYr+=#^@Z8zVR)XK{Y>lA?mB@hL?;a{7@Od(!8FL#@*b_8E|2t{m=zA&ftK zu$6z8F5IbRyeSEoa4jC-4?eCUYNi)>>#IHsqLrG;MH$&%TQe&e>u9A02qleQhV^0l zh2;903E%^hB^v>V96w35eFBW75T|uC$_koH1+6kz5(nsQ2L1xw-PeC5`D6D~P71_3 z|7Y;SYJ`cm`QQKB8TFg@NHv>?ze7Ef`nhV6ph2CO`jB`wsNqS~=Udd$$>F!VN$K_F zytC>w$oUm5>-g{PIag{@>Gcpx!+pzd`p-Ih9w(NC377p)AP)}NaA*DuE_{a zQbGOR1xr)SxgTW?d3fJcx8p;wH>;%Jh$`AIXIlNU`f%il+jGp(C3t_Vprm_p{i?q{ zV~u#WuT)hRwtt}slv^~*=69Z*L-9$n>NfsDb91k$*6~y>X0l86ht)su$*<=qDJpMf zO`OFu>5oj+&0KQ6IbHRR9&q9Q8zIF$x7Mrb%!Ii0qrswh43gcgA&H}kt$v%Ns}%q? zd0j#IB928lF0k2 zTiuF=h!ho{<^y5bV}67aBJv3{NWdZ-w=RCkHXQEc`)J5cTfQt}OG^y=q9c7+5y{#= z&NOg6IK#{2;W-U4_=|~q>0d7Rmfp+QpUwmxIgXjY^u^onqeRA1{^B6k89zVJ-vv;* zCz=z9cNW(9!`x$|J6M!RuU%o#aT@MfkzW?JbmXR%D^5P$kNtLN0&*4&4gHSImsV4$@6tOaK~v?K0j0g4gc9QNy-mS1e38q zrQK`G%uG$_JUh09s2QPdq4{oUUn@}ydUX#Ui)l1-4Aa#Ya`pF7g3T?0?UHqlBx2je zK14YLPdzB}v%^kjWCxF%bI+#QE*<=R@K@7Bnd@YZRG!{qLC?TPrSoEvFFd;?uJiru z#lUr|#7DUy6jmUaguMEWs)p2!)E6jHHj$zX?TT4B@eiHuFcv5xm`T{OzZ#)UnasHh z{RdQ6fTwK4G;$74M_Ps~`7^J97XoK=>+qAIxE@>T5c*DJtejVpWD zWpC|Q)dWO|z0Z-F#rLS5?4dWG}f!_vUp)FwqkLP9w1y}*o91}m%T4r zoi%wfQ~N#iS9zRomPS|Fk>7jl;ZrV)Bn%bv<0(eks@i!$itjZ``5!}V4tgeAi0gxg z$&-8pq|ft8+OWB+&yR>f)MUg_bEyR!CJ3EnAaA14S<&&t*oxxHn8PJc0vu6?1?LeU zPa$d?HNeyhr~wvH?EhT@T>9!6JdK3%T;G`cZ5Pa`;ix6Tw!(PLZiQ`!zpn^6jp*X) z5r_5Zm!H$K$ZD(E{)-=+u80`0Ns`$=Tl{sIP7{uVgirSP;|C*g*4Dl~5^()LQ?Dos zf=85v2xt7sOi)&B+(0BY&(5~w*1;ErBm|bcDb!I6OG~ZDZwtXGUYA0)@@P043b_KT z%OBFy5?ulW)NK%e`&x|h0C5csCFHF{EtpazsP4&8+QE%!{oeFhg*Iu=X354;=zk^ zQQ)v-Ru9tGscyo;n!G)4ZKFGDvh*^&qc)lIzH6S|^pdd5`R2SY7Mgw>Jy|7AXd2=N zmk|;+_zu$smGm(M2M1YGjjZI{;4CSfDo@B!aCQvYewoL)%oP>atLw6y>;ck5{B}13lc~Ku%WHH5L%F>bkv>1)B zI&0n}tE}ddx!-951-s3>V?g_^eYp5L{tl@O?TH%nlZ(!#akPO@_SK5&!PJ0z;#KC3 zCnJb8z^VIJaN_tS_|_pPf5tjcxiDezz8F0(h}WQcipiPC_-->pI$$ z!kcje0pWY*=YhNB2h}W z3i2a^q?;S(a3hI)TFVBUcWqWn4xQGW%WlufF1Du|w0Fy1&mDlzL9Cd>p(Q(UlJYav zN2ciRBjdGFlAy4D^Y99?z)eR0=ufcBia19HhtgmQfH~comLJ-f2`^IiY}=FkZUAB3czi*B>AYjq1aHw@K#U-!cL-QEh+vqq8sA#36s+chdq_bxa6T9dA6(7pBWzt$D=W^m!qncIZ_ zXIQd%wPC>czoc}G#d;QJH$r-s@X5#-| zSg?{m{*Nf{<|qlG?bc6Af)+U~p#i`PXFi>`>S;;PG-CsE#i#C!#f+Wn4nB5EVJ7)9 z-Ih8PYnX-a{qB19pt~#c2|hI*gIOEBaOIXM{(_Yr%gu+DYzsAbT<3d+NKd#jrJ7}i zTGR1gebTbKUgql26J&;h2L+malVo16E}XF$J+}EgQEWcAed3Tu zXyVhcYt?l)d2!AU->?h}!yT9Z826{kE=LP}pL@)7-WyW${^g_Wu%r3MZ^klKB(;Yn z-C(Q;*i))t?m6bJWfUrsU(_-$3lIJC9HUUWt27#miSvhkiTBznPkt`JD>!u`JL!;r zmOb*fBY}J0e(#OO)Lxe(v#|C~+|qk1%09U3m)CS~mz~ySH|#93G}$U9lbRyYC+Rbf zdu>+n;fM5o@^j*Y__n;!cI)JpE+J?2r$7G9(~+*N`eu!0bvN~uCSpeKF?w|k(U)UT z?-@V8s;kE5mOoMJ&3jBTrJG)o92v>ueVMbLtZBB6ob5E0=Q_Wss%f0m_cHg~Bx~rI zC2w&8^GAclo3^I4=Vj<}ql6wO>C^)dY(}qOnSH@2jn9!}@tSbItn6eMKK(Lu3bN*e zF?5zLKf$G%_@C(>G!vKiaUuN)W&nDce`U>cJ}&dt%zNf(ZSTB=|AvqGTz6XGfR9Zx zyqN2sf5tUz#X2J+>;-qmX6GYQdl?=sv2<9w)-8}xc=fScq1yHGdra51agU|9eqXS( z(tY^?@8-WaGdttGLQv!5ao#6s@*o}^5e(l8{Pp~eshgF-zp*{wNo@^2@3PBc^vhbV z=SH22e|NGENYFRt$#xVRaGi@Nwp$ZdUKz2~XFh*r%4y;~`-$7djOW5EL#vqUsu>YQ zR^3O_Zu@(m41XKo*6To%{r=j>^ZiezrXpALtbX9iEE;1pgnLBlel$;dj(a@b=dn%q z-rV$iV;;fdbY}bWpWeCnXq9I!OEsH&s%3G3&F9jgdH+Sv_W8oIO!wkpvhcyRj;+Pi z=oNk8wG+%e2TJB2EBcYPa9nGLL{nAx<20l2W?_3YU@hm{4qH7$bdf6C z%76~?W}c+lD_9VXJ#)lFYla?dq}7*X`La{i)mKg9`&|uv)_HJ?o{FSyqhHlfHOY4V z=(jUSeF$MhR}@=p&B+Dd>Os5xh+K1=bZ&YLSDIhR*q8pU4_%5-7rdt8gsN|C5>+*x zPPplgtA_~nZr#?d+J`GDW!r0>+fCH_+|mz^*i?g8Qt#KWj-V4%B3;d04_l1I{oE3pnUndR@$NUCO4EnU4a zVVj@TvQ@Ymod6_X?0dmL+ZdG9^QwQJxAyWx&6y0r76hn6EzPV}R2WqB)QF~EFa zzai~8lMyerxkZNB6QAnqs#+s_d%{@+6}pQ$i@#p}Mk@PRdj@C4K*!OKy#8av^2U9i zUQ_@1|K9g|oZQ`9uQNTFYZ>mP%r{)zOPH%%lIj_Wj02@EKCZ6c(|3JGv)pDUr)MAF zk9gm4*I(=H#Bg$2{>g8kzB|oZOK;{}Ryg6ty4ta^#=hFI4}RX+bB)U@*1hvya(czd zd8KoJlgr{B2gn>9a{#>6&NP4|_VaVbI;hwK?J${)) zV%}$t?aA^8-VGEx-}k3iZhGI+czSo-yIzhkE={_^^l|sj*!A*Xr@iyXU3b3gw!GPSSLNg7_n4PAg)ui=a{lqqr1vX5 zuOljwUGKW^h52(dk*WUog`1XaovdIi-pW64)t5C{{hP;Y2Ulk#hPwD>@O`sv)dr6@ z`u5BY`8Wf_fkZA(zu>L#Z+8j`EzRfy%fH*3JCHb8Y!UX?g?-baFbu+9-BtU6|LuX7 zMy_Xc619G1tj5kl9mU92YFo$RsY>f4;q+Qp&Doc45mkk&E5o&igChI(1kcE9e;d4N z9_X6?Ia&W^cTEQ&QB`fFq{$2)g8!Q8Haf!7F_M#Ysm#lIx>$Mc^vu z-qp!lyF|YZrG7hl2f(zxqI=YnXyt|%1lu%w>{S$hJy=te;12|EmZ*DE#it!Js;^Q= zkpp+o_HlRNy%JY;YZUzX+D&?V?I76CB~v^{PXb~G2=Ac!EM?IBF#RPV`6o16TBNiG zuXLi|tEtY9#o?~axUaAexX%gDdCpSiD!CC_d%6r!SI3Oi^x+@cq*0Be)A?srqF)o+ zvX>F!W&Yn?xYP2a#3Uo>UHCQ=$xP<#7?s59J_QDQ@A6x-oMS@BQh!GVLOexOBoU&F z5Ar&Yz`#m?xoemd(iwPYj$&rS_ii^3A`srP#^c-bVZUmI zy;Y8N@_DXpL8xWYh7p;Kt`qyiM+LW~qOX7c=QTUr>!O~Ug|^n#LDib$Z9$E9IzE^N z6YYdaRjDN1N2kc>v(}Ct3r9YXUN!i4^2W}aU%dTBKvx))>lw1zST@>&6Zk?H-V71ZG9`oMQDbv zkI<5$oD{;7_UlVi1 z0~tNis^`en$?f*J(_KP(ilyJi@`ky7Y{HIn19>149lWo|?){ zu&}EA!bH@{xFg$!9N$JM_wA@Am}eBZXL~0OxGQ5Fcp}W#f5lS@*@$t}az(&0KG^LGWO1LYN9rYfd!8pR~3m0@8^m#I*! z?8z3++l~MaRYA!e`99GON0kau5y_^*t(oP)G+Gmchp3zSatqm+I=5#Qfy7#VZtt8} zefJ=5kG*wLL$_Mb4f$nKJmt$Y%j9-(ZfG>HWa4h*T;nLwh>3{X7YBs8Yq1VM!w3M> z7kHz}I+&(Z2`P~U3qKm!Y$k-YJ&2p=*?%6z_e_JG%s3r4F^twJwY%+6ad1}!WW(!i z$p4VttDU8PfuD(Gmac5{$J88Cn^k@zc=^n#5%v$?+KX`gH;KxR4JGHjXz!Qjr3@KH z-!FTV8+z7O;4SUG5kgPbW^02~n}{8sxvnk$P=Ar6v-FG=yXhUlsvnY6J^U%Ml3uz2 z#2N!zARUi#ASy^n{M_=x;#eTK%#(wLYH@T zT+>u+F^DP2AYyVhl3IEY;i8fADJpTx&vxQ7m=yv~m7hp7Q>4Ek*C%^aUZ7|~;vhV( z;gW%6&fl#zz?@Y_iAjUXYgA62kdY0klU9I#U=j^h@yqZUO}w2HWQp|ld;@s|o2}re zI8KPT7sg~g_o8@ub%vcbqhW=aXzt7%jSP1-Vz(YMdF~pp{{~lfSkbJ;q=kPAEB=`D za^h?KgPxuYu2(a>BJeSBM|2N=2#nIJ#!m>T5_QVpXLE9uB)wG|VQx@~2Y4v1Jb8x{ ziuYBE#2e9bSb4_G384u90TiE2zk~JZ1GKS{s!aG-h=;rUF#VejU%#>;t=>N?$4=*+ zcUdOSWP&@8l9gCE3rJqxSze;HNs6A)X1zRp2F-@%ZsO0Rfpi%oxgkNKkuSV?oRFy7 zNI{H})xsQQvA8cAurEiDhjTm%$s8aF+b*7(;I82kas`DF%alB(5|RUr)U{CjN>F92 zlqh`w9G3{%n~Lf=2S`R+oykw#qD1?)xR#!UWcqodsjNmLisI+ap^ZCP)5hHt-;m`v zjminhS+RE3d=k0C=+C7I!a5W|N884mroK)u2D~wFlS&Yy9O<$OVSn{e*v>uwq&bh z7~wzkoX;LYI2=pR5l3x+`fgdm=?sld3pXfz*Bp?2CW{3!8ZcAwXtWw50YpE62{2B? zcCd37KgRJzpd)JnV^Z1z`shtuY-Ul5j76#U#KftDwWnL&*S9AsQvzT&C9lgf?J#!o zf?^IXT8g^n+EMTZvWJ_mDr-H%(eH~nPV?jsc+d^bbyl3)#Og^(9j1*08I8Vm1n@Ron)HUdCZdj)6x4{)@9>dODv zalohJ@*lfD^`z`_ejZx;6(%-1i+4G{W}LSI$808JvnONE6yNm)*8|~h#ldcu-HM$b zB5*&=yd26{uyn1*@^!y4UK(2DSB?6~YS+c9Ltkz{eJ~K(l3UKce>%9HckYqvvV#F_ zzE}GkA%ZcN{jQ;BEe6Ibc$%~}{d3-~S+AB`lU&zVA$1DUE~FfqEwXtyUHrtpbl%Df z`JX~+XS~jMG1|=+mi&08es_fOL`O9{Pd%v1~&Xm5SY2!;_J}OQ3 zruiR#{??6(4wujQ7r)vIe~K^pg^bg@lzXd!CuI1q+>B!56GxmPzdqKNrIGKNoinG-Od%;{j;CZ} z!1O!r1g}qY6zKC?-cy4EOvw{UT(R;Yos}M4Lf_-2sv8|orT0It>05a+hH#6zsSDiE z`XtZobM9K|F|)6%E6H zk1=c-=n9CNSV^<@zc&{`QU> z)U_kFDJ(h78b_}HzH4pSv?9bp9l}#x13YDte@?GD81O-tuv_@SuMgJ2pZvA|4_|-N z_D(kOBe&)I*&q~;UY+^&&S6S0IiTKOPfGogmh-jynm6I=fdnVM6pHNP^(xWvYhS0J z4;g7_hss;o!~7AC+OUO7<8QWK>RY%nv-29Q#-ym*W0xIqq?SN`(z4%2Wl1`mTq9cl zEX_dvt3gAEfjL5HD$$|wYqREog716h0C$t~-kB*UKv}i-m8sUvT2Szm+$Fkw{oXHx z;6xu$d6;yQ%#@!u85|(08#`C|@F|s`fvg%g@!itzQ$mFKVrDu5hTC6{L;1j&0aA#Y znYA-0YPc+?J2FX+z8D3Hkv$^YDXkUzQyvaOHVAw_xVq z%A`F2a4*jtDw@qUBIG z7Of6aPR+v~KO2mLH|q5Co zR_doUq~y*#8cqt~gKi~Dv%1Nagyy6)94Pa@Fqs+A_6dKB62GYfm(e_oCRyBIfDtQr zwq*F%vbc!rKo{Cr;M`X4vYSsiWJ6jY2kahCH~BEfG}Mf9%*J24aS-3oT)q~PkoejI z?$1`Jl=VdQh#?EN=+WV#ab$mcY@8c`1|%oTFg4bR{gOMvM>lZ49BpHx)Jde(@`Cod z7U~)(Ax*Rz?#x|hT~wCh%K4uM6or*H&Qoto=L!= zns7N1mB#UYl>c^LCMQWex1mdf&kE(JC+#D=x24Sd|4 zfN~OIXtvf;G}XZWD1)ll00X)bCoFwl6eX+%x6HtPAFpk>5`u}1PA24VK8f`{Y|JDC zfdSeeDHgml?H?=rvLq)b2$4oimpw+0=boKt`RTK<zOcFmni$ES08=C7d<&Si}U!s(ZN&3xLofMgXlq5n#R^e z17T;(`MXBPTPV@Y2>I1k#5@#SMq;-jXWvNPKcNANXh)JUt;Z+_~0CX6Tz|$oc(128!u>09j#(hT#^z`~)Ct zJ%a&Kskn!iKL=;Gqk_TO9$veFbB4~;()K0D0FZ0BTE9FiINP=yd)~9rwaF~08BXG|`+F+eM%XvG+KwL|mB&vfpegFG#QHK9S;dyOBeeh#a+9M$Zt(N-ysdyM= zf`s)_E4LstUV`f?W|$ikfU;vYRT;DHUPBq2N(#xILHy_GXcPL>VnBztadtwX*eatc zlo5F1#;L@kVRXM{+eLfRq0KGI@(=|!#!bfbuwv$MCFrXNW)ce7C`V$Q_ZqpwLbD`^<5k8Q3B7IY&S zF^Vof()!PP$Gd7BRSWQ95Hxy1mM;}N>Jr^useP5i%|^dLU204MLu!)dI<%5sKJGlA zLrGghCp!YmE*_<-*kq@7E%+lHk17dh^4ijKk= zc<>}M_3!iuj~zZ`1f#%A3CGTz$%uIj_e%4?G`lM7J8w4B|6#+oj2qy=+E$ z@-M$^pOC+EloLD>)Lh^mbD#Fzh+$8u73yRK0jWHiTTKl8U1!b%-i!_^xA$!g61~am z*u@8ZQjKRU4)HP31^c85)e)1}V-21JMN-euNxG0uxa|E?L2cK#G)<3injSN+fquznqB4uC%BDIBIMhqO6YP5GOiWHt={2)5 zRf=ArOeDX!DfDio_@U!95}Esh%^mMiZ3QutpuF4~894|+6nb=I+ciW_hD zAtJDkt5667jGhHapg~AykQq3h55hX0u=mRJ!^YwEx-b|>WjbDv6pIt_1* z0!1Oc8We88uVRY=rU(zKCDNioZ6@cn5%M8#T@)JbEre(vkFGQs>1sZw%=1ZYru`lI z@`jN)kt{uY1nAGlDgh_^0JewyNE^upidBw+v<8IoZumkHLANuI-entcyiOr+ zN$HI%2HUb6{A?(DKXD!1u8~QK^l&34MrGn0Lh0fI5(S3>5{I2xQ7~%{m&}_sVuhO` zCAl*O%9Rcyo#IgfzS%nRo6Zi3$X2sls!7QYl}W6f&+p4nUF@c={5L{Y_R>3N2ikZj zQmBYc5d}Dk6sXu*5$A2#C7Wh=ftz@Aj0VO%2Yw1I3ChjN@#Bz(=pcma|G=r;j;r$M z|I1rLX8T{fwdeoETU+hC;(zhhc72KWMR#D; z8oZYsdZW=g?d%cXw8t`DHMzY!GEY~X)i~(@K%SciBM=ZcRgZRj^=96%X5`BIUAF!6 zublX+(`P#h?WNlo&%JAn*u?a&X6!FAhi7Ts4ocm4F7ycb&Zo5_VNYldTl?xmuIHFN zC6=glOo5=%5y^TjW979-)9qEM(y$kZb{!34iI# zN&O?I?H5n%`1lgOaYj6NXs1wi3Rz4N6@!hP)=Uui71Zgk*Zq|?a@QVNqgnAe;WF7j z7&7L)f$X$TCwK8*@}+oJzj=|Ujr8F)4-S&VSJ|V(d98Q8x!6cn&9oHAl*KC5mwLtD zgD{^dYNT1MCnlJe542sFU=$C-Pqe^$e5UnJT*cJhg|*z4qzt@%)kJ;B%$dP}xQ^{} zi~gYT+-EIUBu9FM4~_d?GH+xaC86|-H{o;ML6+T>U_Qo^w043o0$q5*f3 zMBOA+n~Efb=NXmY>j&-1tvKBJ7kzS?7;9*&H>@Yz>^sz} zO~m|k!J=(C?e1vkPf&RpQ7n<%_iofpN>Zn)Xerz56-W4*+mrAXU1#nbCI!92`K!WR zZ|F)X(QBHwCKmbim%W@gw_s@+QHK-zM7+9V!fUH}1MailhsWibyCwb^b0=~1rZ><- z?HBQMY08XH3Fy_cK6e!!GWMo3ST>~;o*IX%Gc`4nv-N(PXz=qk`$SfkDD8_K>j{yb zss<>z{Ai!yM(`dV7_fvYRfT<3chWrs_=;#c2%G{F{b2MT}(nZzeQDTIZj*`Ba8O;k=U;|JGTUt z{Nuhc?6!*NwUPg@sYnl_x$pUVDtAl7lhm$J?W$Uk$-A7-~-l=^3x zhjCAaFgqzleUfaC9q1IWKrz<04tIR=QB(0OT~85@XJd2lM1eaUVOV#!t7ero4R=rJ z;{xvpqeT7gWA4$&H)awGUZ2hP9%(w=B^g$~TBnkp?n{_b)y!C@UfYtJyl;dOucd>1 ziWka2#fbylYQoBHKPYf5Uat^hD%8`1NvS~QC_p5|C#{QWODmZPv|*lon;q$wHDqYJ zC_J}1Lt`s{`;^8n%Ua%+3&*_1ghO_qm8EpFf3{zY6~lFri8laI^d{v;_1983+u7zp z$|*@D{8cydSpXm|$)wb_ftsH#A@A&va&}m_>M(u)!idTFyLl7%L=LTPyUHTJDC@}M z4Kzgb%(=0}iYpl2Bw>qIDy9E}wwkWTw85W}%z(F$O;m{y^`;LcSZ#(cKddDA>~Q}K z6YPnmGuB}3OzuZx#y9WTY4T8mN_q$wYFmG2UIJg9XHHN9i=M^}8AGf$F|m}89w7XW zlWx-Un@DLgDQ?^pz&man0UlJ8zkA9E*Tj19+L87)?D!XJd|=aN4@tiO+^m68@VJRv9>WS zdU|yh6^hsjmPyZ_QVF6mxM>j%__pRChePAR%RNmSEfFfpZb3?nfFO#hpL8Hf^ zR@FZNrIztEj-Bgh>E2F z*{DN~0Wn_Adt261I6I{8$W>&Un{0rbd%WQi&n~up ze=dcp7B^Y*Hil<%wykX@#G3oOV)6Nj+}%UavxW428d+bl0)U|e>py~mMDOyT`E)~1 zvZ+?jMq}}TH*uJ=?WB0o@;Um7vk{^|bo6kS8*+wl$>GN{cB*pPu~Nu{Lf+RFGpR-@ z!ycXI!8cV`L^S8>1pP&pA?qw>=kW;2C6$JEEACnqoRfVs$P>^;b$*}scih}vEE`1$ zqivlR&o^Jo`3#_8GQZ{#wVIuS0cr$XWJkKF?P}&GP~(?ulMJ(Ggzh=OqWx>)1ReXVUOk>69xwml5CqYdDc1-+aOsl6{{K^ zCsSYkfDtH>{%R5xtYm0wEE=t4ZA*lGcYPCgYpe?LXCC<7slBKat-FSPH>uY`Zkhe3PEbuvLoRy`C8%S5R zV))wFKv&hQdN~dKyRW`6`AEOCXNbNnO>o~~xA*e8twpR9)dx~kl&9n~6Or3uMbR&^ z?UUXC(x*0cNwBfJ7;=+1oJV`%)yincceWutP+wj!6sXxVTLy?#>~6bBlORtEh}!S` zG&5ZJRS>TT*m&$l2I+w-2vU6t$>Flhvd!7}7Crej_b+8YZ1l{syLol>;Tuo6J!^Q_ zeWZ*aG=a^0YHCPJN&Cwi_ZbIrcaJuxZXaxHyKa$eJTjx=pFD+CD&`jzzdMN+Vy73e zak*~F8FGs*j*@25{k~*nOkgu9IS0N>tGqK8HI5P>AZZp{`9;$iJ1HJ?h;*#Zi*(}3 z0Ghj&PBz=bxeNNM$ZuFFmYf_?0xEQm-Bu{BMoWPtJvx8)><%*?l&YnCk)q*HsjAl0 z+B%ak`4hMW240Y6U9(E8p`U6Xr}0YW(i2dfkwSS^Z&C4q>JggSa=W?o9>7eABjwlw z2*v95L-;xvOaR2!7TL`M>b5{SY2DgS-JU^DxUC_tE&8TpaSZf=kt@}ygJflPG;dQo zA)#=ki0^QA1lzgtf}uIcOQq_SXdBM+c?`U%cLgB(DRz-Job{S!dha*$us+DTJaMKz9N7Vu4!M8+w0{N$J81&lUeM0U7=lBc9`t(m zShBG?mHNDq?aj9GPw5Btl;#At5F2>o!avOY6IDThL+r{(N-2iz~g0S6aYRx@s-(fADHPPR%I9pnb zKJRSmM(eP1Oa>t~D26wN*|jPuJsp2eJk#1uWDj%Id-wvpxai}Y9dhLz&aog}no3f; zUU6(dnXK=pYK|(>xG8k8G%WzbT4API4JKvsFs-4B%Y(x4!Rk;4ey+(s!(c7H&<_fe zuI1D)LQ_r62usaC`K(nJTH@{6zPrtEQNjFyp2zxh!|8`VL`_0&?#W@_Z5`IWJEJ6K z*v%L(6H`RHn#k|GsvEa_L zg02|OS`$03n7o}T7THf69%eju%*Ofo4Od?aKgrWAB9Xx{{)wLCzDjo=dB1MT`47&c zEAxWHi=4t29f-Q@VKy%ej`q+G^?2U&ykflN{T8~FUK74Dw(SRznsybogegKkQts<#__VzLK;hrR*lCcz{aWjX z6U@SXMdBkMlbMBmlKQu!z^Z6Dof)-wtD-$S6G!kA@gKtdv#j#=thJhLT5J+y@za(^ z-0ZdwMl1jH1f=AXF_d(?$lj{UZ%r3yEOaNY4)AJ-lS`O zZT=tas`HUlMX;&2XyrqSnexHl>x0_^`_y}e^1EvM22($MiAM1 z{OFovWKDnD+1|yS=i}Nh9B%&$bivHl-$}AYGHHfw9!$MAl~$Vfr85rcSn+b0ozDEOnBOSWSlfjqsiaUwr)CjYw( z8#{J%rL{?Gm!=^AUgFw{E`}P&Xp-%Oi$WzW{smIsU83Iqhq_;g$@^_(=Sm3yutx4j zu*mUGTK<`OpE+o9cJR}op&|uU7jUm7Wg}4whW#tz{lV-nGg?>;WgmRT+%sId1P9(i zkmXUq5<}EAc`T))WDC5TjBSZcUR%!qchC3Q)-)u34PCt8@viC>yrD#(DFS)W@l%wZ zAq<^81Br}ZoRU~z(T%w=hmV0qu?~){3 zCr*DGRZ2;Z5S7{YAsLdxpK6l2Y99FDXgNSJ)wP=z1q77a73xQPG)t6_o?KEjKhf5C zZyiO7=~XuQqGOc}6ILgtTtERPiCT@C(lvi^`4hek_fItTKjL z;tlHWt7AiFW3afA0b-L5@j#iAea*r$@ZNTG2b;*IPU2{9Bk>jHU}p$9@{gKEqK!YC zCKPEDF-26S#>0>b3V7}BtB5C4eXZ)<Y)mc5#PR0vugBh7cBT)bK=|q+ol=j@_v#E-O`46X zv#fEN_KeifS+qci)@7f8lGu?K4wT4Kw;LhS$x5A@g;wxMHTEWfh)e!OFSr0-BsT8M$vZbtbvYZCfVo))}7*m=t*;{O7mW~)@ zJ#|R-lQru+@2~Uw{jTTv_xY#msOxe$XoXYF01r2ub{Xll`+5$s5k58 zP<#aV=b5!mU?PQ)Co6M0c5@6mD=ODDbXG&+D%@ROM9e{v`IjP@s*L%>Pi^4uPye-YO znC7!fxlBtFIDdALtwVG8_DiiiUYBRh99dzW&xz>f1OVbdl+8=3yE6Azfm30;a=*E%v2*%%#e>br8o(fj*Q&NjvbfeuvJ6;)t z0UQx#G!MH4Pv|zPhp1H;XfsSpKqCq+G{;e(irW{i;CmDB)5iE;=anwd*mrv(2Ak>c zZ%Bre;)lMRekFiDgJ54j^3etEK#OJXWcypQzu6fB|1j%cFq7Uxz_gxQQ5Lci$SbA2 z$T{c`QELbFwNGk5b3uyhmK)q7M|m{2V(g~tt!Y~pxYXhfB`Z*|I@kD1O(}!$vv&67 z+<4z$9ytnxBba)osk+g@eIqsMQS^zpKscATIg5AJB8I$7G?auPrK|lSy&&fg_Gb#W z$ASQO?|n^hkJq=B@m9DpmVs;J44ZC}B$Cc4jmd3D zF&|BP&=v~K$Gp5V4xOuH)zX1~I>UfcPwR#rmZ1sd+NHTXYX|TUjI$Cc@V%My4R2Z@ zKTn6g0(idAosilaUNe0g`zf4)%Eps1{zzlN3NtJmsY7Xqk6l5!t!tAcJ4b8MU3tO4RBQLii+WTX^piUcQt6BnKc0)#XPJxtNJUFEImO( zq0@3A*L=-Y(-6_7#JG-=euMfb>fWf*9eKI?1zj}lRyz2{i?Tfs6_bverFSn?^s-KlS z(%yZmXy;^(-w=o1&7m((HXB~8Do@5n26B`lV4FCZC|SGQlob|Um*1T^W0Hj?*7-7A zim{rI25s(zWCtQ?UuT6${VVwgi;`u#FRV*I`0u!``Ubzg##rg_FSAH?o?neXVoG*o9=%}DO` zUCp&No+SIVeTKWAzMLrOGYIDLP%8A+!@rDQWq*(0)>Za!q*m+k2!qov&kWf-fnuKH z10E4rQzPrn3uJ3gC@DAqju*J8m`%zEzr}j;)Q1bLSmo;oUnP=U$(3~>J$~@&W$51Q zNtG3u!5)j~lsTvrM|K$SutMxZyeDg&1@H`>f!zLPWEX|N3M(4oqf3w$IcU%GU-LLO z#{1L2e^m|QbJsZh2h4-kR}Y)&>`n7>goknInTslifC!L$!I$XhJEo^vw`mgJ_fd``hyMKE|-XJv{Z z$y{H*28CpVQ~qr!Na!7@c&fG;DtWF4v*|4St<~V!!y@yL>8}pEUem#cW5vfb@bN-@ zfkRV`Ck?>3OMpG}rt=pBkxQ(Mwu!R%jx<5+Dip8PaL_$qo9nEaC+~|D$AE4g5; zS^&&N9e9cyKJx;{S@i+aFdYx}b7NaCEL#^HpF#as61?=E(%;j<`UvV785{ps`Wy8B z2GLOKi9(V>;uwVjlr&~N9*m?0#-idVK&9=$C>V=+VXmGK`{lm@WHbh}#%dT(&-~v3 ze@#L|m?U_8U5G&f)<=w@uG3CwASM5Vug%$+wO>g^!= zuu479U%|E)i9zI{@OVE9XJ43FdrNk|vFd=M!ptPTvjkv+Ped+ge1v_R=17iC*B!wX z61qcCm>IIU2R$J;oGCn8U-Djim0|j}p05nl&VLHTF_O9r_zu1G!p!QJYYXBEs9OfnMZ4>zfk^utlpbHSNTz z@x}|#HBE_sZm{jh<}g0u<2=V3iq?qjFjQ16|ROD)BRwQJ*cy=p09)777Z_w5ltdF}h&&SO3;yCK-T#P;dp9sSmj zuyBkM6p3;5;q3L|2JtcXeK>x|aSMtMVPQeyD!BqvrlKaKQ@S_`YIZj4^#mnnZ>^F= zW4vK&M>4FEsz4TKi_|rlZ;UU&$5yXVWq5^#wIPO38r5-F#CL5q2-GxsngDD=y|LYI zu1E6id1Tn_EiMBv0`}R?Oo>)8Det z=&p{5OIiHvaW1bstL8$GBgBc=&-xNSL@;}~YzlN<&2woC4h6~00r|H4-poB22t)8_ zHI0ch0384xfois9$7)P~_pbYRsc~foK6V~?q4gI&X@ym038*LTRdxdUTE|L7@+*(_ z1xPy3;2j3uSGIn4)2S&KsTvQz%tk{T# zln6&&J{)$Cf{gIGhIl_%WX~6JDQkw2giZ9Ro`F(mHjXmQ+fRXICv_#^Rdh)^q`C6; zPr>PM((qK(bDk(AI2&|PYSwbjfom)KUhv3ml{K#>!{5x+%s2hTWTT4ekMyPX*Ktdi z`I^g19fT1c;C$GJ0j`7t~@yYf!?`LSiqoVnueBbcA#avDkoqw;A|&hM4ac`$VA`X+{(>g$XqCLlYxSrCwXu|(f^~KklJ6p&pBWM zHv1B91Rj;Vi863n|>riSvk*E(O7sD!mE%S1jr|Pip(ww2x5LI)Y%KM)0vq zfYN5|t}pXLq>G8hq_Fc5kVq-p9A>v13v82N7`9@Vkei4M<1fd;zJ0QP`8Qa%7sk>V z=YMibgR3*AuB@_)R?X>of$l^1t@oLCXz@9v6-l2aklBC%$2}3(7`~xJ6eZc#m~L z!7R((1DG(%GQ3UwtAgPm^SNEC@^bGT|4B|saZL`m_3lTo5+61Hj47+-SE`M4WJ42NtYALzqQfwZsc@9?T+^mF`F%_~L_1-Z&J5cv zBc;5qMd^ac2@t%m()a@EuJl#+{?&?2=2mRwt9`udqj1OdUEmhwLE}%gW&a#RAUkm7 zJZj!l4udZUPp}=n45K1fZ8CAsQBTb?up7pLFr#aK8v-y)IKT z#h1)SS@HqzpI_vbbVTG>yyKKM!(9*yKizoQbk0?$?j-R*0eE+jgXn|>hnB_h4+7Ws zBxk=lVBzy}t%2=*jEkrhkk3TK6!^y+01270dg$0qAS_xS2YYDgE9DO>S-@wL(6fk- zrmwK6Jyp7~P*DUn#pd`ypYzes^!!`|S?vp}!EoH~gukGhQU}t; zxCM*zY^tjlSigW=o9vS{HPp$R6yVAu#5_eb{VF+O)zVytsp(7 z<77W4WDW&+)E!px!B(6n6rBhvv=Ui{QFl~=AM)bIQJp&_9*5DfurCo*xq!$+1+Mq? z$!{-m(icW1!7a$beN<0WP@?@sQXr>8bxIPAD}y456X3^Us~o?xA`!V1}ETx z^czaD$s3)^+wbDXxwTZm%@03a(YM6AJL3b;_4mzj{C~Zu-WPI$vZflpxfCnxT|(;b z)`0n?;r|^reP#*UR%L z68-m1*3VSw#FdP5OFz<)hR|TJ^CK2txZ0q4HMBDo_D;?5^$w{E{rzySP5Pul5o+lW zE5pyLkX%3Z&yUYThsL`oo$ZAMhbE^A%FVMm<${%ajSOB{hovWv1~_`pI@9bH$Oy7n z6Ds|k-N{%ASX8Hcc$DHE(#ddM#VEx0T==~Dg_!2s7f45Y{jh4YQ8Q=OJkyz{e1~0t zi7>ZjnvR7GJ*C!pN6jouDU*Ik{JsGY(e6+Pjr@gk2;!xu#e}kE z>|J7)6(eJO6sKU)+f8S7ZQB6c_Zc|$ERAItR;N3bMQgdS4O$e4SO7L24eFTuNJViLy6 zsR^!7$Y>(=6#SsT(-frf zY3T*hG=|k2VKsMfVZd1eT7B0%X^k}8i&S}CNA|XJWa}Z1{~jHi6JTWl$!=pX-rEYw zs2xbqE8$XS@mQfvYjx7$vW{gatQh>7hpZT4A~KUdbJ0_Xs|<=8JHr-W8AknIT`wL+ zI(-;w&i;>i{co?65bCZ7Mj$LNDyzF9ir*=wx7s0kxL`@_uvnxu(4%#QH4!%UCsc}y zJ_;l1T_jp$?y!t5lGZH3kYl|UrERbe!$z<}B=K5VH+$52Psy)x7_^-Gl#ZgXkkNs4 zk|PKx1R8+VS)ry4?#!Vl(3)TVD3D6~rn6 zyD8mCWWsV5R@=_7g#)Ve>Si-x)To$WuB$PrUzo2u`xl~8KIe-M zM#fHw94g`J#HM_!zqh-lzJG76MgE;_XmogkN=)$vvgP0GQ*7^v8HKbQHfAZOg}#xM zf~f1;dFnJ7C=oW&qA4cCdh*J-?+e+bDjZ5auM9$p?0gf>IV7aWfg*xn5cERxo@XZW%hrsw zFgx(mmtoml4)>HGX0YMJ@SUHzRg*D|AIBT+jAC1!L@EFt zj*;>x#;@Y*3Q_I&L};Hc1zu{*7gmpJR($H@xxx*i@T z2r-rBc>(00J1@{50ok+jVKX?Gli0wajr_{lA)B_kK99 zotaCJT3svhO+cSa&OyQemek&>vPBBElW;Tk!xp&`whiu~jqwpNb_)Xd{tlr3&035m zzX^q-)d>E!1f>`U3o9mztMTum2rFgf~5?3!St62v^cg+_e88xE7%oI-&w-zlq8 ziZac?Tw(R4QTtnH+Uj}1!1o8HOD(%7I+P*-B4w#(E~lUzdJH4D`IWugVmL+v3v-sA z`E1QLPCr)+c2znEfB;*Vr7GAiK`n8SZd}P)vC5A6iTT|9oe2H}s0;2uTCalbuYWOw z)?oYF35D{b=hhy_P)^{Uw%pO3_@=Ta_s(dR$Ox}IBciE$)t4v4TvIE*&hd74vBf%| z?_RO)5*y_Y+Q(FsQ6hSH$kufgmWQ z(_05D37PVx<`h3EwXp2=Hy(<6{lS!H)}ii&G>Wt+t@1-! zIN*G>=mu;9crAMzhMpjBX4Lm5W6y=oZJOlIe}lKj2(@CBA0D1bgGi0&@13O3y{bVKY@MPQK*1Q8If!x!R91eAre9$N6j2Aa_ zm~s6K_bQ9UD`Y@_u_2R`htN5=g=8793vp?1hXSB6(H+@g!snu;tVEHH>0INDwgn}Bu0=LInGCtu_ z|K^x5xzP}4K><%`$q{skM+%fe`ZdRI?&w^eg&^o!SS*6!5g5!V0?h6P$~16;{qpvW zUiX`?-)TOXclwIo%J-_S`_@+A8-!xll}T<9-Ev{u?!5aHcxAfOCFaAswTlG{h`J-0 z--|(Uq1PvaBd-{Q)!8+j5^221(qestVvF(i*TpmY2sC`e#5}i{i5$!X4e=do*(=C- zz$HudP61K_C_t3?zS~`(QI}CKfF^->8B*R;8>FhImxnIr!2yHIxN7CayF_2%epvAV zGXO0~;&Oe08W1rA-~I1FoN>7Ww-TCcdyft;^x23^ZDaE?<9m(qg1$1-F1i?SnB~Uu zth36w*3*kJn^yA7hzR)N-opD);E5z`K8GY-D}d%h(ewh1BbcHGb17ud!eFSOyW0qm z+;dEo3$k0EBc0p}uk2osFDJbP(SSu5nRp{?uuvMiE8&lOrGJCgcim&IDA!;`>_i1y z)SM2{XKl_2pX=<>;j5ia9X{-1a6`9E3CIouJ^(gj}ETq|#OYNiJBLs-*mba@0zNUQyNKaYCn9xks z^@?-V@PC`WP(ob+C>lU}Mx&N^rOR-bT$~(dnR!GoeFrzgA-ValfqUoR_`X)f0yGnD zYhIAU{L(-R4LqEsG^OM<^E1C0P>F!GhTa%xxTIX*-Oe!u-R;=gwm-nM1Gei6$Sii9 zTRQx8q&XoAJa>LHy*o>NT$P;7T&aulX5-_nj9M9gmX>Qp^KI;~>FHv~3y^bqR-QGu)bOln%Hr(T0Ygo4|jm zy=k*KKMgRZROp&-L#EmB_69d#_$~JF3bW2ftOnO7Ub;FQk|+p+#XhKNo_#5+Gcl9P zM=9H@y28J;6nB9Ijm+Mg!zH_Nb5avymfk0;Q?CSm`;oI$JJ2%CXkEzh> zVTmvs(U>sVpM+gyazo}PXvtXT2=3Lvm1K;ANBxcJInL;K{jJ)5!3WDw?rjz*f1U6y z`?oAeJ!^ARP6B0v6_iK9+6?HlBS;zo55>C3BNgt|#nL&-q>xJ86VYwoC z?q1$6f>vIU_q$pGo(kivhDU;w*S*hBvH*#tD)|K$)gi%kmlORt=Q}>k`uYn@Qn-ju| z4lOVBL5P+Dwa?U*=D3-X$fCqZEtODf3QMw zJu7J$NY%{gwANU`qKRBT^Je`_C9klY_XJ2Qg1Qx+PUmFJ>Gus;boYCi^t^fKUYiYR zN&#G%*_o8^f(Jyzj0Grj!dqZbFf&Gc{YqO)?bS$&D>RlZDs z^qlkTySvhtbJ~Ckh?T$`bCz*a`grc9*}k4<{(anvY9n4glrzDrC!GpUn2#wnb36_3 zbgY$@&NDNdK4&g60j6)YhQF&WyBzLU6FP!e^r0Yq^?H9|-4c+b3_B9~=}@E)MNhbh zL~_8PN^gY)UeyGPnPC~qmi^4$=;I#F@LPbsMVOiBT*l>*f+6qQa{RiZW&IbhuY1TdW|LSO%^*TaOH>4{n zPC#uy?ZF%(U|cccXGL`hLfbH2qA|bzS3?ta0X69pe1qWsTSKet+5i6u@g+`)g$jjX z&OE`O)!Z=Yho;5S9Wb-fD*X`JQ;|_C6vb###F;Xiz~^bP;x?(E_E6IwjnRR!rVeP_ zD-==i2bOo(k$)66Q7m1+83N+Oa$Ww!PVz^Ef>G zokw@&8Bc%m%|y?h95EE!+|V$xQz-tA-&PYey%7ZP)|KQ)GmKuC=wbG9GSNl!j@Z=^ z4EYr@Ro%uO{aZ8$QhZYedYBcn&5LS}bEHkAT^BuN9vuP$=qT2rtaw2oIwh5?wriqY zGk#d(Bj6`hspL-?e9gQz{Iwi~r_Dga@N*+%X zw<~ABB!@FDipz=SV{EE(6T=|KS0n+PlIjAvHffb!sM(#Bp7@sV9LgvJW~=hGx?2;O z<{-}aQdeYW?5Jf)WAa%y2B!!y=#X~-t!tbz`;wZ zsN?y6aGfHPFi;~!yXXH$=fnvRBd>_WCLxsUval>cNFF63s$wX%xouT+GX{TmMa)@L z4+Ec#uCVY~7^=UaY;aSkIDJ&ch^VLj61u2Z=oz}i88crieWYoN-5swlv`GgU_ySZito2;KI z4w%k4)Ls1t5(bYq_u9`I0X65NxY26CwCOjrO`6y)jW)5{&Qm*;i_t0hQ1A=vC(SXM zeRi{$$fEV50^ZT;;&-tXg+=2Aom*i(B zwsW6fc>fe;Z%*N52q1{6b0}k+@-|VM$%OCQxX(vLZ2&@xlxVV_Q>0X=z701333ioT zOy97#YIkGo+Nq67#z&M?^)|+g>P24#a}j6^g36Jkn{#P4%0CaRgeDg9810$pmCoFx z`j3LxP#yz04*PpGUEnq-_AKz+s{rEBRNDc{y-9QWbWP*cIu3etdtYi8aLPa7i(a08 znNi0nHRcoc&37j|J>W_3O%w&W9V4;nuQ1#)nlZZ&&aUS<6ne}gl=1?ce;drE7@G@R z3IbPQQ!}MhM&cide8U1(Fo;@gNvpogpV}uJ7Gnu@J z0)+v+lbmAe6{xvg(*XLL;)mN8s{1*`|4IPe4FO15iBZGx3tTWDWj3tjyt&Qe>2te8 z*WdfuM^_>(S+v_j{)skZ#P-bTyF-ogL2#)B)%#LUMAU^1*W~UW;}!f{z+3D9jv0uk z7xQK4p|EFzS8RtLFF$exAhbYL(T#>Db{RbOLI1yj{3+J2BUx=!Fj75mn4(!kZ^`68 zpiL#HwvY}CGQ9RT*^!@U4{~$9mYvF1c%XBTCmrrd_xMfozT^;-Kozf%(^EcjR<%aV zKmERlujjJ|?TNCbg}Vaux0Df|_Z@X1$}brC;`J|;P0FEgz3tf{IfLbae(Z041XPQ+ z%*56s#Dm!N-iDqZa!&6wXrrQ2wzw!c=JpV!6MdYVb4lVmUS%ij9LqCRmn3=A_1^9G zmL4S@ZBUow@eTDgAN|~|o1LUwB%H-mTd%7bAhB=4-|CF!lh->H7R(71>k9O@Y{;WX z_Uwr9Ms;o6Ib3j?rjFyd^Y!jlPF&N{EOb$p)mDs9WoOzgpS zyp#41;)zzxkUvAWb6)M!%%p{DZYy{OfC_- zLOwL@i6#Fd61Ydy$ExDw%dF@zJ3YKfw|aeSQQ$h~JueN<-REPv8FLQbUYnmN7h1g` zZbdwQw_Cw{F#*4$vL~*qGvl&A)utyUmB=>x+ee}~0rgkq%n`1K)A}xpdj-dhW%JJJ zzHV@RtjMUYJ@STCp;NF+ykL4*)PHcl?R?~Z&Dx|rY1e%c;^u1d$%o(UnhPgTf3En& zNy^tdD`QTQeEyg@N6S>(xTD^~`DFg<4Vp0pg%XOsBx(8i&daPvXWsm!ev)E0;`W_+ z=#uDqC#7x!sk`rvL|z%H{IW^j>19LlONM;;%Y`%N9}=)%AC@L53F+ZHu^!Rh@LVN(%V+Wav{?tsO+>BY7jbfIHxrbLsDZJ>nb9%DG6U75Z?P=4 z3-;Vtq9IG=CtmKkFOwWm7W`FVd61~2YQrWOSZ%JwuNy>p^-Nx0p}U|e zCc4k%oESFjuvF1mKM;MD@#B#3zNGiQ{M=$f&g4Zp&na9fg68N1OWwN7KKQEm@G zxW-N80IFVe*!1mLi{fJaw?HBAze!tyMGs}#*q<>`OYy}q)*ZC9*gyBHQ0WOhLhHjc33-%*W&YdvjeY|-tT$GX zf%2*YlM?2b!YLhXO_gT^sl#cCLK}(lcn$7Y-y@tL6@E6ZXxwkj{xlIk z7+^V=mhPxl(2BZPT}80phMkE^+Kp3s{oxN+nH_C+Y&(aDsCr4l6+P09$w6T}=1ROv z#Ja`a?0B5=?<+n&k}KY#e@gEoDm|?^TW$ZMh|Dl}lAv&QqwAo)kL12>zmmUUNNLaU z*iGm&XN4*=e|bkRcZ?_H+`y@+*~eHDmDtL&1*n$9{UqVwjky*@!o;k0)$F1n6i$6m zKT9;w@?(Ma2?dOfjg~fjcoTC&6hlX8`;Ff;%P5MiswJdvM9~!nCQZmNp^KFd1 z@iVfiM5jsBR$+h9kdHXsKbdM?zx_Uz)Ph&_|5)qgpu1xIhg{0IyqvznLk-t;C%o0_ zm3I=Aen%Y1ECvEZwRUiLK`I0t}kjhZ?!`NJws_Tm#9@mthc&>%zi!n+Q&NdJtez{(GPoE{vhfG z5al~&8eQ}Y!kzGbc4n8AO&w%*3vGLEdAPwlN)PqRS^077BLeE8onCXy2b_h4`Y*%~ z`az8ZC6W^^c`!;K(Yu3@zW0R^lJMSMy?qhU` z!ZyECY*-}K8}bx}+WHsF03*S-utzxis-5OpX^b6NB-9IXINtD;)aOLKhYs87yFw(WdjwwOjPxn+5W@k$Itjol!WvzQeP^;YNv9a-htBR9?Y`1sn@{F{j zylR@Kj)w|C_p*<#=t*nm-lsFtI^xb}b%iZ{3`+*#eem{y5{Y81zv7M-(iD00bc+0^ z^L1Iqb8;;FDaoC#P|_55MswK7Le1M*S~5uPgn!6ZckexcCtvLUHt>#tRa3ggP~gd? zwp-kCJf>)S{*0&a5hER4Q4>{T@~G^>S+#G2Cht_%i>$A|^qG8dy}b9YN$)-wpi&!h zj8Z~_@xKvNpJCAAg-&-^LgMSr@AD?II*jkcU*xGdDjX1@MM~=sggVt@RHg49^Xb^O zFLf(z!*d;Z38Bgi(jcm92Svuu4*y{rR)t_r*E-R*?nI`fgGafz$~JX$%}GsMwEuWw zQ1#p%Vv~o`aX*J_IINtg=#-&g2Wzt?+K9R{(xsNsc-iyn>DNxh;&N=64ocfyT;~<` z{tUEF{&k9U@m~A+Py+V-#v1MZ%}TZsXBKPhaX}%ny^k}>?lsW8EX4@2|Hw`gohr5m z`_$r9V)mYRY*=>Yv${x@?hHTo2*#SIAZVN0A)OF3cJ7JdcsPHYpcI9bwahPzFq>$M zio=}`PDZmb3sD+lM4xU^v=OCG?$0)>hasdLDT*YGG4+aNepGq$RT*5U_ln{J-d)3_ zK`-6i4Oh%sa2K0o$&ACAR(Cx9=)0&@}IDLxGSN-A2b`z}`zj zNru^QZTQ9D++SwXNuoNc+XzY+RcjsQc&$VnF0x#)%tNEd0PRnZI^pCY79&Ugp;{2_ z9c3KKchWKJBTTS09`6yB+p;aI^18Q;@hQ<~hPp2au7z4=PP6CgJ0zqJ+Tw3Woz)K; zl+Cet=_iMuOEG+#s-t$3FZ=FSRAyt8ajdE22bzu0zDF11bLU+Nt>5#X6W3!7Um?%B zyX|QC6Nf%|CNW5{i5YlNt(WvO&FJhd)({$(yv0Da4=c}{HBz`vGD&E3rHkQiE!?2^ zUO%0Jx3?@CD>f3^@pHq@+26a~D2~s_p6|HBBB{~Bjt@9a-cQ5J9~B;c9GahU=g{#U z!C`pb{$jRwH(qhs)lNbp?Mhyn8%?oWeTgJ|NW=#3e8Xjw>F%t+QcE(!G5%0Deif8U zGEr1w6eum9Kdgh|igZ3ch`KwdE)s^9a=Ig9!dPdum{B(9@7SK~v_*kLF3&jTdB8Iz zTS6#!hpl4PrK_?7Nrrl`E7)<2k-QFf&wIb(+hYrkN{%viPBcjy?BfR##u-`HiQ@VU z=UiRB5&s%NYa-;wfrR;oZHLa&3RHDWFDX9t>^Jy;BWEkJh}mBaZpx7|O;D`w?D4KR zTbbMdA;yO&<3lK;?!6B$+&XJ~e)Q-gJt{6`x#y7)(~oG$R6bI1oFFYsKsgvDd)vHH zPI4*__!fTu@htQ7V4+iID#;|nsLyStSHkyQe%;3&=kR3QTso^}wf<{eLH#(61Zr}@Ga{PxDcl%AqrYNs7^W3y-4_Pjp!U_@$brp>NB`x8#( z7OT`OQ}LF?+BwG9#fq2PD>86BC0}NbZNmvS_ynaFkWfX3y+~RYyp0nsyeutY(}PX> zL~HF8+IMOb|GbW626H}?T~;?W$yK?>k8%uTU`-rD+AVgxd}dL*kfl4(8R;d@k~926 zi8cSFw7ncX$lvwtNx71sMmF5R)=9B!v$Q6`^5A;86WlkKr(bYqgN*c-F9g*j3I$(K zyM3>aq_xS-Bq7S5d5@RYDwsD`VvS=>NK^F3IM#9X4a_2HrhHcKz+s|vPl%4?1-w_7 zvu;nNKIfLh>2~AmG`(US?boVVCuke0e)R6=2mN_^{=$m{E!m@)3T{l+OAmf?1Pur5=TYY8i?0sPo^(7&GV%7tHt$&PsC&mI@5>v- zmCq&wr3BSgsS^*{P4UXD%pS(uKE>{|s;dPi+cj60GrQ2Z#-ee>w<%?;tR~#)3Zhb# z#*)KUv`hSJW34mIp^6<3gd94Jn8&QlM;V8Ys1)Ge%myC&l1+HG=da>lQAPKE{2b1e zAoOr<5mD>;Zn}>O4j#38ikBZaaQ-psWUH`ZUv1i-Lz$JNps7gyxz zSTwB3u?`)j13n{KJJbJO`L&Ibpjny}XnBy4zn5_3+NF%(o^3bd?tMKo*rdLGK|DJ1 z&vEkOOB58FW?d2vb$mRi%F zu`7gZB7++WNw1a5OWT}jz_*-lOB}^d5G6EUOKWTXHvB8^Jt5x2EyyuxROpb4E8kMe zZd4lWm4IVD9MzJN=cjlQB-ceHy+@-ba{XW9#ztP9dxoN?6msfFJ7TuqC%;!aKT6tC zA`z#S;xuvkMPq<=MkZ#s@{aA~mO-p+;)fY&&2^$7|3qaphjV4|@)}C-Aa?Y&tJv_` zvD7b9HXm5$di}(eh}e%E%BtFU`P8ZO*V`>;q@E@4YARF)M7MF?&nRgT_pAPRoGEs5 zmj6e=I3-$ep;c(Lsq~D39zj}3i0jl&5Y}BcBb|6*KChGg)v3Dd*`RmwcgY|Jr$(hO z>#&;^&d?MGGCP@?*`oCYE(FERB-G#PMNLavIbUgNt652WpJlxGSM!|I@zmu-9^X;i z$1=PB9cqv4t543#^Yaptc1F`HOyiXg-Rjx=ZM#eG>KA>E@BL&mw})`-(qDIX96Gc5 zMqS)k^Qw{%L5YPbk!>QXarZUs@WQK19uU}T3EfeaW&{=M>SyZ2;iHMWeu{`Epgcs} z)Hm=MTbq_JGZ(^%!Vaz%elL&B&?h}L-F`!$RNC^37OLbAwe{}`?ThW~J6=iJwW+oQ zWy_&FG=sGOUx@ZnuyR5TU()*VaQBo@d+rguMb1kt^tayIfqM=z?gts*{B~uX)mOy7 zln4n&{~|^o-)X?OOxjX2=YChn%QTdsQ!RDygTys;17iHB>_9Pkrw-$X$tTkX!bBJub)o1c^4P89zvzHaAw?}Kib%sMSeZ|j{glEO{-XZRxa z$|a>lJymH6DbQj@N5@4~p*#$x+lZpx2YO>i|dViu= znXb}b+vJ-Li@#IZ_~hL?QQA$qg!XT80mkZQFs{bDM1?JT4J6(=@7LEG5m53GgR@N>LP}Z%;!|Tg!$&y&7V{WIeGV!73Moi63J+WE6EOVh_Ok<3_ zE4JQ7i=pw={kMTcbDh&uve(Yae{vHg$c1h6us-YbQE*W1DUPc4IJH`xtrV(ba`qsG zfbtLuA@@ttOSCrGUHSe@@r#c534&uVDqA{^V6VN!%aUz#Cd@ zjUlKOXy<4?dnZ14Yf4wXO~QrasEglce4*Gx!q|!*uDn;`a<<`MfDN|+qos@40?h?R zG9<~@+Z*xX?+tw)A5qZbS*V1kJ*i9W3pn?E3A=N_k@!>Z$fmn*T&47DQ?Hg<1nm3v zH}O}jwx)2_azdyx(Qrh|%=P6XErWY%mAhtof>K9oNg4*2CH%KRRE&g6wCj7rkVZ>cFM4aK$1pbUHy6B5fsL50g!P(wpN+F~1 z;-@Rf!33Xw2nrc;M}=J-b}tE?QOal}B+aA6PetC}mQY(1cKA=HR(hW_AzM@2 zXKMSl)FOGUxg8H4IQFn@R7hpOcSHh#M< ztKT(Q&+9B_+a{gdw*>4=fOyKwHE}xCz5^&yc7FdJI46YHM+Csyd*_`Ctp#>BVJ3_J-4nQK~lxKign`B>#n+X zJ-o1yP-Ny#tFAu!k z=GgpvYtvo7HbdoRbt2}C$+g6W-|Te$x)q+Xe_PV7&k3hUsQXvENvM*fh#fkMhg>F< z)5W}|EME>38hgkS#LtQ6-^#Nr4p}c1AY$>_-g`;sWm?l-(q9A{xt)?iu5J2ktlyhd zWdf_moEcR=v+JbG!D%uhx=XjgCINQx3=k8e}ZR{6`x{(jG}pKLO5PmrU3lA3c%EOG9l?!HvT56*Jx zC2go|i&7%?`<+(~s{vxOWxvI1{nD*&!za^EkF77>WvY~c-&(Tn;A5#7mwa`bFnm5K zl|ovdw|xU%>YnQgLHWh5BY_If+|IYKhc-zZB}`(X&oBT0C~{A&lc`7IqoiH)_-InHRm=D)9lS zzKX|GJ-t`euht|`cWL8wtG5s(^xvg=do|yicg>DtU_}+Rh*H%?XWdeo2-~xARzCUs z(Xr|IZBKh!Jq6UR48QupZes~G>TNM?^E*#{3Li0cGorI)Pq({^c_$Q}jUXnTlaLP> zmi6`8vlZ3P_pKx8W@?yY>qx;L_RQm@b{ssw@ZYqvD>vHlScc=SH-{|RbiP|WjG$5r zG_3IxzZ@UgJw^1f@?Y+;ge z;OmeKZI`z8qekRwCtoCP;Mbq6$lR^t=%tV_c&sDqJS|x(AX<}l>1TeqWLk=#RP8ZE zOG$wR3tgs^yz7qBQ?@QWw?5ko{VjwxJIsBNr;J;N$4Tu`Bbca){f*svz%?^y;x}GW zSGbm;69Y}Wxrje`QSxq%!rs!m`}98Fcm2*dBdsGP%f4cy+_t{&ScikfEqQ@7Z->^| z^PToJ)^y!c7wHr4b{Q00KxqX(GIsx}FJpYjV)ZLcVGH)7j@=i-0m6F0?c+Xzrx(t; z-J^)BnBWH_l->{Gg*Hl>}VB6yzm7!bR znOVBK^+rj;@x+aI><@WWg5go(HuoUy-xigxFg;(ZiIbF{2|o@X#@sm*fPU67V0A|8 z(%XH)`PA33&zQSU>NF71CuxJmXSA-QxQ7$AtnQxG5P2VXtj3}0orGW21M@eEd#_Zd zj4)UAYf_YqaeTo)uk01@>%PA6#e}ia5e($e!J*Y%8Ai#|J?ruF38%>`-Whd&$+81Ze&XE+owt)#%sI5&dx z>M=sZW0!|6%D0W4Dn00Vy))&O?uXmg^ps2XiYM}aPhZVmCzY^WDVw{z8%MSCxztG}})kZB6cHyhVeB2_x;ptbwxRScC8Rw0}Oo_;u4}-aB(T z>e%?xCp`{-rXS@vdyAUtmO3QmV}D(35DGSs*mQ);xVur^OSgPmMl?}6ZI=k?R#uW0 z@$Y$+cjF<9xp#*o>xf$(M+Iu{z;8Wc>z0UTW|pd3lW-BbOrpG%_(!~Ts#&Irki+98 zi2$6Vbk-xC*liLn{>$z};q+Z1w4p;|C`SXq`PUyvVL~2YHPR>PJ1YD`5|uRe#b!C* z@7kxnhp&y_UuBi_mSCP~bjmO7w1(D0Z_LhI)G@Oee}edajrGbN<=UJXDZ=YtT%Gl^ z@6nUAQ>6Fp_PW`ex#ZKB5}x32Q!AUNet#~>qaZI@M_VV!J(0`-&0iQ1{)M(YfTFJgnhp_)c)|-Geb*69J3IVL^w4+$3xKvTB zTA695T0xCi&=yptATWS|E>c?%>8L_05F&i!ji$!lIiz)=2Ai4t%Y9VN8>&t(FEG#=HaOB9HBqC0QP$RF}J2%4KlOqaB zo-<(mJ|@6fQqOR(6_2)6sPJcmn2QeS2OUYv2Aiz0TIBh ztb~t%N$^#=Xrh>;Z4jz#C(XBOmZ^IELQRnu(Fm?iQFXcOUS!vSVJ*Hr-4VE3w*6f z8~09%KYC)KaA>6@S;BInM#QmpU&z^hbQFXq9pd8|N9ANSu!9EYQ0}bXS zh#62RoMu<&4T1`*T^^*sdB4#~dTA4olIDh>9utU?l7L|bt4;xY{Jb+@chhLWrIDMq z*Q={FF(Z!iYaAv$AeM^x_Vjd6t-0%er&KT^=p`7JQsC+qDOeFPPMkYwf7};i{X*S` zNza|hcK;-@2yxNSO?@^0K1jJF!Sa53WK1b`I_$n!*-KBKbGPQj%>yDSZRtiUz;KKj zcwh5)qcf3+kCx_z=LQ$6kVX%GaC1QdJlI^q-<8)zGo@@Uha^f5sQ2Z0iTr9;`^c0} zY{QeFcCFS?B84jhc^x3AyO)Qzp51S_YvXm#cDCdf_ zJ26}sY9+ka@-voJ!WalP8n6V4lTE->70IrrwQspB0IsTg5_~&y^*376nKH2rlA3u@ zE`h%5;w-o`1si@4e&87V(Ir-tCqdEpN~{P6fYHs3XGRF9&<${$;g=Kl-q)l8hr zaVn%0HppBOj#NaI=4WFX}&kkck zE_e>C;Cm4eGIDgcS zBJzefVnSPX)qMM$jkY9bwBF;iE2sCn^|;V@pZ3R{1~C3xoHO#vu@E~bfOy{gQr6q! zO4$17_~nFB96eEleFd1i#WP?l5T&~UIm@?#V|Vhn4dTHPftXav4igcS?IQRalT&!( ztk?XD@mu$6+igVZoOdOvZ7$+e42eZumvX_mrEG^MJlbCR**e!hnYDgCnI=t_cEYgI z)Q^aYRIMN8Pfr>9bQVlRH(vzRjK>s?j;5(cJFZlGluz4s(W!U8Dn7I+b z0?!F3;1w8;4%os&g-ICFfr{qUUyNO~%;4f72O6kn9-KR#=APT$(fy5;)m2F_P-yLn zdtLQmnhe%KYbGQ*DJ?OdUPqETv2M1jr7&mx!Rlj(FHrOIw0 zB~EBO9pLTInxOy7{%^R}szd(`*UJ8l(E1Fa?S37Og?r&-c;^3W3LrhZ-GA*{3;smd z0%Ck$@qhLs(ouNn8%~Od@n7Qqr)L(v6#qZ;Ya~QJhr;*B`MboIwq0fTlixvi4Td>$?C27N!r=;gUU+~H^3pWL9c+M{(fJfR&ihOG(;050^ zh5pfS_Nw#q`*&>AU=b6@hA0J@_M_-BE@zGHcX>C*#UPS7eO=*5j$~X(@c= z)9Kt)NafcUzVnGnoTzdLV3b?2jd?v;UN)CwRlDr3F61Vks+yluzS4hg8fmKewOW8F z9$3FX9Rq#hKZgw8V~k%bM8xj~(=W!6_+vflcQOAHD*b-I%|yEQ^zoqQ4Cj%Nax=B+ zkxBEWiREbiYP;0$+s7v+&hO|jj$Ia?z$I-@3wJ$zBL}&2*6cD`Zz4}mc643H!|s{< zYezIMn=P(r{}IWGAcMuofjAu0^8#TkbozaQuS*hIqX@{_WVOyphr*l8^CTc78nJt)45U|SeOC2ZJ%0TZONcv0}Cnok`Y|cS>;hWA>uVb z+Ar#5?MtzAyTx$2z5D&mh;KsfUiwiTV(Yo;b|OsxHus%n>&pcOjDLOo7xx$$ac(VZ zwve)%oQm?cH6YC94E8`5wYB+^hIGjFUgSLu zeA82p2#*Dqd!(9gI0Ru;iSSZETrcguP^1Acyc52wJJO{Kn_s9oZGGQiQ3^A zsZXb7A6oSZN*pF~>zzI9;tt5~B)jFZGdAljaKR5>TVK|ZBf4-1-srHypT z*pQ%%-3|-YDB4^kTsLwRDdv=5YfJ$*>{xf+DAE!gP^H3dS&lDTBWI8mx)r<}_17ba zbClqk%g`3)>b<3@Y87W~dOHL>CplUBvfR7d=G6}fu42BNy-zhrmEoq!jRT{auTAPY zAByJ*-U65ygFUfdaD>3b_;O2QFrFUS71mJ^RAQ!2aEb;rhpc+^;)wRKy9fb~8pey! zs@E!KX>S-PqX>WE)vmJaa=QFJ^4UzX^1j1e9!M}2L2o~d@e2f%H3Z|_jPoBQqIaT~ zZ=?_z7uiS)bKQwFA|H$X4pRp>;39+v1P!X;w`Avv^^ofXL>Hb8(&n9BS9%WZV|KKs zAjyL8%_((002{$t_GHbEQ}*;VlaarsG7p4yu#cUj1u?^QIH@GhWt?I>S8Sxcq4J1m zi{9%Kbn4bV#(A70>SzjY<<%lGU=EO54gDzp*f{#v?J&4iptr^eo^jXJeyjyA!fx%! zUB1SQwQyzlt=8r1+u?9)cVaDVE=aLyUwyEUu;AISmeSw$;0ru(`MR@lo@l7X<<@As zF6>XJa!{RkOB)ew!u*n)e*_Pn?s0D~!duMP9bRcn*M)ic>!Ynxr8OH9 z4*>9E8si!sRToQ{wsn;>o-D{NOS^IGPMOOfr#e zqe~j?y*Q!KI^5YPpGzFVOTOqj;+Cb_8c<%tEYdVqfcj0&vcse8MVcrjAocQ;Skv_E zz2O@5Tm}{AWkArw2!4CJ3ghKS@Y<)N$e&*FuwG|ix0y+h=a<%cz91aGtsvO(qRkBa zHZwLDQ1NLPZ=~xYxrBGbXZlQs{5-wN0|1x0cSpGR4|7(XE7wx_j2y6BCFy(aU83SX zItMgHq~SZ$%p30F=|heu-Ih5wismKPnI`nT?>+uH^MwAg1}?~qcI}L%{0*rXu#iKi zTthF1EAKU+w;JHULlguQ{L4K2J#V~Rcgc1M&Ub;AhF_v?&qnxMZ(?He-x}h*2@iZ` zJCUH}O)rwx;>7inBm84Y{6j9KotASz`${WyOocouKj zQU2g4GeXiSLXOYFZ3vtfHiQpomafTRzpj$u@1-aL_lQTjFQ&j_CEq_5Qf9XS65NUN zDW=07r5(AI=6#Id3ndT}qJ-EbJ(uvO!hb#^(K(#@@{c*QsJCol4HlaXam>{{Ww~}wP6*|F}b3s z{;_`?=L1W1jamB-`p|N_vvM(0xB8&QsR?x|x;_QO2DLB;yXEEh7!bbbuuCAvZufgJ zKIwpBt91zEy%*CYwJytaHp+waMw7|lQetB44%Nc+bFj%|0meUGYU~zlH=&$_hSnQ8 zrRb~N_YuBAUJ;pQ4ey?ku=n31Ju54ZjJ^rvxZbJ}3z}A7!oGm~EU?^;q_AShf(qPl?C+R&b8f}m ztocxm2~wdmW@^Xhj$Oq7_aHwP23d9k$nF#)IJ7*o>Q(Q_K9<_yc}!HVn--Qw&gfVu zpkUvlS`-vpuXsR;-Y(%!HK^nG%hrsd&t{d(v&mkTcPiro$GZLr;F3krgeSWC!;-Ys z2UWqvbKutJTlo;wZ11_4!h{&c(oi5V^N;x{lZa>rt>&RK4kDCt5WXb^;sf0d*;{5I zax?E#?IlXPxsN~0*vJ$~bJMjre*kI1sYYWrT_XDTzu(iYf7v9>ZK&9iP-q{!oIj8A zO*_hO<)B}1XV3yV$}cH|=l7hqC01c4(gR{~mT3h~j`0%-hKjrH=QPP`vVldGP})O^ zEpF@&iAEOL3dpEpyRGM9TU;bi=-FiZgt`W{p7M{~j$E~e3U{C-vChw)pC^BI;NEll zAw)1tHGUgzpoU$YDyp%S)*#R$yqw%-{nhqPlz04t@;g*46U<=pB2`aJzNHVvyN`Eh zj6E04VArzL_7_lyQ1+kPd^2rvEH`kkz=qw@5wUzSq-CsqS6im3_hO0ZkViSfBp1<3 zJH>FB-1bz<0>M+QBi({>e~XKLd_R2`cFUcL^Rg0c4Q0^E{F|-e$#gp3ppJ@n=UwJ> zBmB`K+A}Jw`Kdt7u3VhZ-(6<2Dd!xpJ0uR{Sw=a+t0Q1D_-(!XDQo=qP`P+m!FUEqKz!&AA>ZoIF)#pqinJ~D90)&`LtkRbG%2r~or63jJ;L8V zc9TvrWjZwv<5W#irjBC#16Ai#z7y-?X9-^v%A=E^GbUZSr1FJy{*0?nS1|S#n=fOW zj4>458rdg6_;zQ-8{?BM`T6)fB0nAb*LQY$0)F$ z8secn%U`|cUiZbUB={jkvHwj31_)L>ZwI^7#5!Ozk>hk*iYeLXZR?yjDV9z4n2sNI z>59hh(qkA9OIG8V&xDoIZPI$gy8t5M&Vx34lXMzzM1kTM{=Hr;ozZ@_Wv?0c}o#evL%YdokgEyvKRw{t1o7q0`d- zF>#b$Hzuy~-2A;9%>uh|FO-cR|Nn?V7i_-XA7p~g4 z;I)8&olybNPf0I?1w_UzB1HyNH39TB;L0ZdfGfNO9e!0;fAM?g+M@M9X}Lr4??_m- zQ36R$+@F_Th+BIisnyS4xps=L4k<|3xb52oul_w{e#c|dADcXDR*`~Va;@DWBCQG7 zrY6M_?&g3arP~}kBAV>Cpyo(@P^7L;T< zJ_OuT-L`BJWz}9$$=~8b3MjFbNKq))mJqp)zgNrl&AS{O=}0IUGFNloy8M?YPyTm4 z^+jMs70i7xT|GFYaZuC?>rv=NTXNq2p%!hN&+l?K^l|jF&Y^d#1FDO<%DFX6RLII}n`x_J^0l1L#88M1PmzoqR}DzhNo$qK+$O_j$^$8H!; zV++S#=xYhw+?5@RbHTfugg2?Mg=Pswf0?qYuZ6V{i_iYyIvKpFD~Sc=dkDtbvM$ z6Snj-)<+PjP7KnZP3-vc*SpN{AqDQkD+W|f$me%XF^=ZITPNEGjHi+rd)s!-s^7|& zX%5ZaIB*J)B(HBNU{nc0^B;XGMO#EOoVW5E-QQtN-w&K>{*TDb`CbFZnQXizgZ{3? z-0bdLzOh|~^Ey|O+YRckTj9U}EBtwACzMjf8<<-P_=QlAL59+_yr+x_xjB}jIA>V6 zHIc|J6HZmq={?7p>Er8@CbTZ)2%FpwshpPW_mL2!kZtKid`>uSknbWqn;7So4xzqQ zu_l6V22?e2TM(M1K19c@Mm^3;l3{mdQ69il?oLeUW2UfM<1+f=>)1DRjIsY%0e)-3 z8gPxkRw%lT5qVRlj*5Cer)O3Cm7>;29U~4~JTg8F3$ZE%8*{ zH7uMRQ6CKBT~n`<&)s86bqa*1+Mix`Lxxt)qPOCTFdmF4uN0Mk z1+ka>Tu7uD=`Dsr%#ye~NXJ50-l_`W3F6iz>tsFDp)FKOHsq>V54BH1#339U`b}pF zAdd?2Poa-3tNb`kP~#F8#iD#kwMUt02kvAMWBo7^7?YrNk59TUJkt~0@N;I`zg}}O z_h0w77n<1N=T(T$IC)4Bh z6O|kE6(48iX&_E~C8=2OqPxw{IKyajW=2bdIPruw z+9AUD@uE5(u~UffXGa--F=Dt+x)kGiGDESZT-A6Nb@DVOaOlqRW3X`C>Pe7tER}NhWoS(!$4htzP1Arkf!uWy&h{AL|EGYyIoK!iN3vKv= zFd$GG2;k@D0*Slg8EvW3Q9xvf5+t}Nm%*CGJ`f${v8!OVYO$ApyiKZcC{09zrd{LV zj{&EQeRW7P+wjkNw9!meL z+@F@w4b7zhT#Db)&Alvtivy|8?w+O@qsq}h%q`P!_?V%E0;^^iF)YAuNp})W+BC-g zwuHa7K@vL53uAqB3Y0I826AzN6VB+x-B*n2ar00u^dFh~sf9yT^SXwvA9Ey+u}1}u zyDZx!D38ewr?wkv1WJku=jjRsj8BSBu}>=IUC`fSq0h>(8e6~OLC@#0Mi!R>r**9< zpfYJ#cVS8S zAwjXsDXLtY1c7NPd&S4wm`9f=i6N^U3Wy?2!y?1Y`i1i{{vt8}224}<#cLQp#L}Ak zxcOc}y9m*_OBvxtiCq23CvqAGrbSs&nJA%s6yar2hTRXg=U{x5Ybkv%ebT6)acuY+ z9g$puL+5)9Bt!GuqcjqeXs8lRv%&SrIh8MRazn}t@XT_~SR+05A`ZJ??6AY0hVbT| z=9Iy?MP;Z^otvz2bP)fKG4B^>s#K1_$Jvj+skd+j()suLcwcRj9?eXK9>{?1@bR23 z*yH%DLDK6~Mr8ieO5%|e?DIX^t?Z1PLcRxXxIp%u1l_UlX=bJrP#;#{uST_*v; zyz*u2)^CaKyd+E4MaTKTgP@q76L-Y~+g)~Bd(oG4oCs!_Rfc~k4 z`MSH50Q&Y^NjJgz70o4b`)JR29?k7;Q?M?Kc!8kVXbb(Yt8{zwZ4e|(6AR*?N#~GP zK5T^(p{k#;JgfuRilIIRLmrcCegvc;v`IG?>ZBcgG>r0$LfYohd>ieJi7Y3Z90w~9 zAnI;uol1ud-R^o{;U+FfB7(iNNKt8gj|UdVl1z(?c79yF zCd^bT(76+(G;Wv^<2_cd9S_$%x@@q)DtWH^LIx@hx70bHi~G?CdMlkEi{ZQ!BlOL- zd3#S}>zN^Sr#BG|;T<2Ael`vnN$zY0Ibjk8{Dz#0j}`HeE~^}AVMA29$L{Tk+l;i2 z&9;h<3;BBZ}n&EQ6q1djqWsaMvZ7_UJak8XsfD7g)XrVskEAaP{(yZO%_t0+{!oRce z9#MLcvV0uQZH7_C#Vi4y6%T3SgmPdonYD*~Y+X9V3yMQ{`Ki5SyyL&epmi|G5PJqt z5TI7T@Rr|^{NM6!p<%&-MQYe{{;^=;tG|I@|KGCi!Yna+^!15cu=1IO%dQ4&g2FCx z!7GbM+ph*}cuI;q9}p3|khInRoQSk2Apa)|>E#4}Zd5=(!Q6_!-0@%LyK=>sGn{1` zSFD--&@XUp)QX^A0?CljGkJ#;oD{b{8F)IyeCVUB4(nX-T}w3LltBQ<^Jj9kp~<0b|m zlS?C0{22D*z;B%lq@^u;WN%+pynfjCt@Vse^+AleEgx_lJ67mcR_4C7mGVhflW%b^ zHC}x>;9Bu~*d&`aJsBy$fxITrg|Ucm(%V|$9_Hv;ATGD z=!zOohvbR5#sg`?8hO9)Rour4vpp>2mwzKIf8LCj5(&__zrph8HU2A@k2SncxaWmC zqqZMME*i`u`pZ@Ye)lDure0S*FhCn}()wr0hnW5m`tqs!6@X&(yOLeW9;g%~@_~M) zZfmU+j>F!aV6s^ev=vTj0>ikY4pAoj1GRG4y4lic->OG0IEU9#ZO| zU_(oPK^hcOUxo~zzzU7XHg}F9cWoF?L@+KbIV?oI58-qCYIERew^-*hXAuE^#ddM&7^X?Lf0 zv9)wvcAk%P<#*uCam2z#9OmRbmj?f{aO;(t(_Pif-ZpWXCr`mX$b?3*A*rXo1#22J zviISrxOco=_&S^eKm_8Mlv?Dp@aTlJ$|yl2MF_8+t6$&jCxZ~oMtr^QhI0TWrcA+6HaF54Q9ih^#Kata!4GFD0oGAH2ipNql z4`3lyAtGrZ(HSnK{lk(Q zdWub!2$eaV=xrlK(W+PGhvlGRXuTPq20(j4?B%C)RVdO{NL0!*@)QD*hu_I^uii6{ ziFQ%~_e#6UM1#QvR0t=v=ytV10axL88eEnR;fN{&EiUOXPJv?W)-?$v?Vj7QOszScB_ELt)m91M~f_{rZ^x;1|Y$_we1D)A0b0t@Xkz zYgM}8rtXl^g$r8M(Gy`~K@W%3hY8wvT(aT=nKExSQI+p-vm&&5<@>-15EA`mJ9=jp z`tWwuY24d~htg|q^#qlPM$uaf<)3(P#GSta^=eb?HLW& zh#Ciw9DOfszk7vHi$KcTMo4+v3+cQAGufgf=}_R_grd5W z=AqYicnAK#-4X3l2@;96_mr;$R6=8x%EhXK&lXJPo#6S>nk6|nA9E* z-hkp3#(q&)NBPpBo<0G7=j3N~fLr35vv?be1maR$cDj$zuy4gK1JCYeLP0T>?m_V9j<-ut=J1iD<=~C0! z*9@pl40SX6=|t){Tv^p?ZF4YB9TFUdZal$QDUm5<U{W05J2X5pmRK5ytrFKGI}J#+tb=qms@aTX$ba#cZ2r!k(Un;L|QfM*dhD2>;Y?+4J?4QEf9sY%cy~ zgMt16pCZG6b=x=sxJ4>)6QE7Q()*?oPgy^hV>fg&fqk(ZW z(9aSMJ91HoQsu)xi-)0@{wthq&i<~N`pIX1R&TYDHa!P@ z?*&_)d1>L|fDNDfMJ^(34cM>|+TUvfBK>&*SNy72)6o3(o%QuQAMkJf(#>oizpi5| ziYHbmxiicDqF%O{zA9$^!(Repa+d`~`K=2ut=co)0vVW4K&~RucSMF1wC^mm0BPy9 zwkY2t4`CY1_xvH)-RO$_rt0;A2|5Kg3o_^FyDHa^v5lpyNsixKe(i#3IG4o zHBWIS(n;(~-e}NH(zi*l;Y5P;>V>U-b953P+UAGX&e2bdEuEYjTvh3gULUtE#51|F z_)kP6X#Mw_Dub^7^lR>W>cFcWpIML!PjnFdhsKLQg&{6#_E9puHjR!h-iF?`Lvh|uLGyy?AZ?5_pVn3zjyl?`1?p~I* zx!9nn;ANFSw+p06%fkQpehKszh`tx7-Q^Vz$DdX^#%|&qZ$*N&N29mhmM(YcH+?N3 zx5>TZgU>vVwEWnAm$Uyb>K$8j8;QoV_Ra7lgp}UDdW%T=&)$uc-mRIdJ_4$qV*MwK zzI%TXEJf~a!Ygu~AUv^k$BQ?|g(Ks%N6q-%(?aH`sCloDkpt{I5sM(C3lCotKj2#Z zt5_mA(S($|9PJOf`HGa~K@@lak@JS^?((#CC!iU951P?bi+KT*r<5Y$%V&uEQdSQw zKFXD(fW-Z{8b^ta0Mt|yuLYufLL`ygQ;K0EExnIlxfvP85rK9Z=WZXs{L*jgKWpF7 zCp`V)6nXpty%&XIf7+B2|EOAp3q(7R#%!E(8oLAMg&6}ukf9wg$dAf4%7)$*ydMATIG%8>+E&Xeoa+J0y zl@seD0JOGnqFB`d?R~0>;H%5Z5+qPbmlnac2s9vx>srg-nR_Q#_U0P4t?uhtV7-0v zn3?B zDBjNpD+|_{J7QEyMK7a`qX@1?|2_do4V+)6cwd!HTx*<9aMLXiyd597R~OcuKA`>= z(Ux@gUP_qU)j866dH{3*lA_R_OY%|~AguYv=MKBtxu*FRnY!!T1dw+M$*4d9AS;sr zSv3Hu+Vl4?FC$^PFpDkt0`cib#r@#@n7hZ2&(eVn(1|x5--)itQsy!-VMZv{CFK1FE$6_uN$+ILhjFW;f^g3B!Va6l%| z7(}>g`oTHP>0&Pfi~{!ogoaMU$%+#sUYKj;VjG}k+DFPC4si57bNC$frpL$@yAdIi z`3AY8`bjeW&P1nY;y4=%0LjR=Rykv(Y5)_|t2e0T?rGk%!rdU~WCs3<2)y8Q@xOP1 z_-7OoIN{a>t)L7LVFWn6LDvo(w^X>SqdjHERvsM7LBuBY7HYz1`9DNzKyJZ4JehFF zrAei~TR@3&BsmemL_9PGaw?S{QI7f~c~7@M6eHXe&P+?4^=Sr5k^t%R>tH+g2SmV~ zWxn0-vDJMuZD$1On{{tEMa+}Mc{d{Nt2fj`1wF%R)dF%|5C$}|3~2~whPh`HfH5X` zo3lSvZk*Ax;(lp~y$N~wHdHdhTfRHXpnWyDHBOI#NeF%bKwl9_ux{D06O-$bN7UaW z5$bCh>);@NcN-AC)4k-S7+k`m%AoZ@V?zU2Fe=a64-%0%MfpSZbgj$Oe{Xv!t80`m z#Qdzu%!AcGh#txT2q=|ZPME)kq&$_QEpoA)@pj57kkBjGa!pRfA$ofWDxnKs3N6AI z4Jd~`f=P5R^D);wmHMmo-PPNvg!a07z%b5^U?&Cc(dn@!FFqX+D~@d`NC1Sv*cq?4 z0rbm<9c}}0cql3E1ZoFmTM5ucQP`inds_skd6&Tcbe9)JmjU*aZLLca3QM zlA&Y|T5+<`Z+VP$dC=Nvz)q|jOoy8wcHy$)A;@X zWpP^y#-c2HMNmap0^idw`22nM{E0$h-$|}HN(y$TvwutLZgrk{CLpeKl^=WfO%VIO zoBZdd|H^#_k8ky}zwOFsnDpbuugIBPTGul78kw_n*gqj{>16)gQu49DO84Y)gLUcN zwRU=BXHDkQwOd~V)o;tS@1eZs1XfR{zO#JejT~Rb*|%6lCGm_yZ!wC$ynKz_!2Fc7 zHjx>Z!u*yLsbW0>x~(L8*&ykfq>2#-4{9`fh=4E{(%_C4v@T0nwMP?H5}zBmSCqXh zY1Ki{RTNvez9;_Jkg^PrHj}yYi{}oxEE7JQKd7TTxg6rzY%|sD-UT&Uy^m!K=K;1$ zDS13N-ZdJQ-}Tig+^0RLPZ+Y|;@`%9?EefAGWw-f_FvG0+4wW<>|bpQ^_u7sdY|C) zKXDM=kDDL;AV$ZklfJ;kGgYn9s!nrub$3y<5JP)@B1InU>Xp@C5IH_t)lJZ&Yxe=v z_8M_h;kO9tc`at+FAGX_FP5w#D{VAkE`QZQ5S5mw#@*YkufYsd{1NP=kc~aR_|UHp zqC5tx7?(V)cBRWJ9+;oP)EO9V6S?kUPe-*&6^;X=m4z1-Lz6;*g3yhHSMEg;z&2Bv zNk_gDg~sA7_M2nXg}r^eV7bHC7Yna-b!3Fn_##X%LFX)|A(B=9^T0jMf z+wK(lUrBB9%aI<7^QWJB`p4~>WgD}x#y##8Yn}jua1~kZ+QQ9Ui4&s|x%nbv)!s>) z`r&bYNP#IEj3KYJwSyoSQm4T`2rETN+oTt43;U+FTa|0l0&t#9)_YNj?0z0|FTE%R z@uBVlWYFX4^S~}T9r7;;cpPo)RXTSXD?{85%j0^+J+c`W|7<(_cDRsdTM;rkJ9G7;^4n{ay= zWpjaMYLc;B(fp6KMXl8hn?IccLTsLCa}7W7$Rer=ILRfsErQ~&oh7Ic8o!ekAUz!C zS33wdKr9I8=89q~zczt8pc5%Kqg=~QDsm0jU)`v1z=3fEP89Q#--uY7yp}^qr}=IR z{;Om=z=p=rrUEMa01LU6*B$A=PEYK>ghhCx1He5Uh%j#HdN(*rx51@OV;o3>BQ#jN zQdS`bVxD&n6+rjJ&_$b_SQX_YD8e@}P9oT7q+OR(nVOseYctL{4zJOv%CF;X|GwV& zq~?V7No-hdQjaWiHM7;#0b}9C}0$%XVq##ofOe(K|*!_{8BI5r;|<>7%V*(_fTpbK*tdnL8|4E(#~oM6YBla3z+*vSpwFp*7-i+-#5{( z%zQRk3rLkX|CAiYtL$()7C=d4<_faahe|RoEZGg*6bGO>vsg0sIQxKV2K#JixVu2$ z>bg{tawgF4hM^u!l`{^;%`Mvuj7tVlS!2SuROTC!EgtjR`ja&WP2ZuK6w@_h(S-^*7hPiukRqcm8X41$;0XL4bJ2A^gs99pe} z6Od9WlcFN-V6B5{NUS`Y<<>d~=amw)^hx_M?)Po`=fC~*F5Jnc*d<7^C{meMjHNOH z9fi=efR2>|Q^({bK;>_Gwd*qIs4X*{l^H!zM!WkbLXHl8ASMcqL@zm4#2i(eJOlS9 zIWrXO(V*#YW^2CY>}~_exiAi@HKn2cy-;pQnZT>h17q=iFsP+x)6PnxYrwXoFJB_D z8-9$DR_NO@&(+Ku#w(t&WA#(}DFA-7*l50m`8J^YP%W)Tf318@I!8elBn0*e=QmZ~ z8$g6vlqX|X1&YcgF%GN}{BVuuo#8rs}Xq(!b;jv=S&3k`o36@B1kSMm~6wIa83n}BsN0~0az zRh@#d0>%f%jvcTPf(e-~!h}+kGmPFmW2nc4GiYPJgBfq^>}o3}?gMs2k){4m9#B?q z^tx#~PlI5lzzL`PeD1DJ0{*KP9fC6_nf`8$riPhAIpiRyoZH5TSve4Pa^=NVFIj^b z(Qbv)se}rj&nT8?42AZFnen=Hx|6XFM6A*ZsmhHCnb4l{N|BI89e<``u^|oLY_c=R z@!&<>rjlMhiAhBS#951+EYejy(DtNvczr0$1LLp`=o&)Gr;9qC{HGOUwN5bkB?vyq z$QnCC)uhSuwiCnNBK0=_{jGud|5wnffcgIe_|5;kV=NmN7Fgj1b#m@VY~uk2IhWia z>HIR{J%WP{PtGYuaMHA}3BwHqz)$YzY^|_?qgXnkzxq^x0Q}d}TjjPf_sEn^DVl9n zD)2@)SF?{TUqealxd4W6Otku-AYZL8Bch3?YptR!R6(NXS13*_aD%3d5u-qRcOlVt zx54YA_A>>U0@~S`i3Y!pA}XL2n(G!iGfnc`+0oVy>6X)d0xdH*8}NGP+mHbMfc!Iy zb$H$gA`oE~lO?5tk2^9p8y8FNGhay^M%VIOXd{%oDnbhbgl89RNqibEHR+bGk#}AG zXaH%P2dEfvD;8Bf+(woi1ClOZWo|uawE;LM7gk0~-MdWadTmdKp_Z6REmt<+BKv#- zV2SC7m~EHk@Aufo*$yycF8Pn7@@x3h+%Qmv80)9U#3S%=@ zX$bS|0Aw&&RQIoye_44bfq%bAO-(~ZzZvu^m%970vY1oVTC|-X7Dm1Wb z9COpMu1i{|)8|Vq?1NswO#hb=HQ+;`O5|@}!-P6e9JPQ{x4U248HkkWUN{T zpDzce&tmYl+hpwUuw)#1`(WcHR0E#wOJ}oHr_EXzlBS|2jvf)Fdm(V+@5d(VR*e6T z3YNR8O;g%)i8pl2r$mZ~>H8_i{G?u6hUOc*LF@9;%}>CE>mX-gLL*kHn4Cg|=SGcv zT#u;J{pr|lgL4~IyT{na>(so6en0+0`(sv`rqEd)<%Tyi7KWp@ol2Kw6l*jPvv^q6 ziT4+a-zJ*ctcXl0VQrvon@oVNHY_$EE-$c%o;J-)?CvB^9ngWFK4Z~-eI9mO6Hp-Y zxQ`R_k#RYkT|JnzebT0J;y`pY4j6-lq-p#tj(<%ib>3kwBnS(Md%Z7`LE{yjG zP;^ZkH`X$Ri@ENu=c0|WN*&*TiZkiucZYlL?F3B~XQ!uko6BZ@BJyq;O?J>DuTv0q zJbWrlqh}nP@Is?{D+=AAcP4|&z~?0fmU%hoL_Btt_~oDXdmnTw^g;c72&d??0gJLoFHL}fG@=!np-Wmx|L|Q>=by2l z;vL`LiBf+4P-_Cl*FXRN_CihX-dO81@0n{>hQKP>k3Sz^7i za1$6wN!wS#ym$g6z!7f-1Y`$9e*Sa775{7EkNo`eq5^KrLQDVcnPnTpR%E+ZNT3q^ zcMx7p}bD5WZ(ixe{>mZnt`B zR}BB?B1>dh#WDjJnQubJ^D5`^ zXTQ~+SNBA2{q)PZ+)JVW_p#;C_Lo)|Q=ApMS4`T0baZTe@OO@rmrN@T7Dhs`$@%_; zn{h#Rg4c5au{N}W#Qth7@}DbxJ6FebtSwGXk^Z4G_*(m)Rb<7hkd>$)$929sM~aXt z$?`u8`d3L?gQ8)-6}0{#cR5eQ7$%mup=oCFTN?t`O%YA2u@I_#n>2uO=!1CQwC^WE zij_L|VpF6;!tyB>?3rlK1+$IOT+d6Xad{Hja30YkGz<*>(Cbdf=xg~Tc~8>zlI_{?KzTm7|LrPDq~mRUI|Wld@Th-(I@Y&8q!Yw@fKt8Pjg48`tuKJ~Y%> zbQ7!gI!mg*@j~My~++b6>hyPL^p3N`* zRs39z`X}crxOg0C%)03*0+#CzcQG+-hHfV&O7^QVu+Ze+eWE}wf@%##IM6`%qPPJf zPr!m{B{+_)@_fa^bHa}Y%CyQ#kpju;_h z<<)tN{Xr@liknoFv!)>|M)(>yYiIDrq+z(gghQ0t0G5q9xJ{^dqXLpH^6RD~tM({m zU9@=7O7fh7d4Lg8JXsiuH?o5Zh`MJGLSam9f*A~fH6!eZW4s!dAZMVff@@su-a6<$ZG6ypZOFOLV(H?vgf=EZ=OrG^-gb3hsO7Cd6XsGsDsb?%0}b> zb1;xrl8g$s%NqcQ`S-@Jp5wSZQ*;Bxd2u;VcY>a&q?Lbgk5VP9g+|wr!_qee#@waX?G7x6MyJ zV1}|mr%W|i7zb3TQ7)aPwD;14E*r91mj|{NEpV2Tzf@iY%bXUBrbVQJ+?VrjMk@?Vt3BOG86N# z?yz{I#RlDuL?TrQ{0oGg7E0+X4dAq)1GS|rUrLId3rsHta$cLE@H(KBBM5l@ry71ie`d z3)iqLU~taw%C7lmPQlrD7U>_yROUFC$?mNE2FgkK@}PEE>-89-m96NiPT;Le&XQPH z(!np_b@vE&niCJZ-1FuCC>Yy9^|~>L!+R2+He{$gn<tUg5MjY~ z?0HX`jKTvhdCw2b&`|h8&VAO0Gb;Hfx%a9>S(Jvyefgl36G6d!bw6|=Kor@;6Ddbp z@y+R_p87xO9C%Q409{2`(nMKjlY2B=VnTnjtK8OO8Sq|TM3hTBYCSYe1T%0#V{Z}e z=+NvRmcj|zsi2)5GM#v{LvzH>?nK2r_0dHM61WST3XAV?NV$Pn9HGq&i$eRX@jG0> zTIHUe!iBR1cuTwCN#D*~h#jF|ka3fqVf;aHmex32KhK0hoGR~nl0NT-r{0N(Uji?H zStXFX(wXJeu_KLfu$E5C%aTOeZzZ?qFn7gVKZB~cE+NEhu`1H_dHUWTht?eM4+ zLmm*S3nzx4HKdVMJcw62 z5)3dy62q=K#)f(WL!QT(<>9*pt%v0SOKg~8C)(wy*LhKheB6%8pRc zr8g-iTsL`A6T`ug@6srsAqX>Z2?L@9QJV2oeuQRRbrgm|{{TmO|`T6IHmM#7AE0ufWQvdU3U8Vm~03}HT}U}YXd zxt_Gzhoa05c=(gcn{9a9h_v?-bz%k&^4cVol_P9CNJ_l7G_|erXdhEcZ@(iNw5BY$a?d*CeO6(Uy;SutHA_sNt6g(-Mq{vD&Eac|EGjHT5_ToH7Rpfq_h5j2Wx)Ct)mjF~xX= z;t`G^J5}#kWm6OGo)mi?aZ9QXsLAWO76Gp3Muu=sFD#>+}T#lbiyTZL!SBykwsiXj4zF)NA4CguaoOAmtLP z>eEI(E}V$WMkT6RTs4DAlCo+MD-H(}p5kVPtgS{Gkyek3y0u$edBO#|x(&=g6i@#r zqky;5tC`a(B9p4CeRT+$#hIpY4p~z5S87thKh_cNUTU_YfgH2MFrK*NW9q3qYnbi* zB$?z^kdxEfSl{wuyyn>@IRPHdY2$+qbFygMrwgC_5n>|C#Ks$k=qZNdImkB;-si{3<|I-bxVYI} z6`HbusdgZ)p5)Gr`!7txl_y&-u;1Ir&I>|F3YWs`-ih`UNEVAMu$BnO^ty_np>^sU z0=bY z$WpZumnLYI*28{AGRaecWv>8&|BF%o>zjcr%h~{_6+c{Z$1LBz;`yh1w>-IG`Km2j zz$rs=g|7Q84_Nl3zfX+M^9#P)KY#4|!m^!jJV6>|uKLA+CJD9TZ&Lqv0^+s>1h54G zxdAnNFwW!$j6h`wsZr63bAErLu6Z_g#aeCrA7j^SO+Njr@B5-nMf z=xa-G(e;Bn!^%Ao7nX-UM?2wX_|ba858wrwjh{{|`}|g%CwMcdPBw1;i*N8^@X;y% z{~Ga4{@fk*C1gqCToV$Oe&mx&so1#yXLrX(}u@uA0+reVF*w@LJPLzT#v8@IlkM(UFM>q|p(SI4OD?RvV<{QL`l{B!d1AHXEzGx9}T z;6G6Jnjek=5rFLG*He*yqo1Ck9Pu(@TS`_?0mH0S|5Hx@DipP6}Xk zjU>p@&;WOr>EJlJ!oF`n^6a0QQ1QcH!{_-M8OdNDF6EY%@bY(ZORc@17+8MV_C{@NoDt(Jt);!GX= zsl=^O>2`sCoD!qgvbOW!OG79LPUCri!htL-=#qe)fVg0hYHc9cGlnvWofaE#3vBq5*lDzoXl@; zj|-!d&)j_B6(a0R{ravkOnUbLFQEpNRfR2IhmO2Fiwbe#iN{J{vqoLU{P?(RS7y-H z^)-_wuEOLdOPwgF1=-VRLv zNKOU>I!?q3Jx{f(LodNP4WI@|;gZu-adcFg5iKTVu{_Ajffw9l?FaGcgpUoH`Ox8l zgSmJFEUA!SD1?$vf&1DuxLCD-3r=fLU(4(XSgc}HYHHtlx`gf zz6Q{|24{X)5#)>|+7m17&XK#~lw**k;DZ~q*3Se`QDOWskV%#z4X}ZHRl8hmV%|x} zu~CID^MehO@ZGyyiwi~lZ<)dDT7*fy=N+j*x$HErc|`jP2Y`S9P#?k$PjJ znV#Bbn3kyel6Ckk9Gbd7T##96=aSBFj}+0?v@Nk_^xs0L2yBv|-!Neh5FhnI2C zlr{|>hS8p~c{qYY4oISJ1^`kjdG;P-d>~cMnA*WRSQbvml(l}K=F|?(Kg1g8L|<$A zN2`9j=^yk@gu4%fKczx(WzehZ$Y`cdSL{5`J;<}p0D_PYWy=CCKCS7fFhKBCmWc|i z?U;;5dSQBB2)7%1pXrhiS!^(*D|+|iRzfxj1JZ3qo4|sc?WmqA~)q zQRX~)|0hcbG8KlL2ClFNq)-s@K!Jq!%ASf#C&_pXHH&+Ma}qYU1@xQWaH*~gy#WW^ zW_tgEkg+>cm*5;iea7Wr(Vl0;;(9nqZtv=^a@S*Gw>jc!zmmrC^)CMTd^YVi~{;$()o3JjMA_KSW z6p4g{?2lFr8#x&yzyI?v2IlxIW&Qy^G&P{K(o7EI^RPx~Nf-Pgr~WW+X5@wO+vC?X z?fiG+A*J0sgMZcCTb_exTxlizsCa0)@HeN$t$CK3TKo8Y_qyg3{*e;*#(kPz`gZrG z{jl?k;Ds^GPcc47%=+ZgtM1o^0Iq9JKC!A$slBV0NqI*p&9y5%#TxD1Qpme{%a&lb zwSYwuS6q@KZ+A%^-qTw-GYG6lkq~C(i^mwxoAb+B3R<;Wm4u8x#|SFp@v>~5G0NZL>GgNzQU9AhgCo7I(_3J*FfL^v<9^oNuu95s5giQgY<92GIS68%sBUL&tphTtQQPILbyZ{2HwIuvz z^eq102O|hc?+e@mUKQzIn{z$7tS^vX?ou}37nW$?z@Fuyf=TNPEB4YPDwPuG$94FJ z>>=gfi|-Rs%V^c+AtND;1nbB`$BFl~s{;Yc>NR4T$R+a#>ACpaQFyt^ExWDx1;F<96ChKD5P)Gyp?yDKZt%Yn8gc|xAz)L>_Frt+r8cI3RI0_ z-95i*n?XIFBa!M>>E-SX(dGp_@GK{^boPQ5zeV++A%Ze-ZCfF@?qzmAz4SWNfIITf7=<=zs5x$!J~wA8+xu}BSJCPOwvV*>S;{vQT&I;RE{0Shik zg8Yrl3Dw=ale^!64<3wMxTiYl=a&OuCOa-AtZ36zr_1$-#;-q2o$GzNEEVmOJLfuB z@ich7r(fx377^Dc?D&;Aa4)2iECq=i?_s2pwoky+E6KwSb}NJImBF1#Ua|m#*MM>4c;kSR*Xfn{nL|u?fy~#a`p6N)LdFv>KN!1()ob2W#!bfMFV1 zRinJ|*KLl2*U~aoj%hj2-2G|G_}@6n8yP#wfahFbU}jh*|HYfbZ_WW#qn&pk zimhTvC9}#=Xhl!6cdFq0~G7k7(){#TLr5t6MzC8hPKKsGedA8nX~#U_%JEFOPsyFJ7^ zl+D(>6XI0uDusyasG52ZSmB4l!GEh^2GF8PdcNV4ogWA>F2zl6IZt!AhrE$w-?6Md zQkt~4Tfcyca}A-T+6CR6+$Bu7!&4>(X<{*_=>Nn`9Uw`%;72Tiv?(b|M!~O(|3{X5 zZz-Pf=CVyI)~?uc3IMjD*O$HQzkS832LC_%t0A+fR=z5pcbM2S9k32UHx2afPT&|xOrVr)P_xGf0M2~xGoT) zt^RJq`h6RIWe0w^X@BRf6+79$gQ6U_29_XU^w|*z2PZBssF5D?BGt3cf1GgAho;@y z`P#CsU*cRmf8S@n@P4_)_o+rtcY(n7U_kI2ZhlI@iD;*^{+1tq-M{0mF*ojSA)|mk zK8J>1T(RgY;;lZezU4UmD`mAMV{zlB@z3u7rIKE~c=7LXfrHyfm2$}v`*r9gk8M4} z@bp0Bui-1U|F(PfpWAn|Pk#62uTsg|P@u*+ts3R-HS<95GWF7yTGO?bd(99E z*xOr5LatwfMCWlwS6O03xA<6G0aiDe!iTPwjdTb_-0K+N5Q0fNfjt;# zekZv9`&Lv8asKH8n4jrOY=yaPq}YL;G7sI!`HA(Hl0tmNLHOwm1<%OtqOC99)Ba2> zI^uRcm#o%K(J4eTj+OVs%++HJbIjW7klw{^*>fR4?{{^qr+h~^BoR%b8WOyyNsv~% zx&S>(Vh){yow?uiv;Y$DuH5%)a}Fk!{LKClOg}G#E#DBuOXCwl#CZVoFFeDSIaFMw z7JO~-LHzqfvzfAcvx?_XD!86|_xYynNrWs#y*4siwQN#u7btK^M|Tj9Q2oJpyN9)) zc~LhNaGh*lrl|h38`d9kHI7gmRcbiP(&K0Pe(x&9Zsp-}3pj{D z4vizg35@m50V5C7|f6oTB=v{ zFcbIOF)bO)k_dr0w{&Ur#=MzvDK+bccK*R-`?Set8qP`c=Gv9c!Ru}Htd)9C4&hr^ zfJ$+6UuqVZY`pEWiJn0GiFU`(Bel<{4%+2C_98s9w0J)lub@Lja@ z8;5^GU;E=jYyDw3hVKf;o2eRJX2xRojYB_aw~oQ_={EGVcj?{)9EoI_baP3!=-cod z9keH=Rkd>|@Hp62F2Fhll{xFvx$7ilVCIo8Z6UYx$cd4`ZQuLo7o2jZ5I? zO$LK;PR}P(kmJ*nfAwk5hAq7eX-c(=V$#7NmgFjLGS~+^qqPHrskO4(?WpfCn{>cF z096wcgGF61Yge#FwN66({utKiEyEf;cCJzH0GzV3@8mc*V+AVgkFJLn+l=HGP1irN z)Yk4xpCtH)B1j#p2<9D3fDM)+SRX%Y@2MP~3b`U$z#DYUul6DkwlQ=9=N($@!%Lm* zR7@-YZq#T$BS9dDRqLu9ZtVglnWAbP$tA*D0@dt_Zs16X_XFM$Z!{}VMI!09v?wd| z9CXOtji-z&M;Y@tm(UoiA(|^G0HTX}@8pm7`O)DTUSxOQ2Xdu6EK{~eFb^!y`W{9i z=`AMR+#%rR?(drKC>UMp#`B{(K!Z0io46!h2)&iKbox07&Nocy26zSo%r@zoP)kDkF@LJ<=pdp zCkemSZdL;EI5s5>y5x&9l`FpB9X8;2$mmy~>%pTbXP#H^pHH3gAGNIuESYqMkZ2D= z!lxq>?Mtk2$=%+QJQkk}Pm4RPd!nY_s)RmxqjQU(uO&qG*9gx*BjqwgkhpQZJ8?;V}0ncZGLVrqI>D6;O zs>aY~&t|b__)a&xq{@o4#X08kTsgTnob2&>VKK#ZZ(cI#z_gmEI)5MgQasbcI9*cr zeky3HvNyo%>?)=j`6q;A@w!miIBDAy4atI0{I%?VbHgPBo4CbEBr&)+kSdNl#u%3n zScOf<3BS%Ri1|`FK};QmU>0WtBpBFT(!m!19Ne3Sa~sjH`G@_9fO3{NRd|!l-Z=8G zz5Z}2M6uQF+;>e{@M8#J9!I1tU6x-)H?)i~pHj~E2<=6XQH0qyQvo&P2FCxp={c>siSYwx-{U(*yDNC*@2gGuUZw{4^jbPsZw^1e?p z%=vwQJgkJeh8$p3=QAtDYCLo&F5c(FQ}QzhB&|>{WudobXKL?iIkf{Sn(j?{QRR0U zQMa6xqPlUI+O6MmzG0mH(~G>rsyW@4ZYLv9HQfe8&&k?3&S3u#U8DPA0pC5R!)_Ug z^To-~r*M*&REM7zgApFODFC-lDHd*iXa_foIJkTfR+3R9#_K6VKxh|Tgmy4l4a9)6 zbEz6omIB1r;dL*7>xFa^kFhSv@rEPGCI=L;7TlMXiArHYk&xnE=-)IkEk&hCkj3;6 z%vH{04=>UROE?de%Zd6@&`LwP>>yWSw>a_OB6cbZI7-&G%L_FZ`a3?A$u}L58G9-t zcqDUQL)^-r+S@JKC4opj0EWGFZx@P>Ih;_opK6A62M_`j&L)KojN zH^ctSrcd+Vy`060l>_|za3UXlYN&5yG!wg(R+*#(CgtQ&y7Rvyx_=Gc&dj`;V6Al!LrS^-8cktbaJOl&Pp## z6^<+0uuNPD@`kYwY_T~RYE^wCai4p5yB3C#fyk?>e;#<>hd3GJy4O=K1gpwr=;COU z9Y-jzcut%J;FqfQtwKOAfpZ-i9P#jG6qYd6w?_Z~VkKIN0G?)tACvPM?7OM;`?H|f zz56eYr)vW8KX`Yan}@9wbe%AML8|tn+~VDZGQ;Oysk|_C0wVn-iMW(JoME>vLBrlc zU>kGr&n7_W0~LlpHuNxZt*d% zS^U@k1o@YzEL--6gHR%tE+fTAQj7d|ZvX$3gikM(gbT60Tb97J&w~aT3mT;FGpAM@ z_l-O0DO)j(Qo4$i)%vw^JYLo%K4CwjN7!|6#MI*DoE5gKV1>yN)IbF#m=TZDTE+lWaRQ! zXQw_pkVo%&TKvs2D(04sth|%H@)chc(`|B_~B{amCb&=iR(UXUj25q&7a%htb2d;{@I(0+TCXZ zar4FqZJ_DZ`&(CRR{pf@>#4wwU`E1v2K~6BBOKY~Tlf2ck7nLk@$qc!?)^VMI>B)D zRr-}LK3nnGEvC!&`IA*XxlD=MHzt?W1`NhH@3X#@8b%w5W|z92)vXS+GLZC5`zVNe z<8a`aJZ38hWm*%A<8TMl8(AIN7#CFok^YNC8UhI?RX)Pf%i_(4{|Fs??L(p!vO^~O z-s>M+#t}Yo;-!I({b65DU7YyzsXnx0Q1#3J`!ZfBLw!A$^t9XPGUT;^rb~a~gRwQF z2DFy5z_sK=JZ&zRkjiy2(=h78AvrlJ30#0?>q$(!=o^zJd0Qddt&slRAvtIyc!GdR z6S6y{*uRF{_j^BzTPM*VyCwldtR!trBi$WA56coIy8x8t&6*MTMZM$vWH^@xfp_${%g{}YCT4P z_J_(pQ~AfJK9P>&%@CplFf{nv3jxWu=Gjgf@ee7CcBx!uf_#)W2PB`MjIu&ZvKU53 zXd~aU!-`-ON+TrR5%@DzjAIs|!KjKFhxg`m#kbPZ{!gY)tn|Rt3s8YTkphvGme;nH z=p8S}tB)28)raEH9sB)(G_9R`v@fhVgU?OnNZCCGIp&8fg0Eo2ic8-N;-BH_r_LF# zQO`i@Xb8P&RGq&-^lmQdraCU-Vv8!!0z(Bs2@Qwqo#$OWs#cl=tL20yXKZ?7tjMjh zxfX-0s_q;rX^Mz|mU2ju_17(ifBpFDuHLsUPwlxw4u8r)#a-0B-P#33Y_6Nq1``{* z{<+2A)3mZ?XT4zOOqjHAT}Dp1Z3?s)dQ*(2()>982~cPjcR{}Bm{&I1&rB2~_^1iu zV^$_5{IJ574ZEg__P<4y8it!Sn1-zA7`)+9j z7y$}9tGr&&PfgaD6@sCfY!^9k65cdQU)xu>(2zy^4TFPf>$d9WjE$PDN$cVa>5Pcq zaR1XB<29IeBe_1ZMULAvHH=vBupP_p4Af;K%;(3W9uZd7>JGe7j`J)93wWNf|H=cr zjr5PZp5o;DR~E5%AV?#m?9URay{y}%p@151&MI{o^RO2FJ z6$QYa9D=bvomDQ86h+b?0o)ZmPwaAXqnmMVVr~j5yZJ)HpS5xCsa+52kG9qCqZU*2 ze_^jq-HESE%Ng91w6UC8cH@X-WE*&85DDwpC8~^Qmf(%l1x&VM2;canV$9}OnWGXH zSsANq*F38}Ks5Z@T;4>Q%cVUm8*tZ6xXd`gyCxqFE~P>B%s{Gl`_pGA<)?weJv%C;Xxbn3EK-fttglHlOs1iXvKgi7U;;X?1??#f!`xwpt&P zW5&-hV)RKC0}oV6AO;ArdGPCHHOllfkhoia`=y%|@4=8!#no{883j!^X@B?wvI}3S z&C~zQsTv7Ru352#d(^f-AlG$?Fs|~-e?x&V){Wqka%{qdHKx@o{K&q4Z!-c3mRcBa z7SYF2uHI@^_El%dNklcaaR@Tmi#e!_7gD-7iZ`AG7rp2J=s97faCC&P6zj^)?q{*X zn4-GLiLmm{bO5zDab(o8K}cPlbdg?YKH+oF7FMbxbEDN>ljgW;;2J1E{;em_pYli;|9VYK>dQ7I{F=@1cY@%g!9LucO`C9zQS#lo15QYH5 z7TVfKp?I$%g5JY&i}ssLb=$I~CwBFgt8}HArZ=M#Vi^0H%BM zD40~t7fW%A-$G}MH@k*t8Rw}I%etvkx%9jeR7NvjWR}^0*PhzTvS!H3De@{fMxDfD z#&OA+8kd0whzc+kdMRD-`$>9l;caztJK9W}bWdAff&3qafWuToO8mLk!#5ORLCyQ6v6JrV>A2roUx9eZqiCnC<#vOOj90U?qx7MW5^?7BdCApAOH|G&p%T%>XGlsP`)Hu{e z0oj>li$1#(`Qj>6y1B1jUwKI;)+!xR{2$VCx8MYsSgcb zSXKO^wUvTAdhbCF?R1Yuow z${g#BZtg!*)=j7773E=w^i*j#7~EON4tK&zdcEp$J3DYn&P+^znFnkivvuUS!{oqZ zQ&`<{FWcnPIaDh()}vzUjB92%2XEmiKy^(^mtUQZf(Lz)bxec6i*QQa$7*j3pZ7qv zFsCu4gm_VE;vS8^4>Q}eYXjvEZY#-sYK#y%Mu1|+JE~6r^$(77oYXxEg9E-yv3CFc zy!xY3=;YDhOIq3$j^QboZra{ckw6nM?!#Mjwz&pEAktlz)vgP=p7uf*#b#Dwh;ABc zRV6b&S5uPUFFgU?QK4_Tw8J6=yqMUg0k8+s|L{(`W?$=IBxH%<)QcC*%G zct*CFhvZkY4XG7th25^#^(l;HRv?n!$EtAN%4&uWwIpw1O9@eA8FddNoFQ7LM!*=Q zjQ*Zz!KG^hWo}o$o?ISa((!31Cl)O{hJ7)l^n#Q0ob2h&2&NZWQ3OdpIGzT+sYO^f zitQ;C!Dn;HNCBen9Io@xYP$0{snuROZ8z*iW$2)5A4trT`&BbU)kf_%y#PYcZ zlhYqOzHN0?Y)-WDk7kV4-yXHyCXl(R#@hP5$+uC}d+9g=>h8w{^^ucpy;oHA`%NXO z%7m$a8s-DSPlZZQ$0Q}LehI<(|qXFO>7RNxu6QO*w6h8AgnCU+WwJRzm#QSQ-f z<-z-%@0u&Zwu|ppzsvk6%*oxV6P;Mq*+#S^2ou&$w{t?iPFy8Z!a~BkSZ$`%tx8jo zyANk2#cA~yKQ^o^L~0zK1soXy;~UucJ9ZN(^*$cU9=VXEwI8StHB0`HD*|FWa4F% zQdIw<$~2*j5(Hc~A)5F}_K%rq>w?C5MZ!TMD`F`P&~dU%3Vr7V?$I5|qQEnzUY6K3 z9a7#kgSEiBbLU<)wDln7jJ9Ep$aAL4EU%XNVha3A?pNyR(cq2pH zQEsJFxeR>wUdu?0gXZKN6%^1yOi^kr8eWw}3Zc#kF`h!te6au9m_8nbS z_d{9&I58MM7>W?=rBh10(SG|BxmwpB<-`ZRZ4*QJvi>18YE9|o;{Ip6{UWhHx zhbDsY$k2I8hL>_e2Xcm`67>Hz5W|-(+fDw%ztk)8Qswl#Dvt-24pS=n-0k^>u4pQ( zM(;eBkZeL=*-d$1bKv+B`6}jSeRCcxPSCl#<{>ay;smx4S-`kssvZ_iG)KIbB3d18 z!XqQ->6~n9^7=P4L}P}Ce52~o780ZA>|;(nj(BD|q^Rp0hU~7LiqKVPLQBoY?p#8; zr+ZPw&g{l!?GD{Bm%I0W@Kd#*<1fHhr7eHS2VC9%8Bx0rey8kz)ciL<%?HJO?REc^ zEB@ra{yH>Y;Dq^dq;JwL-;@3?zrW%G-?eY~X8do;*asRlU&3EN|G%{SEl;QY{r}bS z0dfUe{tBOE>p{z3vX7$A!VT!vWjLobl63IpZxc2A^f3oy!Y5 z{n%go`xSw>59eCoEN(r$7K!y+`^EI?!0@xcrd}JAOl|@fd;+nlt?#ct5*|70*Wum} z&m)I$?C=d{!s%Ub!Y2iccCjmB;-LS8JhH~PAVOdWTF$GAY0^EuSh~R?_g9|q6LoEx z6EFMR`8W6R6yJ@Z-orU3Vc=~{S)_k(u_NY|JK(RP0KwA0+c%?peyt&!I9p-n?Tahi zXI*`zmWO}HessTfZr}BX?{H?>k06!Ip8dY|QG@Sc zQ{C*v%7L@~H9&KiCbL|ei8t3a>mSxmgS}Pb4uC;%$yR1FxxsJR!ZNhx?K(Pjde86g z?^USW0ikq}ktKl#U*tvcCK2@seQuF`sOeinz+m*R;nPSi-D$$wlcr!}G})Iw0;&D0 znd*@DuYJ`2`tp1)9ir8(h1E*^!@A|>42}JA_3UIgtkquX&&pjIy}8GT4Vs_5oXA?<82)y1JdEW-9W5wI~xmq zw~7$?!h@G3CwxG_YHu%mx-2cXT$AV{8sf*sP1X5$jRyTCQr(zm-QmJfr7T@)`Z#i7tLxwYLyId?@cis1V zCrzK2c7|Is&%JQjpvIBfpe9^|bg6{PCy2H|hD_1zkP{eksZ8-kZbmgR9l%zykG7!? zCuu5~nn0jQWL5n>Nh%-kiJYMTpD4htS}NcG_Z0Nd)O)RVvlV7MQKTV7WgM(6thCeE z{ee+>{9RN3l{i5dQ)A~eHO6{7jX>Z#sCAhvuT15ZdKFkJg}ikcc|~rpNtA{6UjgEy z%&OA;;9m3;X>!0J494xnOISD`Un$rTjkj7*lmrs%DNb(I)Xo7U{pO*IgzO9o<6%b< zj8rwcC^|{NB-*CwofuL?2uJbG!LRV=YymYTec~?gm69=O&{&$+Jexa@HZ87eKG0JE zWDJjqcf<&Y9TJR@R}msr5$y)^-rft@c$+l%n)mEUKbr#ZXL!n0*}03j`d zxhh(F8FS6|gv>~GwP>vGvL5g(`eWW?ag|G*aolB)LKGajkq96Hildo#<+8UN5lDH! zhm2Lyc8>+xCy3^9=c9C{be_2;y#y7{cB3L!;VkM8&_Y?!$TkPgI3De`Kwa0@Y#IsB#{S~8FYaRXp5AQve zn8()e$pALky@%HZ0`I((+eNb7p-Trr%tH4X`KDNzk+~*YxH*#&Ty6rqD>D4rtM#~a zf!7v4wfBzbBuhLUOb4tA>#+!GHYtusbIYeG$Gl1&2ak=X%e(@;JC;zVdM*5uHzJb#SNZ)jlDcg#qlvi*@=%S-kQjb z!dfH`nLKwJRmN9hoUAWE5;hOvg3_p(Ymb}&=ASP zWS};{#T42XU4(2LpsyE7s(qxfE^{XUM6&$h4R6J_(0D6Rq>&6|uX+INq7dyg+69(M4gU*<=&(4C}J> zw85tkX7-A@QADRQ`OTJq=;FSw$e4_9wiNx<)et{$YylP*{7gEvjPc||lyh>ZG?lS4 zarGk}V^y+vw`OAARdnMhX83U6pECq z(`v=hb~Fh3iDqT(`LkpxrCTRQU=GLv@!uV?-Te4X`zh8QhIBZjh*y8aeKxE#IcIiM zkR1K9CdLH@?eiD-v0ilvflJLf^lVz9&vTk=Sf`+M4}otazmu*hc7yr|30*57=;`fK zjLV6WALXaj=58HdrS2NxtSl@bTAYP-etNpuw6d&=Xh}|Yd1q&;z8Zy|gSW}V{HdF0 z(cgcFO?XjE?yb}P8M%me+$UOgb+O(U*YJ;N=4QqRJsqFJWYiagv~w1GhpO*$KCFXQ z_Kz96t(>1uk%6n8s(VH}!-%(pYsuFr3K#OwHqL)0vY0Krn7X|RP#;)y6*smpmlk}* zyIFG?iXu}P(HgnL1go=I6?p3?Z*Q;cEZZm926p}uI`mVkTxPLK^&|&tRVCCov~sLn zNCC6A94v104j~MzQ{i)tNlxes< zumBbSfn`>Lww~+azzGY4h*>awrPO#~Z79^d0vWB62ftufnq_}Q4?xo?(%SJBN7GJ- z$CA%N6OG&|6i-)TFmVj2RbL3A) zvQyTzneeS=r5lfk7qD5AM*Vl{?zB+4yyprZye(cQE;;+E#yz3k%b}Cn`Z2FpE5^hxx|#T{Pe<|I==easO5D3Zx~g9E38jtNwj zWr6ekdu(9OY~m0f7~pcpQ21CQCj%_HjLUMMAi-?##wk+xLM4&z;35#yS{#%;3VUiF ztIHNfAM4KTf_|bc4{cGJPw%YLT!j7y($6xS;l(JS5l_#+E1-`?r-BWuvk+@L10A!3 zDhEZ*$WL?382AhD`tf1>An?>tsU{6?@opwsrg>ox2?Y3SB^jBxhHfT^mJ$`~SVre4 z(UMe;y{*LA^W^ui6O9zO?of}^lDlQ?yXk^8lDy~+BSLaUiH*b4K@TC!Qvgwe3)8hW ziuASZ8KI?+?2`dAvs)8JSG#dp2NX(R6l>85ch-SBrDB)_C0%6yHrbIVNJ;RqrwUEH zQ*h){sV;>~^J5B99)1}~>nIg+d=^JwCIqw$ta@nYE->yM)VfO{_<;zrsSVN4(4H2b zs&P@AqsKQC;thO)tDlDkPyg12Q@NR@KkH|uD%V;20yoQ3y&x>6#%;PnFTq;`3RX}y z&59x7ZXj|hdgb^04|mnvol)FyDY#sa?i|cV3VuvDDcwb(LQ>_D&cv%wJKVCMrGZoI z^8|#D%es1&B@2T~%_iPaFVxQa%QSL-HhflcV@ho1SMOwlRm2UgWI-Wwt3&Wd;py|| zgt6W(7c{jg;3aoLjEWDN+CeSM!7x{Q5pIQ~N1;xI9jUOapLtg2wejJQGzgEILF_7D z)CZS)#n93koc!7ADtMr?M0vkm|283b`KOKP1Y$&6N_hGN@nFMbmjf4ZyzL!VOof{V zr0`m~%2>y4M}kQ@9jkXJWbItM#h^Z*YR&_xZHK1A@1A(|*0O1$WrU1YONov0z;iHY zyCs1iT-ph={6z5TbOK9P8V2V9b*_C3%do`nI( z;hk6|GO?|fM2RaekZRt^Rf}iYD=BGUz>T8T`Kf07If=?^)aE=o?8km4D737u$%(mH zI6o!o9R~PT)E%0~e_!B1;0=B(Pifu+#+lx$<9l`7_quZF1d=n7;Ed`LIw+Hbd=Mqu z(elrBXW&_>9bY?K*ijzj6_JC+SBTeeOP4VsS*Ee|21{Qf@w zroKJ(|EIo%lCW{eRcr(5TUtWz98Q8YeUF)0QUXL z8mNkUwcBvd$M?JV*FS!>w@?Ubeq2tGH)w_z8+qu!2<^^+6{-_{CwxSndmw<1EKleW zLA14IR(k+v{$<2cu6=#kwXR{$_$qR&^!*^;r?$Hc|Hbp);hPv4>aL#K?t3kukm?Km z86)O9=ui4>_T9I9w(~zxjE5o^l9BH#60SaOZCae!FtXar*-$Y{**J=9EOkBwA&k18 zu0B^8_=UrDGabm~dH*o1{>S`^FyZ5&by>{j2E)q@zxRFZLHzcy>vLeF^e>l${!_lk z3uW5@bzCUjHtl=0uwgaH^cMKD*96J-O2Uy1`_+3pjzG6nY>;jzr*D2~;Wd2Wd=kLN zL0b&RzoYFs>J;sN^6}m~oKU8@fYrPtW47bs!qo{E=}^5tWy>iy0R3&4?E?g{H3{-^ zlM42)u5-MbBtZ-#nqWKYs()1N&3;F=jMaCKy|yrOP&kfBy|d^OuMKpp4*T-`3I0tT z+VRq$YBNuJ51W$_EoFte(=zla^*PhwS#&j0HK6c0cd{3}dMvMrTAL+aiNXbxzbccF61hJDFho~M#F zXXxv?FC}cwM1tvECJ^p6GAMhyy)w%aBcY{oNrY7gtf`zIUU_Mz!5mgPCRn*(4y8la zqp%2XISZBn4xD!{5pn^oR|Kks$y(9-esY2zTX11=1Qs4xQPlCr9TYayRC=A)ZUuF! z*x;ojIjluLI;(bn%*l6@Q~Y#capc5km+3`hAyyame5uAH7LD!}hU zfm`(O`fw=SG#%^O4LAEDvlHeAk^g4b2|_#-z{%f;LO1=Xmc8 z+m>27TvQ~E@cgb$HS#T~S$z8>?Dd&F<$2+~hd8~#La?>#b;pdkc86 z!QKj-L>n2vRSRPPEqxXF=8gMDyL0YM0sUlwcjSw`ibbX3_+@b@J)1zKP`iof5d^}^ zUvbcIv53|^-6-f_1s_nc{*kbr-AZrCc?vjC1J5k$Mc_%)?#PcbSYg?5s$IHsm_iCI`jGP2c{$vm#~l!B*}9TJpHG)`7Y$jlUT zr2&Y#^IhnpeE+LOu7PawVh3C=gLto%|H&>D_h;Cgm&U8~GDsOQuct;DxA91tIJ9&L znoB~Sq#@}55JtW-3cG}eeln?>wIWMg!FXpZ6)wk z6RW*?IZHD+qW8MVS`@(KDrhn;w$=U~pJ8-QiDo`peJ8jg|EreA?S#y(V{Ueu)0B%ZmX>XpWPP$sLGAeoeKVe#3lR}j+`@xmX4A;J($kgaG88hw^u|x7O>1 zcg+g5$oMQAY~sLHVC^)zT+Gv%cz|`3ceL2`GqwR{{|PZDI#alZ98q5RRW}=N35(Eg z%WyzP0n(Xm1-LMB2&*oVU&!Q?%?ohHf4h10>;oE-k6bJ1qX3BP3W zD3k!hD>b@)UTWzbXsEP`Ps4nRUB8#B6g?gE*&Spt3J2_2IMl%->hR=1aRMYy&8~`` zoJx-xcR6t#9_UHKS7^Xqu%Nt}2#{Nt+0b!yj^-FZy0yU$-qB1%(K-%eQnx2!!ZYyW zx8cGu5F5#v-in?pgSaXxuW~4EZBSY&lGE&xXaKy@sUU<2OYKio|$f_C;`tU;-EYj_5BfrbpbzxnMd|S%M zjFYOkLJ_n5)X-=p8*I67OhedyvSJFyN1MXjm(Z{xNAi~EBzw93e(euVtUH%CIaJsw z!4c9SIaMZph>mdJ{?ql_Y|hz0OK}p>T83V8NGHbIa6~gCd2a#~R3+93;~BF!2_!j7 z$qoT<0~}Oy$@qLPi%+MnkJX@X05t@crx^hmt=*$3;xV_oBbvYyUKZ8;cUvcLzN9?n zoH>M^ofhk|u-53$lJ_Xqr3Q+0lEY{%Nn`tHJ*>-7$tQeFq0*PU4G?Pd&cYB{o3;}$ ztYFZBEq<16?a?MLvVCCCQcJ-sD#H02#@PRSVCn|n#eK!66D7!ld0R#qbF;uWAX^t) zq75lR5$P!Nc%&(l5D(&wLpi)75!pb=s6R^6`CoOCGxq{+SS#a%r`LoivB3-ojv-ng zYUno}QC6&Uzi)#vUN}PA4Q@Xx+K8Om5S;-U;}|T;bg))rN2v2O!E`f7u8FWDh&rKZ zs)Wr6tQDj84Fz$uZdEL#yvPnCgxFMltOA7XNM7fF6EDGuJ>$rc|7%hbH!-hKQpm%zby@Kgs4PU=+|p56n43mc zJBi(Y7Xt2-8dgLplNY_YM?p;iNyGMPw_Ng)MF-;?CkZ4rm`?2kmnN(P`GCxdnC`C_ zZ@x%(sz__eNgr9eDxb%DV}p&>EteN{>c9Zb2O8}`q$0ngD%c6I6MHJw1`HvuEptN4 zIT+!ZrWIXj+5lz&Zv7#fc(J?VYKr{EQLhU{zzX6tRUD(I6%j3iy;tX;O58#3!+Chq z{aRZD+wZ#C@^~u=oKtE(HS$oHBM#3Y|A46&A>90DQ!L>4iFQx(M!2X|si^mr)cH8e zG$VZ@LJz%blz(&x%rDk(Yg_bRaglh`^*Aw$d$bU*{V_eAe^lURZMMtn&ufdLYiCVo z9-x!++{>xS9_}VHQGYc1*})EZ5WmquoemG@$3h3xs_b4k-}kEJ<&M}KSh2DR=F|e` z`1S}{5(3tjnhtTplCu^ufU{QB%j(`34Pi1QLJOPJq8*bZ-L@Zy2WV5vPbnkU}rOPsJl^WF@wKR4s@uz1|h6PM^mnP9HT zD4ws%x77K_{y(zb1ggn9?Hfi20TpnjgF1HHMg?3dJkweoMP*db78RyQpo)Q~)2da3 z^icwhEa@PdifENUTNBa|LdXtC$gm{o0)lWaQy@tJlT2G9g&5KTAr0By>!$C#-}`-E zPmkxgAxZB4a{X4-NUcBklVq5Ju5x?Yn4*Et4GRw57u<87e+!63q`73n)6*to0$LAG zX>I9>8zuuPJIE=6$S8uHm|y|_|A=7zeIhr)59E*jrIl*U5;X)|H;&8rhQ3nmB4!UAK8}&Ex4R=4+_K=*i-eT6?~YFD?k!6-gGe0) z=zZRWZ00mTrt>_|jKnxKF>D~ZXrhvwS%KE;CB$+Ua2b~gk&H}umrYs7`|IYtsz*aA z0 z^S7I}pYAIY<%Ab&Jk^`KY+Fj*f2jRg6X&%7umV6;f%#gZmLQzL^QZcY90fG+bU2Ppf`_=-lLIU8#32e`4FK=SoplJ(xvi%u+8 z8%O#QgApyGrLsBQ`~Ywf}_nCX^ZlT54TxT)ya1pP<1C` zT&*TCEu}e{OI^>Sl>E3ZnXB^FIL#34o`Uy&JDpLojH8B2?s34op1f{Fejdp$3s$;D zHn02he}?%sc+d{Ov-bD@TdqMSL=}F^{nq)c-s1OL^6H%duaGxxBfm<1eJ5$P-^*{3 zPm-?(1it+r$;OgcA0J;R5GNNeN;bBw^&$EAY}|r05ha;h35ehiTQ@=;ypNwRImxr+ zH{{>#@ABo3|Ak-kZs@!t<*WmLSs|I^^LG1EKAF{_HHs}FA=u|j>rc17B@ zFx|;NL9Jy3W2649y~}p)Uj`kr&WC_1_-5GPfy9yR!z0%1SD~lWIZ=DR3#=qfzt$QUs(Vr@00Stc3;XS#e zsK9c2JaV>XiCbdymF`vr9CI4hK2WZAehCOeSli*iX7O{swHs%;(5(|)sPgOS4o6M% zt34r&-Mzx()i)K7TOE0*ALZ|(ej7JGe(6()qaRuKa3SPaYUGO4&Xpyr{vEvJsqaQq zuotcW0TB({ik&!MDZ0D!*xx4x8d2F0Ipsm+U>hk9lckX#SW$tNq=re93M-FX36_~o z(xO{(8pK&4f=iV*_2AlvBgX(cTI( zjG`&&sR>+DIFkvEXzNokC$3(Awb)miQZ3OeTSM|3>e0k#bGx_)bgS1vgv`qC_$9p=iUg#c_9uK{1 zMFP9F4{J{-`sMc_++KES1N*u5uiRg<<}lNr^)&756cv}BMmX~&{5H15!a<8+F}@=1 zfS~w75OkqUY5q5iDj3u7MgfT3?XYEq?(MCph63_SFj4Wt7~cP5A+v)r=^{Ml*L@(h zWU=AkqxoO0WWLKk0v{QXEE4PUTdpsSIkAz>T!OaJ-f&ftG01#N}n_;ArRztD|w ztKax})f=J>??=106u z#Ck>0MIX`yJ{M7=@5;@mPWn@oxl4t~HNF%;AR{%mfiOGjQ2#hx_-%4eH~p<8jq8XL zn)auK_kHe9K6O@2e@0sCoj3N7c6zG)C&`dXl2HJuBrYEHi9Z`sNuF&CU-Ibg=`RS` zQhnUVL?{V=`jdsy_iKXo2FH6+1HSAGniyVHp3xb+pFdBZeofRSTsOResEw6=yP3OI zG#CD%3_ir%rjWAcX}=ch{ofUk{n_`dyrnxPx`R4jVyl<38iq;hB3Z)P?k%9@dDNaD zf0_pQB#q+8v+9eTE7lmgY09>^i=b69PD9~oZ-prI@B+j%a8c=-yPT}u9a0ERZzB@i z>7L(oo(1AxCZR9AMhpdD>`1=jrC+e`7^!j1aPnS`b-R;TP0-h&X2W3|`<F_!1ln{S_4I2k%!2uhK>^%<9| z^|FN3G4--NiJ?+E`Y2ggFe0>7hvvy3wK%2vw|RiG>@B?Qve%%kr@&4>fj$D?w@X+1 z_`GwlzxnGe6OZ0)cD;hPI6hE6QgmFuDH?RUy_m(U!D>An4oP8XMWtiaeTt z&xqoz{CfLUv~2;1sRH;UcDIWlPNT39Q&8f()Cq`V&m+sSA=l&uX*eIK2Nkf$1!ubc7tkNf#gr|x)VJ8?NAa8GwpE4|ixxj5$#=ABlnK}U7sJG^o| z(q0r%_YQRaG#ef4OMGTX{*Z_c8@x1qP(`j53@l9peSePC<$z%%E~WPc!1Y zx@d^N&Q`&%fnNFyHyi#;&ABLkg6}j8uveyFz)ptJOh^wBfx1Q8Rrb`YDF0fw07%aa zCd*Ux+=^Cn|8f>a(Qz&{J@=E?S!CaRT&CWGEY-`|?|5A!(Ji8=K7BgxD3zlq4vCJSpaRqRMC@|h~%Yuw4XJhO{ zeaMBW@+~II^(~_fr_Z?)m#2Un!F?hmkHIwQVTS%YI0G2k$d&tbVRlf@GJNv z^_{NrpPIH5T$7cCyob=N!@Ih5AkT(gP5l4h#3OGj60;aKMqjxJRvu`9WU{1_;LHF( zCgZ5r4cClFO3fW0iA5=~4(fQx*CM2}M&Sb0b{g6OyNOEm0+CuU;es9EbFt=BNFmAh za@ua{r^cJF$u$0ib02a{&xi{{*k-qr2^TtL@Lkn{9f$d!AGX}ef z9TXO#lZLy-!HUDrCoWk)O_6z@9|(_=yZehm?PF);xa1NH>^lnmEAxBH7#aw5zJuo% z28R)x>B*i_08)$8pdMRTxxXkw^ECuPUW!4btndQWI54yHMH9n((^W`HmI3ONE4$2` zo=fxvdlk%aUL%}wTxm{~*S2_Ufp{^${Hrq>uig%ejm89%Om5rrndysHV53n*!Nlp- z;=)e1>#5H1QSj>A4XAMM55KUHW>(}W-6>W{D7}S!;YuDXR{m_+(Lp+JUajZ`Tu~L9 zhpAjy_*P|+*%eE;I4#`$Is(%Hz}f=J`4^IkMG<&nPYID|2|EIn;72K27_|UqodJ^* z40Gz^yLzEJRb~QNzg-We3a6rXK$%tC84Z6;R`AtAAui+9_eWtO`4ScP0BQp*Wh*gU2%V4EbQ%6uc*sO4^y46j&Y z#d!Qvpqn+Q!UaUmpc7r`fTI|egROG}61TOr=VVC{OpV86Iv!C>rNJ%37GpBUdd(Pt zyIn4r7K0l_c+7jI?;PA42~Rr@iczBOOaA2gP_k400l$qg{oy3Y6=!?OG9)KML}ysb zZB${x^5d*PFPM4N>8$0@FkJ1g9x*P@16}nbI@EDyJ}N3yXzcx z%(O>;I}f120k%n3hg`GQuDvgfQV$|WGU?eUSOadPC|>2eu*2QbTxv8uB+PQ>c&#pE zE8K6LZgwg3*LBW=tC9zbQ#;ytoU1(~u|>YL@CsKO#!#TmocP^pw>i9k1|~Gy)D~uu z8f`o)iYP8(a7Rb(I+9=EsxxBt!OO0#JEF@h+;0@Ejsd=q0yf^o)R8h%I^0@_r=01A zF``|WeJascCj;3Ebt9OR>Ppp)cXo^OmXAjIRNnX+4UdWfffYGxhb4ODvl&M6nC(3tdAYd52CP-8DVC$3@X(9?>odNW}tD7Iev|89l zu8KgS3hIpG{P%vq@nIROr_3uH$||K;&}PA0jlVI=y5bupY|^@&GMM0uMD=WHr5Ezy zHG)W+i|JOOGQvhtI9hpAZ9&12>(a9@Od3Cq2+W-?c3JLB}Y6 z%Ij@sY`4h5c~_KP2KK?Et7`0W-zTghFLER)y$^E|9ZJ{OTH=1uQ>GIVk*^@NI~7)- zu@aE*t`0o|StZ#Hoi1#AIR@Ep;>a_Sh#T{;PC2pBWHgA3JKFl+$}h`Hu; zgpYb;shLHj%fBegNuMyV)HJ8AXilUL6eT4F<~g`WteWaTsOFXR0!^y`x4(fMu6{Zh z6d&D%h>~n+y)Scx#FLQztLZZe1PyJimEUCKmjtuyJyi2FMtNaG03&Fj-5*BQg4g1mEmvq`43p-=)yP1Wlh_kNde|U{d3?rb8{uw4jEK=Nes7<#k?~t@IcTNEt6Xx8rtnd4CxiW&Ke8zcYJQf?UT7pbNQV9cjs{1inH<#S&;`>HiO{^jYrra=>aW z>9<3E;iOmYkzXgDCLg=!vypu0o?jk$b;**A|3NEP&H;?G1inbw|7|dfs{Q=`YcPYJ zl31dsBydT5}k(%`f@Kg1Kx*z~*~%q(AsvBgdxu-#9iCKoycI zxB2@=%1L9t60(UulP%^I7q*i9|3nFvO|IN~@C+&B_^SQPQ)bdnZ~p$jNWSLK1ldSf z#hs1%ZBO3Vx#LO1Eo!3Q+RtQ>Qft#sq}h>hCjH(UgULrv1`4BNH|pQ{=9Tk8{<(AY z)>l3Nds4mn>!r z9T6S{snbdq&tKxtKge5gB`Chi84{mD;$|!a?XPmY7nmM&I8U;gxq-lUxQnGHIa{tk zL8dh)as@y9Li*Z1H2VxN_bpHhj(C+t%h)(dAtUN3P?*==JDU5{ueE*W|?p@svdW)07 z3MgHeAO(ytwBBM^fy{H5NSn7*0D|PyI9EmoE=Ypk!(Y_IwB17DYW*|hcZG@XS=BYZ z9^pPlJM3d%{juA z`^O>mZ6Ta-@IETtU6{V>D>?*G(u@eyIE{85=q-`Ox}-%J&}IojI9}iBV=gJgmQjSI zqvMZDbA>RPCLIIZ52Phyr8@>ea@aKs3Ac^6Gqc-&#J-;|?`f?L5q8dEqPcv0sfXP! zS3bS}xdCt4sY9EUDx_;bn~+gR~|EuhrLJ0^**E$kJEt zr2~Ah-L`VS9BrORUKyi^U_i)-Oefsc{-~z{#H8Js!)0wkxaJk!#`$M=?*kPA$A;o z_x^jDiumZ)qs{ZrI9o3xE#inz|MnsKopDy*pe9Y1*x8CME9}r7D|$YU`m>#&XNo?q z9k_tC9p4}lkdkLn=~IRA?i29OIgr-&Ui{Q}uN$jeamIjwYW8tCA*9L2FA_zG7PN`F zutIzQBnB{3oNNd*uezyOxmQPwlhCkkZ9is#HbVO-+5$N-oN(rpLHz0!$oDIP*JyY4 zmgVQ;Eu5T}4ieZUAOhRLHb61o@p=l%_rNggZ7u&=ZaJ*VaKTniT)Hd05CD%Xo39?b zI)Mc8m~bLklwWufSC$vH;{iel$j$RWathkuwt_G3;PBJi3|zJi8ld2wAQG!Sq-#BP zkX#HuK>r|C-K4_|9uN8j$b1mYtynY8KD@{qH;^Z7EAhpKIvD1Jp@%af3Yb74TEO*U z7rfprQ&#!45vw#`?ctQ*R9jB{=h$ zB3i|%YJV@Mr^0gTsXra^VLIVrWL#vAcPuzPsL(TukaM7V6>jg0>VFNovv0zMw;daq z#qHR#Ar-b`m@HE3PMV8$cr8>FCUzCSQNQ6~%Bm6=1*O|*JvbMm)DD(z!Sm~0mD&7R zF!Hr4603tZ5otaIh{VKYin(pIIjdqiM!qJx+|$^x{NZ({6;RC-!C(SS%mey3Z@l{h zfo@N?wdOqqu*WtQU{czsl>lHmK?;44Rr*u~PoB++OTJNk3*WxCy(A}rXYlo8+Y)`j zcEU@o_RYw6-Z!E^15&yDE#GZMPtW}H>}_0@KZ~UREi{ynv?G9WBf-$V|_yz2bneKpl zT1ot+3|uz3VgpP!SBTHV%RQo8v9>4*ZB!H8-GBv5ZaA&;tYP5Kt!KiS?kr9$XC$K7 zR`mGJWNNIJsRj>2w&uORX>r*;cQ&NqK%NdxOErMkehN9|Gf4AgBomTbPtSl=jWdr4^-vd-A;e1F+K~rKFgl+dW9)cZ zA+7z(Yp6^I#Cqf0*mD%+pGwF6DS8!YBEsbW0U~0)By9{(UJKwJmd6JwCl@e@4Ymw$ zldGYaU4}Qii2Q#LR>Oahe}Ny!W!kh3&8}Xzv`h@tWCnx`h_s-ipJ>0v+BxFN(o{rf zURSHLN;@SDE0ZRR3hCJl4J>FUL@a^1@P>0Pywp1d^O_iMp5+196;=Ovw3jIz2J;XD zp!YMxKEdPJE@`T~cE64Mo#=Ii- zKNrS-e8Q+Za#4-QT%O)C=S*X88^)>K!!nIy*E-H3=K0#pZLrl?;bU4P!(mQ<0A{A` zf5TriL~5Q}e_GXheQ1M~aDc%Lm4(T?D*Ef~ZrEc)_TI9YP6#zExPupsI1fS&D^rU# zU!>C*8nBTryeP@hnolfuVv=3Yc~clh(d5q6`>&Y^a0tW-2YO1BQQY~m zU}ly+WgB&Ow;VK|N-f?EvLCDKxv4dqAvN}tV|-?}$bP43JC6vLN>gS-Ur>=AjFGHI z(QfDlgFd0I7o}gFeO_*u0;|YV@Q_>2)cV>cZho%suI(~me$((exnPOL+HYQBI?ywn zc_Z=63#P$ZnFQYKvSWIP!ID-ZGFs;2G7iUVav@s_Q`!wYH zh|n#penN-k0g5Yot&_h2ul8${J0^`1_K%VH;l<--CcwBRCzex?DmWEhy32s60q z)d4W01}uQU>Piti+=4wv_Sv(lc&XBv#((Xj6Dw-B;l9QSjie{=Hn5R7-8~rmfpO)$ z^bjHQ280nnJlQFrTZ*1)7h#9hdqsBEY&rvJ76E2YwLqZ9c7@5kyS>v;a`hmK9jh1V zPWT&HgqTM|E4IzE-XpjQZjHZcTGCC^ch>k`GM1^}GB=(0=QY}rK1d(aE07jeCSdb= zTG>_QEavYv{o52HMJYoP$odFKcpqPM8T62N=@&JSZo!?Fj( zgsA;a<(d4t*i21~36v3?J0MQUMKmk8drIfPZU*%`GWrxe@bjftqtqt?7m742e|HD; z!dnq@jW1N0G>^l5yh}8%x8}jq@9jpKl{GKa9(QT*hJRa20<}eOF1XtEYHbGY#YqF_ zuv+sR36a95FE4vTGYuzBN=MI~7Z{hCf;NH3=9nJ9r7l;k?l`e}MVvMB<9G`ZP)h47 z=i8A-ISX5gJr?=3_|DzxOEQT1^B}F+preFT=SGtj^d3drBa-3lVk$)bV|eq_Q_xOz zjrEnM!3yr$ue>_J%6r{f+Lj9t=>qUUMjr4%1Dx2YIVr)0mGmZ<8vg)NZ8tR+c{Gom zzQV;?<@1W0X@!mG(k^jtM8Y`x_Zs3} zemwKkV5mC1q8^_9+DH-FLKg*XEux1WR?FmEL+{;vsf#V5>{817V~hbk=3rj!uto7i zVFg`;HT{@(3glQ?b3`$Id+17T_l7gcVP0XZOVwQ$+p4Ci;oPu8MBF8ML_sZx%{(Ax zA)wF&N_GV=qGCFU<(tY&^qo!u8)vO;>zj96g`gv|Qo+TkhFicGvc~RgFmj?rSTTem z$e^4@m{Dk9XXK5nMK9DH;ljchXt$eF&NqI2_*wT}5m zGNp=P53e=511bRnhB%Tn;N+$XfZNN1L{s6(-U>ML;R!zj`rqluF06S*va5YMueS_J zR*c;rq!CVtNPexAx?6n;pbSPkL#ZYDydjBL1&@(!5`3rwaB@7|ET=;qG2z-wfJjUZ zpGP^PbE$t_I$rw)ta$`Axu$>Kktio`ZxIV=~F8{o(qnZ1hA_XLiTGzVj=<`-zA3s z-}d?(X(Rc*gjUQiomlp}(KlvSwD`QXvFdeyotrVYAbyjA8b-vrNZ7xeztkwkyv$dYjH zf-z8aw#JtVqHEP_-TDX0ucl8Zvx7m*MGkL67NuNYr*8e>L1y>YkPkb3z|i-XoX%CZ zas2K%#9uhrFX~?hu)&kH4@WlA0Z+(?dPy_6vShIT?kAXNH~RZ%_RkjvCGDebztAuE>Pv|5Ie8Tk zoLFD=z=`{e!Cv&=GAKFRE!rMT5 z3!-@(k^#Itj2$C}-Kw5!mN@C7is>>?SoGbkzUsKQxquipuAu>4aRP$2S7b!pE&F`r<9dw5rGht+) zgAI4p{o>NF>asoD{%BN8yWjT$eqFo1FC(|^{1gPnoKv1FsQyUy?7)5qKZQUaZuEW9 zF)em=!M}1IJ>88oZ39|0t?dZhoHtkz38OH06H!>V6LQ%hbQMLLCRgrv-gf@ax34Ao z`^xJyJ2erXnb5A*$9=pAj&Qy%Zg?T=^CC^+%g`nycjs-Hx?GFBSgJE;eI{(@#-)Nd zb~;z`y5OiQ3NP!Q?C!i~Yx~R%A()k4cwOp+=BHx_aLEp=diXxyd}O)`&Z`-_h`~Q(lU=U%{tIl z3~e%)uuRA*udI=uuwaATc&m&656~tj1(K%lR;z8r2Euu>^Ks<`ZMca7hZ)ksO;{OM zD1ihS1=_|VbSGHTFx>LM$=?D%yoyP?Lz+6fw#Udsp+4Vl25^jWd-#U#ptVpq&(-DJAl*o&_-RH zc)EwP8pz&OIr}f_g;p%&tOB0YL9}^%RQnc?k^&az2Yxr&OleoyhRMgeR1RA}r4uXe za6b2lwO9*kZInfn@dE@WBK&6-;O)QJJfpWrwf zN0&~%KpwG?16&Mg8m1HIBLo!nY_6HOmd5?FW2f#@TRt4KnLyW?9^bW4`>xMpEs^&T z-eWBQj+NPHBT$TR$nMkiTwly2gn$Ilcq-&^5K9EqqL>aKqsPK}w;OC;0QjfMjyYuc zgt03devV3#7qW{zghWX`O;(?(a_DMM@gf1$p`y$pLOVykC-0iLFNuoiIK}|5KyXIP!lBX(m)as2kP+bDF2F*9i`i6 z=EVN=toO@bP|?Lqgio!NR~Q$d5;CC+X;_$HpSuDoR@n?%pT{V*VcqmGP_Jb&C6D9~ z{Ho?2X5o!C;o?Isu)-4nI)z3v==K;?r~i7I9xIUQ;<66ChfFsABVPUF(F z6`S1Y?7u8J`|w634Zd3%449{Yy3Fq>*{+FV%NDB@L|P(%hT~a=O&3Zbkef-vdGWU$ zlvJp3;SJsKQbFq^Cu%1%)(kY+kp_rQ9=Z3ms`&^V;uM~7;z^a(j+Y^&9X)IF5~mI;qa7t}r+sqBwZ;37z0LM$TtX{dr}kQqCRd*4 z`4f@*lSA)<2T^a$y1P9y3g|)ykW^yXj~B?H}~{acBO0q*A0xmP>N9t z`Y2WoI zZs;4Ga4zqoc7~M-C0Hozx(u*%iWR&2JE5A*Xw`mg)y6r9N;X;2Q5S_M2~WD?NVU&H zXV>oAo>Y1H*Ah!({yW54GzV=#&vUX#Ox{HyQ+O7<9Tx2W3?-;O9RD&}B( zp8D*>5PW;iS*+;l`25XL{4PxmHp@p?`)n@zP<+4xbx;_jQ$0L2ii-JZiwHFD45)oA zr9sSu^{1&&3%W)AQCnr*6mBgo9yFt=LMOf zvY>mqjkOEPvbfn=xJAuC?3W5nSE`wL7PMY{jfP7&1p8V}K9j5d%n3EWdLew1z0gmy zxF;bJ3dF)^5YbeZxydZ1aIrls1$6u*wG^BymhtP|>CCjU^8yq4|PleSliiFn62(rHjt#=U7&iQqR zImaOu6n21XAXc!YW8xx89CVrWmWU{{0v*&pPeM(JSNX!PHqo0)heu8gfgO5CXBRW_ zkbhioO~`i0VC89Xey4FE{bosyTpqC85Vcn=PuHuthnSh_vN$Ri6-ioAUQ)hg%Hry# z7ZyE!e$mWXJ#P-UW9J@97X+;>lBvqwqfmnW>nAUVfj36o?WXUef(RiOUnwD~QK7iW zq6O*?6xHfzFi56CPsR4a+YTl?p#bph%@B<^phioa0hQ7!l^79cVU413cG{UVOq>br z4h0iPQ=T-stMPlSv+kUUC{rKhTr)AfIG%Hk8^c2+o95M19ZT11RuoA?0TNp&EorJPq3s|prVF-jYN^T5y zsM4FNNq^zjnXD+*iAG#%fQpG7UNwVABE2anNeIQWV~%R<$pqRZFI$(V+WojgDKFP| z7z`&DfNc=#E)|BBOeo5jo=1pCH_=yFYwRg*^CVHmFnOjO>UEs0_`}-8bT=p?~O-;Z}ObgmTodTxG?nFca-BA})S*o-UATm_AF3cTuWzb5l*bY(?mdinTY=yGi z+^p&)owE7bf6qHzKTgoB6^#=-m2SSG^AuGj z<(`@HWuW1%Fpa~zcMg+qXhx+d?b-J{7y8*u7WOcj7?JV!qqFtdF0MPjEea<(vPvmf*VR!ASq}3 zTyI1y`ByNROKP6p>hy1(3_Kn5SEeBJ)ga6EGmen`zx%W^=y0Yclo<>o_{T5vzTN!! zhEJ2$ek%wqFQV@Jy=-0I!6jQS6EnB3WLL!6Pb=oP-Cp)u#T#?4$hLADmPS^RHoj@w z_RweDmcX@BuWmX?I`nh6pE)4@jev;P15QF^TEuL`{dEB&Fm-qNS8k7=30@n#hWd?v zh(;JJcsNadm$yo``mnH`6l6$RXJrwsU)z5Q{hSiPSmy@-P1a~zEa7?0yk-rp*Bo^) zzwreQHqN!sXj$2K|E@1_2G=hsqNKPP^a(iV%(q*^QNBpu>vmW53&LCa|5UO11JE8I zjVHEh!c53NrcdC0)DF$g-928rslV~PbzG#WVJ#b?SI#~yP2KxR-!R4tM^fKKng#&t z=AqngNbAB;-X*hV*$-b=e7 zY+|_Yxa!Kkf`k!w#;VRAk0bmGm@fp|i+?hGwg2~s^oIcj=bK09f6K8(3DjtiZ08KO zfu|7kZsB#`d*(Afa_rQ~U&b4MaE|=XRGu#02&(AFNqw`HT9Mcq+}sDzi`FJpqT&qym%?WKANkCzN;Vt}SprM~j`UFw?t zCM>Lb7!ePpaM!dV{HGl_X?m9Z-|r&H&MJG^2bnq~rM6#uXEWM3Sn+Wk`_P_Sh>Tq& z7rNN;v$fE4TG?A#Qfq?#Ay)4#uo7A&#~_y)nv7zN=;z?MAYyIF-`KIwiN3UXL;%Kr z1OB}}sG zN}sS!xk~9QxQeG%hZs|HzZ!gjHH`q=B7sm7xY+mn!an>nF208eBnvx{K;49)dI2^c zZTrYmOn=|Sg$cbCX>3E9C(ae#L)S;|s0;xZg6Kv>8x&`V&T$D0gRqAJE{=?P{7$6A6}W#I@ESl ztOJ@@7NzL!!TiiB>=YJv(z#Pc4!{u)ulOIZ3OTQ7|C zijv_xu-5%WX6Y%(ncVZ$6hMy|7F@E;RtbGUP7v^6%a@yANCHh>Qw#1pM?*y* z9l6Je-NVGiS;!FYDo!$5Iia#nXvI6N-RM-5#$}{Bp)+p>w%&?BA$kQ!(dtJr@t_PC z9qzt^r*U!o3h*#<{=Nh5Pm0z1r3QqfgOXffP6Wf2Uh+Sank^M@JV^zq3I2A4?U(de z2WT6llBDt<-JOtP@avUcDw{_nxt1z#r&_{)sDrDrbp}gvpX-+I% zIjyd`b2@Inuy15jJRFV#b@D{pczYRNj7xLE5nhb6s}}BZcI>?@)kh|RCR5OMiYkLH zqml`NwrR(?ySvZHf@L%+$T@qMmRZ&xLGlnM1o^%_ZR9J#i?X00qAJhM_RJCcg94Wh z2`U}H0bIGns{R{A3;6^Z_=mG8)xOLJl^!!Kv-%0GMrt*>zc4CL(FW~zeY>B)HDw(3 z9%Ebi4jYTO$)o&1?`huBNyuqzy(*xZP7d@IJ!2&+ofF>Bc0d zB&*^??P&dcT2Iwv4iMrVtlkVlZ#!rspBXY1oOY|ZrC(GVZz6EA0IjDKA@j$L4ZGYiAbZ+7Y&SGtqp*ZM& zum-!M+-HM~bLbaTY*heGNtaNCiv~e7NkPlTs4T4tU zQvp4J6%Dm96#6d0XEM*;cM&l86htb_fu9z!-b-J7SWVPc0t%;rO2!34Z%K9rk+|wc z#5MtV_R}jJP?OeIa?w5FAf8z%EDb#u%hF-U8Y2g18ap}t0#riZ$3*yEj-sq^`q3wc zsx*I8z!yl(;+%)eI^0-B(FV&HeBxH@^Jw7z+X?#LC-T4H2l7odE_Z2BiDQuksrN#5 zag6eDOKLh4GiLRG?F2WEf$G*Y6j4w}8~H*Y*1bktGabO+7}H9xC^Nv7pi2RpJmm4} zE!wZuJ8UE15V^x=<&}N!wF2kq6t_h$asoS0-Y6oTm~BfRGcrt1c$qLP+cPM|xk)kJajT{nRt->xY_#e$sd0_aeMD|yKkbFxqewF#U<(MpJATWCcjm=q}T9f*iE z(O;;-8!;iwn#%>N0=k2UE;)FNXzG$>-HYmw z`fHTarX&+=B*uJblGYu&2>TlkRFZj$k+1TE5IvIw+*j9l^}GAHs8+Rjc=Mm?^Wg@m zN1977MP1+j(1A&uFCdWf_RYL;M3PSzu81EPNjnXCUC#?lk^)1b z%=-e7XjuxH?g=j0p{tQ(!VWrb>Me^8+F(oTix_FJ(4pmr^2PZ)aYm%jR}s;%IN%g`D|nvugIE z<;(tNG@JnaqCoIUztV6`i4g7RfN}fyw+~WSJNfNlAufHa5>jl z?%a`uskk&I)~9&(T^?u}3oUqqg+PDidDRoi5e!|vt5=r#dWW7U@r!j(jJZ7}oyjG` zaHHTPRXS2_X{BFwC2L#?<1yxc+EjHAcOFUsKu2watQ@8Zt|6ao)V#%cB=*f7uTykv zk3mF*P!%kic^oU}1jh_E%yyx_vB>{uoFvD(pZ!>ii?|qmwv%G&8V& znBGr?+1jdLABxdI8QnCldW+rmCS5g)HX0_txjc?E=;#dhSl=AWBMw-OBK}lob8hLT zGAeVvfB)NvMBy2UT(7*X)pxbyl3~WoW;`e)nYqCcze;$DR_~)cUhy zb%(mn0;46eU=1l3G))q_{;h5}C8Y$23ai<23dVovlD3J|7!3lBL8(R;2t>o@A-Djk#!BT0Xs=IV$S%JLAktghUfnp z>0S)rY~WJ5^Ha!4?W+B$n_k-LUBmh9ORxI;;hu{Zyo9u7Wx#gN&b6bYgK+^{+$-LK zIE;(VkUBRhX(KTV{;}%tv*7P$d|%$y5b?)4(x-G%Ge790wdt487uB!48~n|gka=(C zs{3i{U!yOq{a4k(E428RYbr+l{s0bpR`mubv4H%E-c4`(;`gTW&CL|D|FZAyC9O>k zICl45>hDP5H7C!VR1c9h#wY)Ud`Om{mXpq%lxY2LD3YJXlPXVHWADCsN@wzKW0wO`2HYSbtML%zJ_-YuO_cBdZ;!8lMV7%P|m3Ok1Mg#c$5_jM;8I zv-QT44*^xb+g2d+zd=iNtpQ;*0mHi1%zzre9tBLp--|XSE*Izs>%}!DPMqBL*01ZI!UbEBiRoMjYC%{p0pQ-cB zy@=p(nEJ1X3o0#Uvht8wiN0=0OEYUzRfWCLfaa<-?v7x9IhjZ9US-oHV><2!9B&!f{ z0(j16Ej2I6zy1BO@Wt*iQ%amSL*84=&>wYZRH!%}3I#N(#~%SNL$$-tAwZ^3lTz}< zc500;a~j`jXCKI$V0}Gt7WcW(FZ%Nq{`TXD_yK@omyt#=_4+$-?a?{QCoz5ctBy|s z-}&~zaNo%N^BiQtps#>_!$X`_q`nRRtQUQllFs{ZJC6QioJW}?uFU-VnbABBmxyaq zSvietH4)+o)XPCasDc7C8_uMpi8qS~=b;MBg#G)enH0+UC5JwkqgF8{aS)o8MMgKSJlw^p_QzV7# z)79a^xm7_mcxx&Y5lTmJ8wd+Pr@ja^W4y_na7HQ!nzCWL$!d?YE_R1QZecvAOvd|4 zMah+p4801hSXC~nv%GJt#8{|+b{OZZ>z8)VNG;Qd1u8HpYd2)hr=bFY(^B%+VFoIR z1~9acTOMQ_z<7xlN}JR#FrNNA)&>+Ec=Ow_FYHAnojZsP5jV?bN;)yZ2H`Dvl)MnS zKO6XQBH+&k@92C_sZax~Ql0d_Qs9kV+`OG7S13gYuZUjI4U8)f6boK3ym_3K7o?m| zOU5C*vSkr~bZ znTV7kgt`;fxiKPM3|v=WzY=C^4vfM)?;(2PImcX6*F>Dd6yU2pi`Dgpk}H!GomZES zO0L_B2(t1D;umv;2=22YaqB0HL@C7x7Asope}gkQ1p) z)HsYbxPC*K2XqU=LAM8~&r5gxI0bjzWzW>Ck6~oV^s)~W_RaVGa@@f^Ibp}%zFL^e zRZLS2RZ38Mw`2Sq4!4Hhib~Q*Qs?tbC|#eH9+P;(>F1Nb%&I7Z4dl)RCjr?0AoYx!05AKrZK zd47y@;`?f}-XuXAX0ytIIAk-YJ_Pu zm7yr-8S4&Ta%eU>jf&>&fJ=+Fn^8$YTJQCNy2G?AtX+|xiHkW1Gb{$%S&P@piQx+_ z&A{GgRUVcQB3a-{&2wQ4%Y1`+ID%Pd1;8gg<|*`4EI1GJ{vB9yrvVY4;X=TvOj2?s zMF2%Z*#NB3Dm@De3U1)+gI=%ja=+-sX49)RUnKXH2Th$*RB)%16W?ha&wesR!|df& zHs^Z9BO4)eQTAeb&5)P4iMFcW)u!Bj!Lze=B#fdW(SGzF*4`1*Fx2(_Zxp@C?Y`t* zu{OQ;`X%bBI5{q%FN|y{2592z?L>M)-)+70*VWd*OFinaDa)7Z2Mm3A5EYFF+;k+bxQU1acI7^r?SnAVmjdt~Z2QVycx@E?#?87|2oau#K1 z)12K?5@^A2Np@D9Vq&2i6(@U;tb<;bl~emJRj|J?SQ7AwQSwF_fhxIIgn7_wRzjFz~%YoJ&y3lp=Vqx(vfCw!--Aq~;%ZbzY@ z+-0-TV0*{ilSLrb1S&^So@}kWYkc8WyRF>!_$o1QjAd~e50IR!zRJQPHC{h=UX|(W zW6kCFHcK$>wy9(yr2!Qm)En@IgM=Ut6&FegxWoyx?io0qEM?$qb$D%_>c2U~?MIYH zT~e6Xw=)-@>cPN!cu-#D@)&XHJb^pyaDM;YX^cNT&)pOQpHVmG(D5Q<&%PM6F;(4G zR_IZb1#Le)veAiEercV9U59&6#+qT_X}Y4A_Hi{Qnj^vAvqN_Z_gCq>XkvE{Q$omu z;f8MNys_FlI@A4O z#Sm;oola5ff3R9bsaIw?Q>|!4tkf12W{^t-firEDDgvh{aJVI{B%l_smjrB0NJj`E zBs-}}$Z$zwm7B0H9U;jGB$-x`LI|0HBn`R!pEq{q%=dk3eY3h;3#Qt*+56qk^SdUA z8JdfY5A`o?*Wl9l4nsAh6TnQ~%NizlX(x&6Tb1Nmsy=<*P3*THC+lMn*4%TNKitI{ zG_Q)7RFTpXz-l@zctd>quC}5uSXK@?<{4BMOZ28|ItO4vJ^{<*1GxXP9`GWSBw2r0v?@a4>)Yx) zYiaFekxEpxf{YmO;UJJx8V`$%#)Q{<6KeGiP+EgO4!&a^;O+NXF8`@lcV~KnwszMbZ;nWtpt$V8#gNv3@9)-y|E0!b~si30TZarCFOV+*E?@`*# zfWUI_&$Z$m^3ggwz+n4QSM62tBU5*y7dTY6x1SGX&M~I-V5hcg)m>#qT~nB8lF@nw zv>c$Rhk&nU_V@&IlX+3H&3 z+-J7Y;HP^2q#{Ry^&Tv+2*ML)YAqZUL$6MNGtPBK2@BXcXYV&-(8Q52(p4s!>HP2W z&1*B$H?a#8+S@`GE*moM>YLOafvQgKpNkQH03`DS2yN$-k6RLULyd!7g0hRkfI4r6 zX7bhwb<2=D2i2$%J$vOSuxkBPIh<;j?sf7!!504mHTOc!B1naYO z=A`AnxOT;&!Qx)7#a_32ne-TuW2R{cL&L3&Vfz+&2_!;8WeZToz|o&~Qi@()?Gn7&y^KS+O-L*GPu^_zdO zf_}e+)iN0Vxa$981rsZNL=Izc75nJNb9Gx!@#+?ngg=-Jkw)0`0^^Kwao;KwZ=_T0p>Go9UP8XO_MkM6U#HE_nHB z^#?z#JP@@km=^RdEb`4){uvY;4JkmXR|FTm`?f6Dv;QqmNaZW4I2zE89QV!?|IlRc z`ns@PzX^zqq4UbnrWv{71LKbJmm;Vczi{bf=(4~c9kispW&0-sUl>|?lE2C?JlVPI zK;Yhjqw_&b;mVSBXgByx``}@~4Ew7&GiaYz=+4c8xbhLGGSx`BYOpDA~Z>AqqWdDJ7X_}j}o?e-D_7B2kt2?x3 z*B31lt4gw0?Ve80f)#eJzVt(oqD+5fJvftgb+l+_+m=Q75Mbm;l6#&XWV8x2veyJH4>>`6J*>PdbG^Q$Q{d^eMmJ^zB=L|HyqD3=5|8v$BdUDv!S28I*zOuWbMD- z%6@Abd$pVn88VG1B&>Wjk6vkHtviN@pzbm*t9x7<_|s#&DG%1P)`}+Gi;tab$YujB zuDdKPq$C}fzs%H7rV7qRr`Te{U$1*Ok#<0Pw#26Zgc=wnB84E`x}?3*(Lqo`pY!or ze-ia4Y|9ctKK8I(jEjHY{{7BAIYnMAB>mO71TDd$J>RkG&gRx$yMp$d?vOS6Vc(7W z*FFUHZR3^a^el^A`*x`c^cJslOY6D>O5%DJf#Tl};>q{GBMk)H;c+tr7iGa1yL5GX z7JeOrBwb2kn_sz`2?2F*!o$gRem=BBess(`v8xSUUxsFXV*DYKO)M z0$T9VXlz6?7$AVm%BfqGQTMzsJmTsBQbpj+gEm3h4Cn4_0Z6W#H@~`)!LPJ3!CM`& zffBqRgjX>`aDRr^tZOrT0?ClVF{0!wzTHavrBEn5ML%foZr}>Xl*UGb5yfE%$7~48 zKMa%Na=A&iJp~KgIIjhrolU+mdF_OTkXW8;px>AgfV7v^smZH>qA`Wd{lleh^{B7-{9q5Je`DD|*Y z%^V*OSKqQU82qNqawK{nuMdK=0SJ-2_RvDBs<$kDK9q@=+Jmmi=~msH0&@<8?_cB4)E)!AD$VobG`yAc`DD{H;GBOP%_lID z6;TX>Q0gQg_gu1pKhk}xmfWZz>-G=W%KyHnSoRs}LdIo@zQ`(Y4lG}5;_Ww~IvfO2 zSGZD>*Bm!N^v56wNSqZRr*nq>paItmn6aDMciuUJj{cFMF0t;QgPB#aL<#D!IaL>% zy8~X->DG#8HA&U*4fY-7fRHNfXoye`^vlNuFAJJG`3LTfeNJA(v0cXXQziYp&CG%< zGC;yeGJc{VvCyqU>-lx3Qs-f$J}kiNH6^MqGJxDvi2`e2x=0VG)KqOBLHa=S@#_zx z z5OW)wQ<$gfW$__^+iUmm_nWnPS5gPKM2csrkQC08b&vI%f-fn-)Tf+6LFC3gC(BfkE0iV#w{;%1+K;q7qyV|N=wy8D?LdC4EIm_oJ8WNm6Fy{SBS)F{`k7J7_thpiUjlq!g^7d-&zw)}CO;8YW#}R2%=^HpyA+ z>~AL?041R0bj>>1fh%$dtPscKv#iCLaP=w-o&i#NxMf56EnZ=%t@VG>tcL385q@L@ zmuTP*0D3(p&AeG|Zy^<>(=d;mZ|q`gmj#24sdl8|s z$L*1LZpY``nLF3l=pm$L*OlujZkMfhn$z`O2b>7OE`A?Y@e;nDfAgD^PjD=$*;4f_ zm4qaHoi)!4Zx%Y3>O6k;2MQy1TM?q_2`N(mBV2nz;Cc-y5hOzgh?T0(%zN>KrKfT% z4geh3mA2%qjKH1>g(>L7o>o2)j)=g;1d%dsP(Mq<6W~)?y32%#pv6_f-#!KSQqu!Y zU$K+5xMciMuiy-Dt)(@%NH*Y}h|xP?mecT3;?ixbC}{g>J_f*D$63V}8C_fpgj%VXkTEau(ZZwk=u3W~rX@J>pG%a?>>;9g5YAyz|o6=(9&BCxY)q!n_YS6-q5 zd2lU+m}~5!5m*|5gE?32nRCw9&9>ws^6XXTOLNssY^-U4Fif+>f+r4-(!1|RMLSt=^+9j+dUg3&e$4w|`en=|iR;XlrW zr5#f7fmwtV&RC=CPwVD7ce@JfefT+m;xJoueG+3^Ogq2JY z4T&k_8<1UaDUlE|Vnttz0iz95Ng(cQ96j)Ldr~Kcg7&&~BsKv(rwJDR1&vY`GK+-3 z1GpSJ1pL1e(f%>LYj#k0`qQaJPOFwj`4qY=`mdwCqbrTR&R?kdG^GH#V z5mjGHbzS5@k&#U!NdhoTI6|6DKnDNqRkCx# zH2N33PN<4u@+$(h(a@K|bn-4Jb{IS*T2Kp1cCx;o>!|cLt1J7PYHWJX>!95OnFNVr zljmEh#%X(NITI3pr33nxQrv3Y+h)*3+#lykvNXMqPXHt&G|D@Z(kO-1rwxKr64=QB zMNkA3@dbw^K;})eo2b7pg0%pS%_Mo0Lo(b`mYYV^JM+M@3q)zD?0%JFPMgol#qL*m z3sfM3$^;>`K|o$MkvM-x0YgNL^#il4?7B6+$N8wwRr|fVO_TMQ@6>_A z63+5DXHOZVfqB#I3`#ojq|%k3X!%0xjMq8R|E*DlM5<-)!w>z+|A&rz=2t!i>9Qwp zFMMXfFLY$UCE7~=O>+x>%b{-oqvTHk+ZQB#6>xMhZEb_!hxF(q|0{o@`~SI_cId6y zfY0fzFeoQ24UPr*<^-+s%ED#EHA_BTEcX^Hgwsq8(kZXLjl;vz`CgA6;6NN+lx=o1f>&!d-o)R zDKs#`4twMlU@O;wc(wSyIBR(!72&19-yU)Vv4krt%>I9T9@fbCMSidPeSSH$@-6m- znk(CB8)~Wo*&IY#RxSt9vYZ2?<=NHA{#Q&}DsQ9$+j>RtWT_Y{IjIND_?E1dr7QMo zNR{XlMVxNU>qjQFf9Q0_FN&FfOv_2V`&n~Vp>x77;nRlp7|2Xwgj=c{ zD4*U6S;gROamyeTwN9pd*jeFnZx@fX3@i3Vr-w&kT0D=LQJL}&lgQq}ui<*((XYqEuMkFn-5bEYv>}HtQQgQHc#+fP4vk#n0Ia#_DhwYLO zwsjYXA)zDaOiF`40MP1TstH~i&CRfy!Ab`2kVci((cD~G@hzNm^LwuJ{}TgMF zRrvLLgt(xH9vS=SaS9~=!L=V|r)ivtU}W4$3D8PLQO)M1!6P0z3c4LeJOudMl-k>z zot^My^Eq(8P20Oa?tIhHY<(S;rmVtAhT2@AzInH-DQ59JGR#BgjkE~5(DfQYFyGYm zu1(q3T(P05K~(|@e!=#zl&-$9mWn0o}c*tn&89|$70wv`@yG63r@7yFa4-yNXM$Ks3J0oT-Qvl1(?O)P&|Da+1B zdk`!@<`q#R@{kndJy*%Lr_QfEo;7rb3x?O?6jB0;2p~3fKB-y~C7!Qx*~-`FCUZWl zbQtDxD6k}W^N|=mj^k&@v-OuBAw#Xif@!2aeaKt?KJNfUzIND3EOt=DvkA5QAPsbl zO7v;GR`tW5g|F?-9Qs4)gE~`NE6lrvc)bHGqZvfK#SW|8e881bi>g?yDh%r#62h7e z!VRAu3;1b>$jKz@`78ILi=Hf6d%|Zr=_#vCL0C2}8HbicrjKdM>@8Demmo0vFmwcX zVFrfVz4wCXz9&BcuDlthNqudL{Q>@g&Oy-HOz?9~Aw?dQXFQb)aox_Noi91P{VBBP zu2D;hA>%h=XoF=>Ce@sJ9Cv4TR0MLmFUhA=Q_yJNxDTto5%9T57Cj8nnp@q=*S3;P zw|Z9F(Pd@@GEiqvg^PhLUEA)XxC!|nL|J#SMG%l|R{_lkd!-wk@|MB02ro*j2F{WT zH?~{}?d)b0NC@rqKK%XKop|0_KzX0hp2T4^!^44n7lY_^@p-T{!< zq%nRZbSev}9Eiorm45J`I&zp)QXK>zSZrslR`diw9l;(XRWu4Bi&e|S-1m~wRz)P` zKuN}w_EqHHnS5Q_1@32wvNP+L^^rR{q$E|v$}QNE8hR0kig_o-Kae! zj$D#bEAH4$R4%ctl<{4_N2=?uSRCzyFBg)v><7IfMlf3KkY$BpDW zRO!I~k?R&kL$rvvzfbJYufIphVjt+%JHcd^$9+U)Zlz@Wt_v8bf0K^0d;3)%<)~Db z+%m`%FG(bY2&Nw)F|k|q{w%^8T1o8O@uAyW5 z=8CpX1xYx5W(Kf4qmvwe57{sNvRAs7T;x4Y$i9C>-dqcRcZQ072&q=7{aixGJ&YIxN(#&iY-0f9 z!Z5=}KCXyfwrZCK)WFy|bhf~OwD6Yz3G6A|#WPpBvk*-1c zE7)pNqb$w47px~F%{f5I(neY!ViFfq%yIrHt_IjAU2%DoXwo(QePSBkAnqr{S-mw% zhMX74soRr8b{oTeKr?UufD_sb5|%$LIwmCfX)d6in9Bg$7KLArWsns+ylfi8ke@DAm!d6<`f7}lh>#GubFy($OL?Nc z^P?B5sT-ELrCr#{7)fj89RA~5DWPPovj>nErD*IYY#0c%H+Nay{T%S9TotQ z`SXWdbLw*S;G5o3g^%%rylL!;OQICj$3R5-G)0yg(~*AA_4WJkV_|dTQWZZc0P z(&BdaNjq@No!=@KGnk@)3`(X5GxNh$+^zZvj2|AC#`e2MZplH!B)}a4D0o6-U+r%U z!<`>=5|MVG9G+fS{CXPXF7HVQiqKAiMFH!&@WcpAkZz|Y0Y&&E0iL8`=O(ndK%zZa zK9*e4ZIH+KJJ0wW3PX1V6g-=9=!7nYM+(RX*G>F6)#LjG9t)8;3-|x`-&fFTLAI%L z;LRSAcPhpJcL3K`9yNlJ;3Rvcp@mnz_=z8|g%6tkVG>J$Rme^>7{{n@e60`N<(r}P zp|=VK_@}K8*sXyaTqYkx0XRKn5Zn&CFKp=lf^!jY=MxF@BaeYd*qMTe^%R&u^{x^i zF=e$m@H*4-aT&;jF%5pX6ttr)$_i7vZS05WEOK$%)+8gR7Q6un`_QAM65GU4dAR*# z!w90);cO}-g8>F+qDbqAs$ z&BM3oYoW|17%Lxe1u(@CCurSmg7QE?| z`P8H%6}OXHm|n#YAm*?pq(aPYN5r|Ftj9!S@h6lR-z5MEpiNiFmYYZMkJ8H5Si>~r z^(Q)B&~&HZWIp$!CCn-9OJ@}Y_QYkJ9G=2zmGVv{o)jFI3m;(Dj}X_yCB)ZbT{Wrg zx4Nss(~ozvl+4V^Pp#wwD`Nb0WW5AbF2fTmBfMH*hS5fwKHl+($<6&=QE))6YH*}wG}=p>0ftFF2}VRxnp=o(bhuy+ z(2)&}Ta?s?+5!Wb(Yu!qti8z$8Go>9BKsl5XtFp= zcUK8&n@kBiFiubf6-C}c(w!}$2FDY>sK2VpNkKj#c07O6>3?n&DPWce-uCjFfG$92; zf~gqp1SGpigp`fxGl0*<`-Dm`LqRp7)#pKxpU_u3+*&my)hinutNB?uQt%LCI= z$j_`s_~02-tI|~SUbqN|0!wezsE6ni#|0gjbKv!v$Px|w^W+fnW)t;WQ#XRO9G6N` zpb*`cC+nEA!`J3$$QH_}$gPDZNLv8Uzpyw7W+#oek8gT3Dk;sl8gXTv>&IHB{g$>t&QwQ29pBRbOe&KJ^PqNL0^0}X9 zU};lQEA(jihZq!w>Qe^_s&?|i712mP&X3$(x*|e@PWY6r3-Z0XdH3TVlA#Ji4vJ!? zhV!m|pO!Ufg*V3Fm9z3Hhgb9Opq~_=J5jFkr~jF&{0I2PXaC80F7jLO9B`fin=)x( zrxzWh?b}R$j=osD=!E|ocECsfj#GXM7T0y~dq4kAvhxi6wKa?OuluDG3szbm-TBgj z=MdfbYv4Hjo}1gea07kaub)}C%Wus;-=;+_Iz&5qC?H^cGtH-{_3f?eX#uOnbUFR= z>KXt4iK8PPUqJV7gqds&ivRgll_4Y3G+WCM=K81bvzo{M$K6P)YbfU_k|c z|H5&3?H509OHTXmQx2#=wu~0Z z73k=P(&~OR(<;-1HZ%QF0`JH6z|~2Ll}I=gK|c{b+g5@ssQX&S*a*PrZ@Le8zHfRg8M7S5}4 z@vpDpCrHAtWV}!Q+NOK;-~N{#32mhxzO3EM#RDYjBgB6bcS z(*s4)m3ybPOM@+y-xZ<)Sl9B21jzCwWV?}DWu;s zlc+{mS0}GcmFqJ<`I=4Kw4TM=u_wppwL@qTmlgwj1MV{+K{UFooozeTf^v3u(HppU zy#Hoz-Y_MK(~$mF^ATfJD%mH#&&j}>eqOJ88`L=x4qh+Ms{GJuzdAr*=XTCL8L2GW zS~75$lmZ1^I`3P~*~w+=L0MogT6QxJ%;xYreewNL`C!oAf)sYhX2@2X ztHGskDwwh=LgbJ*e*5AURBq_6osN;uQE2lSw=JR*)eWVY^UbPTG)Z4! zH>q1LC}pkra6I3q9~xoz^keF@9BkNv+*O#`xeXlDkdtANseKOC9BGIz%BA&azEAtt zRaP>&i8+k+$3w0T+th-&$?U>mK}0N+Z(E1ESt%IMwv%ANFu)Mt%!%l4@{pot8)s5? zoRGfoNVN|XHx^PfHh+_!HrPn|Z71c&DcL>Uu6_;Aq_Mhq@1Mwm>AaJuKLH{m5^tf# zA)iD=)DJ=YAy|Ys-7Hb3>{cg&8>!|_RHB8~-)~;E+XRp_0`ios4adZr*b=bwjY8$I zthJ{sUy{viR)Qv{y~-=I^_InnTba#1Nhpe&sdDMHX%*iNH%4fo2K?Cvo^i?xm>k3u z4(2_;Y4bacRi(v!7#RM+ts)7dh7Li2gV;q~k56MSxhMNWS_ZJU)~W6A8kWN5DR4Y|)1I2qLpdttjZ5_Ikghe@^EgH~;>_W-$ zA6=V`tZ?!HS3b7)i3>aWoD9^eOW@YU3I{nfyXvb5Q%Uc8@T!sS$>hJ0#%Y}FWUZOy z6M+*sdw46{7T1punp!`4wwSlec(V1Z03^ z8cMlL`EXT{K2eHIl}F_o7=i=+JyjzkM8oC|MS&2Ktq7q?t*`^X-2h{_I9XkdlLFFZQW&Yz;vM)jXWeIVidD(3x*sJ z11$~n!p-T~8pgS2?ZG^5k{17fA6fN-y96Ys())#qit#<^coGvq;FD8CQ_G#2TdcU; zSLi{bk2OeBTmEMM+;J3ejW>c_2HPD$2o>NroVJj{yt)c3ZrNJBk(k;64Lj&Iz<8{d z#!)3o#7M#A=AjplD-g#C(a#Fr0e3bifWbYB$H6cY%U`zzvWJ z6gEHlUa_6r?oA^T(d0Cc;repi!1!oPE?Z$0iy&jVHSo(@6LP)^+`&HPkFU=k!A z&Gh_0j*R^f+A;TXXU}7=T17R?wN8B$hc2W>xn4V%~2RbE;&ji**QlAMA_Socvq)DrDaWYdXp!D0$a3S2*rRVbt9m&@UCA4Q`JQO}%1JOa3r5}JdLoA?*Pgkj6m5hVwHw#fu=%a(_z zj)g*sFC+!{vU-{idVP9iaLv}na{LoYi*al$nX}m7K3?6(pT&7lTJ0}9Bl#%sUG|bS z&Tn2iUJ{Y|SZ4z!Q1bTs$ZJ&fJIWvj5 zAnQ}3BpYIsC2i=gJS+lSwOFIOdqQ00m^|Z!Gqf3CDJA>c{5E04^em3qbanf%e!MB0 zk1qh|6(cjqCegJ0abJu8$MPnp_(~Tz&JA1SWW(qPDak~N?c-M#iV%HB_If?A#M;mW z9lFz^itwrtOa^RH`B%&URC=*5^JUa~F5@=xWz(C8$Wv$ml16w_ZmV;>!E|hu`d!DmM@fXh9Af;tj zpQLkxBL9nT96wQ#SzE;#XakfMDM){z%ynnSCv^%zyXxw`<3nrjQIhE6E!R|5S4(-C zp@Wiwlz~zT!u7*FpGf_$Krl*^Q`O>>QfhljcRRmb+Iu5K1srlT_{Op*sbC*ci0Zn^ zic;Y;r-0x>E^cs0YhvO2fqy|2Rtn9Y)I^15#Cf-)x9Q9&*6p0sn#D*7?n#B!Gf78b zVi!N?92ba77cMo;8C!RXDM3b2YMbupytJiaPyiHZYu#T9O5wH#DzqN**w>!cke`h@ zt$GYL`xO3B{$VH{Pk~$o{c^FjR(aujITp-!wvC6F#^Z0=-%}w%GA*w;IB4_8CT6 z(#EYn+j>Vgd+V}!t&OD~x9dlPf*)zxZPFbd2?hm`?S_2Ld&ww{82<9jE<|dE z7WzB{04ApvrS2L~#rT7i702ep96v8ZQk_`<=IM45FH({U4C}N9;vNd}ANN^zz;q91 zH(9YJM?1ocT$=-_Mj%YnhD*N5|GWOBd$=@H>)};*++sxy7}`K;3m-nQmpzPa8Y30* zS@L}gsUCBy@^UTR-_%k>eONle=RLvGL-}~a79>-yi!^ljq69eaabtINCgs#cG8qQQ zsV(mMxG0s`3cPgfQ(`KKBG-_9>vec6Ade`26G>Yw!AAO6*aReRG9(SjBWgC{_%P#R(72K{$viTc{Y zpe1RCm$d_?Jao`&ik*ISR+PhZuDTrOz4Woj@0kT(1pVkNp&Dqx0o&j5upZMQw+4jV zcVAgT4;9mn)Vr=+($aVzBeCpf*2RyiuFm-V&95?a*@AW&RzDyA-u>l^7gWLgbrX3zagn0?_?@DLuJWv< zp9BB@S0Mg@|Nk0(p?}f)0c~4N_vhUw?$eG&cL7Hz?>V8M9qf)q{>-iu(X_v9?Tv

    sVJev@-TN~be{AloTOXI@r-+ihDxkg91RCf?m8t$bKo-t=1^$wG<2NRg^NH)(WdmA~XWSo=0(L!V^L^-x?IEU+7Yu#SG>=?8_ul^!|2c8X)iO1V=HxMNT4n62C~ z6)FYhOr5M5+XElj%9$$Wdw#{M%z(&GZ7X;BSP0XUQ|SvLNl1}xOFrl-)iltNO~tFC zB%7fD1?pYC)m?EVXCDr1)P`M<*O&{()Gs*TaQY7>f6AajOFebzPkro(f} zTycAA&R<{Bsb}LLW8Nw_Ad0W}QB&*J-fm~9?MP0o1U~>eU#z_L7vbG1pTZLi`v_^x zKe`fZ1g6X9P~mXGc-Pp|$;BOHVEb9}#sls8jsgS6Z6z@A2|Pp1k|Xn<4KkRDNg2xV z2e}=j`@mF&(tU^qTL)*xuKfdN)$aNJ9u}E}%gpi6dYYxXi^0q^+6*~Au=FJXmJ0E0 z@?_!JlF1yORBx){&WPD|903EME;NI4L|@x=Is^J=hjQHCj>E~O_u#LE&vt4G)Wl+S zfsq4^bkaQoICw`cx9x+4O-(f3?2bD2fNW^9keC!c8`)UkA=O5_k&8ZDZod9-?J$J;948tjZc=ulj@36s%G@>3GZIh(jx zK7-WS>D}eNm~>g*d}yKEQl48dAMwBq_6^D29~h<33+uEjN~;)zJyEq!3s9y-bX}tZ zGnF0^g=7hp5Rp;k>exyCDx>ARVp6I+T#(WS{@Oh?luS_2d7GKRJ0)`3`eYBa>odTm zX=&APjf#s~?8nRBltbH=>0QeWFsHcq{nb!^U~EL zcw@2jsw3ECt{#*zfG^XDqLk6|p*uyd>o`q@? z$g+rsv^q<}_1f4fhh3in%Wt0Ng0`{JqcO4X#8?_4Jp0goNH#RjD#FvDAmAs6mGuPx z9l`yz&bkBJ<%CGcln|prjj=F^5h;{3tUUIkI%nK<%TdAn_pjkjt)uWYMBh>|Llc@SK55G$*U{ zLIn}LCdcvRGhgdM~*vq!|| zMR3u)+UO3n5qRSJtJg^%eo7ogR;?!XQ}d%R~lSh=Y0crmy6{%V{p%!Rae>R$jQ@1~|khv8a$8xJ&&ImjK>>zm3A?Unl8FS;j9W zL6dhM*vJl`tE8ia74%Ru+=1l#ayMCD0D!051w+~he-AeuluRi=l#{(-%*j=|(OC(d zTk~$UOE271(lN}xuuu59t{r0q8nIV3YM=l>$-&~r9`#G`#R0_n=b;i4tJ1nCQLKe+ zkR3Sx#Aj*)oB&c49Aw?zVsKXRDXM~8!a+Fi(x)ZEe?DN#{Pn`7Ax$AvbD zi>gn^CRYRAn?cPHfG>k6`Xrxf!5^xe-;=4gN$OWnaTTk9ghsn!YL z^d;E!!kIuj0j2|z?;IF)(FWbvePIY3pRmm5!vnqizN-QsmR?soCnJ>=L~W z=<+AfTW^(pWruP_pdW$Jv+^QyfiIUATUj3_G#mXW^*el`X`G?yUG@3Z19Lxt2x1&m zS>A%t5&qRk=EHR?zR7rX@fFzin+=AGNhyN;TQgxHAnI$w!mz6bPO%0Fni+MG<8i1}w&e>&?8>NAU(pRInKeg22L}~2`2@CB z5PV6}L{$#+8F^2c!K^50_EEhyO5!u4Bi|{M%jc_FvI-_j2yr5)WR1G$^JCS@)alvB zy|JYaaH)tiTy#S`E4RP5>}2;*RZcNkkwtFg7Q^A_fH*m8Ddj^*GbU=^GxV3od5(X# z?oVs8-8Hy8W>YnwUuDX;h#%dymV$9z%#zfs9@e<6ir_&GYwY_U%=v~TkXdtU7Ehn? z>hBuH+yOHdOlWmnCyxDEAh_X6fkg_#|?qkybwdjxz4*Fq^HO1Vzshh%l&*!?j@1>dY1J(L1TD{ne6vZ?=MpA=q}XHuJ2TxM#N`5btn z)qvpJ80zZ|8)xP)sHWWD>VB0=oa9HtU0PiIJCc&_n`;i*Yl4_YG$I4^2&L}~Gw%W* ze+S@#mbmlPS&Y1WPxBqZy2})Z_RWFQ1|*B-f76mNzr?h17H;;%@SvR#{`r?7ll%WB zCqG9at&0|i&^OS7HbYG7x(WJg0S8-XC+UeHi#}L*;(udWx4`as3^uBo|Kuo3Sr`BR z4Vl)3&uoSUS-&WH7~Oy4+kUUn6JwvyetVf-u$Xozo)-DpY(Or(bA3QSrIvmPmQdGa zdZqueh{WZ==`BCey+ONWR~D_@UGp3E+7t8F{~72Hg@H*?w2%^EgiihA^fsmimv&Y~9*y=HC!mym zJ^WW_54~~jhpN2X8NZvfrqE^ddRLzM{IcK8FH00T87W$tpf+%?y57dRdcW&hd*I>B zgjE;O9@_Hv4c8zVD5PTgNzkYK9U+t2un)y+zoUJ31Fkq{k)QF015{R;2L=w-nLTgL}g%T_-cgEHa*bJof^ zaEOF=XD5L3Zj1X@r6GmN@|&6Ht8mZrhA5YN&<{HTs)ObTnWemg|Bpf_u<{VpjSkew zi35(M=@cJP(XOff`bwW{@1~D)cYeC@HMD|?8(-GGoQpS@{`T`@&9$LpdkR#yu~k*= zM~yG!^msi5eT}bx+_ot$`1%^{#Ii$Mpb*`>bT1Oz;(~j;5nBz9*a=a0e3en4e!w#h z*L5jDjHY)jHPg9A@urD@t4V?D-8GH$!DXw98|1G7P z3cWS5ZHYVE^m`{O){V{9pH;+Xw7hw`Gc5l5y;0-;I)fdF5FDoA{02wU6)Y{^Q~9oDq;fm?%i?_F+mY zUK*Tzn5u^!S$O3^1XX(}xNiW^h7b_wj4kwHk|P{%_!v~r)<}_U_Wh^8hl|pV{VS?7-oi$f{%e{T()nenI zxYl1b(~n)%c%&RTw3sh~o>$3FkE;Ip4laeM>m=1Aa+U&_0O^O*U`SC6y`}(PTD(GV zKs6)Xb`##(z<&=v;O)65n;CGDlG9;Cy#}s(1lVWc^oBF@1ZsPPU_?YEJU(@t~dcAmKp&Z#fHKNR+YNP(`IDVJ6EiCNne)zwQ5T}ttHg-UY4-yPYNv2^( zH*~Yr^U3Cnt*j6(d7FEfUdP9!keayFN;P2jm;cq;Rq5+-AFyXzuaK=`UeI;U4P0R1_VQUIUPf+iQ@G7jf3^HgZDuvI)e06rB4ll`q? z$lKg8(=Tqr8({tROHaflB!`S;P?BNtZ=^=kJrNDBNgLTXIn3J>F>M-w^w>h}i%t_O z>P$iwyi24#l`33#Px04WTMx&`nSqTELxS^cl?5&~A0$Sx)L(qQRq4$9QgMEFDLBS~ zLxxPdU&PPdA6fIDas$3KIR{OeX!wAb;Fko-#y9IO{#t)N`xC^G&OeEHE?v%9;(<1r zGLeTZX$AQy2AOsqY0W)8zSZo){oNMm_t`M^nzHj#^LrFFPLN^^`KeB9koZcwq78Df> zK$E36DiQrOkm3x*4Y@-LAq}Dk?U!KeVHgfNpaZmQp8@tu*tEh8K$H0f(FpeKTD@z! z7*xPYj$gY5aG;r1`~#Bkhlf_qepne-00}~aHDt5%rm`Z%04ptKA)DeALl86lOd3G% z;u7hGLWnkeo%lSz`}ZVpcADzfMRNgHMpPHY@Zj4rh=y^rfg)dzt%sG5|6^P@*yZ3^O7cm}AfUwr z3}JZidDX4)FO2z*I3whid`8_d4_2{e5ZX~P=0P@++rE;O1A;M^gY%xqPM)BOd#Y?? zgRYRcG(f&KlEn(F^(A>Xh|s4@?5a*%E(V^-p1g9m7ix6Dd#|AylJ*%w3+-vG`I+ur zZMrVjL>xdLhDNIC$sw=rLhk8exq)r&7#aUQ2Nea#rC&up#4AKlqNoSSCKoPj@_1_n zzg^}27UE@2%AKIwb+iAt}hr`%g;G%+#DvJas8b2OVH&iU!&Q zz@QEl(ZKa(9ZS=>gt>GwFSJmr>tTrpciu@rVzM;ElKJ%GM8i3Z(Fyl5(n7kXCGMJc zbK846eQs4)qy?D>UrMRS#Rz#?LLvFu?g)~WiZ~G{U>YsNMGotfqWqW&h4JfsWW98H z$7~KON@Iq_GdTnHp_|m_BUa)!A|(NZQ3T{=koCi2Xh|$4B?_o6$y>F1&PGahn0r_! zCPJA|#wnSVATW-s4>S10dXyv&$Ox;lJU5dsIxI_TfgBZIUjQY|zs6ZOtx*9}@|g77 zx`dEE(ooVCsIHlR9j7N*jn(t;Cb(}b|DDA&T2+EVUg4!SkOQd%nYcngN}=AEi?(2B zpR6|terYj)#9d3u%BUo84nod0*b(938Qi7OI;GpP(WsfGyZdi7uA7j=!_e-;dVvGK z;*fN}&qswqjUfFqA>Wp_nol2Mrx+U!tiv+%Z!>20M|j$^gd-4^xd`V6 zpkDS8{w!5L1MwB}Bh?F%i@VCiu$}AOjEZMBn;~r*W89GdtX*ISsiP0pF21nj)x(ru zI-FKym1zDQ{56Q#77f@lc)vHOfo_UJ!og`52#gEKhA|`gV!u_Br!XmV&HxWCh+7U% zDN)}qIB*6^#+!$SI$Mw$#J$$)8Jjo`mPjl2-7zhSTI~UsiwfPhd^+j^iSyb?R|n zE(9mdg&&4jeBtH4M`Y^W8WTji!@a$gZktrAy@?BpG+~nuy1b}haT0Th6Aes!aY>ChXw>wokz(3H;gG%;!O!#bSBPBaa zG>viR61JF#Kc|qF#z&}z7%;a)gLlFSE@u_zhHBMrnUSg=$ROmq6TsEsXv(r1Xj%S9dBJ_$+bS8v*5r0gtx*~X}CUUy;+bd9q&uz0+`2$AjNJ0 zeoH{sMQ%Mlw7%^Y-ZY{uZoO1{YzGZN`<-M%E?i0|K=}GN_4zpb#Po&i2^;m5Nzu*5 zq*TM~2(_jS*~QUn&DtCR8CE7z>diwcFTLkkpA@;PDq6KuBLk|(?Y1etD0ZJM1f&JD zNhmv_yUgp+wv-@AKdkCFmMW?C&Ks*-+BuJUR%G(Jwi+0qMnjV1Bw=uW4m65s*K;j` z$k^jk%dv9?HWRF+UdXMkH`VeZ4bHQ~cn*;|LwVSGffqClvsHNZtea z)EY!m)UJ$yFeo^H)w6o&T&48XWH<+tMQ7+B9Kx-zz|NIAGwcHG=W$l86 zul#Rm=G>wM&#d#QUj6V+`vZ72^&F=v}1|7R9DDNBq_8QFofWpDkQ}=jMWe z{rBC zd2Zm*=41Z6K?fdY4m=>6TgBYqtV5v zDLpaY*xCJ1b z8~T+8N+p$5ARx7{rLcY3G56BAjo>_oK(?K2=&PiS%%=gxkNs3U-knN#M_!xiF5B~P zK+?B5KGr`KLqV4YN(y27YAZoD+IzaZec}%{{VjOs)xa0fO4&q$YZIrXCNyeaG2jbI zq2FRRvTTZjuLmCb{b&XAklnL0%XB&Xldn(100XU*4c(T~20Tl6XF%AV)T2~37{G(UwHML?}2UkXbv!&2#Z=gMyf3}X z05AF%#@aXZ;_?mZ%~^O-8|V`K^SmTBhC^?Xxw$6o}$i<1Biy`W1kzfTMAm#Uq=+S`eo>EzXR6zxs>@ zQlq;r_~Oeuv%`_Cw!5qtrDE3MSjwxNCZCEwtYSShZAuVpHF4BW1GgVUOE&&xcdFK* zeN0JGK`5W}m%Pn;$IH>X+)ZJvle|jb!L9ywUV!=U?IF@!N-8AHwy|%;EgZ2e!yW`sZpvb_TjZ zX_oHowy;>D`6MrVdst5*R7%ti^t_>is1gg8z!3Y{%mI>VwwqnF?2I;WeGVllb}zp< z83g=)8>0Ug?6?iT&}-q-a%PYM92P`AipwPHlSN2~_S8KqKMv6`g;)M@EY`nWz8%8w zve@&<$Td4sem4f{9R6L%_@JwQCmza2C_nB$Fy435MaXz~vm^{YwYJUpS-b0LN}`&A zp4a}8qbNlHk}76uDwXg#Gs#^_n6-R-W6%VO7v=3&_`+YPdIyr95fV^!7tZ-I%=bRf z)a};*uAgAi4c%={K$+&!S{SqwOdi&U;;Bdfbk9R3z%V7De2?Vo{n%qd%skiS1<^Bn zjFb3o(JUp>=HMdBJlqJ?x{t?SCz~BSWhUT-5MMC~=wh6Md=F-U9GOWBA<9$qS}%NQ81!osmYrzB*09nC`yg;CKX#2ror1= zg-cZXQma75(s+Hh8_W`L#jTJV4(ei#y0yu4&EM~gsA+M{n&$qR0SZ ziSOxpT=zy;ir~~eToR)PKvmE@bM?S))^8<;oRqvcjMp}IamXZw?l8w8az31F% z-5ZLme1BDMiIxGjS%K=L+k>tvDT8R0-SCK2j30Y39n3xQ9fnOzC{$?N0^n&D_*C_q zN>VLdm&{s-D+0!zf73W>N5W&Qhn+8Ph?w`)aRN&_Qt8Trzk}SOBAQQ}Z26;rB;;Q~ zbOu1Ri5kU4?c_cQF4MLdE^1)8N;*!Yb&SrH9q%k~Ow|S6*Ab0pfH8qE-OU|c?08|| z)_IlS!0cquTZ}z~Nb}-J)CPKA9Kv>5DB*pdGLmSe) zUMzKvUC&Byd<3Y8d;tCn>EK#1$!~l?VRqk7nfI~ksgdUZb}Y2e{kZ!AU=vB8ag}GI z6snX~jupv?;TyuOZkWS!SQ>C-LzW5t&G_)>qhb^A+|v=}$~t{5K~ohm!J!#KvBy8< z^a9ga%s*!Nzg)d}TvK=c{jV&+R@9jmb(~_c7PV?CGhMWTf>4*%jTsgAC;~IJ>Qux` zRbW_Sm8Go+Qzc+)LafS?y&@rH39-snyvq!dq=F$ctw>E`EWh)Po%wvezxkt& zmR3hDx%a+buXE1x@R|hS_yZQkwe)tP_C{{C;fAuR3i(ZPz)TYPb<36fYjGLEFu;00 z8u#2bS5#EhN^6@ahdeP&3VFtZ?<|Cxj!-c=jR>2wGI~jNoQk@_-%8Lg$WSJe=l(?7 z=_BMe>VvV7cWWGV>XS#BdRlWD&ygNWd6yQ`zPk%;82nNNKTKMm7z4M?_umaP@!{<5 zwo~?&F}bj!Vxi%V;Q8UY5~0rgNN_kObpo+BdBs=zMX)-I+?T)H&(3VBZ_hgc!$TLV zT%m>3sMg3%WEA?V38WkIj)(Sz|GE+G5iMAoPv{#8=Oi#xTAb`4$|qrqB5&Be(e3m! zk93^brX=c}lu_W2;g*Jl;T={sVKohYlNo~$SufoZ>Yk!%2Ak^hpTbw*bAZ!*bUdxl zf4P)>-dUkJY-2thjcxtal$s5=d#U*^#F`zem8_5!ReK6G@kXsise*do`#xj_Bv_f< z5eDbO^Da|GdrfMc=+lrM1!RhtrreWSjR41TPd_Pa>R9_}flJdpR8;AD+zGj-{o5F^ zBYyCk%3fh)mSf!gUctuqs2kGA|w84duy@X>(K+QR8d5r9ddKu?^}@ z`S;YDOL#!n7cLY|I$D2qmhl}hC-k3nCU(k578BXaE?xPUwpgBICkm2KzJ#x4Wc_OT zYL3gI^3C?R$JeY#!p;eA$v`Uz20-|4!VD$cw&ZjiT0RWJfY3Sdv&to(#WIjm4S!0G zkt9V#lhafp6yJoD4D$8pO>Pqqu1L7Tevj0$v^W3j-bxfguP_Uu#=sDtoS^c%Gbowm z23@n#rf!?>7I(ocnL1)T>pqH|j^;pluW%r}pGpolw`Zz4&LoXEI?k4&m{iU1SdltC z&a*rPoxw1_`$=x3Xj^sQ-bqbG*uLty5iPNvn5)zpiw(sD+}S!HUOf#_1nCD^QQ2_@ zpF%huRP8hDYN#b${>uH_J(-7qYcgBkC$9%z$^HF>|W!cJ|@c@H>T@ zj-1a`#OZpbM!$p?<3U7VC6+LpQ=g2tcf6st)Y8CFbypgB`~NTPb^9utaAz)h7)b%kwul!^fx@LUp0B2}r^LO0EkHt5D;iDucPE ztYv`NWv!*IWw`-V>SB+LBTO0?^RSQDMD-(u_-L4~j?Wc!I>@E0($5V=2jZ>(_PD>NKYTn-F zj>!dh+qi{E{P_q>SltH=TP5npIhhp{$J+d`GuHn2%tC7wv)h??al<1@-o+hRQ0^kr z?LFb|s~y61(FfVf?^}g$cB7wEo&Q5~TaPvxk4e{@^qo(3dzJmA?}ljRMJSLT3&|mV zyObU+e|3WbsjFTbkKMhkACAGz=KAE90dj8eFJa7!|FOjqy>lIof1myT_0H~TGkoTs z=e+3sTh8)p-b;OE1M|t*+wHS)pU)P*r8B3;?*1>(mV>GE*Z4g?|G$Fgr(RzY!PC=m z@)Qaxr+X0s?fD-GR>;R|-n2zeKjZDS(CcNtkNp;Vy*fVqRRS0K!`qL2{=nJzDKeWr zkt=qM`EfZP2Xp)uOsmy#i1YS1LW?C{zE$1+tYr3*t3Ppa$LB@G)cMZ7{e05!57vOM z#&O)*;}d*ZvLsu(XNx1@vG+y?`THNt3ANV}TXy<3h8>x{I$D?VQ4*gxUWzJV*Y-ak;533cM%kF%j01<;d4HtsW7sZT;bW{6)|{y>DlvtM4K14}RK2Rb zm7r+bPHS48>%86$PwvIVUKn0)Q;L^#EB8F4#0<;Xqn=xsYv^BKo|o;x*?vZFwq-zs zEVL(;)igYK!%FE0U}kx={K5iO8ZWzgiI!$7m&!R?)e5c5uf!x*k7aUtcDJ6LoD;ZS zp{@o6mTpcq&tGf1=C?;OYq94*Eq`ULGdRN#>hd!+ADUds;~7w$0xGqwHSh7{u?%E5 z#i(Ia+6uLGI1LQL&<61njVWC+JGkietk-*Jt{%8GfQtAxyOg~AzqQb_SECuBg7Q^l z(_2$o-)(uV=PlkKHO)0jN-^nwl-=(e+7?jgw9pc9^SQM@qxik;6rWb!Y5w!wdPf7`FHm)ywT#aeCl*B!e?8)T=Ew8aZ69_A@4ahs ze6+D9`0QjKrARZczN6bd!$hh*$*!>l{V5wA24hS6nY+byofH2I1E;~LN74}RE>lLC zCd|NiYdRJGUQ%jA&$Yf^w6m6}iqRsMG_}9K*jdmieNp(w@}e*Ig4q*K{TwrL|LkM} zD+3X>SG3`C?R)Ba4US-RTbD+)lS^O8!g)JQqY7WyBCnpkK;djTO2FUI^P}RpEh&S$ zh&Q_Dmh|MB=5gYrAZu>?YYZ+%lU}r8Ymq&D&7-8kukHGm?c-HZaVX=`k_n4E{M@S> zztOW7YZ)ISw6qr<(3m)+7QwnfhV@I}3j)fm_l;-A;g0InuV%D8?^srqbtQmQ}>+ZS%W*OVrF`CGZngHqg4GHD5X>$a4at6mmpH44!2- zs*5fB1D2TAwK1qQZvhZDaR6=1=(i%Jkm5{f-igP<_kCL!$A$hX)+;oEk)|-!mXQ;t ze!D(sc{7P8H#1dsTi!<2^M2^a*Qd2p{rS=djH>cA<~bD6HIaoag;&SbB!Ht8az|lv zYrY)%aEyij0w0BfXbH}%-nXV9&Wa@JFKHQN(iV4|wQwfCo2=b)^KOR6<=u;cyDrN> zvT$8envIFh$;J9z7*zrFp+0Y6(qYV_S}-}b<+QUmfeA^|zkng&;BFq=-UvQ2@p*Gz zxuE(Z?4hQ7&JjY@!Jq6B3oHe)T}XNKAZMcB$IlK*Af!U)_|;}gAwr@e3IobyZnUmt zFL9B>wU^cYVHnKrwk%mO<6&zy8kw4TXn#O)7Z$E0&!A6>HOyI3 za~UC8H^lj;T=Z5Pxtw%m7neCyj+TqHDp+wDMsXy8aFdZS0xIjvCSCYI&zH2KAC!{haE%L4&h{-sx5Xk7%4vmbAxAn(C{sG3^xY9iBFxXFRYeo zE=C=o6xLTLdAdG-a}$gaRk7?RQz>m{xr$R3i));i#@WiP1N6t5Q1ERa-df;vh*lH4 ziQ%ZO%yYlG6j94|W5v;eY1tyoN#1WIzSAjPKeNDrn z4@({@Y}VStFprGKAR>H2_aa2}REa!)vkh~BBJ>U&KZPUbQTp~l`YgJ#uJr+SV+nM{=uugPZ75!0L{*-syO6w+`FFX$I6MorES{$Ft4Za^ ziQ{LSV;wkX8GoZ8rMNn$SCDR%k?5c$@3^UY#|&;fRw1RnBa_5@`&r2iY_Rqb#CVIt zVL6JIC+YS;XGjy;VwN#@NLyreUmENM8>^ePvr;>H^jglZG69j*G$z=vi>Ol6wUsgU zy8BtAtb{eYTb#lO0r|+`>pG;Ut+t9tEUqg-LZo8_DLiZlWg%7Q5u;bY3pGBSpc|U%FY=4 zcA2yy%GO66v-A-KnN0`r#BqdJy>nozZM&}Kk^p+!87mSp+GeLsau+MB6oQN;Txbd` z<2SUPQV?lJz6yRil3q0%~y!zA6|5+y1TpwhAnjpp6NV4Jt190jIv zDtrO0L=84bxAv1uPRDrkZyfKFhBvyObeZHIcOTJ}I868~T9c6YPQo~&d=Tfv;K& zw|5T}*`-JD+Se4h#KV1sUmILTugXvp^;~~ZMfC}K8X;I|N5=iP2#e&VDTU?B^b!Dz z`>Q6b*&stBIYptSYH%v$w0x(U$b5vHfRPuXyI@HM zS-5X2CzNtGElXFvu6+VFrmp5l5SovHXH6TCfTqSVpp+ui9~GG%>0a;B4cC1%r7N8Q zp;YFUq#9==SjxO4$K0Pseg*%xv+fOi@DyQFjC{1|h9IMk0+w1@AuO#+05WNVa{}n3dR7*FSo5ARlk64n-q)7h z#(HyYuDK&muPQwIw3`M!M!#7enKB57n8kf3je^M?$CY}kpZzkemsO8Ab4Q} zo7|1a`yeNZE1sTbGFgyQ$mQjI%{O{AN**!q37Ki;E;Rqrr~=-ty`^oPpFX9X)migG zykPx3d@!WO`yZudsMF*qMi(fpDFs&mAI@13Pq$~Z{S26zj}0q2Tx8~Od!7)EttAj% zD`NwqY_J#%B%z`#%k>d|TQJXP#Q@*4N~9u4pb)_E$-BE1UYuZ_Ah&$f9AoB)4jZAL!mrB&7UZgFFozE{26GJUN0k(>Caj8soz#2RW5?x6;kC! zG+mZ(wmkOx_^uDf+&#;OW7s|FyL!@xzuP~^S<3PK!T+ZfTYsFj;Mu6j*+HN9az#8S>ulg(iXY$JRZuja%%KSEiqH zT+rmz@mem82xE6fd&L}mAvpnm-!ds% zU+Evwqz}k`5tCu%=5H_jEl4o5^lwQ4d8TiUS5*Z3;4@=S;9dUTH%!Nr=-un(S0m!B z75w!=3GU$Ee|}-JATOt4m2iW_XOSQqie^*UI8vIz?0DagO^VX-I-h@DoB6(OP9V4R zaiV_^IsYY8J|&*NE;n8NYGXh|CYcR0owGp3u@=hhCtGfZqPe~Y_i z%JTs$yeW@yr=i2ovvmO>fA}@lOWP^?eyQw*4~dp3{qsxGmtSM6#+ztAMY?H$(C|Pi z`nmM@;CfZStNxoh6~`CWP|D+hm6T+B;QrZ`SJAGas1LhWn31Q(nd&`CG+I|7<3!r( zcO1nq<+Fug2dT=^y3LilkbkVG+YyHcW4wAZrm68ubR~IAW?l2iB<4CeV)dYazwtwF&6s#z!JN;+J`Dimi2J+{; z$Nkim2PpMKij%(FhqjGL-;e7l5i;_Xd$6DB$hm_a69SrP<28E-(xB0zLUuyeQu=xW zPPo!ERD8qPc1lQ4>%_$cb8^dJh_A~_3bcG*1!jV}sl3(qN~b>_KiE2FvbOEr82iNy z-v^7HXD?s=9&5#lgm|l9?f~E;epW$t^$LSwtJ5Vs7=EBrYW&;FHJ_BxG9z0Z_t1DQ zG+DG^pv7r<1@~{;9QkqjYF6v=dm86Xa<##mL7;Ri8dv+6XP6?^CYc|BC##-3p2FJC zl`qY1oanh3(+H*;rbOrP(ri@D?2~VCE3?92s0SJai{{fg=q78#-pVt2cirke)GC&| zJ5hLbIh1ZKEnn#Rjy_vYUBAbKns-qCsRP2f@=0vuRieM}C!L0E*|9=?Z^hsH;powJ z$zDFF*fjtn#V!Qk-zQNTtlJ?E6S_ICeAKQe$--Wq^idLvsV7Pyze^V09^W4tH(sHX z>Mhu3JN38jlL!Sl4k0#0*yb8&tcrSC_87K6r zTa1g80<^kM_Fatpbm0|5+`;oWZo--7WzC_1wx26U>1#;xd4jMYdayXuiDw5TC$sT< zN^0?xEnHP45@`*0DFQ!lm?H{^X?h-RqE{kYxH&&V!2lZxZ1zS0?hI-1FCY&oFbr5NW z7lzdphfL!Yw4{r^wi7&Z>+#mk4D_?39<2^WVQZd$sVrJ#(!DC16PO&~zD??ESlMwR z!3bSGbEAkcJBc6oE~xuRJ?g$*KE5PFg*SDkXWQL&2GD&#&1L1`c#opn^|-XB#BD%F zjNC)+i$_VgR4~)Hm-TIWwX-HS|LN-}Zzi5VhVjvr3nEixjvt%=DtG7>nK1u%=`KzH zX`e7+W2JXLlP}hEJWR8YoqI@Ik;wn0VOzz#I~l_Lc%vr^8Cm(hfK9{~yzdu2DlqPq z!KWuJg44Ujb0eS}WO%CRW6)O7ekQ4Le2YDmpy+nP43Jy;u6+-eXE1|re*^|zb&+HE z@L=8vK4bj-5$8y`uepm-uvv8*1{qL$xwMjmH}5eu4Q83@lkWcdLcEYyrs@keOL53i z9;7QPC+NQj1RTvHMl{;ODC-65w#CD*+Q%y6$f{eteZ>&srlP1-1WvM}?d%XDesV#S z{y%A-iS~R{4ZbssBw~{w>VD%Ao`g)|BtOSZ#Ww(s&k9|%m&s#A@?@2I>{Aw6{vl$Q1)E~j?3dN+==oM=H=nCSE#5&vl#&o#*i&&M z_bD;?Sz{6I>06hpp#L{w`3wF3U-%2>QaX?+drc(7*>?6D{jaxHlcXQoD-lAJ98tFB z^;93rXzEp`AFD)h5y2)tmKy8|uq#0GbX!*{PtW|h^xLK}%6AkWoAMi_|G4n2jdqOj z5bvt7SY`EvV@{;w9lAwMZsEdovOvE(=+KAsX$%>RDx-s}!kSNNXwc%AVN2n{f^;P5Ez4$kJK4A{|ELVTIpMqEFfpO06@?erZ7w<4}_7uIXa zQZLuk`T{L#mS7I1ic1JQzzb}I7dQ@?!oluk+1#F+Vgh<0=k?y!(-R(4CnSz+Yzf@BF^}&_G$k}l zI6rPSV2MDpHBIM^a(5TG-25(C+rxiGXlU=jWVbN#%kKqic{uA=j!_Qdy}YM1+OZP( zF&%6O)kf47#^!An^OTItXs55(b6_o{RF)fUJ!un~f+IjZ6B9@TeWl!EY?Y2->FPvV zD#0KFMtpKIXusz!>Nqo$igYiilgFIF`3B4!R=Cj^`LS$ieZGGe6>j&Iyu>md6vyxF&DrC^#=5QW-p8 zbXq1r-it^@jmvmeOM(ABGZc>AI`5|OM18)!o>`M-K88`Hq$&(07E{T@XASywQy=pS zZ#J3NB})`M9~#X(sfefuo`Rn`T&=wUzdG(JDPSt2!uy2{E3El5!F;(og%kh&ist;I zE%-ZCc@}X#|lbv8UeN*{1%l z{gskNgMdAm%NA(G|AF@6V?E%pzv9%TD|Y9=PN+Qro&?OVG+A@h7wTGSRndv@bp-?3 z8y7bmGQ6-1VZ=RsLKO)M)=V&kNUX1nbJ2mBN_y%3EY)`VQRd2F_L?w>*u&tYCtbNu zfZVb)JAmA&&nL;3;*PF}loBskVlWR7q>Xmy_hNoOjAr@AdD`tW8b{(lfpMZ(svo0f z4)^oOHCXT6d)PUU1v_qzo~c&wcIzHOj4O9p@;2E3q>y)&N+T>-k`Aj2{WAxctEz}3 z=Fjo%)dT&Q?O^>Lc*_>*y@zalc?p8f^9I)BqP=RJ@p#!7D_ zL0w)2Nt$`DJPDKyQE2&5Rx!Z*6Nicgq;B2H%AHTb5b=gIN-o_AD@Tg(v}l({@0A;e zVO}hGMR#m3(Y}wDadE>>5B?R6o84@q zt3&WhnhY&tU#6V}geo_=qFx6=oU@-*Bo6Xl)Q-}Dadyp^RbIUH5w230R_oY9umwoN zWEEAZ)NDtz7QF?gqMLE|+KZd^V9ZEqI};T9i}E-P@0)4A!Fxtza(ESm8Sn6a;-Zeq+Q@^in9etrw~_-q;S`*_)?-^V-ria5T3VonLsV0ZuI z>-c<5pf4vVc1}g$ty zF9Vq~;p+hFLT2x$rmgK%)-Dw%efiASWYQD^9J`cHal}mty5C)*yr#fFJHGG=o*scB z|E)qaxrU3rI?9NXlQdCl)qmaAoI0gBI;wddb(8(DTw>5^IhdBoDN1TSO424t>dofa z;+*EAN^~8kJANf{KD#UU^-Ht@36F7g-DYL?YV9RP3irggx-CEBY~JGDJE(&2JOIEI zJ(?lW=Cl@wHo6r}o=KUl%8GHM@x~q@?pN@Y_T+u9gu`9Z>x_8Z%I=2e6_tal4pY*i zuDbl)K}l^jP0hEpH)!AeLcO4JRXig-xHJ9I3=Z7B@K^S}kAL_9uO?B>OlIL0j%>nn2& z^vAFz6lvJ6`rkkZUoYD6D&=id1)RvHcBMb;aIeU^7?B<99&+8>g`$?Mim!)^_w!9_ z=?Gq4aI)XbqtP}=dvebo=)*bZF+J4T`{T~lA!tj&JvNbI!PV(j_r)F+z{=i*s2F{4p zuv!_x!-eZ6|7M~bkT+J|2S4_RwYf|B8T&iHIZqB!FUZjr(eXfTIg9vDJyV@#$PgTC zfKg3FRaYMPc_KF@x&HksniCuH8>3?2orInpgDkC zC%|KiU&_ac3JlUpwCBK%t3()Zb&nPXCoX)0)%Yi3B{LKBe74DoLWWpUg-!^6DZErI zt&n$@xOGOo)q91r$6>(BldLIn?&Zxqc$cZtQrFp2GqaG$WT+~Z*I}cl2}Kvw^#z-^ zx^FF%63z0?lwds&#Ge>J2O!|YtaeV;wze+u36z}om;3$11IR_;< zrPnS0DMTPBji9025aEXEc~RsOZ{o67EqAvZKvlXtby>D4tMtIY-Gp^01|@NX4OkD2 zU>}4lpLbl}t3=b9@b z-|JZvNmgMU^r&VEOeC0VV7g1sf#{Dk9ktHQ| zEpg|_b?%n55{EI>RemMcUAI4H5EYs?Z=G#zHTIU>{`_WpoP$*~Ga!0#>A0kWl-DHs zPcnL5xC1sN1d_ohXFv7+aMhd%{ z50){hqC4~zcA#Z3_9sRCntU?%Rzj6)%P(cFh~ls^)w6+#bJyiqN`I=3nY$K7xR^$8 z_MDG?s7k0S_$#kgbzxtw;0jEapQ4RGK4jQ2I~B@j+_8j|v*Zg6clk3@J&+<&iGoFq zrH}8kAJM?u@26L8;@wk)4cr*$>`jNKxR(;ACE$-~brEJP;4@R1(X2e9t+1=@S6c@Z z%LvfBY;Qc8Ra?N3L=q~MRG4E^&UJjmC%*6a*8Cv=^PPHCI*i}EaY$3)=+d&b6=}18 z0@NY2Dj`nR6g&g=a5D_?t$BkxclgHWhKtZ$NzVLmu$q%EbtxFhz%ixzZx3*^HnBCk zwWLckJerZOTio1yQ1q?EX862^TUV%-p!x_6?{3$+dM`PrNX>t_E0Jkgb)o2G{9int zy&$bEZz|6JB_{-*W7`a?C+9Q~JN5icp=SD851Fy?Io(6-Za8t@ed3^(g^a_Um5cvi zzjTY;)lU+ZD8Vtc<%K3mki0ks=>YyhJBbR8R~3JdoDSf}Vdfq6Skc8S)82=j^7@NW zB3jN1J)vn!Z=CyyNpOhaO?Ar9SZwbMgW$L;1vGPY-X>EF(V-9}gJpSOP5aq+R;4tN zb$K^gF%BrLzW)B zNA-Ff|9LY_o+iV@_A|#)=S9hbvq^ODI5O>1o_7EU$YHwu5lT{D3Hm$c^@6*2qY#yo|ZCRVbWnsE`iqUQ33btzj+qY8S3+9e)>7Am z>)H-gbM6?N)8kpsN_VRKr*Bx)3Q7VZOb_agJaIP$CZz&%XKMR!w`Zvfw;ny+>yRXh^fQ1T}&rCD&i%>aiVkoURN(ou;Z&8l)8l-@s?Kalc__PHx_! zF~Py(>5$o!Hw>Tka4RQlEr|TyK^SGgQl>jv@s=qRsL+^1cWbhnmBom0ldNR+4YKd$ zxa#Y+WqM#U#KP$59d66pEe$^Hp>ykncUQW5Uek%UxD~xUw-S{{(c%WPM|p{3*!oKA zDG_lnPasE{ibd&&RGyCm2ej`|!Eds-%x?xvRSoVKP^I#}YnyP^v-0>sx;kkxbgFHB z9HKVm9`I}$Eni_}KX&#D!|K^feK7i#qn0=Vfy^M2MtWxef?evob(W%HXRl()MD!GS zU3xrT>V}()YV;B;hB#> zF?0})7)&$W*oZ4euq56sKj(lw`M9#jrPmD@5qK1xx0zmMstxxj*n4&dd?u~ zb)Axjy79h|uE6O~C=4@ZDTV8I-D@jb&ZXrVPzIrVm&DSC;06h_R?O4hog?PD{*ge; z-&`g@9h!=w>552G1Kx}C|Lb(sLeTsU6Q*d<^rfC5_CIAK3sIX%*s?R8@%CQesT+Za z>EX(Tcu=y7x;Z|PK3leo`TcIvr|3mcd}4h5Svu<5=u_l+$#>QQ@r)p!^8{^OXXR*S z{_Eu2h`7hz{vQs{-BkS3yiKveJj0eBgL%NIG+ps|*@Zq=!={mq_K-jP%lJm~Trc6Q zye;lVcMo*oh)2S6_V0}++nVEgrqBLl7)+-4$-e};E1IX>zVxlLr1BlFMNeXwMGbd3 z!M~pp?5y_NwUZ-Sc<(nDCcoIodB6`KpjqcKj&r|*A3Ql{TB`q!j>)nUkAxGCXa7Mt zcdp()B3%-2E`HWJ!ykVR$Zn9epA0zdhg!`KeyjNQ#tU1)`pFW`{lvw7`P163{6Kbn z`bPh6RDT?7^lyHKQoX%X%kg7zU$U)316H_`bk<=iN%XRpRc_u<`dI%&z@cl@uv|1n?~ za0NIY#U&0&uBqT_afw|CZk`qADYTLlG_^amft})|bLvJP`s4Im^U~p_Z&~j~Q{$}# zEf0h~GkQKZ-=Vx?$~(mu7Ah#|r-4$s3MZVisYuOrX(nu=qV27Js-vafP?Zl_KZxf< zav5n_Yk}NnN0MKOwzUY`_CRfWz6FJ#mM4f4>otyfeAW`+^G4EXS#@C2q$dvFnztfn zNro12Ou{6GAuHSodjbizq#*d>iAxuLT{p5;lGuZA0fLM=%*OmKNe70nLCUL%r6soq zKG|16iA#dd?dNZA{W7VZ;Uq`13l6i@L%H#W&G;V1D6yqWDdYd{p8o9-NS?9x=qpEy zs;!i8l$HoMk^T8EGJT92WkRy+T6?bPbvBuuGiHwgR<^iW$0daYN9H7wF2H ze~2i1$RK~2@K|BknHeUS-$L!NIx60C@H@r%OYWy$zuAh2UZ3b6`z8bOz#*F3Z`f=Q z!ABfVUCC0{ucN;hpr5K9?f9^+eYYOQ(EJ~)ayl>v>+BrnGU1xYnLr(;-}S8@KI#3E zoRBQZK+_wXf~%X4z&ASFrO2~k+A{UH?oJPsTwk(BiV|I5-B#Y$0z4+@`QGf%sjceSy#o> zz$=_I)pJYi8FDdIcW(qa6#$DQkd)Igp=OaG7u~i~rq4I|3YN2f(K=?GjZ22`v3vWXw!!so3og@Szb&JDy+ZMD;q8D-jD!Om|$$+R%)L8tLc zvgW}lsuCx5(YkWN)Me$(v&H>_P#$;yQkx($5pQiYh3t|9XSgP0FB>ygH)!Q2G%(MN z*a~Mx50!e^+Rq4a^EG07+pDU+k^}&ILi#<|MA%)%OVlZg;8Abx#eo1RqdivY>FbP7 z4xhZUJH>|$i(cUY)}b$(!Xdmj=Mt+JZ7;Hwb)1<%@tB=ft>kJ{nsfd+gq}>lmlGZa zkO(})Sa7^qF`dTkjldZOcDU(tesT%kF7?ZaK=#$|M$J@sX+S+Tl+`JQ_7{^&2m%eV^QRNS21se z8!qMd$26U`{<@Mrb>T`k5}VfMf=wsV^`f`B*tv;Ty678*8$DRDf!m}_8;^JVL-~lk zmPT%Ar(gpLb&L{^{zuEKJqYFWp>S?R!qN;CbNzkdP{$Z(-nZGU0~}YreEcX6u{&^M zdRjxrA*oOHi=z4=s9INQPtQEy{y`oN?L?pocQ&8f^f@augeFc@Usx`sZj295HGDIh zH)B=*gZo2cFnU?~&q_?fLtzK0FRNMVDrt|5XfLbx~9j z%nA(zWK*xZRZA)2U}hfXD$Cv#Qa1+c8RZ0k|Ba?|N2D6MR*9st&6wM9`blb?l2<<| zc58P|-RYEv=05oMou7}EP%?L;L$Kb4X}ZR~aw>1enB`*RTzmtL{(?;n$G~9{p7Swc z7Kk6P^sChJGy`duD&T|@!0HO3pthb81LLe~oWCwJOFNpvCf8QNLyZbt~B@~ ztBp~PN>Oy#S32q*A3lo1H!B~jEc1eL+M0($wnB;1GlMDuX$y3rWYx9Ep{7UbusFM5 zS;Gx_S2q0P5sClmvA?fmB?1D40vEbbR`aUz`}rJklT^JVoDIzEq?xBGD#<=>03ja z+KV5X;kUNwThlx{Sz5l^^J%=6WsZq`n3_mnnSHb(Gp5vwhun7)&y8wY1zK;E^oc)t zEvijlBmHvmw}t*Hhx(&kA}TLoKkbtfe@>uDqo$=pYUhuQEMN>68?9_vaHCOAeQdV@ zCe75R_6s&_%g~~>5KnN_C@4+Tm#FVr344Ok%08=HL)TQ#UnjNobnHpf|0;{z%q@&e zzotRw0r9|C;b9g)uWRJhXF^jyIkef`@?=;AZnyAWf~Md^jQOPFqjbQGT&oUrN!wK} z4U_ADO?oXt)W~0o#Y&A}#RRnFWf47#(5%p^tEpeS=y5_J`Co?I(^ zEe6-#OoVCK$?<&zQuS6&KLcw5>E(s~-vrHN+O!bz7mi4HCLXMD8zqlL{%xvXQzU6# zmYs`9TfSQB26QGz)s~m)0^sv8V98A`BCTV~it1YxRsd6DRXr;Y)71^Vq8H5rxP&-~ z_vuo4PMc+?{3~=X2%*dGf{jKve1lbNJ4{z13HwRT6!Yv(Y<_5kCK`+EEF6lQb7Zkq z6>!?aYh0z$&tD4x+1kA{Bc_iD&>SN-x(jf!n9X+66c!W6&A{*_7lJ%>=B_w0>pNnreJf76~ILHQ~j}bq{8o0Vv5YCt~2EMU|Nc;EOtZfU^ zdj*G9>JAE{Vwj#q+u(s7?k}lsDP=z7_fe>!N@U|#V4Ig%mj+Yj0xlS{5*rmb+Bh%b zh?b4dp2~mPdUD=69Y)4*XNZ7|Rq~3+yF^UowVp|s3_h&{dfmh=oGI)+mL21YVdv-k_QI^8Rl`+Am0f@K6F3V4nZ*;qIt>f!PCD`!edrufD!Dgc1 zgO$}qcBMoEUl9V!Q;mZ|)mL)*&zzXNce!dVronR4hH<;)L8nc4F>3w9;`;P^munmE ziK3QhC-U@{47(+cy7xX891=G5b;`bj6V_B^o7@loL4w)Azp+bC%T~CVYk-T}T2k_d zF*DjE4tHxClXK<&3YBX2{|c3U-ZLOndLuIV>9m0H>2s#d|2q*Xyn1|w1qhXX8<+Z`z|#A?&yH@tlIT(2)a4ulrrBt8SmLo- z{L}0by?Gc0wgQ z$5*Vc&oSWdH+cmNDN}gvIGpGrEW$UQQI6_W*)aeXx~9a_6Nkoi4%6T{M@#MpQQx^0 z=K={&_C?uCTc>#7P?AbBF!Mw)w9!{nOsMOX?pbCDP@UZ?86)g32BT6sCv1@*CAF z4?}#y2QSCnWPan_`i}#*&$bpHzr`@EJ+1ig+%Zw1J#}wd!+k33ts~XQ-egU@Mrk@IIujZm`H+Zi2Tj-BPf4pl37AzqKoq z9>0iZS&LPkcXQ9M-q8#4Bq6=DQ1x~8if4x);QW3`^P90>NkG!u+rd4KTDy40x23=T ztnOfE&9_07`B=_zs|{Eueo7|@V3NoW|;A<}aM2`m6&<-3snGw1=- zjL`#Y@CzDU*xeCyfeohisI9W{TaUwOG4nw4FABw+(k0PUrQu*(^Vpf>tG>Py49C&b z|7lAKbKTyv$PA;}p>(?_YIy`zgNxYIjG>gew#-De^a*!d2bULA$bA?zP_6~9>I8Ai zVmKkB04#b>NT1)IjDkGj((I8VAu!Q~>l-JUj~*ur>xqZZ$q&s>g~f9UzrC*5Zbb30 zHujt&3BW|TLGMnmAZM$*-|U=l4Z21zZupLt4mw2Zdf1;;U$UQ3-fp$?rhbsgt;`Bx zt8kXGI0`nNAbFr{TvFz|Wd~iUXO@I!RYd#}s3h7XELu9!f(n%AFsMn<>@{i7{#-Nv zx`3n#2-yM#-~JI@5@~I;wjA1j9F5-(`)JozOzac%t@ zi@4O)SFm8gUP>bjt!JbnV#aAbl^lXxDvIl}iu3*DZ4-P3) zRl5dzUT=0LmcG!SLlWJy6wd$Pm@>P51Qe$|jX1Tc^FD}I^1UQz*pltPRQ!R$h#kAS zRIhGvI0yIa?Ua2Rtd4GjKy)~Nq1Fur(2=gMFOa5mN*Ut`#B8S!)zTu=bFxCSpqBbH zT1d-OWT*r@Sm zRJEQ@#m0=tgps$uqw~XIw0>VI-0dL5d}W`ifEPoANm1si@hMe-l^24bFLmG>#lKA8 zFUzq$=moSmDK`nrI($6ch07n*KR<}Ad^4`Fr+GCX3T`{u-O43ApnHL$dcAqX)?Tzt z>tPqyzXf)&LYjl$6yEvF5SY8M>&BK0KYL+hY9_L^1}z<*6Q17u{$PEACKtwd%(wl6 zote56x>_Wu7o9F|b&JPn>52Y&MH3gm{oMA%P-Xtp#IV*!J)P-x{Lpb`R9~=j%#{j(LPhgSk2C|IW<{R2&{r}Ta$TvoAiB@;!= z#}@U4^K-y}t3uosVC+JuH{nekkk@}?<3IS( zFd5P`(3!orQ~5}@n3!~rj2S;kAXwsLdY)C4R{p4B^}azE{`*_=6D$4Bo9ILRB;Mtu zJ4O!@7cD^0#8&Mw;+5GGR>7SL_Nwrh5#bLg&~_sET3Sy{5y{tM7=|fXlYe~N9#igx zFDRN4urN)BNv}9J_!&i9B0BfEqG_Jo`S5Hg3=*pwo4q-BvzNV#F z%OmPkb{QK;mhr1VS=Ia-W%Ib(vDnqVmpVyAi!w^KlkkaParDUMP&^yR8aKWpZ!mt7 z+n^(wQqDOC&uX}>3iDK-g3IbLc4Rz|9gJtHjA=!3Id(sFRFx$xccSCxt_PjTZf<33 z!sMd|-_;5Z8At8_K)3fCrFLsdzTOs>D~bTE)|T7yhyHWbW$mRJ1_Mkyu;!V&ug#SB zFE+6qymb7z;)vG5UdeRMXNemv8NLpf5HzJ@yM|tw6TcKasEm}4cp>R^Z0vdCmZ1?a zg^9wMTc4!%T2B)s@h}FUEYHsT)y7Fwf-#iWs20q!8+Ct6!uKjZfoEqHD|;nGaL^zE zDCWpi#i3nV>K`gbaLBbce_{2pEJ~VFLFG7@)cyUAThPOJhC{S}1bcw+v$I5NiE&Vg z=SsY@Rp}X9;N;jzn)8O;c^{mN{l~?VOV8?v!!ds644W=iKGT9UJy}IfJ}&c3;_6$~ z9_T6lFhl)i)9=Ui72e#ZcRLRccB@R+7>P6G)XX*R$`-XC(q?wF&fggqtFg*iCaxQe zyareQ#mESJk})CGk7H7Cw>+W(V$n7<&`0kbgX3FT%Un$((N{*c&w_WTiG8vLPeu{} zK`L|UyE%>XHXQ-n8`oOa;OUjAyD&bKx&>n?J}cV@V-zldCJ#9lnS2)}D-*ZKC6UOn zqN_`{4aw-(bPXO<&6JDB#$C`XH>_7?RTsF5w2Gh(FEN3zx2S(^s9b_30i! zHr*~o@U;@%TlCdlvXljalr_%@RF@wJ)y&%j53($&I{38P6WnZEtv%vyFGBl?+iEL& zH$;tvx7EqWj>BvSsrs}sMuP2w=kz)ujI1`m!iEg7EmK-?W(;tYmRd@7wDlQxC>jEs zSS$2a1UEVQ?!T#Os>M^umLH|1CuE>$@j7OhvIs|eP&I<$Thn>fWr`c*NSjZR>sp=7)6pK{6$6H9*BoYU* zYL?y~I^NG5gc~CzJB$5DenZXOHo>awF_`Q{k?9R`I^eFypGC=cdx!&?13V9L0Dkyb zB`IM+us9nYIr2m62GH3$qAC2qpeWd$Y{nEKBv-9z5l@-CN@H+tuhJy6W&1_4o;v z&1n2|MyL0jJF^WNpO%b2wQ2E;{-PGq#a8rN&R&r8M~IT$3$?SwcYor%20bNopU*ts zfOYGwe4o{z+_{FlgEysawC8LY+Gtm17guI|e)OZpkWjBBaS7XJo5ZCv+_|A6DPQ6} zm-ENz^?xMGSMK+XZ)-PxH(9y0xA{~$E6Ly_EU-f@)%Z+w%%@ORfVLHd+F(nm4b)_?)~tLfe;^7)kR%3l-1o|LtWWR;^e%Hx<~!=m3l^| z9OrC7ig-Qy1=f#S(Val<`ZiuE&O0%4o59<$?MhU(`7M=rfO)U$wM?0mm;Euo8aj>u0zmH3KOOAvI zu2nF*d3W30M6Nk({A*2S2gm^#bhZ6RNTr{MRmdMPmzwD>s%3cew9uDrv)9?JEV_s2?qkOZuiSn^(o$?bU)Yx^_+C@1@RZtluJKOkbmw)$3{$EX0=G&JUD z1~0z#RJf7UN8|Yqg37o$Un|7pVLZK|t}MO%S-0NFZ2^2h_AMF1y_Truh^BKMNf^9sLxuTOMFC6V7e>+t*PXAS~|e|*_uLM znPS9E`(^JYV!T@^TKkJ*de(yBdgs@%NEcbOllMvZ$b1x0SeOyTh?yM5d7Z4urWuB{TCyC zqF%po=Xm;2swPpLZ|-Gw#~#l6ty(+wxv!|;UB08>z(B>i>Q$<_XFFv#bV0S0)G5*A zzcrDb#!5gdxtv8yr0eo;9i%1IYUavu0`a9K*`AjsEURgZ#KWK|{gW-z8MI%|h*yzK zbfVyt`0=ugct$+saqPB!7;fC?fDR>$iSu8Aw%UkX9(`Fme{HT?5bnv9@tDg(D6PpXRgF&~Yh^`NG-9)+B2O+Z-yoGF5UX&#%Rp zL5tT;6}*)V^2nZ!=O@}NM;qW-0HhIQ$>T;%TN_|4PXQLy1&EQntUr(kL zd@$y^mDpH1yU7HvptSVY0H~GvYCiXNQ;aeP&GyW>j}?zT@;AuJ9ytacjA+8#xQe`q zf~Q|GQ<9Ueg15{OTuPF&luGDptIc3avZmB24+@?(^fD!(Hn6l-!s*;MaQ#U}Q=*QC zB{zk-l8iqxewlEXtdu684if$(j^CL%m@S&x3XsH&u}~6u^d<)y)j@E|IcMjQUoZj# zSE?%Srf4hQ%PC@)*_~|F$*I;Gq1IDR-+rOgI#T-g`7vX{4ZW@|S@YlL2lX~xT5@Zt zFp|eNyd&+R{(R}dh|a}4XbZ`)c1qa)kFj$PYx=yyK0*jqQQH-Dwjx&XP^_}GRVyG7 z2epF2Dgsp`tW|0iA)N}0W2^?EEr-?;u{9wogqU-Sgp5Oys^L)h9abbs5rM4}8HbP- z2r12R6DuCC?`lUBnEu)Dx+-)hqw(%#uBiO zmkt#_ops{kaL2M$gZy0z)E*IHEy_%SPz6&w2zIj}$O8#>i&&c#U{C_NthmVl&W{Wb z^t!t@Qmlw)Ktx3G$4RFXAUYCi1Xq!b6hD(co49+1`YRRV7*?C(WKm6OLLA@zeO--a z{D`COmy*?wT-lWqA@G714nT7x+iKWn9p=&0UD)lRliC>06I)x}Bh z*)mm(v)A*j*GFmMCELL07os2Yiz(Uyec$Sj#;nB4(N%$$n}>+nXyI#jK&O*y1;>Rj z^?>&Pqy5sz|0tE?gyb*R6qxn#u{HhJs#qX- zY3`O@3gvXlJF3^q-{VCDZ^3r)FoA5m-ae9@O2@^au2St(4xN8uq#IsOriQpZ-Co&c zYy7fNdoE6kiwno3q~8pZmN#UDFtp`r)Dtu8_xaKON3uXqxXZGfrbHADhVGJH9A+45 z%*q7F`)@ir-NmMOs8;Jp$>9UudKF6Lv`%>T440k)$cxwtXBarvj{h64by~Th;Z-Gz za=x!#r?vNER?T#&q=>0*JU{eVz|#t4V`K49D*fr?ct0jiFWlB~A)Sy^vAhfGA-aXQ zl{yKhOB&H;cHp;+sF7Eb;%o_Mpw--6#<4X=03x2A0Jl;R(^8|@#+AE8P;lD7vz!Ia zHpr37fE^zu7RFV~r3}EQPZc-lnE;^ds`RYNh1QeR{=>%zFRQZ?+9C-MWNMqYNtDHj z7J8@n_&OpdW%h?P$Kf!Y&wl(F;7Y~7g}asGByZUqZEGw}{FYmFAy$&)?fI+>LM(fx zfWD;nqm2AvKj#k^QgkVKc-hs=h1 zRa+q63m|S{*fiQ5ysnbnEhGOpfeTDQ=7vM((erqayy=*j6<$uPCcJF*gzMZS?vba( z#64R5KCMKmsy)lVMWWXf>yHox`7S^zK}y<~z5UCx@Y4$?IxZT_np1+TrR&V>MS42q z+cA2zM+4x8#o$AOzKTNFI!y#35)lS8;ZNeCaU~(sGO9+;luH z?I>r>k>c-@vSJx0RSj8}r_Eg2Lr6E3=V@6V$BW8c@_cv`&O`A( zW>Zx<3{_>921EchsHk3N{hmiV-RJm~U;SU)>Q2}i+M(a8g^)=^THOu*TYwgZp06$N zyz1rYOP>x%`ubImNa}X4#kEv#%1c?4;QKzAXmjXZL!!+g zAO4U!_vY}`)gJJTwcT0o`FrhIYk$^3E(CMq?>xM|@Pr0(73FzoAcJHGYx<7ECyu&F zNI6h$^X6XlxC8rtHQM}p1h0j^Q0sgS6%A1MqrPFGN9UG?E&fll@7{FFa^_lSPB*MR z=(sQ(KHEM3#|P*=uKwb4<&7;=%WbMRB$GTsLttni^86)Df4ti_9t!89BGyGBNh%z=a+$`!ivc_DQG8ce~`4K0w;p10IGjeXm^baj72 zRD<6x4G5=zp*Fdrtythk157iNB%oy7(Ufzet=I@mp5J65lIEgDM9`X#^K5Zv>Q0i< z6gYvbllX==T0hExvxU*oS-0`4AAb6K?jNx`YjpQPTdlN>b!a(jXid{j2NMPg~ximBXW>Y3?|0ElkVs|)siDr1_ zJXccCXoL0{1pKb#(m97Ux%fMgrFU-Nf0EXdWP9H%qvJ24SweWCOKvZIw~x2uR6pUB zG{`&rh**$a_;iR}{VVPfx`ls&L)_U4HmB180^{K2tZTTa3(w81{bdmMopAGbA`9-< z6QTyNeYO>He6GSKNhn$pkohIa1-4mt#}&!)u*`?Lg0bbh(&I28Xj+>G+6pDh_X0u$ zNy_dZCcX<4hObN|-n<2gE=G8xf)WlcbU_Q^Dq@ysU<}j@h2KM{RTRPJG#8%g6a?v+ z!|1#-jcr%m2;WKHjPtw5+EnYbmGFe(RW)hliVS_7;(rB_r@!r_K84F^g4bX-8}vA&Ig?A>I`6KLY0Mzf7s@mbr;5wBM$nS zZF;}GZr4e#CSJ6?Nuh?msCh$yxDb31QFhI^gA`>o!{r4t7?6eMvt`4R`?nVA?Z$j` zZ$Wei&pyfr$9#^#J`CjCJI1G2O=v1k;j#RN!Z1NfRrPUIUOFktsBRb;bMSX_u)nC) zycZ`l2S;EbJu>md_nJg#74IB%_$a(Nj2Ku0`>rcW$8T0GQxv6>s}v|QywVJLDB#z* zLq~(?l(q|_%V{=LTEVN`lJI7e7F|#;(_O)d5!NZS(q}R0H3IJf;U4GXf__&rH`6qWi?g5NbxZ~Sgk=N>GejZa4Qbu- z*C7~N)K9*UtN`e6Yke#-pD@Xr99WH5ThF*HdpC#RQP4nN^2nVVuKUCQTPIB;)Q*r; z>KxR4601Z(;{5Pf5R{{@leJ~`G0@IR-BWVa*R-DqK@*|M2+1Wx-&bNWbZq-rYxRk& zVfIUW*Vzt-;~Km-dVhymB~>ZFF3Z7gYDrETNcu#BoWCUJLRg~uu|z<<+Fk4wnoo*G zkvo>WxgXhk$yF_uWukn$HXZRtF;P{JV`W$(>y@B^O~uwhOgsjMpyYt3c8K$r{&7f` zQDGtj#H-oThuSZ|0?(WY&8)E*lCT?vH)F?*E=@gIW;gI&lyqGWr%kNqSgwA*ePt-z zmW}ULfl{tE%i6p;U>X<2oNQ!ODJDw(6b}OmCBa$C9LCQH>d6;k;e$U8ag8?K&S7}Q z4h>iD)W$b~ipN`*asZLBLgLhQA@$ zawgY7XMH^G;9j^JyHJcINU*#WRrNy>yUR2JnHOz;$>9*|);Y9t*h0Rn zK(q5(gd3;{*Es6^9rr2?ka-X37*L<_k~bA-Ew`$G*1>c$=@q>2!}E+>Q^zNV!Cfq8 zjuWCnC~pLZ9(y_x=(xxx#XTMH%T^8YPsCbw=(He|Ajve75X#`@C?NOfP$ zuUvJ65SgbG>y?_~fx&885yH9?H%U{QtSSUDg+xnMW#-#iie)0mwod0G#wK3p?x0;@ ze;wb^BzBZM7YCvZSejj6I+}}`pez*$D(o(e?1}4qQ%Rqskr2_9@QG(4O_l{2GK z!ZRU*5b+;1vbLqnjZB!dQvCQ`)}K-}jTP#25GPLb1{9v;Hp;XzOfr*;-%^mo31fEq zmo3m8fL-dA8YxK46a8Df5^7km~$y)?ek|$l&5!uP)^$``1#^mF-vNUe= zjwluK=RY~`=3@||vwhmB^cK?Sa1(BbU-X*Z@1kKq#;B$l-AHSC`zLepv7Q!i`*C6q zco%Bjtx@gGE@6jO;?@wb7g@meHdB~ZOIio7jpgS+$5_u>muICvZ5vM?XTK1y1@gck zcs5`xF)W_I#fKByFJ?j~IFPS7X9oQYGY!8r;vUwKALKJ;erJ+@WJY7KYD`EFw_=5W zKSpawMosOS5O8H_aO6d^rAKQvqR(XiVD3ZGm#AZLe?*&i$1xkQ+Fpcw|m z76%MZcZFU9B0$3%w#IMH=NqcmZBk$&$TO?0nxwDR4dq_n{Y&rayTl!}s`|v~lsR}a zi{OLB!>fgMrN`k}%Y1%1zDf->Yd*mrfn!W_d`$OAR*2$y>dA%$`dMQ2q4AZmV_Pe} zGm&BlcG~!vl6%1#WPzE70md|A0DA`v+0R0^h8B8m4FvA!=`b%YgwSio$Pgc%>FG&! z-M_C&90~>k+;8QG!Pw8tYR|8={lu`00KG+4tB#*OBFL6|d zKcw3~>n6^1592q7r}(rGflmcu{@5m5N0**p5MtglaP>`Nh?#gP3pseKjp5nA*a2|$ zkP>c;Nf|33A+P)uEsvHkcEGzCpt2C_#lHZBjJSEgy|=7~_Q_iGlsh^_iqaubmN}}O z;D(YJSgom_`$6gmst0PFgFGcGsfno_8LA}314ZU*-=fcq8UiXDShzjufDieA;?>k?Iu|G_bU1CYJQF^F$b=#g1g)pmhY>aJ+vqAjq> zd5)Q#O*Tv9O>=1wNnp_|Gg@9_h8#hq7qiJ97V&&n~a%+J%(o zsil0X_n{u|eNn(d?O6y8Lf~fbfq8m$;c5t#j9j$TWAR|1YpH?qe2-&UDRt8iPT!i- z-Up)X-ddkHErpwjvMV;M{OZSdU(|2*{^ebpckLm6uO$HziZy;|WY(DPzdo8>8n*vM zXgilqJLdg9kM)h=t-L&swv&NTTTlP^#@W;Ucd~pV55xc0yqp#O$y?hHp)}&1-awzL zQ8AH6_kLKrFKKtSlCnN(Sr0XGOXTM=N<{cY3pFx&-{+8B5fsUV?23ct*CvCLwv8SN zS*8$r??@Ev4P8NV34d8?wD|4XN7?F&_DZJ zyx}77-t0qhp1aowAtMF=|1QUQQ^F%LSc2;oguepU%h1s4d%*z@v(lsB_S-blw-~GI z+|>7T@AC(LeYybjN%C&c;qY`0NzkTF(aVCGZb5+HKyRe+tH;LB$vGA)#Sp9^3`{rLCDQtVsZJra9vW=L*qjrS|aTGc5zvWAO`>ap4L zPqF7>gMIZ)Bf|5qQIJ&;zdhSdeu{l=(BQkz;I{>62@2Bl+p*rILmCW}OskMqF?vbB z&?IE)f^J;i`=GG*Z{y2%_2VLlzYaUibNYauKo6NrEksQs%1G6HB23CaXup{P@hWRV}(g z0b1kS_^95ESn1S*_^+?EFb}tJ$IrRxrNHv zUt^ID5*eCo;0JLkxnK2lQOk(q|2 z3h$D2hqQ#J!g*})vSao-t+jWJi4YAt@LC72=cjLLsY!Eu+N+_&OAKuJ6j7BkN8AjB z#!U^VJyP}|l*u-z<8Ro#;=m7`OuQ`8FW+m_kT(-or^0kxybYmDuv`K#HXOQ}*MaPQnT@GcxPaH~{6>i)7x7Mr zi{PQt5EqP~ZXeWcbeQC45CUQR>LZS9Odf(V7r~g8sSh(Sq_-9Hg)@@90gi`gu=s9&m0z#lMa20TeCcFRW8KbULp*s5*sJfW+%?Z#!VJ!yNe1CvWg`3d6A$b3C7JeGmU zv?0O9wU&O?+omE3E=!m-zu&EVx-BP>jlBxAASJ0}7R3O85b_Qm5hQ(l>FPoF^^w@k zM%HElcBKe$mk`*n;}Y1^!E;r)E*yx!nn}FvqJ;erAS}|u^ZV@0st(q}{DEjQoiLy^ z2pkR)1Auol&aEH~BZSTMc2jCTCfxx4vRU>*(GVu=&dK2Cs3*7|9y)@{ce%KE`YOyi zq^alAlE8Np6w?+-2WhjSWRZ!e8AeqPm!@bXYYN7e20X&*)NX2hO;2`Psx1*dRf#+r zRNIih$x><#zb4Rvz@X?MaiB-NqT2``~id-d&t9k?Y z^Yu!03=`l?^HO{HaQROO+xmGy^y)q7W?Wea4(n6t&m_*-9ffcT(w8WPKn5|?evuS` z8W&_x2_l&8?Hsm)UTRXMD~lOuhDo`M^FCZ?a)|?zKIFil{B-cRr}OhaM|pcHKYuIg z;7dmRSfw9;8z9NNa^bk-D#xGey5QUVOQ7rahu^&io-pfd;}zRrnarloGF%qo zz3buz`4Pg_M#aO_SyCRN;MgnM<~d#XuEG4aQ!stFlFWhl4AzpMVf?!)hTeCxnOM1t zNdzcYL^XpS(}*4jW@B8{#*593z3qn)>_kQ=+yrYt+$#3XDf08-xy^)+p03ym(S4^N8A8%Wu(Ryy1@n`Gecl{v^K ziQ1C43&$Gq`or6>6Xx>4>^@uov`yJLI8KMH{JpVgw#~%Ht4PTD1O!huQ9F)QRSM=9A560wu3DKezf^~paFvovjC98^#aginyqH9B#}Ma=D_98IKbS!+wuwC z$WZl)Xe}YGRK=;=@4 z`Q#0=imaRM12Q%NP|)mly{(a?C(f~aP5NiXJ2$FBnZt<(4~7%MP7RPq05>177E&zE z%Hwmb)%65|tTqB!h|lU9GnUUe^ohD+6bYNuLWSPGnN3KF}zWoVX=qZDFO#~}yIZpCxNr@3h6iFYW2 z;1pFaSq(39EunNn)j>Ukrk$qZvq@vyo6_@J(=F(0bvx^j@Sx}TX`n0j2+Le%vrMm> zhI@4UG?*Tqi8ji4u9<$B@mm z=eD5_g>0S4M8waU>}{Mu@>ssM6jMx8$8@1%Q&GJVjhD?tnjTFP@!Cumdiq)jSqdU5 z6SbLH=u}1hAP`?{39zk0D{^;gF+v@q)mO<`NYH)WxDFu zM?g8= z4aM%bfLUwRpx{+ob)zJ+1t8~z(X&KZsBxB%%wo0l1kkiSgHu~4Tc7+i>z>5c<`1FQ z4i5fAj;`1=-NqVJArzGa_fJ&28Fan(59gZ})f*CxAkCD6Ejd}l>O(^&E8uX(lj1y6 zo*}B(i>zX>g#hp^NBi}jl`Rb!IU_m;q>jpnxk}=Dz{q43L7Xpv-^om=dK_o`wsw|j zp+Eg;6HOoBx-rCt_ER!*1mM%E$|(b#lr=RL|7Qa9xetLwJ?)d^}&6UFYSo zbdi_;@1S$^TD$O7$|9fjyQvXV`za9~kzV_1eSDtxj*jsurA=S)DfRd_#b-&N7tf={ zLB0CJFI3hckL$kc9H9Q>Emb&Y{KA%d%a*E^uBHY3?59CK+}~}!?6IZL^+ME}l;@qJ zeu>n;|JeNUdwmXl;aEvf^I|E0j@j(FcD?_a1#2Io63_G9m)39gnU_(7p+R%2BShQ1 zd=C~y+c%tA#?$(aP0sjzs`3rf)Xcwj@6ggATHiacUwl)y=UnZNFLV64i7Qyl=l=ZW z0?&8WdU+;xB>W>L<;gMq)uaDP{rT9F9?IJ2l%IjiIvM%t2Cq-|pN#$>F|lvl`+9Q9 z&*_u_&fz}qQVk~w_svLz4kzz$U*Qsw&G zH7Ai6`urG!Z0K_EICgpNza46al+ayKQlkf*tCjZQ7-~foUYAV0Vs@>LhT@m3i&?TN ztHrh22#;LbOtZp!{KvPgV!0U z#ukuC`;?lAx=@r*iOKUF%T_~Qnb8|9fgM~H@Ed_U;s`iW4VC(ey)O6aics`4LuT?* zQ{Bc>afXh0NR|M6&nOT=@^Le}DMX58E)w)8?Vp z;)Fild#7Rup=ElO9a71S=W9@^vzm}^jSgHg?fb97rpKR2;G|Dj1NmRrS2oyj*4-!5 zYP&Zu>UdgSs0xaSd?9cA7yqQi5KU35xHafwDP^nXNsA=8n+xxy?sa?E-TFUTd|HZQT&ZgS}Ptx2L3Z z7ZYnm*^@15ax4wfo+oE}UolL38D9Y#N(QaksOB{)QJS5xPj@k|O7I+^;t=?iSa7C{ zpq~<#&V>=T{>u%iCU1d3UY}1$nsXD1>|8F3*Z{r=Z83Go;C%&>-edU0R7 znS`DXHW`pqRI(0?Tw?GkoE?7~geisx-7-e51ac%2+(CYvQawr8YP>o8?Kz@bP->4Czt)$ z-Oh;_sSf7Ic%jn}(K5@W#e+8n{F|#XxDmXay;>7?d&CGYVKR@lD_D2QAr8FgoF!xp zkuHFp!bJmgiTQp$Ee?POTF6S75;YV+7ml{r>_ui+#4~AQ!R&jm++aYARBGRRR{2z@@2!yvPYs*1%d~46(Mh4-C!^Pc*O-vyQ+!WLRru43v$Lkgd&umrkYK+0Rfi zv<_`xj)}bWy>cC{%wE-OLFgbk6JS_^+1~wo0MN3Lh@b{ChHyF*))c# zZ;+{=>f18PY#b|OYh)F6^CWzoa)aG3fq*|y)L>U&iF%bbRP;^uxAvP?f!qgHE8)~o zGB<6w|N5SECm`>1 zz#)$gx$9Qg_VpXWf)qQNB#mvCNbTFOk1E7PoLEn%yX{YIzZB|dU?PO6SyjB4h9)ZW z_0_?Q5pX9%H5$ZkTb*I!uT*h=52%P;la@4Yc+zC1i@o{~T$6VFx--xmoVFE5IaJ`c zB7mMnC(`B`2>}o0Qxe`ErqK4*&4^xYC8S9x2f{(rBtc4o8P4QFz(AWH()t(>L7y6F z&&o+QJWxQ#gFalqD;NL;^leENj3<;8bucZ2OWh2bAh1|k>j*_iRofEab4)`ftvmL` z&f3@wh@9?fx^pJG`a@AsFpQ}1)RPS2s@ZXzcNbI6_Eks7QplSy1fC^j01*IrTkXTq zWl~kuxBaLgKK-3@6P6Cs?pHsqfM{>dXk$aL`7Yb@RDI0pOy zRnr)GUOsqv65R8N8rgbyiMmx}jcam(8-ad2NHqXUWXFg~`jm7>XjC4zj`~mZ^CCbI z9R-SLCW!LhP>Ux}mc}LsH|TwED8rbqhBN_(Lqm#sV2p~My`mJ@&fonF*zb7;ZVd$N z7yTE2@ezpHd_1>O{Jj=$psx2=yWeBGmp5hMCa?JY)V0*5l(%BMj!?btFL?cbYPRP+ zJSfHRM~MGl4#v|he*-n!f=#Hz^?+zN%GyOP30e zD}?GD=@~xl^YWN?{5uONmpv~Xo}qHS^zq5{jC^OxXPNguRqzZ&Q@sm(R~5ed%aT>| zOIHiMsZ2n+6gHSw?0VTgvt~4kLMaBS**HimhvI%-JuBFl8yvI%O2mCGl;Dq;`a#YEMm}mZswg9NjT$ zsa#|#rwG1T}|xTW8~w#Up@XEctvLb<#&8Tul~tb&91@3c=oQYsaQM>ltOms&Rh3yYmN0Q!H}O@1SQ z0!Z*e<&prMHC(aPa3B@(?z(`m-jFn+QXc$L6{+efnQMg4L2%q z?w%^+vH-+}ur@>{0;h651D6Yz@6{j}yjc}#iU+rFc6G$jO$AwMRv@RVb%InJ^c0_l zx>C%zI1a}tQwt#4Z3$&_3E;&3?M1#bQQ3DMyu+dAbgo=X7RmtCJAb!!zRz06I0eZ& zsb3A2HHQ(hch~uqjjmv6j=|f5-LN@EfWILhK2$(xCP^r9>|oJ?$`|}>UBo}S;hKf} zbDi5`1p6b2V z^EFVoZj4;y^_FG9TkAZwKeu2{l#l>YM!Y5LN2sSV!L;pH@O#V<>bB(6D@dYH00K)gIGJm}}Z0`wcK+gs)F zr$;@mKekeyue5K+sX^t=H-9}(4Xkz0{Cy7ae~tVz{T)LHHTiS2(xsHVW4qvPygjGx zY+mAZo^n^{`)Bh)Baq& zZ{*53^_k-V9rKjjW3bT`<(@1^+)x-@aAbd88Z(g@eTuSCP?$*FmsAjal=4wgBBW3# z3!^DlCKC!0^Qb>4&n%)`okR~)!JlDrI3&yP=~)pr5KYrBpowf1e zWSv*+RY+L|eJcoq)D~xE!DOB1!C$_tPXe7CsCLWjFb&J$k#}+(750>K6XkZ(_;-)% zXj!lK4CA7K7Sf}uQ}XeqU#^~bvIOds&P~rbu+9lm2wu+TT&CWAPJ-}m=v03B60p+V z4Y)1G#G3PdY~?4i4noRdNz>xkwT&!!PI1SzV(B!i7R;fl5@|zm?a4y#E6~19v8E;* zi=vkWSo+x#x?dQm?(d`U$$pl^%>#BmAG?v60v7sH5Q4|-7kJX&o=ZX6F7&01eeYm_RsQk5Me9+eLpI{4`}%r(hR^nABBZVysT4 zdHlH8DktkInfr9zjJ~07q;EdLMOFB18El<1iMoFL_PF;Iz;-4zlDC0F?s$p`khkgB zsB2N~?E7cx7K&EBTZ9NZ8_VU5x{|}az$iXQ%F)nlR##Fu7Ucp1 z7VOSJQjCVS`Nj7o`ZAYeaeHtARFRdO#Xkr5*qQ^0zWX_l=QoZpz61isKrJ*1|!U4rX(ZU8WRLHd1m z25Y_WP^qgh3sy2sJ4&4cN&UptP#W=jmjU;l_wAGfZs8X-V)E?vQZ*q|HV^S$jALA< zk-Y(N8YtibzA}C#D56{I{EoiXQaJkBA}N1wr6xnA!s{w=1&BSfU|63Y3eoBy^9hCs z3?SFU!>s>6}ldZZ;N{2+iT{W}yJgB~KC z#P7JAn9v!D;t{(xWE1jh##eX>V7E?J;WAYhtFB7Zr|NcxJi5t=sLPJiW{4nyl2#Eh zNBooz%@g{8nIHv6;1?LnKoO80+RjoT9pSLuK~l zN0-m#fU{WT##I1WbO%xA0@lwYAV!pU&D;=ocQ~_SmK_s3j8jFA20!mL!%Nj_d_~VF ziK?1MP2M&9;*{XKLq|XoJ3xq_5rXJ8OAxmY@J<<8F(g&rQ7m08-(BmYmakVP!8x9c zO95YAVA1qGhz2m05$QdqioKVp}VL^EwdZ-lcWf49V_RyIq3{h zXY5nOZiUhQNo=RWy$Hj+g^!f)pEfm=D7Ay2IWw+R&JPTJmXOVhkhBtCx3(TPY6>A1 zhf+{}-C7}c0Jz;ElSUNm~$K3q<$`7of?X4gOm)e0+rd8=! z4<&_-3iOAfuYqyf&DWuQEvUR~q@)QzN!Fpn%FaPd^!SMG_}DBi`;nB6*c;iiTGu_5 zqSd`ceA+oW4OyMp)hhWQqy!vkE1B)M-wj)MV>7U|1jzEm52=L^9Q5?T52=9e_nE(D zPmgHzks_48D)1d{l^P40H(r#$d{7lwJeCv*)dO%;=Cd*jkV7vhA$oK8EeC-C zE*2)CfOgioggmR@(~P>RAHD=E6%J5Ox z%9_!#%buj{eV{xIbGY_Nek=ld8A$0CcqH|+x=W)+;f5Nx0g4p8J;79N2L<=ndwwM9 zwHGVx3q+qY^Nvqz&Z`H50n~nSCx-jWc{={RIop|?H zk?xWRps4nFA4tfkhoZ5*jZdi9)D$GufjP&V6{}IM*o6Qe;rcnwOGJNM z4hTtHM#ndtA>AkOsLeH1M+^#9(o&&qf`TwLFJ(uQvP5#KFzOTn&3!!rBbN|K@mXVY z>pgFCoi!EDLnsjscE!e#Ks<1(k}@KPJ=qwCMacbrdHwGjh$QV&9`Md9kItUr5#i zL5~qE)Oh_U3|@>?EMH6Clb=F(0wN0%ZspPWgk-WCu*NAPa2nc6qGl5i)>xYX!IlEf z;I;a$d7lcIeE;Lb){W7SAp+lwMiNb6h**}b?w_d>s0f!E=BeOCWX}f{C>%;#Y%W%7 z%Yp@QQMu>Qzyg<2$Fk1Dx~Px=%oSP+FxgBKUWypQoZbpU0l3ZW#zL6jf{8Kl5YXDn zhPe?*{ndd^d;k66yX|S2xw^EfM*hx+N2-H3lZ~a7p@l~p*gS=!WO1^gcfDp>wl!UC=p(PtQk{6Md;T{FnK#PPPgm}e4{{?~4j<`Ysl;|;Se6(B z7Za_SdRxg^)A^nEEeI)=^wko-hxtqq1HYZ$B3m{LS8m=o zUR!_$LvZr-B01Z#dMy&o6H-MAnvlXKcuKgjSOQDs>G4=k&7;ccNlP<>nMWffymFXe zIcjfDjjEVqdN9ZU5@w(U3ZYb!@j>e3Y^{jiB(L46pzERD$aM|wJO})?mGCdhHsiw7 zv2e0C3M{)3GFi#nISFWnI6?Xu(um{f!MqTgHgLF`?GrxgU6JfIaER!oY}vAad6V{? zctELiHDqhg(>qvA4>vIZ^$s#zL}oSIH_r~|@~}XD+`c)h8je1oLo*={D93iVHYP#R zP;7Q%8lak?S1tsYSmlD2{)YXUGlOq|w1<$z_Ny)n^G0;SCqHY=tt$3Zjscd-j*`gu z0lF&ZRi^g1nlk|t@zj&mt1}Q`yG2)8PuBMH(13`wm|@nj)bgwqQ84_Mj`RjzwD$M5 z1qB=4Q0&x7a!N#Qt&*4*Xq5cJcjfmO;RyMa1vO_lpKOKYk%SZi~T(AX*Mw-UBSvd`o z?#5_DR+Z{wOFclRQDALS=_kNd0vn9NJ-)I`#KGgCod+&(im5FRuHO7C7}ZZ^=Cfac zdqpXqDYrWU{s-L&1QRBrtxBJvTNk314*rAun7iQHvj5JnHpBdi>bc+RB@aK!!gQbY z`@PnJ19NGNM+7B&3w7~+>Puc5y*x(lnp@o-WW)*mO!=B#< zQ79|2;P`#l9GH70YRKbw)jO_NxF7hhgUxNA>^B$ark-amQ2W+^9-p{n&_Vt#F!=1| zQ%@nn1V&a#oAo|3=WQplo0slO^?i~9YTr-imyKmnZVUa`i~(PoXhp%6&!L6fusZr3 z>N`KKXZwF8^NkGM|0ye*N?9me{-t=upC2#y2lSDi%T7h}7I9Zy{o04WqOuijZ#kX3 z19_AP8K;$!ro7fk-G`jnd_xaqDv0}2p?8G@KF*ws7yW)`;0{ZcJsYJqym&P5z;y=-C@u2T=$7d^?&p$kqn-J$2X;-}MlH{R7JI+*i?PT|z z^Y&~#NK%pvE*E&9Cqs{S0f0^Zdiw`2cgojn`eggYe`6&NF!`qME1GnpDVXyl<}2PZ zJ-9pW*I9*m;0tF?r}FGea;&~9;CAo3S*jJEIuAbn92v|?EAL`8-KAV>JHDgr^0`dB z29gcSF9WFSN`6I054AM8f<(jr{L+r2OGS(dGB;)AF12F`eFe?Tl8qjQdncpqBBWTG zfinj8xAFW$;mnSjg%uZq4|oE=4g|snyeE&k%e0bvv5=fFa1ECtONu284+qXUgM9!sawl=eO~R?+QcDMCYTWFWT58YuQU#7l##hqD3hkRsy>+=U zy?+B+q?>W0i}6Iw1RZ`+!Y#%`^>n=KI6e) zxAn$7ZVWkiA9nC9cykTkeS+F9dXLB z@uC)qWD0ec)MtRaf`m&&t#a@bIUIv+tkb{;Nd;j$-C(yEJ_NBeoIQKscJiVc^DKs?&3k^T8DmN7d=h#rx*Pso3S z&2%Zu=TJQZ{Pa1fXYwodn|}+Ky^I=I8Bj>cri4X zU?PGEmqtg`1JYJ5*}#~_nfa@p)SdXM9t*gs*VpZ ziTT%8NN>>rjGD!$_LHf4--cvG@h0uXw~vsLQ`X0qbFxdKMyrGsaC0)-E<^)16Fhby77!|E+Acsul7r5TKx*v) zb&1+^_)IQv9QomvLanj__WxSA0>S_P7x)WRKBs9J8DncrvhVyqyxerS(p!s?Cw5jY zuoU*$|2&QnLAe-nB-90#gmt|iCj;y=-_>~7G48uB6hM$Us_T2UyD+K4SrXZ_1!lw9 zg#REfARWXS-xC|UlY#VKk~_DZ`b(pFq?xP(t~<3b!PVb zhTI}oRxiAq@ z6Y7aIQ!t8@LX-!TcoIN$)Whrys8gd$SE(y$F=zzUPf8FX*W_rc1QIc5@8V&z*7B&0 zm6=L61lcTMeee!7S@zj;OuUQAq@qR?Yd$|y0cUO-i^oj==8O4K7gCGBf$JRAJaSGA z%~ijul22UU3$rrC8`}F8lr5?~*IC!PmYdevnYFzIlO^03U?UYx&s_T@0++=O7q`6{ zd@a&OY=a43tpe}{5VCiY@Z*K(eFMx~+K7WV;Z|p}sZJ$Af#4FJUzn!9ot0^A-f&TD zB4l$?8RqOZ!CWnMeQS-L(;qSBf#J5|=t5YAg41D35 z=0${Za6cIF$<8%S+-QX{4qcPoWQBaLM0HvbOwmlhm_wuALn$5 zG;WGOR=qd+hE_YTc8pFBO+G$;Q0D>?uY6ZaNfelHBa*sEzvMJHvzg=M#+e(ykkGN> zQt8e;iOaTVS&Nx;zjweQRLf_;4~Qm%Na*b3!f*q3PRlmrf{tVH7TKFkAe5|)vxxUW zGA}=ZE&@n|TE|M_gXv!bJEUnjtIy^rJ{u=kDcK|&-?#Nco2i-`Kt>#9Y1ylX}AnU9g6xkPxT$t>< zpwbiE@+E2@!NGJ@7BT?UfhIWJeyMQIF(R6RcnMyhV-`@XRn;FV6%%y>M|s4rTKO65 zuq6#*JVD(m&nl``&UZsIovOj#Q_1IuY&_G}h}9;i0WMZ^SAOQ)tj2kIoczHq_6r*# zYh&G`G+xBHY+Pmo^1LHk$I3%2fRrYn14!;?Ut0f%v;jG-eb7-qjNXul`~uHTRc4fiv`Z~ zWD_YIFOoE};{nVetv9Ys)V8m5w2)}R=NM5t$m|?bLkv86ptOK#wt~>8Q6x%Lc=Y_T zRSx!I0%cHW@tPTTY%usN5QhSS!((}Y#mz%7uo@8W9!`$+wCZP-U*=m1Tb0}h=6HOC z3vRnw{agh1lSHQ4~wGso)rMnk zHs+2A&8w-0HWauXF7VBY30-iaz6_ab2Foz?s=J@r76#9nkn>+JI#U}rNKCi&FfdN^Ye>wDH4eoz?d`UEOU) z`n>Nd1^02%lGOvJ8y2NNXm(qCECARZPG&6GKlC(c2LzgCZDc0Jd(i{Iz%`%hSfogy zjVGST1*>Y>-%kn-_OLdK%jTMe<4&LK#y)Y}LKjRDVfZb|PZ;oYwN$vAk1lF|!fPi} zFps42PU)sAYbu^_a{r1+u}y{zb=Y%?*Y*3eKrVISr8}6UGJvl?h@vU=X{5ZXt8N!d z(alNJR9>noEL8`_P$J=IhQ(p^wUoxv_6F|?O<~nB0TeVTWX=4NRkIa%E6783x_o8J zqs%#Yy>P?D@qUvRX9KEoV2cytRB;a5H zjo{O^)k4L9&R1$YfuRaJz!Rhy@@&RPOokO11HR=uCedUVh`0E&X@)G zV%rzAZ>|k5o`3XJ=|>^w*!q)v8WM93S?S_8AM3@jA^;Uqc? zfTe%9+qg=p;)Ye>ieY#=8Zkj5@vRcx4qR=SRZ&A=G7XSnEXd);1dG_0;|9O!znq zp=&7xR=c3gDGCPp9ZcmEYSy~L&GaY+O67z3aR|sRp`~-Vir{eN8|{<%3ijgGvdlTy z>RM7^H9TlrADlRh}#VQnBKokU~B2qDIjEK6e(dz1wtfbc|MoU{GK__e^1Y8&+*g+ z%)Q^`^M1cy@8lLHe*j-s>%`XKpW$iJsBqvT6Zg2}Wg4V+()dqQpUK7MK)7fcXf5p= z1D7FjZ)OqV0Q%SN%>{valyDjEEWB`>dqtppL2TOTEKfFh@=zJ$De4!bYxag zp{$LAbkmt&T$!%;w>*7Z-Jg-AoE0s`$cb7xJ~M5zwshjNUHtM@B+egxkr3derd-y> zfCOI#Sh{4FNH*bMYay`R;|vhcj0Tv4hCE4V+aCQ!{zSmA4EakK%U3+(R=v)X1a=7F zCO=+VwC)1Pda~x>qCZ{A4(bpvwCi&~!yXu7l3_?5j^Evpc^+S#ihpeYg6;zG;qm^e zy`u_TH79mmof@oKkP+V?8aGeSM0nBQ=Ez~!kJR?93e=Cc7bylK^Cw!0vJl^^3(%Q2 zh#YkWegl3lV?3Ryf+Kgg0PexM7G`HnO@t^;%G4pGprIscpTPWGtz&+FN_@?(4+ut+ z47j5Tcxt*7yhZ>5L+7YKC=EwZU61Xa7hTqDyr zKvnh}Cv$wFN*2rI?X!1+T&D&*tW8YZ=rN$R05IE|4dbXe;%)>O#MGpLbbQqwy%<}2 z(FP5339EvYq?^CFYQKzZqKgaESPw)-Q3qu8d#_ep# zGDJP0WoqZYeyx>o?5Ttl6wVDPB`%rYlZYj9wtP^ObSjD0sC=)uQ$9fD|`O;_Vj^(0$}6Po_xc1Bx;>js2Gq5b41n zRx3VFmIcNae^R3fv6~j4XV(w#tV5HHHH3kzHn-u6*8(nO$hpxX_JE>O)c1FPSURERQNX! zh$~TS@=GJ`cJ~p7A?O7p>G7T>4dId%Bq1!Rn*gm z)z}EWQ&Ku!%L`b5NnKXej?~naNFIAgi(_|)WUN*h3|kFieZ|yvX}YQm)2@&qVA-F; zzMUIXS!!Uc5toMF;m0g8iG+_bcRw=ve>Q8szw-`_GH`jx-6(g2vA}dWHWs}~uPJ|( zp*A?G!-w5Wnm!DHkvkL|N&((nXU%PG{gtvFHkI_zAGzik88dxyq=Rd3z$Do!T&Oqk zmtMR@NE_7WyV7y~$XsJGkwx5Vo?~VU>fMm&9@wd5C7M%yx8jSAN=O)fZw!Gy<(|bj@ziwHvzy&&0g0}`E0$fBNz%t zC|uGbT;Zdk3cVJngri30^fOhg=ezh*k4CwLGlVqG8M9j;XfBnj^7NmB=JF=*^3NN8 z@9mk9;S;7`bN>Fkdr`*9;>_1JrT>1JnOZhzPB(1vBbbDOVkShoCENR9Db~AG_Z!FHhwn{#oltljmJIZ^O4m*UNv8H>FWTo3 z7z^C*W8Odu0J#`uJDNrSNrVC97fRW>4}oI_^r6KAAsCP|!4ObY{P={{uHh+u?1x~S z0sZO0SNqz0zPx(%C9RzhRHF1p!dfm@hEg8w0M^=CejX->=ZMCD`p9U1$(rjN_xNWP zn0oU6ly+}(08tx{N}I9T|Mzr`-wgnA`Qqt(wY9pXFw;$t#@RPXwxq)G5xCSkIGtzs z=IG+VAeZl*Hz@HV1+MHFees6r;rl$r^$*5M&kaCAqny?>t}FU}pvKBbPv`ANV32|+ z9!K5+mc4}+@Ddg68QA#zGO-6oR)h;JoPzf`$<4NNxCnYF(ur>{6U$q=2Adkt9DQ<| zsBeH6223L!DR|IBDjqd)j^3bO+up?-uON_V?nfUK73YF4B#Zq)xQiz^fffa2l2QFG z`Yl9q%4rR5009d+CsYDd648C(CKJ2yoE zi^8YyZ-WE*`Y+k!xPISaJ{Kh4!X>W)=*hwD6_WEbeT0;L9Vr_ed?1t?>a^9kWb0m9 zI9$5-IIIWtciE!)oBO3hGTq75;hS0dwXheof+Nchf_16KkUBItU9VXI9eeEdKT$vK!x>=z@6%jAMZMC? zfp<4uCVkyyjYQjW5}-s2#x$oU_u$0Vq#B5)0B;mJTE-(;B9U(%Qazck{^QMGpor64 zO{q4-m0Ge*=oEAK$gfYqkgl5|s>#~{ObFq!|(sqetr))6MP^2 zqS=&JO$Z8}3JqoR?Qujy z73br8PV8zzs^k0(SAM}w$l4Ad8G2aN9x>=)@!Xs~`cJvM&&V9F^_5(W>xQ(-7Z8YT zAV~n)-XF;3S4!OB1+jqeOP*n+Nh#|05kTr+KJ^e}?*C+dM&&}7dqZh<)86tuC` z8kkR@jniU`%Yi_o38l|^bTkC{eBXZiyI4Z9EgBtz5&l>c+G5Y&(^0*8Z3TMYe^bxR z!QKcRltxAXj#50JV?vH|a|Bksnz$d`QUTj+176L6VpJBLfLqcwtQw*JWJ1Lxai^!H zu&O*R)^&~C(lVl1xksyNVUfuB1*|q|WpMtYY+B@%$lvu?lmPZ4-^-FXGS@Ug6UU`7 zhQPuG{*_oA{Gw<;L@kcTO^_Jqo!3KIS6{U_F_>}Vvte$KZMQ-Nx8t&r8^lT;TH7@G z;xqGKEAEUcctIKi>NM5GyB{@$I*xC{1^t|dXJnptV3B1+W5VRdqLFurSLYjx9-VIK zIMH~aK#qL_3IvJ#bXz?s3uU``HqFT+7cunt=r>~UekrAqzz~FPl(xUuK$db?krt&4 zwLp&`-vQ`5LSV|qjInfpSxLagWVi8?toVqBb~D@AJ_y(V8GE@zlu!Y*&$bC*+A#7* zF~^_}Iwa^`?{q?(4rsXXX@Y(lnsb_JzuNa=1OC&4@30vRN$cB>WDi}{!DzQ;2KqKJ z32;ny$H64`5)3~;a?gfJE7f&FKFlY<7^{5tP~qlD5;)SWE@#1!lZMqtxgQ2vP@xJ6 z?PCN!IjIpqnfA$BFtrrT>O<#+azfk#nQ1U~GiQKMYfguou^d2xA}jOzgz6F-lslXp z9JGx+0%KqMGi&D;U?7_#?)Ro+ONE`Bm4mt*&0(AnM**p!c?&#gBkio|wxsDr9o2gv zrSx9q9Cr5@e5G(BV%wGlXjXWqm)!uO(E$^jGZ!@Ll4Phv4A&M@d`Yhu1{cxcUH z{bk$Tq)30Y6*6VHqVf$xdM`%7j=mq{CpI+oL3agn{m_>;Ghc3fzJ6vXt!FIoNK86I z05hg%pLx&j3k$OtNn3I+3=Kf%xRel0i|u~Lv*er{F#HAJRM!bWJOHgE+yIq8NUzqO zkX8P#LC)p?-ARq8l?_xCuoKI$~Z=?0oM2(boLq*P-U>HH>)>~Kz9Dc0u3!*?^Q)5V%Fz)zPZL zI~zw^m^G(@`V$6m2{=YIrjKw*fG$0yFHQ`GluYvfMjWyyO2w!eir4hxpHil_t47%brps~*Uc67z@QX@jc~x<|g()cYQkny}Tw(g!|}cRRQ{`5Xhc(bjIqSE;Lx z(A}MqBIs9^M85xDFVhmh$S(&!6J7ehC)=gGH^`H%4{4YJx6=QfY$5#yV0m(CYgy`a z)FE~)^~)uv9H|NPkGve*DIrih<^Zw1^Ipa!Ob55_rSvSYJVh7V7E! zwisO8#{Br+d7@X>5B^c1%@Ra9Hzg^T#zC`PuHfN3x}>LqlEed1;+Lt+%NaIC_IpbteDi4ptEJT& zt-5!tPDf9h`OIdy$*rIA;d(FKaNP&L?TQ21hno}|3ydz|p$pIdA)@kjJWxJ%2{ixJ z#Cm+n<#4umC39WB|LEydsYzw@(buWaoduTOz}QNrD}Qg3t(PVac*TE_qw-&3$^ zRC2A8Pt;yStI48jtd_oiSa!IU8Zv~}LTue%dRR>DjYLI)6>AD^5&#|a2UGfrHRhpK z*0_7H9>XNiTxP$B)o%7*(CmCdfz=bzC?B`L|vL znb~ZD0@JOx%(32iP-4>nu!h}}GTR!y@5r^u+eaxvNgkjxvR!(jXvRI2H!`CsO8EK5 z3La~P6%-NVkr?A;_Oo4WR|Ek6I2C5Gra`nu10LH-)HT`E=1R;J-#;e79ElF;%NyUm zxc*5B(eNWyYcVL>Y~8DF0#al0e9Z7ob%*H7wHzpzjw5P<=LfG%H4j}K4EWX#%*l50)D)} z^VwLfe%OHJ_f;xg* zc1JEZ^sMKdp5ZSt$#J;ieB;Qzt!S2kotzAiJ?I`}=XKx`p04$ClGY!CN}60f!Buo` zMJ+(|2r&@jC$IGaKpygwl4v#*-HnbHdyjBmdaR5xpYpz(J&e_D(d_IP^S-KjxAyn) zNN1rLGKFcHju9jHq}QqcrVLsFmOH1{L~Lw>B34aH7nfkgVz_i;iNOsCT#>2pS->5Qq}}gW3>?-e;uXPUDNomyX)^ zy%0(LKPqwvWV23l%U;zNZm1=Y%;8pI7(*hGF~;Up3{lEM;ZRrx#*l^Mu&LnpMrQ%& z&e@-vt7;@oCZJgGRvs`9VD+4pq52cPVCI6&WTzstv9~pRmpY#e#CS7eWo0)!{hoL# zhdh+Sy=_U5Yt(}o<-s|IxxgA~sU#3t6nu8FqKu&}x)zqXlD3eM#sntk!WMYM%IszU ze@WyOOXfv!Wfqu(tr$jYhH_9kxcwz<&xZIscn%vaoEa{@Z2VGyb;6iNNDyU}JV6P@ z!D*#9CLTM8qrROfRR`IP#om31cy*%FZ8cF>bKGT<8mq31SOLRzK%4#e1 zUNv79Q)<`p2#F_bnA$p{(*S7Cz|JfDaxpMz{co z#2ZF%=}&S(%E`K9T*z@1s3wbCXGoWoG(2W(B^nQrKm%lcHET{IP+0l^q#SgQSXqSt zd{TbaxJw~}Cdydv!i2_>pWrkk1`0op)eWW$pAg5K4m6FQ%SlgfDYokK)u~BDgCLS- zCX^W!6G395JWp#bwX0&F`fzlDj&w1f7=d)S=+8;M(M53f4RFh+tWz)|yHHU6(=-|o zH(GUu@7G+s&Qe(%76(a=Yok>MD{D30mULdzs9!YpU__N}m~0`QVOIlERiA>e)JfF& zX$P2pA?m|xR(R^5%|Hkt4gz7=CB5H~cXu0#h;XdtJmPUc1QsGV=h(O8`!K*2S$S!( zQI3@{nvwF?zN(Nj*6i1s&NJ**6fuq+rP#ovnkgshBikM!fbJ<6FV2u^?Y6`)yLA$N z@f2x4fQAP%jw4;SS{iWdB)Gu8I{0*>GJ~6U{d3E66p1rvqlBhs)um{mMm5uTdC)%J zfxx#r0AgdM^1z zQ9|bgX@c|!hByEMc;AU62yyXnMAq2*!|^76#n~M=qV{5_wwxU8=}Yl#F3K?Wl_$p^ zbv7w+Bz-9TvMkzJ*hSRsO=_xeR%z2NgAQQF@A0?rp3w9L$Rq0J2xLAEm=bZ-^6F{q z{lVBQtnU4PYeXq(0?8OI@@$?NL5b{~s*9t#XK0Xil0YgOs~%Q_F!%iKvwK= z-Z}8;Bpvh3)8?Xe&Bm~ga@&hV86l7jA?mnM=kx4x*vz_1?K=2sVjxyCS(L#GmtHo? zd@q~hhbWoqXo?x$sc58}qEaa^mZ|@F7k>-<2j&iVITgQ&>6bM`GKp8>eh7e!pzUhhxl*Xa>!uePzYzQR zL9cW2GnB2~iX$g`z2|Xh1EgEvoPkz>(W1s=-l|HU#2-y!*!?X0@%H4R6rxU!^Mc}G z30PDKJ=KFPEUn(n6TB@$1{C3UwiW}IXH9aFH-nMF4T;;rmwxRL2q-%QiVsLN5UWlY zPx)(YRY;FM9nxedGCLM(A5ZxY#*Ox|gM-ThIB3cKFJJE9xc)bg+hOYZMUWd=okW7% z4ljb-yy(?+_tA?x*ravi9HUlU3>L~$K@02K2FkuUGS2lS8&3%gyX+bLz`9|2qLpj za6Y(W@KS?UG-2furPrSG%)LgCN_wWeTLnic=J=+4Ar8%A`&*%3Q(rNAod~D@KFp@5 z5Yb{cTU9wST0ZsYUVyvJ(>YFLl)!td@1~W%MF}1UZDP}`)S9VZ7HV(zI++D4!s6YY z*=Of>4mYj*BFXK5-R#czUB6@b`i-G2`yL+t`Dw^np4g+9k-2>ZvbH^S$)Bxb(7zT8@UGmSa*yCs_i`>&s8o;YN$IsY|B?OX8J_64PJwxYtV zoHiA}wYf%8no>7@HXQ7f(8yGW*o8qFIAn;z=I#E32YIzD~et8n~n(_W!3BOvyW1x}NF8UFeVM=iM(6Sd*j?0+1` z9;cM1e4_lLz?+&_;cR8-)0x{d;bjz@w}w|xAS^5qXID_{Ch+B+9c-~z5YBp+j(VTl z-~Mo&S@Il5l9O;D6xTURbi>zY(>g>Su7#tG-gA=n2RA~b3r89<9rc+Ylvc4GKbW|#aDAxH1k+|UQGYy= zVokx2BZus{z0!JDqT z4Z4@qTdFuenSkE4sT{Y1|6e89S~2nu+Lb0NFYuY#7m0y--?P^;38HS?6(MCXh>7F| zDE=0!U-wr?Mr+bAQMdmm<1oOA9I@oo{{DRI&c_-!M=e;3H^@kQFzj>DWd%d$3pHCJ zDR30v1A#I(lTBen5w)duW0H!ciqY7IfbA)oMv-i>rLg&wZ($~c^hCjfZGTPj=&1GZ zYQM9iZuP`-faJE6j-A#<&2;ky=*X0* z{c~AsQWWqnAajd!d*^U*gin5sXMOVm@H+Kwg=DyeIR`6hvk6D!X@K{Rrs&#=rKc`K85s@JYdfCn?ckEoXy>zXg+m)f)YzYeKy`RDNw z%xQEGLzX`cE>{81MS%y*HsUT*mLl&I%M@Y*x?Bbu?M7w@M4QM8d&KbjWmf@Oe?9=M z;bC>7Ph>|bP^gctd8s;2mNPfX55u?~?x$qmOCMHUkjn`*u-z-W-BKX5W;n{HjjcPG zUv2;lo{AC7Juv3qD6|%N9lsRxZynkoj-&}w$-Vo0;ndmGzBW15P#Io9V`vYVwzoZs zn>5gBj+I#QWz4F~=^=v_hJ4^st~Y|yqe)kMamS>@(23R)bDVwic%>mJtwTD21-^vO z0eHb`&c~Hz;6mu;d!wgnnPC^Tprhq6t4A;{0w<;mxl{e|aKFYq47K?8$`*&kcIg6w zAC!*5-FkzB)I&}XD=!h&Rv5tOx3#c%eVMX29|oI1)bVgV5&ISn${en`^`++d*_hSv;@63=I_x}UMSAI*X? zdxZ?>WHY))1YM=5I_TlOP)z?+{*}SRX`0JU1gLcFefm>Q2z#)tOm`dOTX7_z0&Q2| zh{dL!BYBnJZ(D4yDo;MOLI4+-Nu$Re`-xW8yj=RH7`|6wa~D<@&)=oCO>5fNyB`+> zpmoNkXo_Ky3vt|}1DT-~87{8LJYN_Gn+Aa*5xh#uRBl%rYb=^_sY{s-)pyM?yL92y=mN3W-$i=bW&Mn7wL}yZ+CU) z{a`{e|Gcw?@j-_OMU+tA8I-RC85W=L*$&qUqki!kjqzHUVPMlGWjeyI}elo0^0CRI{9Q4NHl`b1FgNINueJ|M^aJYSK{g>ya(L#%Ma zJhrQmkwa9Ab)1mBa=oCx|+}+6doNaDsOpPurG1Wx?uV1aJ=3 zDKBLEGtXGnbh9i+m;pH{psI?7+gTCb-@R!ntB>b~)WC=~DX9X!9hO69egQ5SQ2c1+ za#EBcc)12na)}d}Npd$^fmz7v`5_s)finTDK>D;?a1E+6-&FF5S3k+Hy&%Lv#iC*X$`REO7+Tgk?nCC`_+R z?5_{3w3mH-f{h^rh6KIX@a%3>j{MJ~%@fbN;*#L%EFyQQW>`9|?Te1*_)ku9LT@m8 z3?FhYbM`7t?RZ21fjnwt|DyqxMb*(3Cdqmr2=O?$KtLl(w6^EM?KmCv74zf$Y{70GAnf1pd&oD7)fOg z5QCyMrwdZy%i_La?NoMzFCt&i>JtLrobBqW12W${;eaZAfJDa3wX#l$qbT-H6bZ5y zXvPxL3uA>xX$7gRWi@aCXyhF@W`=W73|tnWHg;S*LC-grT966;U+$@0l1k?pGPo1Q zdm7b6y77YS61xVf+@?&0E~PjU3su3N$qNAx!r=ky0@ko4xMd$td{Fs-5RKq9Y`IFYSnVMWmtl38wp!&&3pvKX>&VLM zJq77)#oRR~fm>J?Rq2(}l&O~|4mCfEpfWxu*`u%S+!}7x)Bue-#Pd!-MnO()A}!I zAOu0Fq8CIjY!VP0L|qOor~& zM^dUBkG3S{w`SKIvM-#qmRO>fM13!YBfkBYsnB;%Goyz}zqsOXwdr5BYd1ZpuiLN8 zJ)wG8h78@*pm3)x)abR=F{fy!qcsar*e)us{~gMDpD(FP>ST+^u7fw6Hqp#%s&H!7 zC2XykeR|~zZh>Y+Fyc`hv&J`8zozBQe#bA@Rs8(BYin-)GJo!CjYqMavgyy{&FB#2 zvLl_c=gxOG@6qnfUA&`*1(9$2n7X!-|F0Ub@Q0}-n@)lNE#7dFdPQBkX*1M_R`Y2Y zuAZ{m&ADWUigaHpSlQFWn?Tpfj~ta87VlnKqBd@|Y|(6$B0lApT-FbL&)g>X;A>aU z0L`vmflno5NE(EZ?y3uT!+7?(-g94ats4^4%5_Sj!7rcft{t~|&W75DAoZr|VrSm~ zHS*F7QEx7>NaV%sQjJ~ZcUxG6A@e$Pxuvv2<|~*f9uW?=qq04ns@vje&5qO<^fhfW zaSKJ=k^(v&u6~n)%Fl1aA7rC-TFI00OL4?Qyb~$k{RSo>6dv*N{%K_t7bI-y!cEoR zYREadGKGv_tN#W!L4zXY44IfxoP$D}Sh0;7vo1+np|}v`#bC%WLBwuF{_V--$AX?{ zt7?BA4=8puf9VKZgkoVB-h4$tZTx}ZtI3J?bBt|j zB$_5X4y*2nHE2&JmRLd2sVkQV^cp}aO{v~Lht-+}iDlCrb-#LFJGtSzUGYSH zBjItBsJ2$%%ME`VF3IfgsDJquDhmI3kOXea{)*ZKJCd{q8hfM6$-h*;bHQc6Y!h#73jqvUzU&~GXH!^?0f#Nlx=iG@DRr==blg3(`CVw510fy8)WB&tYu^WAtta#A73+l|rr@3ePj9`l|_vBO& zaz+5>sqV!~_QmW1-c*53J2CprzE5x&%n=7$_You5$9w!*j`7;AbNfd6syH{Y#W#R3 zHQ9c>y!A3W2_%#F@&~yI?t7H2mpA9HBt$?S3TU|whi=>Xcub=AZ`3s&9u^1nORS3Y zAt3}cKl&>o8DFco)tkRanTcFBN6x2oL$xJRbs;$09?~siCUG&Q9=Z9a9nNs zv?X|e`C%m{Ak!IhZDxqwT_jWYEq!r~EteP3bLct{odeaxeO@BOl;VvG0pt%sgO2mg z%a11{k2E_KT;Lu6e@6=SD~3r-lCRHlgm5ouwuqz{(~v*Z_Tk6%hwR&7NK5m*3dZk9`qE-=EGr zmmZ8u)L_Xll-EjOuZx0@r@1{JPLfcWU>28zLTyhlWPKlG0`bNshHfh1&PO`#=TQPk z-dRyeuP8{DYJ~9mguZyA;`Y1RMMtB8J%>mFl)A9FWonjdNgAJJ)n2u?SqM$7vl!y8#=jH+@VmqH#wQUw&ag? zyKNYA3*(vMdZJurjN>kc17cTRA6xVLD3?IpduGnEv2~mFk%$gX(1wS|&l@XbYxyg} z^xBn0nHQYDyXe~f)B!RCwPJ&ztgYK+_46W8ED(&YJwCJemc;-E!YHyX%Ww~d#CFaP>xaI*eSKT% zC4bwooeC>_t_50_K)72$x-Wg0!W$od6cSO9^mpGv;i*u2+(D4)KQ({2_3yN{y?w`L zg_%oxqbSy6m!AR@8=B5wfNkgfcAEztE$e{nhs*DkQ!-_rSwAVFl7dMyN{FDE+u--K z(+RB+-g#N__dlZV+gTk_-)|`;PdWR2528|E8!pjo^+#Pa?7nZHPBd@mP)Gfn{6nb3 z^VTCmXdauFauc3BOWLx7`t%<+@rHrgj~<|cuG*BjwpM{S`$C1-2X>VTNj6kE8CtG@ zI-6dZ=tu4{-ke0bltf2=x3)Zc3P5=RAj zquBEKSvP&U_6Vd@>6rl?$o$$n7y=mm*~;!;TS5PS45u>C|G$C%&>uegdD~YTl5k`Y zS{{N`73ii$*|ucmd=A_(OMQ5-qYg?BL*;{3dKA8QPry;{QM#?ZMp2TLMpZ;jpZlKd zcxC!xva+Q(DBnF4id$f#X-MlGOSSG)i@Xb)6IiuytZW*D0Zuj@pK$7ds~B<)tFJj^ zQST;1K>n9piYRVbcvd|;YtuG07K&m^>`5RA!mU!5LLfPDupA>=NMe7z`YC?TmOwaE zVv-WDj=j5sa}aO(H=BK%`=Klgbuy~8fA=<_jz(Cb6x6?W!|HIr(wFIYu~0pO*9?wlg8nqw;uCoL$> z3y!V%*edj81_W?J#)6z+@HVRa2s*s5% z;qm8~`RY)MsuPtY@*qooHno~x10Lf+@!R10S4D%&p=k=62l~1sVO(Po&J}+zE3vCY zwK$853MklY&}IUJgRaX+3eGVKY-8=L&d)amooK&&;^?b!AYDa7yXEuP%k`qnuz5``+$e`C zXi1Y-v)-MlJlf2a4Rbf-KVs8BqCze_ub>)+q!rDm5Mnk?zyqy=Zi+YSi`+^<@jeHM zdqY*qsF2ekgG<{3?n9HB)I-TOu7Ug*6?ZUK`2?3nFUATRq%Eaq05TL$G=#$~A-8h> zHYkW0tlT(Cdc8_C+VGWux^xs7oAt`k&NE$iXm&RCw-rA!1|JpeR4nA9h#dCYad@UD znOHC>_on4E&9PdzY493z4+gQ}-5%cxVM+k@#{)`?0ikU3L{Y{G*mM`RVGYW13Q+Ma z$q!I*^Mi6jcoI28AY8K8RjcA4gLm>&Bf6}Q>NUQm1!sljYEN*kfqU=*2y&`M49Qw( zy)ukbyB65*|ES82PHEGoB}tF)X*bdtoP#8OF`wm81NcRC?fYc5*hExAWCvZ$J1hSd zMRGw}Sb`HP48wc?EVZ^CSr!-Cwb>bd!Vt1EJp7phtEoiMyWZ$>Ar7Vu? zl_zAVWjW7q&yj@I&&T`~P`*?aBZqWN0r{>0%5Ru#n@MMob1s-97@J^w(Nq$(JuoX~ z7k`h$_SzZnE{Yn^x{H%#@&b}Enk-*esY6d*Vhna5#T#YYV;f$c%0UrvSMQ&m^b<>k z6OFgD@tl-L&D;(F{FVjjRdVYHfglfBi5MU3eluRCyhp8pHcaif6IIJ+c?ak+DT-x(a^ z{M9xc=im;2eX1CO(Gghso_X3C`_n;9*I$Yc{}J@j&Z! z6Gf7QVrJM3jC$`YX5Z*8;2oGLI-07i=&?V_!>W^If2xsl{fGtZ2f;b)N0?_$KB#(^ z7;`F%gZF$GuR9w|ydie;1Sw*VwV>+YWJ3!uI#&f>()i@WgL9|PzcDEXuUnYpFnd7H zY?_n(>!LZ)-!DJZlG2!?)Ifa&yfI^Wpj;fKs0 znb+(?$(%KE6Hd!FfVlSzCY%Gf@R&6b7dCG}5Qm=rqGe+E+0v>QyG>aXHf@C z{S|WP2xrqIW18C& z#I@!~@OEZ&a`CN#i>zS?8y_71&7de@TO0p8Q;AF1jhG zzzAAhDU_CS_;iC3dpMVduJePou_xq=eOysAT~KK}gWx^+B3PA_P}qxBCkeqGkU2`u zD*=EG^(cIjS!saP$_%EHld#}L!&AhQf2s=ylXG?!TN)5yF^^a85oTVqBoN@#+RObs z!}{K?8>2*xGRc0`q?G3*C6>G8C*^crChQaG39xjiXG#PGHX<_>L5njJQPF(Bxb%zT zlPcX~nn>B#QNk$D0!L_l6Tp8a8t9rZj0)t|uy(Je(_ zj1f(%;^zJ0*pk1Ho%P{t}vra`)bV`;hhA z)gtj9Rb9(WjH2i!xgqRu4+j|Yh_paYQo?N{9-b3f^<8-n)X+nE%shzJ?HwAQIv_Nb zS~5qTH!9V&bvdILlCS@CuDGc4Il9-H)_8?C)c`sYd=uPpf2eh;{Zuzl?52N-Rr{ri zcd(W7Bl&GNNWgpJA#gQ*97L8>LG;@Xe@jNfR?BD4lEZJ&7U01`T7n^1q|>)BM;=s# z#FJ-gy!8IKI0;RPq703+UT60dG{(mFwvH)@x@kTPpZ%;*c+S6t*)Iv5Ye=)1%{XIRcOH2%NYyrV};%GbYKK)%QYx1Ayp& zEZ8)|^$Gf!vi=4JN5;LA*KWplC;O((W!p`H8*%q{m2jbUT-YgUeDoF00npqme(!-+6gPA~sZ9ldVCAj?t5cr$>; zf$$iaI~DLg#RdU7O9beXK~7PD@{mOWr#aIYn4S87^F5Z{q-Bqt9*<$Ybgsp8bmgO?(I2QGs3-e z;y;6WhZ}H{3xvzuBXUp=GkVGmM+(hxxdY9M?&jcp#&J27c7*Oj-|XmfgTB>qKmDC< zC%15VGBxA}$Nx_1mpQ@3a1;CTpq)=MsJ;ghsBH-o@M2HDoX^tt-w>)k^OPC>qPjUiJ? z_1F{o`ElPu)A3(jo}0aLJl7Asx8Jxe&`G@Ou?=W8?>fR<$CuGmWpEiw1Tk^#|KVld zfO^hv;qR*%gbcEib16h0mQWVM5=FoHxhTsIzkuA|8?VtR4sSv|=j%>eyI}xD3;&XY z?W{jcr7oksKTeATLF0gidgDt7=2Ermz?!twd+U;{72bEIX~c1ASr~jPW6&qQ)^+w* zZ(7^yhk;)96U20zTsK66F%=4BE^VQ>-w^LF(>Kv(znDM1Hj!RuHM>Mc zQ8{ZDxR&yj$)~6WuE!U`80uIU*uD53d1`Vp<+bYV((7w}{@v}~wRiL@ix_)f=5Nq! zd+7Gnk|mU{ATP*SQ*wL5+nYJu*ExT<`1S5KXe^unMo%O+kc|6s{Hgo1DyCunolu67 zao_S6i*es;D8zje^ZtZ@g1;MLb!$%MUSvvyz*71Iyj;k=Z67fG7kYO!CS9_=GUUa$ z&8j~UYRTl{l9PZ(+cl|W6-50!aIO$)I2vXvC=4Vh?Oyu=%%ILkl$11;T15G*?`tf1 zxhA4v@!Hc_^a!sRk5sBy9TMMLAN=cC>q{4Ka;5y}(Cg%nE*+Airskeic{(WOA;(Q3 zPtGH>rgV-KDc;76n(BSg)a!nIr0)HTIFilL#r^pV#tD#+3!-R8B=8*6uDGpz6I)qU~jPRg&PL91kf?>8b7Kjn-`rc z{y_{7I~`Wv2bdOQ#~}K36;5Ap>5%x`{_)snV{4-caU-!*bQD`UbgR7=N1aZjcVC_9 zmBHy3F_F+aujw2vNt4c=N!a;FJ?Y?=;IFvN62bbJ50x69 z9t-;H(=J)9AbC15(ph&4ua9-zfTUe+jyUQZdkgZ1mCmuUWVgLTY#N+nF9%tNXgY{i z7MO_I#gFgncdCHUO7KpXk~5^jOEakW_ZwM%kFPMycR>}x3sa5oVk73%Y~s{6uKkA! zY)2!VFmT|c4PQ48f9H4`l}t94P@h6Z4D#n~ud2Jst|ex*JK!z9ixShXbt#37ghV{+ z3Q@#E04~~~Mz*u#Avigym_uSARHjImZ2{wjjPKwV>zgx)A>IBqa?AjpOr;{d@{-Y; zA!}pFVtkpJ#Il>czJ(+?tqYg*nRl$voMUjKDJt;)LO~`n786NH5|!B1@eorHms)J2 z0A|`e_Qtm`PdsL43^kS5kqNZ!G)zjHgJ*~>gU}+~i0Ln?bO7)p5`O zHLiUxcBFg^EnCgb7WS94g0$xN$C?z%!~#T!EX08NxYk=uO|k zrs3M1S)yH!5lxsqE(d&lz31|{eI4xhG)A0^^b$iBC;z1K0^a^*ry#@I#h` zTv5AN98=^IKZ5=`8n-4Hpzdw~#+4B=FXq%R(J%nwp-RzSoG%Rgx2}|yDDs9$g#2Gx zfluBJHHSGXObCUA2eP3E89~VeDuiDIKr2zo>bx9XywJk(br+X ztx@w1s$syLVBqsBPXSR3j@&l9b9Wgg0Ik?D0YXQ?SbdIJ_<~1^THx&y>2Uf*yJWa@ zdlFt-yJ0r8yw{uE+{if-!~W{$7i6G-^VRo9S3MNN*bxC#Tw%Q^*&e`rTxny#-)DU`tTGD$ z>E)de+!)y+LenzflWPWNqxwgYPIiR>xfYl}JsXK0Wrp$8m0$URBkueTepIE>cVt45 zoj-?vF@RN{5`(HuAgRf1EOCsRrxun{l5x90BqFCEQ3Q(~#EpTE*2a943G^=b8=sHc zsmKPh$8fpVUUE!pBj+I8_TnK`Y{s3%aVELlfgk7mRl|xlg*gsvMsir4bVUw%TkKhC zZQGNvl6iPv4@Qs_3e|-4UAR0e4HLz$$7flFQpfQ+DQ917)AsaMX_oz$e#=deLp5oenld>F-c|`lx?BM)#q{{J(_mBYZg4pGgMG>BNa#BVAw)E<&DKK=nNCNg z3vMDO-j-M3%<6lDJ@OW%6}UV4CU2^^&m}zj_)GV>V*yz!V!Yj{LoPFdRo8=zD+0sJ zTXXpCd0|k}DGK>HHPXlDQwFdf{FZ#`{97s^{wZ9hHhg+%bKb5S`4ombb?u3~{o(mg z&#BH2>N~%oiGHOoZHXxFl#HP6ykubLUy^-jTdbl_s#z5{9-FoVXxC>$)(`#V z#A7aY<<-g)>;LqJ<40+llJ!Gl#}9A)_S5WbW7#X> zqMQ?s7}iD85~3+bX-KrQA-0015d+WW1SA=IrefWW{B!#dg#pI=`SiU@-qjngS>lov z>BB9zA3}rdUQ_hd(UxCu>!lw$>b)wnR@YhHfU`U*m2A>(hU06j%=3@tUXMI>hv*MO zpW@$va&>?0tw^ojdV0S4AA%h#dRz~HU3POy3BQOxSj>6Oytg@;DJIW&%)7U738=?u zEtgMGjkjwzb<=LhL6q1{@Xxrfm;pN6iZwcmQ!F_$hA68LN`riIhDNa3xAm{Ct30LI zdJC~R_3Tt@ZnGqPUQBxm_e_Ass}KP|)a9MNi)KDRy{-@8vY$Ds}g5M-ks2pO5zX`}c0=qP6olx_qV?a~1jzL*sF;KEOmQg+b&0L)n{0HFdB3 z;s{C53fKX$wpy$rIMcyu87kF+wy4m8Km~#KR4G+NPF3Jw7+Ph}%HTjiYeIU25Hc$g zQh_A4hC$fFDP&L}$vLe^AwXInq#@Jq+4Q{cJ@>BlTkGEb;aZe7X4u)^=lP5=zRX5k zRM@BdU934E>P1Bf_p2L1kvqnc?tD~~&RY7vT+8CUqFIWjwRQ^ZIT|7%#A_weOzlHN z<6f%(8q|M|5cWkMi{;tNFm zWkusWd)u~UZ$INpl@lxp^MiPqc>OiK1Nkd!Qm`#e{Dk0#>pl<@iYuI*NU1V*94DO1 zRE!-3r5A{Z;Kt!Pu+BoV{uC-Yw7v*B(3=N`-x!$R-XRhB&vCZPt%6E7@m?qQT$19V z2g-&~*_Q<;OQsTNuJ+73U+i1;Ob+=E-1oG< zajI;hT%2#La&p_0Fh`{PsOO_xDA@UQ1TuR*1)v@YP8r1(TXc-flv~|t^IGLT{e8qO zkP7>sW*twj`{u~{8@^OTXez8w!k~NRW=F#WCbS=U>U{1n(s!7&bpLmo+*{?67;%)x z2PSZGK#i&u7wg1r&l)oGR6rC%y$xiOiV?9Wc6q2)-FYd}KMz2tW>L&`En|KD)KJIi zL}}=g@!Za9VBZxMQn9-N5F6HXpH2BXWv?xD6urkelvyO{x+DkpYXJ>@2PxwwRULXS zw#t&5j@&g4mS-r9Wj)8^z;c;R_k+}=y@wT7r}FWoMOaTe@?P{DepiR_an+>qlEI@# z;+J85=yP#<7=AZR#kAN=>$zyf zH-tPEwobDl+$Qe>V3l_=&uA|8j~^?JNy+~a0>YbF0|yot)k@E9H60+^3BzB1xJ5Nm ztT2KxB^A!moi&S`W*ORi22QuhYGedlg<1=J1(=mvKJQxpJ9iSn>g5u=wp=`n-}4NBin_?lQSp)6!4!l_&b@oN@GESW!q#Qs6p8$N1Sw()&4pHlQ#o26$`q3OTpzJb5 zlNq2e108c}YCQ5^tq}Y#FMcI8cr$i)lKH-TO49nxP=OV_8wBPOxxUgsm@m=PQ9)Or zv~%wxVQ^%6-QLU$xkU<+g(BN!BGtD5Yh-(JvPAUo4!F%q6qn)!%fne~S z#O{HkGC|z98nURH^2gWbXKb$9BY@Joz6$&_Boo>d7iW3vOGKuFu3$ZpN9Vi)tl5mC586v8vTSIKgk>KXM@TT~{qP>fNgl;7 z2X030j#mF;tF`mdW64*nd$zl$yXU$cNqKd7Az7HgnbPvjeMbk@{8Z&XQp79!upkX? zXXWC6ax7Pe)Teb?gFuO7;?{z#xKCX1#t<$_nD<;e#|*tr3|mq#(9D{okL(K zT^`yh-Wxw3kVh4vcMd`8X;J*YotG{?pbgs#m$>ZT2%VeT7ci{2yMDDWsSr^m3*lU* zON#;)TAIAwSI=G|ML;4K>A7n6b&#SwMJh{ip|2$pOI9V5VF$eN(TqFQ#ZgW1+(s#P zY?vk&W_zsMNA~&9;I*picgojlpP=kdNPg$R^HcR3sW{R*?431hwHqV0yzUdXb@`Zo zzJu-Rmw#>l3%iCLzZyy3WP^2Al>Opn?WsJX2JhD7!Q!ZLClI{*oW`a_dvggcTCzv~ zuFK`Az0OM+r035#%FhH-{LcNM3(0m*YOw2TDHmc%O@s$Ca-DYck0%Sc*{+el9NMtV z%|K#TTA!7x-RDKn))8qVGfDJ#i$T*UWo_ zS6a5bnBv=QUwMV`uxYcKV2fBlew)9oi@RO4E zAwQ$XN8wDmxTuw;WTeLxwn9rrH7Au^!j z%gpQ5-*ucGspu3*znnbMSv5vESRl(1KvptqiG-rj$+HMXIaB@x$8+T=y*$gXj3epz zLJU3NMA5CaBP-axA~e|XlHDglzP@Na8U21d;QYBh{#zg?w`R*~^Zodp>lmA8O|UbNrL4AO-Bo*34`($UCX7sVRO$#MfuxGpu9SzT zc4Sj&>mK2p{&SQ_3C4lji(b6fKv8BQuM?Fh80R$Cb0sto6Et~({du0}=2O|;5pvo( z`2a|VCzX#fqOsN?Bq(vmj|rKTB(~{*YbsSycFrDdOZ^<+r7hqr!WNx~Rh8(((P%yqfQWis)M)Fw(=3srl+Ave-C-2^4;+Z)@mV zWeht@LA?6a6-or;i13;c*#JEQ8J^JSZmK*QSXx3eW)p}Ze z(|&KRyFNZ13S4Fyp3~firL@?!rIxdtiZ@My*lt=nmq29AP^#)sw#NNZmZCC}Ql5o1 z8I@iu#n>ImAkvh=S`o@K1AGE$X+{u1^k?k64{VlUM~BEZH6(`pT7+OcD4QrO0#-e% zNT@6G3}nIRRX8Nx4^d=B{p3Fz9A^xYk{@FY(ANX(^YB!q)0kN0G>sy{(Soj{xzNA1 ztXJ?f3>C(sVEpIkRK}8h(s@2T44j4Hxw`Mq#6hiBFV>itj=mNW#^1@5Ia$&QaP|gB ziKmUfwi)7(AWMD0um76V%%aT@Da8el;|RcM5`-Wz8GC4lcHYlTsb06xvWSlrpQSRc zr#TND`?40h$9}J59XG4e03>hxSdEvnx9VD5&C+?>(o34=KtxYEa6wqs_69?`))($e{_2c;QJ<;W8;aTnnup1j8=c z56cZi6Tz9hgaKQB5(vEOXusWS(Se)N$paoeo?GQ?1DBC~tQ<@h#b={Ns?%!YCicYf zw62EOXjeNh?{Jf`4HI6g>$GdmTUmjY*xN7}8OwAp8kEk%Zr-;&)ik_M9-OC>b4CU&N^UpaA^Qag*4c$%I`a8c0lN zZ!Y!3$20RYGL&6XB7p}y9eFy03ZAyynp+Cl1fPxrL_2!e zSK4{4+9^h*!x9FG8;2b9?d>z-okI}WQT0nll#~y;lf1g)7QN=3V|8B$=IwRqbMXBs z7%bhl*$m<`@S6pi<4v3)JpG(zo5-Js{k4N0&gl1AsclqTv}0e-rJ(5sv$TtKpKci}&u67eocrTaz=AW=PxHG*{V^5K$3 z9VY1=LPVo_oRyM>UX}(E0w+bObXz+(*$g0XniRFns-;=&{Sb>ggtM%N{PW-tZF0VtD)lmXM+&kEaqUjM9 z9xSiw*$NWllwz-qMIZ!%p;l5oHvoxucISY3-Y2Li(B94RJ*)@i3vE^EctSv)HV^JT zL05*@G5@5)ZzA%;LG3=<42Q~;^Era@oCiU!aip+=fD02zcp z>hVGfpsGw=e1u?dz$G`Jvate%a9q5^ZPE|#b) zBit)!qsbwt1G6$DrnF*>_+-h&kW_6f2#JSEJ$WtKzp)R!)1jS*tX-n4cZZxym_(t7 zC>~;ytX-ueDJRbt$eH2Uk+rUIsy5m+6Kuz6rVTORXr&haXq~m?rzYW|cGIw{o^`<0 zaJte-NzX>A557I6nsN1 z=h$a-S5;}u2$s#%G?N2u;&TqseyTvy9*X{yjszL+;X+#HbZsKo>Ks2545I8Ih~m>T zeMQoL7h%A&Z8!NI0XY+Ezz6QZ{`p~|il%FblNP=Gekxe8#oKuN@iUM!B7(=_K+F=q zyYw`4s~8Dgu@qOHDpCPc(g&v^7|3M+Qzr{Dq3Z`id>GxOwJGK!a+Pzu5Uf|wC-J8z zIi)2kOM?mIEyr_z$Akl|2uFUeT5?=65U$(oHLj8gihLXN+$`vZ z`OFW@f9i(E=AkLWYLjFih3xlVd`J7lPP22m=2@SL3u4`KV*2+|`MtU?HmTHQUK>Ri zBiULNzSWA0j7oy3LNbKM_X>@5ce2yZJcoYP?@UFT=J;<(;HyNG&8$d3~M69n!r zZjk{ME#QsN;>qzNdc1rSK$FS^{!}O-5LxgnX2S76P0q|uuIapx0LWrI@HmlhL)WBS z{Q6$U(m;`DA{9#ESNtk3K9gew4V^5G=9Mal@|sVjEd}l59X7R~)=S$?BXb3{|F9Ky zSVuxO--u{gTUXtZ=gf#z$Y~A#Fk5#S5YgudFS~81*xh*6p;?t`}qwRN4eeuJ&BH2{1B3?k(-s#EZbQ3qtQy7)lFy;A4X% zlqpbmW@rrS&l7SjK|l!?j+{R60oSQ^`1;*TpDxTkSu{G~K9-$%J}#-ui_s5yLU9Aj zv@2PBo-l=pcA!m=E@6b!i$QsXvt8I)@-X*4YmWnmpfDQh)~-XFU-@Tr`2%>ll6e$+ zQDZ4*)M71{L|PbLoEhz<9}d^zl8sKJZrCanjW!DlTCl%5r{2Twjo^8;dXya|Cm;$K zlnU|77DCZE*L`u5%6m^%u~$N{X?cX$uc%E2#M?NKQem<$7~AFJX5`*5Ox)1Ab#ELT zMMQ2EOrV39xL;>)$S+AbEPk75)?DnZ{dX4L2mzz*b84*M=Zgc%XAF`-Ou(H2I~GF7 zR~?{~jH_~LV6?U-f=M$IPL^Fby2PG>-?7-38HYxY^Y;|5?9$iX2;T{}$t=i1uljEq zB^%JP?SLl8|92Y2%{vjg0bb#fyjd>qQo^e#scvgp+`TFO#iYRhj#*t^4WQdN{J#(W zQ(Lv;<^N}Gm6b)NyZ6SEm^-1{dC5+jD zUDw2zmgG`aZ(rUcRyL{pCxh2-kzQXt|Bp7$ zZI{WEC-KYoJAeA!XMfxBpvxpmcg+UX^3eIJ-#pGng0{PCjW&q%>(x&W&8a;9Wznyw+jdrc;`)_Q?yD*kGp1WLm@**SLITanZDwk8x8MW7zJpet; z24G9araaG`t$-w&tu^gR_@)uA#M}~hX_bXr6uhXUFj-}LXHx71Lb&-h1~ z4|d(R8&;v`6hP~E`Xe)RG_|NP`w66t5o`n*j*Kz$UxWeGDfdi6eMHhX8Qb!;Ns#@g=+ zTG%mH^30((|^GFcxLgQ3rh{o*aF~UY0)Ze&Dz@s&zFb=E;X3A|n;tX?_62 z)3odDjE}(!KStt4dF<1FIbZTXuzb1NYh3PA))wOtTJSaK#$Ky-+5C3#TaWAS9bDZM z?^9u3^3RovTt5WGm{*^d^7N*^Nngi0LfiJNlt*5d>?ir}5XimCNEcH4mCdCsY#`JH$Z;j=w;9c>DSWvs%f$t&xG8hR%? z++w{sUinE*c^t2X4F8=_RJ~XxqW8Xmask<;m@0dkAw9S1DLVTl(p(^N5S73hxZ2Nsy?RmNUs7YMFfIzy}t=OGkm=kJokNyT;P@Tim&<#s-)ka zsZ)GT)V_6H$)QaUa^mhQv5kt$gkuxAn@2b{?JuRQ2s2ST{z}wdY2n40cHGYr@7<`{ z*#T_LePZsqH(7Ry7Ue4J!42G{lHV*hhO`P-(CEe$@2s*L)o?uJE66vzF z<2wh8bOOhInZZ0_JN(;zu8)WWIf>ONaCBw~pqWe>%W z*b~D3%Ga5{DY?>?EW`Pb1*1>sYA!+81?ec613Si>BfW3Eh6pkkdke4pgy7qZ>Q5Zg znxJF!FDB>jVf?3q7nQy@3z^@Kk3xTW-puef47je|Vmz%w(j*;oPrKHQyoKJTV!jrD zp4t^(wc=|AIMASby$TEmBXKK&G726$@^`xnfXK&F=VvyStvVps27O5$xWDP)UgMm067MM}qVIxe60m?41}?x-omvh8da6 zX_tM~K_vB;E7BlIYeC;3Y`#bTU~b;CnJ71{!RraUl(3V6TpUV7b``8I0y~2sF5tWh z#5RT5yYzsVwrwt|d*2X9kxaPFf{}<<0DiTsl6k<&^~T~e^4{TR`nJ{*9#|&Kqo$29 z5M&H9LgPj23eAXnA(hG%w=J&<91Z|+b>Akb=VDepnT^oX^-KM z_Z11cWb{O=?g|jz(mC}3tr+0LB*s$<_RakXMrbB>-Dt;zE%};ejDGrX_3Uvf#>$dA z1+(tghR&ssS z^>6+1$Ia@_Gd!U5Ct%uz{#n>!ZK-O;2Rx#4*x-16wjn|b07LuPlSN`E02qg0g3*%U z&PfplLmU7*f?2NGHhy@lOhGLwRkYETT2FWUW61H?vJ+cu@9JrRFt(fUDz&JmeyHvY z3sWDM19xUET(G9vveV{i{7!XAlb{25+d0O2c^TOEkV3j(gDwR+bzSVZ*ZM)ZaDN)o zk^-Es6>l^U1KqO{q4KrEV%SyyM9CG`?V-i{=WA*dmvrj!K4{a0`<`e&=Tu%sAlRCK z?GT+JB$CH?S`lGC+{fl2f)`rC%6?!ampn-Yz_>lW z+?iXHQu7E?T+AJ1dKVkXLEg9*9ZywWrjC1=*MJ<1tYB0ej30k*Zf9AR?SHqa4QS!{e=r5)E(p z9+8UZGAmswihJ*g1T3XiY4I6#l5&SJ<<$9HF;$55M=q0>1~G-pej8 zELpV9+kFjieL*gPWYTUwmvA@N2=|RM?ym>CAGEtyK`mCM`=u{_qwqK`EtG5DP{=v` z9=-|HAVu<8$!&7;xYF?ahaPK1U;OT~$G$ua-h;Nr32#H~ww=pAbX1bIuZ&z@lFNv4 z9j{un!zXe5`P|h;srT;@P6sdI+odk`UpClx`jC7+a=y8h6mZ?KeHX>A(z@fQ+C4_( z&V1#GtKS;`H%)K+vSwi*a;><%fp^^Yp4VA-wrJVOU;l_>C`nDV9ucxw?~2q&-x%-n z5chCs8)r4Tj7SM;dfc~o6-()t&G9L2BmY+<2$dS#T-DcAbg}A+@;ASJn`ZpDN=I7F zQqhND73qSH1Ni@c!XK1}Yi3EiGt_ix=5rL9Fiy#YT&z^hb*NHFRHPCq7Sf z1@h}yc_2Y5NbCLUF!FbX_^)Rqw=+mD#3G+cdhY%!;A-HykwM1?$X&mYrS}nmY#4ja z)UMmDLnta{kMQa%x1soJ@ZxJXJkRLR1#+_ZojlF(ziOA42@l{chw0U@xwg`;=OT@H zjIW6N5k<)$CgD@6GVTe)%&*w9o~tsMIUj*jj9U9!isveQD~n7(h}7!IU=>uB1UuY8 zUM}Vb8shX zA261O%Iw8!#vja->x3DGv*K_3)i`bfd7RZ5aiUftKmvLIVN@6`X0HwO8Fr?)mJzA49ix*Pin~R(z`#gM5Qg*V$h&e3W5?KYn4h=d7%zIlPw88b zSsWoZ+(Y>4bXzBT5)&M$L*AW`iETOd7vQJ`>_ISt*VisIBl2^G7#B7suLy+)1NVSm zp50OMWnOYXKKwk=st%oN+iMZcm3Xc;WoxHyE`7ju=J24y+^im+D1^ho zJnBd{cGP)mXgwSp*%_U}-2JGQ8wm}P!osxlYbAT7;{rspvZp0bvn~(14K~+(52>3% z_6*V(bHDSN4f|_$Au2q_qsgQ&#{rqdgc!;N_E5+i#98XL6+!2QP~j77jw+&Gm<54} z13<&Mg&s9Xapj!Mn(sUS%(omD^vHJYFo zW%Z7rKLsUwt{h?RrkUl(BIQIPJR(#>!jRD{pN*{DaUVF_lYIiee8>Rr&j=#fv8^&Ch`VxQ1nn#Kmz#MQ>5?~y{`Z_2-^ zWhn|5Nkam+KOnCF7}-I^1#_PIJ)p;I+1b!ow|QY2DLyUH>D~y_fOfon24z+|4nG2Bl|8bkBYdj)U*7sFi-Mk5Lkl+XnAKBojFj zkkzHC9ZA%;VuBf>;0dkQ^Z(sy=i-FK@)K}0Cut=a*B!7Jch?pY=X3zeU>W6ZCQ#1kPq3n)Kcfv+XL#3ii2q9~SwQv_*wG z?eVFBuSoH?NTn1Io ziW0R4=NZxz=N%Mha6H%Iy$2KpDjkKi~bOdSJ3{Q zk$k{a1#f~AOidgilowHt_HM!Ig{NirU+v-f1l)JF{zAt+5MID9Ke;QOO$l`MN>IF{L|1S&*}B0BaOp1wco&r#7jetJwbx6A;*jrf)CJRBl+k{;IrF`9a~W3 zzf?;p*Ws_m1B?CbxIi>|5;0gGl+Jp|YJjv{5v8Ic?8Mjp03c!PY`|qxjjF5PFHbvJ z+MF1@*qqgQIRyf87ADvNluygb6Y5G8r|0)N=M%~;uoR;F6lYF?vFt}dw$d3_vS?#9 zS$w)7sGt_zYRQMl8xENs+9L?{f22ye*tP}dgPRV+77sAdC7i-kRjgrE0EUrm#vcAL z$T{L4_RGqh8oq42@keLnJ=HBSF4~-y|8c`BL+m&5I&$E~aUE?OS*YEC28K&XSYs5# z1y);NXRG4D7n52&EAW`oBZ8TKcK`qf$(eoa@RVTVSpZN76Fll&w9Yk?&%mK2-P5>lOZ`n6md0Yql#Gkga*O`p>YMoknM;=$QJWSwb9v z-u|>fs6$z;3;pupt;Jnnm;;zAxBJ4Fd$}1urXg-J2~cmk%(npNKu@xBr&2Ch5v1?P zP>;b+Qrb}|+?_LUgbRtc^BD|>J1816G7x@28%T(687fa^WEfgges!BwI#a38S*HPA zS}m3&ep~jc>PZi;T&nu*%FYZB-XiX8yQ&cGD!-{MC>dy2USE&!vFddQ?`(qng z_T1*1Sp7I3Wj#f?|9V=od}kQS%dA#R8o{0))myyeRBk47`6$tumr1`NfH+1-)a8rb zlBQV^zOJO}kIpq1|DC~fjF(YVJ53B^%+;reJm1XD5|QWHtUjDikY;xDwr$>b%&xe+ zV8#r?ZZn{roj9T)o;qpA{e;QVb(4nxQ<`MFFOP(VLA|y6{FtewD3zzQz|q3dESN1N zifr(d>XDRN7?0M9Q?#Si580dg)E_yYDV#DJ%A+e6(XiofYz);t=?PKU(rUDRM1WnD zimyKsZjT(TdtWZalMaCjO@Q#K38^IhQa>@z(BIedJbZzS4U%_XsEzhLEH{~I2YkZf zc%bkB%iOwAL8;%T>b7=U>z=bY03HQgHA@dHowrUZ6^9IEKbs{vsbEc;It5+h$@BQn zs&cs8alRO2pIU8B$&V*;#XCoPU-}Xgm@SeE-Oz-7cU?;=mO4cL{JvuX6FgO}Vcjc@ z*zbg^ep8@ui?Q5IY!j9yZ|g2C!uWFVq74a76yg^x(@+KlT&kqIQVJ8Uo_;=&2yrx2 z-#rUniNcU)Y3%hyJXkkVp}A3JD428s5SsXTKeNIjGuRau5{S4ZDu{5i${jGJ*P5o` zt~5D>v=1_R1ZA%ehf13N>01X4iRQYu2j$ReI)hP^3)Q_Se;1=PLM6m-eqy?`baONc zkxOf+IOd&)^2eaplPdTe)VRCi)OdX&Ar!{>Y@(>q*m*$|?;Qd35#JE&{h^uXciw1* z8IikPuJ0}n)PNe5XGiKaJm_i!95i-L6>3pKIoo9QXSFb$JM~FWMhms%$m+8kT}r2Hl`{F1|$XUGB9I{^%AO=)3 z4={thuCpxR9l3U4j)bP$@d`@@JPL$ea%Dr%=cOOFDVc=PG%!4m9nxvNOqG4 zhv6(DuxclNvj|#y=5W>w2R?5I9pVP$8739Qhj^jtLMO`CE+9vW(rHe~z$p>Rx5LOS zYlCn6?5*-I=IyYX2+Ey8Ykn`k4r^++kG5aK1lfhLc%!_f)af|4ALC4{k)F%Zl?H}D zN|VXR*e&hFR%1zJwny@BA$;dAqDfiy86z`L6`aud72Na(>P=Q=*g*z>1&ELRriKni6u z6~%$f8+&pmP=Wd|!fxAU9qEZKewNS26y5XnY~LrS#vkJPz!Al z(8wI^e?A!?aJe2jKG~I)wyDXI)B1(9z0J5#QtjF%he$Gv>iCr?2>lrs(9Ym&6IEQs z0vJq?#L!k)sB)BlXwHZ=L*2auE2Hf|Z^Jk`(nmWQCR(<3UeH}Gg;rucJ!G@M_sDz! zDDVhNEaQA4w3YIpnQM4_`~5;ucX2-N(Aq-nUT1<8}C%b5eP~l>U$cb+(RHkfiRm`?=bj&f~c|jsE!@>Wd0x~ zH29wvF5p$lhvF0M8mPoMnWtxlMT3^u02_Ic>B)`Lhg$L%o+~&^L>iljN~qm^dii>& zBTys!a~X^e3h91qtnTwvv!kWdYMrRtU4sa+g9mirKt%by=&>UG93yD1ra?@;Boo*l zRwLgQady?>-@@A%w<1&yw}(HQhWM;reD<6iEYfE9yJ`L(%q-5>^>vV{(vl4=r(?-wdi%K2Vlff5!(Y z-XB4J$%+Mh@S593w|7ZP)g=EIw|6MyYZPzFJEX;>uK&ZaLw<=X9Ui0WKk>m2tpvyZ z-%+rz&n);9Uw3(duqnQ{m;@$8)5b;XC~Fo>imRu`NV`enkva_F&%#A12uF&^@{&RX{K zpYg9JKOOV)U+^t9JiZX<%RK8xWiKz5)qLu_X#LRblA|#Gn%m-U9$#DBQ0-lQy`joN zTEn8PqrKZg+FfxStZNySEG_9$>||;D{x4pl+!B=w`@J@3BbiUUR*L8bjZcL3MER8h z?;uG*YLriCE-&+?S?@3{F%WayPF*^?QN^CHz{sz=T}Dh`yd+D6LpLG zEOt1cWbqNsxOSW$1MH`SxKl|K=L_bQmIc0o2tNF_f`}pAio2?Ipge4(EfL|QxBaX9 zx3#iQgJzI=22N(@qNKP<)tBuW@BFa;!LTv7J|TRS(!FL;fa@B_Joyuc+(%1CUp%yUu3^cN+pwt5Ec^cXS6UW( zvq<5E5Un5n{1JD^s3%`q3st-vA1CQrC58NHzo&0O^)$K5gFpTS+3U2{bJf1zLB0pQ zj!mRh{IknLeT_5{^6vRVFo^%;wn}7pr(>5X+yc#E;TE8*WR0$?n`0r@fL3mqH?k)y zEU{I#f`f}*6T>+zlcODMe>vk!$IIRGtW#q7lwr8zxF=lk=~DDg(^m`dH@EMX4fjT? z-REV#9Hm#JQ6?3}c!h@jA)PY#RE!@$j<8ppmwEQE{8k36dq9nP{18fZ1)fm(8~CDV zd2wI@-iV>!Ax-c0ULM68(eKoxb=%O!_bB1BLEFFV`40MJu|~MuZz;~JNmWvWwNV8K zG0yDw;J^=gU;q=?-8IX@vTvbH1MBncStGW{^?8~}(4$9K%wZE^-6z)PW}!X`Tyl{W zPAKgxeGsLx>7CE;CKW(zv^FY=HfV1toS>=X{3rv8e*!LYdcdPu#aNbEacs5ujM@1N zT>@0fmfU&o5?Ef9Ll`f{w@IknZ%{(t7s?Seqql)+p^la)Q2sQ+nMCRVM`(wmkQClo zN-ZGM7ATsvrX;++-%})ky@&AqBlRrsA=>K@E@5D!s(y%E9;O$;^rA;NxjY~l;VT(% ze*Of6YnzFaSamXAR1T3q7HIzMy+E)0Ds*{VHAsSC>Qz-Q*3?$Z<=Pg6fsl=-x*=%z z5%$ZKuYeG`jxT0s4RmxemsiY(Vif)1Yqvo@nN95x5FKCqC#YpQ%rY}vQKN2~QSLa~ zwyJxG@UpQcN8}Iq?+~5#ttbm#4~#CYI7kwzJLrK;MmdF3X5F@A4HtSQe-Uo z!3Z+9T+5Hu_i$1I)R|U{{P=ih2z~b!KlJ>~j?+LjxSQJX4Ct&)wPL(Sy)B>Ovg1%% ztF1!?y%-O-BK6ZKpMkZ|%&?q5(mMgrclJHOdC^3ZCVsnSVbS89Ar>v<_5cxM6Wx)? zUi~a9q;wrSpa?Qe`H9k<@s_mNB2Jb37a0(Zffx!SqxFIblowZnf44)HW|bA>0Wx2MeriT}Gh@qENwDwe#M8!jvGBB^ zSal6~(a}vyVXn*&d;?3_@n~dKy5b}_ zkUjNOw8aU_iC$TI`-J)+)s)CeKWJLFC<(pXOv0pJAL zKUu}=Xa~sSBG7OA2{>t-@^Z)6_>g$VHYQ3I%NL5{8!cw~dwTt0W*RSWw5L(!+l}%i zr4h-FLBKMep#Rk?z7Ulr|NOX=64}T|hj-N>+;?g}fL;XTQlCIP#Ui+Lmw_y&B%VN7 z1g1Y2E5a7g0I(XQ?6~jDD&-~mK`jqK=h~}3GZPg{f-;YIK*Qk40UE|1D?Y70%&t$j z>p)F=H_uePv;eALJCQx9=@^*^lFZ3Lf`X~l#@rmF$q^zij?iY7y}T0;aGmmX+1tZc z?3svwjnif}k6^;lnEanwvDfA>ai0_>SZFGPIg&@j=(fsFX}mZD0=Xhcl~mm<81Mi^xU0kaC_hKs(v!MNj>nzMF9Jk_6G?3Rap51A8JO7KgOjZ1|D4#axh!_` zc3a#)@uF<>qpWj#cPnY1%PRs>IAyn=TPlV87x!KNJ+hHuWLr$M&p-OlkuB=O2min2 zFwmBH+x3Mx(tkH)k{6mX-CW(byhn-reJdr* z{p9k9Y0p(0ua$w3ZscK~u${lrND*Ee=3~6~z-o|hWRv{z``_6ZF$Hy+A!LAI<9=GS zKJ=qcA@{!Mpul;*ZoTK!`#;1ye)aFGn+*QhsoP%G8n%iPKQ4NIA%@~LUgGK&)zCHN zc>iO~s1lmjNE=o3F-jy&d4-grR?{IbO`y6$x8bs*fKStz3N`Xm@nX_gK%V1ZDbD}|m)h|hg!dS~brrN=&2GO|ScFBw$yvgzkg;p0%SMP1bneXk-N$Aj?9`rk-fR5CIz0_D&aJ-L^ z0}YUK%z|pX9%`md*4hc3@`2bph}nIVKE^7YW^F6V)0I0-rZj|i%Ut=}@c|5;jj#fIk|-h=XvH|=5`=t2uDdce)WdlM zM;P}W)@T{E3}4m_e{-Z3=Lz!h`bnIVkBEUa?L+Gm781>l#h3WCB0B`+OIZmBM~2l8 zkgFubtB%W;tAd4i6)1ra7#vVVJqxOg0q#DRIqzK zh_CA^R#rH{CHa2s&T>bA{?*`BH49jwAU=8-W3r&fC%4!~5Nj`S#~< zXS#<-2lD94fnr9;`gUEV40!Y$zkJ9R|K2rZFY=bp56!pJy9&FI4{t^ zu_cCwjVfwQ$m+ZxP(xjv*@5#X95R}!JE#aSD# zcYKC@EAPYV`!X^9VQ6=(3)Wz*LY!K>R7B9U)zg|yVXggH{LR#CueAcA`b*T@5A}!x zD?(MEx8s4LL!VXmz91U{au+`1;x8kO!)jRJO0%>7_4h5sV@$dA2^9!>U6(kg~l@`4=rTEJQFEyNr|292g zd?Vj>LHk7VIvY^0U6Pg*lLKohg`&b9o^OU~O%kzHDsQB#KC*;ZasJs9v|fku6Gu8( z8-YNOqV*;Mj?X8aUG--f(q$q@^WcdIez|IQ7QwJ!JRJ*w-&U+X5`e>b;@9%M7U5vm zg zA|#1feqC%<+|?1&>1G(PSA@cBCtwh=24^?C5%fJHfP!hYDcu(gfdA}=kF~Ac-d!>~ z-+dnznj#4Avjh8M)5wcG?69cBG7z?84&$dLjXoOXO%$(9vU9!jxBb$?f-hKaX+($# zDmylYmDm8GoE)s%O{JDn7&Dz4^^&JQ7evAl1Z{g3zWCa8><9zvu;~pxpgybXtX42hl!u~K0kp;O;l zyz?V{mkM5cV{`V3q7UHAex)h=@w&JD{nm=T%N&*STS+qVhHN;luerVHxh>6YarmZ; zxs5g9&n=xat$b;%OW=}~uSP)T#P)5sT|QpCJ;+4b9Xq}C;98d(?(WaqDdksZ+{+iQ z{elwsYqHnM*xx-@#=Oh+Sd|Ofe}+%c_%~Btw`yK{3iy6KiPYF1P!zZCw^ff>+dHOy zb=$Hg8@ir;GKSj->fy3$_A6kpO;~MUtk%`Ka>v<=a@MK!u5qIkPOeegebI=x$lmVZ z`Qx|U@2r9q{2_98L;Ei`aC=4q+8{ZzbOJCUW~YX$=VDLEePlZXkUDzXlrvAjlbjG9gX# zo+}%nC8uVw*kgl`&~-15Fz!@#3RZn)(4w5N_2;e0ouy+S>(0SA&^TfwA|Tcm8Ir;+ zcDoSg%t8pHtn`Oi2v(F6Km&-XjL<#Dga}MFQtnVyEPA8r2!8v@&rH$03haSt_%)C% z#pv@ex51uf%a4jrb+lm}($W=h_31AiP|W5LmxjK`9aMg%zKM7l=CC^rzSlz$UQzcS zLT_`O_LW_+c$*CQ4(NcxNOMYZ3*;!wKu!*2-%hN}Kq?385})!D_?u`%4yK}#3(2PV z-MR7QK^du?mpIEqPo*Lvc0gX6uJdxo`uy=7m_!hMl3K9OqD?AOBxdGkC!x)oK%)~U zFMUXhg^+9+D$+qkg((g716SK}b5c+9-~282%I|o}-C0O>fA;n#GEXk2;>eSN7f!TM_g|HZyl!C+#Z) zGXufEp*#cSrvjvzhkBYUn06=Kh6RC=M>Zzvtc2hQ(S`1r+nu@ zjK_PYLj;EytcI5?&;jElDpn#Ota7{@xXqG>DFT(ns>hqb_6Pl9{ZpSQh7BD^QNbwU zW+^~L{E_Cpe?z$RHUpkQn?vL=SwK}gx8P(gVMgDN@ono`+cJ^*slnmOxGXRU;EjoC zV1|9Gc=RUrsvVKoK1Z2^8yy!0hXHR7rW{b487E(xDL*a6C#6cSRgj_{Jkwb;?zNT> zzR=*?k_rKGa@7xPQCVUG|h97b@#G-1R9lL_1Jn}-zVcg6XihqKvcgqEFtPK9Osgthq0ta|uad@Z1rM34YP zOzIC;KcWsHjk$G*+X-Kk|FpfL@>8JaqLC)e2;*~sVGwYF#I;t%eSqycqzt%98Wubdv@F>Xi%I}wBU_YT`dPkb93BXjT{h$?JO`6P4CGz?xwYWufW|G*kKeJ#;h41_10VSMm2 zQY;TPpiTKjIpQ*2GYHNyb!GFtC@(&*t2{4jV)!s)JIGIsjG%Pl#D`Be31JSw6|$dD zQfszOGvDvytq3YC9An3Bb}EYb-k*S5Mi|5LujJ|`U0{y^qE;9wjate*7YM|1gvD?| z#Mpgkyk;@Cpz8uSg$-~`f+!u~8wYD(yHS_@kf?Gd6cr-J=bOdvL_=U-g&+QI*yx>k zL_phSEnW@ZvnHvv7pWRJZ2#42yOT4je0?hqoXkLWL-7zlHJuSmn-&MeTF{13kf6=N z{0c;R0eqhTBUo>*5#F1@1(ARD3f1=$D?wIQMXi5P+nn+T$Xd$dfo#z>?w>zc{n;+* zK8tKhiVLP$#RK!w&f;8%jFPq4alwoT21c~XQ6!dxs5Z6J-_kyDjEQhm*!7Ez1v$g~ zu`M`PYh)5`Jqp6B8p%0y|bOEn5YX>?$!j&IB1= zpo$=+I!kHZp|T-_J3s*X=B^95yzTd`zzp|`z+VAs-qgwN3-ZYPR-nE4;t&EkYWhy!JxdbQqILnSQxF#rMU;-US^-5YNX3N~ zStfRZI#6(AuSL>_KF0`lB8-_gx}IamI{(gry>OmX%UDa+kJnr zojd1$?>*=Coaq^u9)`x>@_ygvc|H$wp?e#jk#rS+KGM>co)Pb=&a;|{#olqCk`5tU zZsVo0M(_MNP!4OS;lKi|jJzlS&2tCqYX--{Ozmof8)>UEBd*8{R*hJxFl58pNF{(2 z&M>3P12{a}vMsFEkzQiPe*8OCa_4c6nrbN8(220NQ%6U-#km)I*ox&)KUdt8owZJ8uD%?{-8=7vC|*t$ za?Hy6Czw|Z!aOcxXEsEiCUqTU=hSd`YrTVzh`^Pi1LtLdn|LxnDymY=DmTwELN;jW z%_CF@iA70K9&;o5dLH(54!9GB!6!f@C=zh@7YT}P9nJ`u6uWF4Z=s)wopVJX=%ml1 z?cfi1=ftFS!IuEbqCm+v&n*qE+R}3?H;zEglm4*{^4JWkID@D&s7Z{VEfKqeA2=tM#wpRL}}&w(`icr-<5u-C7ThaFvN(8PZSu2nHO5>LfF<1VOdD@ zNZWhCqJbdL_Wk#=(9yx}m8+DO>|TMqG-)2$Bc8H7in5Us9#2Xpty)L<_#gJC|FbBJ zx3hDErcVm;-;2V8`kwzMftF(b+EqI@M`)3L@0ru96u+0(0fht4db8Gw921xRgo;{9aYfxfU&XC&B(J zsCahRp1-ZQGJqb$_S%*fOy!e2f{vAMJ3|VA;)>NTzoU=eevxuT6(9CxXbqE6mdXq- zf-~Py@`fIEB%G2jPHu`wI&h@TZRasxlJgHh9b-eXjP<*VqTT3mZv7h7$}Hqtpr|>v?;Q?ElUe6_;UDp?+kyR%1%j;yZ3ecSnqs4-C+<4N z-25~)4QC$GM&Ce*CS3Vb%YsjJtt}XjTrC;aj^27|>0h|6WpZpQ(GdWTW5{a=WM?lS zkFPHH36B4SCg*XmrL%bLuv;+T~!$}-?HEU!1WZi zNfekDmp(HP-HLMSxaC>z&~vOVw-0qFa6@^Le~VsTh}`zMb=wX7ZR8ooijm@ET^$wdcO`d1vBZQOf_q={gr@Gmy#+%A{8`AbXI$e$Mv(Zgp^0*@_7EvP9gq6za3Y=mA zomK$k0GOpUUDp;a9fwyOflfQnSYTQA(@f7vva+iV&Ruh>}9^X=VMIxL#8pMTWu6_N*7Ha0mdpJJ0j zDB&xeoC3xiAZX$P=VT`34&^5nnJlZD_bTbihWI}yapVd*_z77Qa<|->$8_Cl4f~s& z#eLyxc5j4Q&o2vx)xF!iO4q~WH{(e$9_HOnF%B1;_IjB3i+^>BB+TJHD?CF-9I&ZX z?>4RWT0}k*PvT_5(SM(lxzM~QVz)DQ(Wn&|(ii&IbvTD5PPm-e9txC!nx6x)CNKY1 zAMb!+_ipwE*A0mty?b`N`QA5Pj=O8$sM}2;Z_JP2U)|ffj}tx)tbx!A@%wtlND*<- zo8ouXkV2*VI1v93hSv0x%7k$;Vr>`wD9M=}tP^i~^Zj1Jge)h;v_r)%hy8mr{}dz! zrX4@w%z>ixo3_BWj=K?<#TmH{0?shdn()cuYjjxJa~kZsa%SY#nO5kD*pS`zM9^hk z*WFX^E$e#y`cd1h+)%$pv64t=wYj||S=-w2W|qut=9c_@a4yc=knfhB9KIa0w1*q9 zD(%|qw|618Jp^g$Uo69qE@nPha~xxfrgM&t;_S{6^~~2a%KPe>yXBLXgOrMlnS3?# z119A>%qtb(BDc(^0b!7UJDJ`{0#vYd{$G4O;@H3J37q<2;+PzSpSU_d$?`G_%%|h~ z@CGT+!-@;1jAg_r zLNyYM2PNam`y&j4WEua^qjSlvIByDGg@`~~fQlsOxs%8@up&>xxZ06L2jNW7jB`)m z->~5*4?&B(P5^lZ#fT6N>(1kmCK%Lno1a#u%-%0*0Fn$Sa*xKn&KVVZ9W)tQ$DEks zR0SNUB6gYd3fSg@B5l>f*GWo)UQtCaDEc?O&`26o%Q9D3-p?=OFf z)3q%K%S3ns+D*taZM6&Y7@XLZ%HQdw~>kLAgZS zNpVkXTjj&g-JS>utP+WC`ifzg5G+%{NGmS-K^#%(kPX$dqn4@bJN|9@#wQu!8B71> zpCIcwf>1acgr)8?t?G-D{2pG8d_^D5O)^xA*oA?tdEkTVm87c^&@;X0%{?i_-_l#a zH%EkHu$q%FnA^J9N*kdblNV>>4a!be5PG>+jzS}morVMa5PX~hD3$n90SZ6>*c@SQ zGg*x0B1yqt>D*C-uZ44!DFKZ!%%a@;znY4wVBC!*nMbX^v84QBcYS6d&K;C{s_8e^ z40n`-UM&tY&tV`CN|-Uhor#21E2Dc)krPf$2RJSQriWkxLeLkGpw zL{yA_M+67TBmmAsUBj8d2~hdK)_HPbOUJlvWz&6@9|eX-@Rl+nc3Fotwl`(zePtWm zV0`+{7bQT{@(1l0$HF(2eF2l^fZQ7zSlGeFrV=@ER)Mh7v1C}xtV=*$ABxS;4YS}R zm^uHRM=Y6WM}z<^pHFjMBFph!J)n6rMP$?Kx@=RpL2N~ zPiDE`4b?Dq9%F)9pOJaV8vG8|ra1fBIlvIP9o`q%{~RJAFHBhk_CRPb@T+t5r;wXS zex#6C%E$4fK)Zrh9pAPOJn$bTF#MGHZ^2K&|9g`BN8FG9k(?=x^I%O(gDLVa;|txV-L@|IoxjNF8g$E%v?Jo>(``$3t^>k|d3w?-5DGfkB+{;EFKQes z+;qj>`-lB|9WsZfbzULk1yW$+XuLUIuUhunbN+7kH8XqB?jzGo1N{2@G)uet83U1_QX()W(G3T?+5xEL7?UcUQJ&Kv+n$BHv z%~!E-gtMd=^24vZ0r_FfJJBoiz+5?J%pDad+=}-6*!6RBouj68_@4LRcaJ)D5A!ur zl1J(=hdJCy3Qd0e_oM22W>RQ`>H;9qoOt~2m?gpbWAak7=U71J zAqCvFW+%9dt)dcMD)E)YX}{MQut1nVQg`5+>)Hyz!QXactmH)Hlcz(!{Y2Efg7X1- z7xfjAHv{!3M=bm3tsVg;9{9@73HH-~>tCbrc6ly-vILpWmh2neA;QV6y*<3Iy*$5o zz2WDdZ|#+PFa?hnoP2bd8gB4&$`~m}1@|&k5ZEvFLxk-eUD7+KQ-N4nsLNN-hJl6`u8V*Ai(JoPU7Os5(o4pY2JEpl(5e!J<_O z6CMYLI?@J+YF9{p(4fMn5OR%tD1FJ(XdOW>n6wGImkkm<(M4{O0L+tPQzNN&5Fd=i z4DhEVXs?H$H-oFc`{NFg9CMt5SC{`0nROiNY3L!|Tz6;+DW)z~i1GS$8O`6d@Zb0M zZ@x>f-cgl?)r^XIg;A8U(WY`?wDVGkr3hyhx@+OR1wF}Skp5J{*52T<5MECk>8*eaw8vToZJX84wJU z7Ov3{7U=|}hTyXW$}Yvsc}{_wgs^aflQ2$1ycCHBu+B5um~il^5$2k-oC0mpN?}x) zRgs;5@^baXkIYc@1UXM{GbDfl@Aot;ryc9d`+hIPE;_8gF?7vneOzKJ?fvw;>%lLa zU{SM3y@hxrQ=4(Y6!rm01ODY=UAHDDAhle=I1l@>J%C*ngQXkR&*oW-TNo2+n9<74j2?jYa7*b+ zYctCXiCf04MW3IFE%_npk%QU72m#m9JjnYCcsMVGzE)~A(_Egpft>LR{&OQL=&whF zlBcvI1(~4050->wB#+{az2yK?MVJPNNzgz|@00kzX{t<&cFW<_Nu-hlg1`evZE_ajOHj_1zPC1ycBNpXhi6i({DK~mkq3~E zjJo+c;DU{Gza=IRr6U-7wmT?7+POkM{uFnaCQyW(Vd)TX-&&~a_#}qweyFfroR$d8 zcfqW5S`B;XIk6;76mA&L4TS5|wnAyijv(5xY{oB(?Cf03B@@tQdD3Gbw`MYKhHN2n z21zZSKY&coLxj)2ga34(g0|cPqAey>HO9HVQoe7aJiK5;p3Xq*mW~l1 z;$gHyk|J49vjddUwU`$-!a}+o?vq7J)fI;Wgo{=R#Eg)sIhWw{3A9d5{I>oGFNOfo zz}M5TM6F?Vf`MG9F<{ zliYdI)2BP_yhVuePYG~N;SferfzzXncYVhVoaxsS2-{0;mgeHjP*IpLgNlR2@-Wpg1~Q<%BzU>YrizMp`!b{Ekz6n-^AuQL#03(0GN=5wwYuT|ONYQ@f+ z=(aGEU{-a1kPdG>DZJdK+Y|=Dr=vwD8QA6cNyF*Jr%&E}R$m{^nWF8)vS`5u%=B9_ zlvP$VBb-x-YEkJ#27I_5B7z)aN!T!*u~R%HIYL9z<0J)=mZ9!SzNS2XyaGQIC$U+A zc~WBWJR~cg*f{);hsu}7qFr`MMcMlOL{fq13&)wgEHHW|!s#EbRbbCV0ni0P{#RI0 z1X32POe$auS)^O=+d^xO_0vBO@MJPb>qG(Sk9PKJiCFl56`;NfGm_ma3swT2ZPwo7 zD%t)uyX6#zP=~csWY@KnjoT>i&pS_g-{JFVQlgw30>!6S9YSH2T1+{g4Alzm4rQNB zF7h8+6u8)XJ1nqXopA|U@(157Y)O#u6ojLre+N|LlAxrGZ)`I8e{1*kTf^4Pv!s_l zvuwKlHrWeK@{t?!;3RM4Z(ipVwZOKi+lr1j{2n?L_#<>bspgy`E*pZfk`~uWoqwN< zUUG@yzCC(zQ1D#E-dV5Z%U(=Gu$wcl&Sbk52}ti%ya1}CyQg`3AKP(!@9wmO40n>p zy5mmFG*41UavCW$ePtr${J_&R``stseZSZD_?E=Q>x9(s>HPGz#qLSRNql&(sR~lY zmIUQiq&X3~nXhAdUgDP4NS*U5!f=ByIKZKJd~E7EwKq;dE4eag_x zl%59K@X3!pDnqy)HwIPjDI%YzooBgT;3VR_t!VvFk?*onk1C{|w7j`^89+$%#V#E% zyTj>MU?uG}fKDthk)mL8-Hz5DAWRya)TAIGm?n+;um%X|%~em# zS_Vs`wR_22VBIkz=S}3zl*55ocG@5}<(t9d3|11rk6cDER(}=h$jV1~dw-q&+hv63 zWB=+S`J2tiD2Bx8>Bzj%7P;q#N-s+R&Kkz`rR%br<&797yz0qpRPIS+z;LBOE^a{T zZ;j3(<;=8^*@pIey~s258s4yorv_TWxLeSmyt9dD zUP8D^0@BJ~riSP*ix(!rOvT_hyxkfz-k3(2|+aAu!qPxBYN|P1jbpokg z(2B0XJ3f2VirE3_b?5BWKH7KFIM4eTR9e%H&{O>xuI3z+BH5z;YG$ZM@ECIG{D(L@ zR;s?hCiGymj`fr|60NR(lzszcT18;el3gsViov|u$CAaGELsuP66Qzn=Q z$sYhLZ-#J{mMFkWsNRtpvpRpq0>|dZjZ>A6tCY|PCBoV3pfb{$COaeC184+AHO|R~ z*(W)tk#-wfYi{p09}7mfqrJk8)42yLIa~9PLd{mbGZk|SAkCvwV0WNx+x+OO~-{>cc1zHWrS=lf+S9LT%OdAI0>dqpSQ-w}?TzJ5FrCEa4LNgNh zr`lsc$flj8znCO=T)1$|g3c1TYp{xl3-J-20u;JJ|0kcYpd%A9V`ekFXyyP!7b7aY zD~u^KDWJDPnhI}0(308%LCB^fB>pk(X=g+60+`=ameRlVna1|SXpza=^c1CaSr5lw zu^gWJ>w@Vbt5LBVz$!l;sDhj_)_De?^l2mF9|W6NcdB+j>_=cEB2Wo~~#)?a$CL3|?7$m!? z8RZ{~BSq8#J`tq=bL_3lt#K-Y875CrJU~uIFSL4A;cIByk|*ylTw?R+A;phT-jY-p zyl88ybf!v~V)Vix0h~D_q)=ci0=H*7eE37%7XfHVeD!CO5qrN^&Wy49ELZLt1|L4v2&obR zq6@0my+<5ivT0Fn42LK{EIN@O6uV{&H=j|Am%||(<@L9Nf@{&z$Xb*&QYdZu@|5zs z>_5;ghZ!OCj+P{)S%Q(J`_z}RL8!w@LWA{Sk?k$6dsZlU&oB$Qlv|w_P1xpB)g5zD zL~j&c>kC~=kcuY&ruAm$W^oqQ;t~csDgn(KN>8O7hohMU$ruzTu$OSe^83-;#NZeH zlG2yx{g8T0lbvPE$$>i$2aCK!g+XB{zPsVsC5wLap4XB-j0F?rod*bS`;l^deFg;k ztKSk+voWXaq~@FLy{cWx5sdRdu>!L;tKJh5Z+N7Jfny)^jwnwu?f?lT!SqcZ_Q~J~ z`r0f+sTX=nw2Xiky+fBe7P?Ckb zjCNL?*2BIn_q>YpVtVD3Q*_yYH@7HE0T;4W(t+2BAR4Tulc*`^1!g0fD8@sEIlSsX z8z+h&;6U=A{8@ShanhsjROg7Bv`gvGDrre>v9=TO%K6_ z?a6aj43p~OACmY=1GgE64Uy6+RbMg1RFyvvZGlK{=iw3GH&DU9Cx%ZyC9JvCpYh7TYld~mZMqh5J za|K?P2@{gZh^GlP9o`8BJZq!{uiI}!Iv`vfeoh1=pah$f6Xp5GRjTgKViQw+;(+w;ltj_Yu7FAVd6dY9a?r-0+SVWPl~Q&}PgiJ~3Qq?z$(HTjz|B>6o=>>WqqV zawdbelv+r|$T5JX@1Te5A@UnKTGS)MlOACkpZ@s?9pWf~j)ZHF1lK*KeX7!AA$)*? z*Pcj|q>bv$W6k)vWQiYMYrwxAiGyV+VO$1fUjE`@sUO-6bEXuSH za4;?$hQZkk7ElN=>J|3~t6;FOZ2`4qrZywkeDFVJtIi)w!M+pUDZ6nD7$iJhbLp~( znc1S3IuS}fV_`67^P@{t$X~8gtu#QmWw7Z!HG8%wtUb`k2pKpC#u1Gq^*HQ7Tj*;s zIJt6pW=))>%)HhL<8leb90)NPo0FF}Rfu)xB+Je+;p{;S&Pq}CR#GP&O%y> z3o_s=;f)`JXdVm|V9yCjV8N^Sn6>Nd@I+TD2kt4V6o3>-Cg90{Yn!8Q3Q*@&KGmiR z0bDZMTx#qa{XI211$CHwhVfFWlc>29j4RQ)N9QIX0}z$db#|}*{@9pggT^EgA z6*@KC0B}C80x;3ijP8qhx}vqB&g2; z-+^;}APnk1{m;$mRmwcamnh^q%HK$S6%-H0_nVwTND-BkRJ+fcNC%v9zas>ABJSfb z4FbFoenkI&uT9g(cKl~;`u`mMU!7;~L0D};_z~E z%6FLQ2Mv#MehJxoALQ59bK)xWz$fgY3T z>QLdbI!F@*CxXbQt{<}YlP7$09XIi2j-N?xgRbIw`@;IzrZd*CN!J9H+JRg6?u9Dj ze$pEkYD(-bR$J}eH-Wf6Mp{qtde0mg_vb=&UtJUgVqu$l+2?DQ5r=!PPhE3^!dOnd zAv#jE*iq>G{shxym9sWbvE&kKVG!`5KEV@R^NT_GYk2+VTmPC{z52v}OOfUSePMLq zSO5CR7S^eyG?BRc-*R|GMz8Y}xDRbT$RZLz0~X27)T<-bjL3Fc%FOUNgk`Ouff^`dfZs&~NbR2(iE z54CdR2}8!SiPH9pbJL%nH$A~y4hF7cHE{yNR8w?#g2l0jv z#XEb^hB`_}i`YYqH4w5{rR4BiNCVUY&8=^Gx1#9-Z4BsU#+Ds}Xafy!U&oSRk3{O^439(<16+l=(nF(=`MIK>|LmzbPYehy7or60$=Fp&x)JR97;QV9JP zVkj@lP`&`>13gyU4dkSvj)#|?t6`u8;R+zrLdNthsDe#{GIPOE^Gk_HS!&!al^gMzRX9p+zSEfkLQI4-d_HVwuyTdzC zGxn<~9)L>-a7YGB+&*$RoqT2GGp8$=^;*)xptt8lVaq%=PsS|CFNK?%d+z5|=e?cgrhUGca4FLL zX7F2}*tBT(>90@s);WHO<|li9!SlVa`^;p~T=Cuh(gBi3d~w#L6=S5(xFU0W$z{;> zGZyqal?lrl`WG%$07=2T*gZG@kOG21X-*M#S5D0E^knFx{`>oUsF9BQ1DT+a zKyJ-A7j77H*!Q6v4oFL$!rq80d8?tm4Lo6kP=EwmEu$K%q<4M9gBI@ey+yPC^A^@XxXLT`kCe*6WtFzBFAJ12TTPJ## ziJDe&ck7UNHB_-nw&OU53k#>46L1#v)5PAY3z6cz>>M!qOvwgCD4-!btpJ+(!{>qH z7sxNOxKpMUUBDT96gb=p3a=jH*Ll`FLKjH=UB`jAB=RH8rJ>vkbm_?yutT>Nz_ zQV&`QoO0oq!i1)k1e{CEr^!g|1Xv*vL5E_aR^hgF2B4(_t~=o@Dje{k7U;B&5pQ>B z2(A|qk}X~-QiTFq73K2#Gja+57M)tK*FkT?@f}ZowCJfioDpT7JaV;mz3LkacM#?mdbNVhw`^3x&?`ZUi8Q<8-XSRTXl>J zi#?9G>D_2(AClU5bwuPaJx2k{zDRnbX8btS2yL!ZNFr)8Em_2&>KOE-m<_$`M_65f z8~!iY8g#GVaWqDxur)Q6mZD-{TrsV;5&h@3K=c9MrAYegb4jw+jOb4p5$@PCT0j-b z)$*{W4$ydc7|#s5d{OZ~2qFxu@{w;@#A*^^<9zH{$6}t1PS#nlj#%wNIv$_}1-4Ok zdJFgdGBJqlyk3&WdfpJZnbL55E5`51hs1XhSh1O^16 zbt&R*))ZDpL;3V1_;rgXM$Bd22eO*)1;i{|3U&(Oz&%6@fqv7KFWly}w#|V!jYi-K zh~X8Eug%0T8)j%%%f5UzmX-sBJiLB@vkNoU75`9WhRp(Onr$0tto*@X9&MWzdLQgY zQQ@!uLU>0Z7?6et$NRAPClvy9`37Put=!k@zX#r02)hyc;zSN$zx)K*1W^mE+n)xB zD>z)M(K>L}xa;7bCW?$>yNc6cc?8EK#(tmtOtF@{ma5DH9>koO7IK0M?*V=3DkaCe z7;5-Hyadwv2?6kj$e^02wyH;SCiCGD+!uKpp;Y2aUk z1K``Pb0ZhdPSy6TSY7rNoiapfo}6|*;9<&cFLVx$Uo z-r^qiVQ*yV@$c|A;q8WS_W~m520{@;xRuh?+l?xBSvF+Tme<|M+xj{aX#^&WbHsSx zqjL&CV)x1_2fFZvt}|H?+p;L(I&tw@*t=3f)tzT%A@h(XyU2v>mh23)bb1cTF52*K z3EgK1TF^K;ds zKl3r35qqtD{V?CqRX?^@cCpp8AaXHC=ZJe=CP-6wy^yFqLF)rEL4d|N zzdefxo84&Yk#wNsXfRzSd0D4A5Z)d40!C z`3gE@70OHho;aR8d{G5rYojE6DhsXaMbGUSfv68GtU&(t$JT+F`XgNW6)4$B{+4uD6X_x~wy9V5s|*=HCZ_1S364O9e8e z<`4Z7kqUe;Iys3}wWQb=gxa*~Po?ePI*H!ETk9{es}lK`nRmu8VFek2tv3V60i<%OwuEd#iU$+ohRGV3H9E@6pV z1zg8s1sw4Ky};{(ot}i#EIX^TWmh20Wn*&idN)1qnlv7nEv;X7psR5NR17$6Cs;>m zpYmbDeNDAoI;SlOJHbGC!YRysYIfwSp%z_$3QYF=mgmom5L;R3o|#ul_LfTLnV@_X18#qwr^7bzMp zHf{*UxpcFvK#kqpu1P{_eT5LURHE+NiQ=y6t@Jy%NKFK~;z95*;@`x(ZY==sgb4hY zGj}FRS3N7VY})O*A129juyEi9NcWLBoNZ6-A*VK;JAw00aVi{$&o6)cvSECPxL7Zl zILCsEe-K?Uae=JB6C*_#mwAwx}<`gv4b{UCQ4u z)EzrIh$rMKnx#gY)PdG+xCSWr8Zq`FG+9V3SvlHyR+K?J;AlIn0g2vJg%Yowh}zVl zPe6F>ncn#^3CjEJGjS_nW^5ZQeyKgW4TeUo?CgOGsCjOKKk@h%TwB`T1}iaEo3_at z!av->meRt4heh_m!B~u5JNRWsN!UrCEoXxBChCT|bR3M#6>v`sb^ers)d(T(+elnT zW~W=0^3Nii*AVUW;||nl|h`9zc03lk_2n#Iz;<=YOu~r;MNI#~0T|J4g6Kmjgt3ZqB(}ig4Bxj5!Af!fs#{3{f>TM3ToVGamMS zKRr(yU@y5)c>bL8H@S|if~JDgHD7wwU1Am;X4UYJ)AJmdnC>IoHL6vLN#p|z zbIcbF2e60bA=!Iptz$PZhr-bw-lfyGPqW^EN-U^}nFy@2Ut|9xNi?k1R6M%ChVXlX zr0n<2ctho--y1I(VC$zZ>y1ut-djMvYOHugT?9)ra@p+VUV<&wQl|J*F3T&gvC+IM zp6&NIsCm*^oawex112MzZ6MUpo@`kNwpXDBudje=E+g?MmVyhNaBLaz#-uW9LGsqN z@YaV!-egwQ%V$e4$#*&gd*oTIiig^c#Vi2kmi| z)IEy(FuQ``i3{L*OKKr_64k|n`T1$L417L+xch1bV>_!5!00pJF}p^LODR;^ zr!%AUY5pvuIcfxSR9VT0pkLc4E17n~@9rt;9m19=L24HaX+f5#AVT{Xlf7_hx&{=+ z#q1Wq=q>adw{d|*?{77GKFMkc-#!dXGy%q*2|t`5E7@+|d{4Ryp2GWZCS)n*QfHr* zUhKWz_%RZsi<1NY!-4~Ez%pHsa&MSdUU#Y*bDV^yAicNc_RrK$u_G7~J)DEG43?+z zx8Z-}z_~epRK8p1?=Rn!gg=*<5$^O2*%@%hXSecFd6jcdk*tv|D1%t6;_l^IytDj@ zK8XlT66dKFx#vOs4Y8d>xBwpuCbp9h79VKyIg{&85M2mViq^g(+#s-}O^IJ! zTwg=GWSFxMj?^|2wH=P9@ahgO{UPIrEq(YdU#35J5zwDjz!sm z!UHH-+{0Uvr&2{QxOV2kZ^4yQ4~3d0o>wF55iK{5r%Mqb4QHjm_%dvQ8= zVG$%Bo$|g;nY>eBv$cSa0M>~TP50SkCXo;#Bug9UQEt!=mEJq50*-zPk^^4H7%IHr zQr96@v!CFU32nJ92RXpmIDAP1oA*E?eOtb$f7{zakqUC+++Nw)sNEZHhhi!H}So1nwa5M|IsHMYqpuWWiQ3fit}?q5 zq0-aXQXCc!6AF*H&RR@<3JyWN>E)~Fk0Tvu?IB>M6H*hQL!!0Yq0T&K9VQ?=kclcW zgk85M$f!dd=>ectC}u1Ekwg$805m@yyUQ>mE?H4=fQU&z^N!#YK|3sxNFz+3s-r?- zoaszV+GUGGfZ)Cvv^6a{-szVPo4#ESlp|3~X?9zd?9?n4BhVm=W|hq)?SnnMo1jw` zT1!F?f!-&@D(--kf0N%x2Ne^$sU5<;$%YGwP4M|*@fx^3Q)g_{9@!bVoUC(16T*<} zOE%cFOdR;cW;?%(wMo1-zBgQSTG^NR5aZD4abBOa%*3_!Ru;r(^k)4bIvdCnoF&4rv0P5_m%oDbe!=qOhT`>uf8uFU9fU-fafQ1lVKvdjLcZk!`pd;REVO7bk=p z;&k0-nf<#=1#oIeo|J<$DhACW=`zHlK;1XOrXwypmbPNna&{^Mi)CyO;=F>S(H?GI zBs!Te(xa6^96T$wS;#GHFAh6otC;jyC&g-$)1}BKCg|_Luq}N?^qg=%yg&080en7| zAV%G-ZG?ndh}UcvRvbIY@yfBltcTMlAGXBqbx@fL<@Y%J(SOGQNTW?7zwev)BK_iA zCTD>@sPF(ArVR!w}yPZ705wP;{aq2@c+>JkXf3AX^`2eDV`ZgOikSQWp<3mj)&u zL{kSb@>GF9eQ|?-4^ORF(F`kL(eOEAAgowVDT^tia1+iB(cfXH;h_e-94umeJcQT8 zLp^M@M;w>+vuH+5wOTC}Jq@WMSNM|zRMd#h)KroklY{X1nEGN2?1d|O zi)&l38b(X!a~vKG$(G|lB!K)fTo=(v_-jUU_r(skoq|CrH&tOl+$T=0rYZ`n1NZ}8 zIaIBzOqu}u32o(6OuBiOL6txcX@RU=93UQ;P*6bC6au_9Q)yBNh;2aAryKIj;?|G< zl)}m0aO5SD=&JwAUXem3lIVYPTwO=;BP|y>y+aD$PuaKIF@#M1$6tFzGUSIy3*iU+ zY}+fYb+?0B!WCyCPQMVs-&g~4?s6+J`#Q{DY3KOze`wAx2velJ6j;-(wzuC70@@SD z(B)H(`D+|6c^RDI?9LpeIFJ}-%6IFW%n>FslSC`{$+&1|n{!aA@0<%YadGgKhmOmb z@BO@Lt$WS5m*=!gQTFt-A1U7N)KbhN_Jp1>F=s4xT%JtYYpLbueTf5+`wX-W7 z?cSchBJt~$AI$jOCwbJ*+Z4zocM;)tA93i)mML!(f(#caPgOaHWF0ceYI5!ev=mYtft_#rLoIDVo>=Aq0Afs?<7$GST5o*6!N?0fg#wo|SbAa&N;`^{FQ z{xHhG8z4uE(ECs}lN6%qrap!oBBe~E@EL+G6fjKeV>+;*0|j<59Jiocm8^iEhCrnX zL|~H4BpcTP<2HpEEK>GU3+a+Ec%OrS$P0~M0sBme^dul+IK#F8k8a&F>5d^J8gN++ zK`WY^N4_5d8dD0wYSmrWm((ad&t|AEaZdK|9(y~l{^36K1E;Aj_bDSzW`Y%S3i-AP z0^_<0FgC9h2I=xDOz5BDc|*Ck)jxv~ zl7iN+1vM-Xt;bRR4ZLBR5?X0Pug!Wk&P8DX30s)&lz z12`A9E<;U)Sj+cFeZLaG*)ZehNWND(mB9l{J4*|R1sO{FXIKNU7X;nJv771DL&K`Q;;CP&jrm4Vl6wMSuv&{uT&Z@Unae5k{tf?+)JLY zvm^fj4~2(z_8b2Fq3n0J0*u007I4m~jjJf`Z&u)psIl`p?z{MFnB%f7PZb{A=0*7KWkyFLIt zfvk@wEp;Lkg;nOo;by13r;J6X7dZ9WT=QR_8oByP=+w39)#c09Ic*#xy-ecocaDJW zQ5Ox{S?eF;c458CXXa(T6V5@oMED)#go5c6exJ0tmHL1A&dY?7aCcsl%>H}atI@N~ zx?A>=*whULuO2NM5>%Zbup^6+9h@VT#fY3>4IeK%BypnxnBDAgaT;l9O@C~+}v^dtFaFul8>-56V>!j=dc$T zUTBbEF(p4gFL|1mEZ?13j||laH5^9%= z22w76)&?0-LcEtu4SxFsk7aHL6gcGA^5{EfJFQQvW-|c=H0~Qr`@}R``hGcLsL*4) zk-=g)@cY#l+o4=}rWN^y*Zh5A5EX5QXHR_Cb#~9bc`5~@_yS_m z&&}Ad0o$UlB9>urJQzlk2D zu^iD~-a9C-pH5LlwrTMBGa%IuRyS*A;7sa!O?I*Cv#LHq2ZxY4{OY-BuTi_sW?aG7 z!n!?;e|&Tb%5I2X9jzU-^=!*7DcSH?N$4zw>%s^Ik4FT>to!U9CTyefCJ5|WdcMs_ zH&k?j*{?EbzK%SaV?>kW6PCzg|;0e{HpI<$E)J4e(N%=U(UA z^4>Gg+e9#ax96Cz)#-fjANpxt$6k_rlt~m=6VHjilz9}=p zHAoRga*k)Z1zT+IGObt6?)1~%XB1(M&WDAoi^n4fm%_9z)XE7nY{+$RPGol`Uoly4 zO>V1(B2b0ZRs?#*#JM%G{oBF&ci9zW4!sddTG;9y?wdZh)t`)vA3(Tey-m`jqX&@r z)EmP)@(Nt?k04|Vy+`;rrZXb&{7msy6;(Atx5wUIo~ny09+ zpA?#b#BIa`gaY1K%yhb{L4*|xJq1MWdhyb10P-w!=R#Bfh?znZ6^ywC9fR5p*RVMN z|HFy~GVo!hVWPSs+>ofld7vI=>OsMTE{HgIX$fGbrO`i;MEfDEY-n}`hhT#k_dE9( z2FM`1GzVvb!Iz{Isn2mWJ)HYq!o@zX*Bn9E;D=IXOizMtl8DzoL|}PPe-M2wX=EFC zxYncjBeu?yJp9{urz_GIohMrC+pXXkdD4**v(SCZ(*xG|lCHCI*Y{M=elg%(Y|fD1 zXUpHdr-BV4$P-p*d&n&aGpLBbGw9#ak{`4|)1wn%)^-~K>=xdjQW(q`rFV}OKr70@ z>TTS{s{0^rIE+KRSJ`8JHp1z?nOrSj4-)k=IyQd{%Ck~th#)z_ZT760surD`fw{OB zttCv1zw+PhoZY&P<^x!k0*uYKEF2(TZvopc3weT5!+j8lHq?sv= zpxi-nOoQ;A$X>+|a$lwr;|=0$r8x;@Sv0hiK^R(XGN7Nn>vo#_ZI*wc-+~+X?M5sS zg4-9Tu&-4Qt3w{*bqPYJD{y5*5mG$HI_ai*BcW^+tZMb;-|30(;dMgO;zmjXg5mX^q*!iI`MDM-i;mq< zyqA@N3W;S>hsnTrN`G^N_x1?XA&mfQRONJ4*V#wxB?-eHUVD|m+T-pgh~FQoXo#g0 z>}X?v0%Zo#m0)ob5bnP)P^Bw{nHl+D7UN9}K<|~vmOdzv(yUpNd5~*@C;f{u>h9e} zG2JDq;`)wY<*Lr=DM-%1bu%J)dbKmB+G)M9`qNB+k8mI1juqEKJTm0aDav2lUi~RB z(D^MG{qLzM=q=V1BVbQLiF4XItc4DSt={6_^lFs99qg6W*tf)5B-E?p&ww-cbVqYI4_xXJl|@22_yh&6JQ%= z*dwNAmRYHzH+L*)Z%I^)qP#2rHZNd^QNF18gvzoFpO@_>6RfM4v?j>+PCi2^rd#T3 zlVEwsQLLCqaw*Cr{1v>WPb(z{EBa>YdnYLGr(w(ZP8AyTodhk!*UXDof?U6WFjwLP ze%BqoDzr77T&~y;F46yPI`PTRDDq-P!6!U3iwb;vf!;05GY;{|{h3U(-Y32}eBhoCd45R2;f^ zy3Xn{VRMsJ9TE>ECo{yx4OpBCIN%vzbyV>73(37<-7~ptmRmud$b%qwM!Ef*1kUM$ z8IXj7fRMkm`CLp}BhE5Gu*Ym^#CV^`SBwV6Y;yJx>_%vFl=_^R%mWX_456sEbe<6( z&*w;Co|-0LNE*d`|71{x+lLTdA8`R2`9*hQ1a-l4SY;1>&@#r)(|wnH5a#G-P0<>9 z$Gx!(x?5>WiB$j?lAEfP6+DE0Ks$U+0(WElsL<=E4!>%)bjowN^t~PUt>Ho|$}50B zThNOEeuVLk!6!zT%3+oqWD==t1d>TxI*6~QY>^}R{eCVK+Q2QDN{1Tt!i>%$(SB%f zv_)dzT1^LMxfz-aIBNKX6S_W{1evJsNb~<9>)hj^%=`cEFoqDysWwu~P>!A3B1%*! zTEaT-Ryqm02`i_PLkEY#&|!u)24hYehQiPRN!MJ{I?R;gb}z}fFyt`i_rC0X-@otg z``bVE@vuF1Vq9}w@6Y@7dOcq$xe_LSq<`>B{diRx(^}{Q|3A=GeMwlyRHBnH7b5gk zJUw!4B#DnQ+rU700p2%gNiEB_%2b69eg1)7pH#c^9jeP{4zMU)o)I@W!Ums%c}v;k z1Kmqa*F(bX=6XW0PhU|%7wuyFl0WPQJz+IhT$S>QlPalZmoMg3N{0LZqCsz4mOiSI zJ7=Sz<9@b(o=-`%i(lfybYFkEs+aduKgywENliXSG|vRF3p_wrokCYd#2^IeV+lbqfc@cyUrBttdd=j@?=P#a)suy_xQ6|?ulRM~z;wSt zh&TSC;SRAUcW4BRaW#fdNuSI34!*^fjt(fAxh$<|+Uj=%X!mffRh$2L;oL%@^(ra4 zsl-8A=RyWft#AovhEvy+Cy0lN?=HLBe^{jUmj0Vm_hc=Q>W)vA>s*GY$}up-QdOk_ zl#dCWG&bPKk)_(VTvl3jT%JAq##OmYx6Kc8{;}S_tvq7bFkmQE45ezGriw~8;-qDc z!2a-608Y+HvAwf0v%9dTyze^f*1G?2?P;FJ9pLmZ1ylk4CP$d)+FkhfGleSTHkX|uVb%g6GfI7M=1Schp1pY3`v-W|+Mz+{C$Dy-h%=jAkO4KcEHfU^q?RcyMm?YIIg#8c0fIiH=#w zAA@P!n>_Xe!dnMBwg6Zv!09oCu$)d|dt$;`!_8J`G@N3X*LCb_#XCY0aKaaG`C3+H z*`v@pQCc6qwDO8!{BmW>B5NTy={Y+jYL>@#2c4t3FXcsRHC$)FeHUP^p`{+4`B{qA zzy*tY2iMPUa6%Xl5gLjV&w?;>cGv=pev`Unz|oNlAfqqaU236<<|R6XwhzsX<{!vL zR==sJ>=IO#fj*zZ^BWU$sxgo>w<^`W2%%zJvMM_YzxKiu_QADbmvK4H`E5o~9S~6> zPR4N$>=hAih~x5Xy`6zu4o~S)>_NN$gK>^bPhW3CV)f-Tth|NAjZ6nh8Rkv5`6R_| zm(;y&p?%=dfNve&70hG7t~D3gLqQQ*Z~R%l+&mDvv)c8!ma{##ML_RqL80lEq75Qd zS72=^{NYp$#MQx;4F1iPYr~-cEl8|N8_ymcL;hUE<{QcqPTMxlq#@nC+9-ZvU&jl$ z9b;L_T_b zYsfG`0{zqO^Moii6HNG6hBc`D75C8G(nu_yMonLoEH_(b%uPTOar6X)F7k&H!(#VZ zB)=HyRj6;N=0#Dgspb>;o7*9H+uU!j&0_>SM3n1V#GeCVv1ds`vo&Brtg3*CI*TqW{m|WPlv`HaZY1$E8twS%R2UA zqZ#%@nQBa$C&s|Ts|S1AmuGeWL5@S30rNCx;0FOljv=LKqvy=)HN6z1 zWHUBx)lQR2Yik#4vFvm`-J94QW6DE;@E-A=GU)(a)-vLi68Z+ADYlBQ^Zu|VM`$Ab^F2d z9%fyqv%KQ5k#KX`X7(fp!xR978aq;A zE=L{T;aNl{ARH?gk8Qk4wGzyO{i2-?UWwVnU;Wu&b-MtQP3&-v%y3uouhC%BD!l*> z#mj){8D7}NH>&UN37;PwXm$;5rXS@$Ub>U{{u9C|?iD(@5A=WBDf!eaGQ$aKk}Vf& z5ZUp{dj}o@4-2!FCfmic(0m)04~?mVm|Pu#(nemBz(&Skw?OcLuB;!&=AZ7s_Crhn zY%XK3_ea2QG>#1yVl@$Jcn@0{0)|hyY8fWOV6+pavF`mZPz_z7y2)e@X?XFxMMUzn5KaXrc2zt~YQ7 zLIXJy3UErTy8E*A&0)n^I$tSh2%{$o;(T~4uu+uO!JnU)PlaB09@7~#p~SG< zu5Wv8&2YH88DGPrc)k{@nY~`ghXxi#Qw?arW!$Q~gO&V{cq|#=+RKkz7~@l8OO^Ftw^^%cHQ$+UX~Wd@svb*HzBEjX z3X(J=ULGRGm+nI8&}uMo#iYHl1FFeO$AIt;IjRw6L$YWjZE+zQj*H8s1~w0D;}(!kGl8|!GX(ag$N4OA1gQDGY2u}(Lz&Vv9rbzkq^SAw;Cq-1rJCZt^53<8`7xD zu3>5+*V8awxZEp+Fa^aSU=jv(?Z1!}5NHOymm(rLDbJZ5X%hE9lr<5`V69vEZKaSMUQ0VuDYu&3l!V{tl^`1 z%!P{$3Hd6->dH!IPr8Qf0+&}f$<_&&XL2ILdikR@ZNzxby7uP~=5*)g+2jidF_Av) zjYA!W=Oi#kGTmY-XQPuYRRv_rbo5Q+@1GEL%k+$ANLalI%H}gbTO(V}P+-2bo`6qX z9rm6k=?-7!BFvuQ(d0vJ;^jioLX-HJJlmie)UXGf7A<^Vn+|LdkfO{2JUnc53VqHt zrtYz5SIyV3f<`*%Y&V2=s41}vY|AVdgnJ+cSY1{0d!(QvX3pbclsE?xI~U^vc1zD} z?LPMNe>Co+aIC{L?wv26!@}znU&x})+a#an6I5r zlW9Ks?*-}nCfWHOTdz;%V{E>WG-ARo?;xan(3f3b z^4{S7kPa0}88vS8nSJ@=?w2Cjue9V7*~*^>d70Balg)%#q3A6@+sEdzr>_IFo%!j5 zcx5>LCUbGEt9a#*s@@fDf47EJb-%ehw#noTj2x4xh8h|%R4s=y)=H||Qk-(JjPw+r3XAzN!9GRr6TD9=0+0cSKmh#7Y-s6^}>;Nn|$@?81g3DOvhJ@b4 zY8RTSejGo2>s%_|A86XxQWVQLq)88+(6*{?e@?~Na%0${cK5RhfHHz*n&BeDjs_3x zJk*3h@!;f8#@q-f8dJ+#xS$97Sq-JeIkN-*ntEMak@rI`%45I$o9q~{9{Iz=s4~d3 zpGGIxuct`ET zOl@!k-7ull(++SMmA3glP$?10qtn|DFG_eLq?4Ud$zfCPekdl%3@>57fIgY|dcQP@ zxC>!^K-YHGH=Qo-Yi7)W+i#XvSkJ@HnV3)x1S2xzefRd?)_u$XauHfGWD(jLUe~TE z&bP@1#}|a_bAh7)6?F^{8jj^3!{su- zQ?93?GhZ+%*W135_9sqjkE`YxEi2)Paa_OaIx|iC@a5hFS)B)-=8xk6JC`sIxPCxB z=}vG>e>RL+vbiFylS_+kgl?W&qvmJ5HwDj!Bu&`g`n=Q-rY`kLC~4S9Pxu`fIpW(TvKeSTk0#%}@y0$No1+Hxt zd{Akcw(Ri6bMwUbad$Be8#RQm1?r}{&&ROSm-?QE%*m_gv3aOpN4^B}tqp2b|30F}ovQerR{-(?4CB;?w7S9OgvrLxLyN>`700S1#GxpRsCTxz? zw5mtIcZU_mG$u#I5@R4UHt2B}5M!7DI5-|;4L1D*kR^JSiXMX$2EJbQ(6Q8|fPe^! z^?WT^V>+;z{p5koNrV;jmahoS;NFk`4qViojZgk@jPjVtzh|4LOON5P9|96}EWhgV z0H1Br#VfJQxaNc8L?VR`CM-;76v81CzUqdr0FeajAr=^v14x+N z--L3Fy6YJo&Yhm{{YpAhHoDLcN#=Xuz@MMCIL@90`2wC>6JrPy^!CLX8xzUb`sF$l z+G~Txo=or^k0q~Zt!Y1EnKzU2E8s;@znb>L^;441krR-0YS+(&pAAH=wg3-c!~5_a z!#qm|t$~}YEUrYK3TSeqN#0N`G>p2a>eoYb2$L=ZaI6Onpc39%toszD*G%hqbpcoy zS|9r0%LS294Aiz-18urzj9=uKcIqFBdt-t>vmIDu7~*xgCjp`Nb|KpE^0KjG;LKe5 z7pV*O@Is74wUE5NeT?TZ5kHZq={q4Pan_0vdcnz_o_weqoZZxIwdbPHf+%*{H0Yq5 zzC7CtOc1sxetZF12O-@;BvD z^CsnfYL#!t*b$C$a!*&%L=_YGQ|jvND~Tk?@8wG?clqmzVk^FCIceLMIzxKz#1{=( zskYT#z?#0N9yU81ie>z4#yHjnyXuz$^n>iAPR%dbi-nzCb%JB|#( z{ervl2hBN{V723y)IizKfA3&$M~AH2%GOF@Y2VxTPvg+-%{aLn*AL`t8Lo$;TT~yU)J9btaG!%D`G`=XgqF{30@Bgl%SzYpXK9+`}mos5t+JPunB#a zkCYrIi1R=7RYvyQ00beUCAFlA_xMR_No_;I`L}7%eeGzL`f4s6@y2I_^70`^fH5D>rT#iEb)FWlU6?ONkleRuHCx`D!1K zcjKmryzm)Met5Fo_C0t=%R3Ia2R74xGoV(NeNp}ftRJ)}oZHV2f}7)>#^kpRkt0iL za8oYEyUp_ANi)Euz~AE)OdO-SLG8yy1fZ>{0MDcRQ>QY~kc#~r5Vz>~PxnU&dHtu} z_Lm+*+BQIO#wyY1-i9%NrN=TCV#x9>#lcK_B_s-~RRO869s(C5OHtrJnC)BNQkq-u z+9Y1UQdj*+Co&L5lF>B}J=kn6>o@tQr`+q7dsXs)G0vKi#F!$jvCrDam#lb95|u>i zK-A#ba#2HVtJFim~!(cv;v3M~Q(b>S>WL z9tJ!ppJBGF>A)fu7A_Y8;9dla%5m}Qgz0KY#1&XLLMvKbU3cw@xIA@`2I;LcydqZI z%(uFAy}TKh4s7oy*uk$SS8^s`zXUQ8htCblLGN-t5}#AIn8A73n2FoSrr&n z3OHCx1-Jw(of@u(_BF&H9LNw4hqj?qY;7?(l%hW|HlF;UyEv6^xkY45v;2iJjtNHPyj7`l!LdgHqX}bzhCr6ikH%7lb6n$AQxlj#GglO zLV+kM*nmY>oWx!bG6!x-ChsN~twL*)@Ew(~q{bHi`FpYevdBPh)nYuoN1@)cW0+U%N@;0yGa>Ur(+LOBCiptlTx?p##eOk;cTNKm<4`HFpWmYO7 z9*irjXB~$Y#bbh(gWzj~5evM^>2MEteLdelZBgh6{Jw#%5uBCiq1ye4TiRuGDv-j6Q!p5kg`GC*t6JTz=L= z9GJ^HEnsTxp)W^Oz>(}mND@_5*^fc(i_&;z(Jb`?SwLOW=%4NA`?%jS=wbTQZRzqG5o_2)#PC(?xodbYeU`7OqEV{eZUicoC*NSdvb$;emgJ4Nj4v zxXRMG;ly8y%fB!`=gQTG20?OsJh`v*Sgv#jU?6j+8hU!>_;LSKw4=qsYGXE16YKNW zzZwDG3hy?plFXTm^hmTH!5T`>GKtX(l=T2|#Ll4sp(quwlc`J4*#oQn&=kDTTa^aR zE6D}SNr4nrIl?)gdvRjga0do(1;RO4SDuOME{gx7IfOP{Cz)74e#K5QJic5fF_ZM% zd@7|Yx+-!&BuT*dqZ1ZvgjtIg(@|cbPh65xN`KObu$)I1#-T;yd*jK0U{}hoOt$Y6 zV?uZtPs6Q?T8}OY0%p((@T9Z}@-5%+9D@1;`3II22(*COrpgGd>K=1f)~|={P#@{L zg~fTjPiBz9#AFG6fwdUR&c*_87ObI=6B3K#USFT{fR>ohBxR8?w53C{DICN`gs*zQ zFobaeoR;;GQD{0w3+E$i2e8aIQpArRtbSWgHnt9uSLzdF!soLFK3;SVtoQ3$_;ion zy3-*w$W5^izi<$(hzMGiZ}RtgLu$6ZZ}d)xfY@oN$$u+&bbuSb6|TI0LGc?V!pm-uS{C7fA-B9vlvxFH zm$H<$qCL)Fx&3Ve9Q5t+x_I1yTe!ne=a>y%N=E=Q*~s6NPnG%Oi@Yj9HeZSwi_iQ) z1_CO|CVL$xNr+-y>DNxbTmNV0`UES586NuSAizjpzg<3Y+I1(WNf<`Ww zU=5F$$Fg>dLdaRzj|I*Yha@ax%G{*Q1VM!vrua>OwEv6kE6`RvzJt;FHn&qr;tqtS2Vl48V(Fum-An+py=9g^MCh$@sa3_YRCi#8AtlSfm{Xr} zpZFE-*&01ulKfCt3nvPtSMNd<&Ng#nTFR_GzUHn)s;rG(ysB!qK|7(vmgUAlmDm%< zddYq{Xj!&i7OMHo)nfhZI8yGk+lR$}YK8m`cK;ZpER>sR$}1b>mpb4Nhvt-mA34=O z%^qA6K2VMh5>%Rfz3WOnNS;6O)U*nC*p31w9fC4Xu%OIX3XvU7Oprt)U#a18Yf&ae@605Lx zgW3oHXyn_1hq}5Ka`*MeWJ>=*_VQ`b9l}KsD{Yb9^-}XBuV5*%_8A;58+mLewEACT z-VaFy+)93%2MIwMQQ0uiRc@FEptMnaNUu|n&MK5g^YlVlQ8us=LRrsz8yf4Ne+8|d z3E@#-_^9L4TJfpnBSm^`5!W{_eompOmU9SY zQVXj0HX1G3GZ4NV-9#O&z<{`M?dezFM<*YE*lm1J5S9vbyc)n*7QN2z%qLqJeLQ^fddyXDZl>AWF>pH zGU?P5QZW^UGCL`I--3At0w}QT&2?7MgOU|`6h>%*G^{=xJq_6Ii@)=c1m zz+eDCDIZ|Z1K_d4hXNnjj5*KQ#o?6awdL7D-xL?|@M8Re7^|AgR$yC5DvU>w(z^1+ zLC-;yUK|T8g1MZOcvj23gAY13Xj}4_50Rqz$wWK;Y!RPsg%%DrJ)%Gmzo4qItYdie z^}fk5lz&#Fs^gQWG>EwiJZb~!{u(wSun0P9*h1L}?4ihs<|G@za8=z!0VbX^8+f zE%IkxfAx<-uQKOFsyBVw$#v!AKz=C7%7u7BKqNMNPa%alEP1GsQ5#EE%I7xaTng?8 z=g#t3Z8R1ApxoE7`3F3Ed4JmUm1@}ZwR4w${p15C6m6^F*^cMS2o_00T05NA=EY5k zVe|0uf(lk=YW%bL1>euFS)s{uD4ioM@Jt6SvZe53E}her4 zPoZ;u_WV8@J}O$^B#n*38dLZ|s7LSPB!vdRct64*m3s=fcZ~aZkD}3s16}+_t#DmH z6}*l(kHv!6vIj;)1Bfq1wt^`wf(D-?FdR5ST$t0bqfxw+v+;ZlZzhKBBDd6w#YAH2 zF%ZJ#VG!nK3V-jydccr&WY8Lt49v@(aF0b6_Na8VU^8QACVE`av z4uz94^3!1UD7%hP;Xf1UKlo+={(zHg52Roi^9Ek0a{72{F23HD4|NR%*!=IRq9=qJ z3P#hhSvc}}!Y+S?S1^ZN3^OQW$Q7>7rPtSud%%_o9*hxBXcXWGUgrB8n!}h@qVW@0 z#Q+TWF6ol+9=L3-w52Wy>&eobF?5Z%>(gtvz!=8){9^V3w=&rye0?)B8Vnu+hXrFe zN7pe8K*90!;-2cwsb8oCd-Rf+zj_+>Y%t&Jh#!7#dSwoH63!VHh2SDfqlFqmK5iLhGcV1ryvc58_gASH7P zqoZptc_mqp-sTm}5u^$8pzNf1J}E@u+q=;jn7j6xZ6+?-Q=L-O~kid9Hxgv1}~ zH)||rxA0jrrGQE06$FW}9AZ5Dq@_AufT8rE8AY+cy^F0-=efLsh~<~CX1&*@8f z*#;k^QgB@}gkVtaOI>sq0>5dZ79n2(!`9r?Riz8?W(_T(#eO|;5O?QxY`BOp@-M{d zyf~X;pF6(@7ljx1Om9>E-o6<=6-zSk&X8QdfzXv>JvgmOi$Qmi_gKmyGj+qvkQj0&qi1>$;MjyD-HObzl^J%LL@s1OwO= zJnEoLD3Uye00RUq_U;M&)SMdxw)Xb>J!z719>e29Vrf1#Ln;JmgE2Is&3zlErj5{AVj$SUAhIp8zfft88+|YVm;Gl%*380PH54dCfhR# zgbjwjhd(;M9D0(GX?+Zu{vtK~vV1XHHT2v9%S43Brz%@bl+0?R5T2LF%Je87;bre^5Q8d!(+)Onkjz+=CHlC}S+rIoPOBJ2g`K@AXY&wWA89AGLUUUUW zPNL-LD?oAz8lU5|r{RIu-rojpjsS_`kP6Mazl{wkL=f~#wQR0X8hwc|tP3PD4jVj@ zX^`_^fxS@P9!j;K05iO>+9z#pV&eX2GA-gN^U(W&G>N2doKNe(3NTyrwu1CMj!0R* zZl)RCSA02eYwB-=_KlD>vkkQJKfistzpgz=D2RI4{>XB+;S{CaVa~FRF9VCk{_p4r zjog_;in7<7VQM9UkIU&9%BU<1ttgBbJci^~QX|87FLDY~AoVeUmI?4A4tL^1v9jkC z{9h16$SKak{QVFT^;4nMv~w{H!iQnHH&)lHrtvD^A!0)rCN-jc0^V4%R-1K6d54{( z2iuXx{X5d#bSb4m%y^!{@&0Z3bKPlCKEj9b5m#4Pq0C^W zNlf z_&iznT|8FZzj)WhWZOTC-rT%j8*{AT?jRChQ=Z${#uXlAoBWMblQkwR+YmWeCYxc9 zD#vHmo_t0gqU(ng_}8sA4<(%ntkW3`&KYNdw8o=W)|utPc?)AaS#ou9my;@`Qt`835MqNBmIRgA?6KF0C#tK!LYWGaEl7{T z_Bk;pBz~aE8_I0RgoOYWpf`lP{9VY)%m09fP^DcK4r+Ka>&}10dxy*21HAk_RecLb z{7QWY8D>wej9j^P{IQ&tRfh&*|3xF4s%$WG=dx1d&51BR(`&{a8BgtiVnpIXq61D|VJ)h1?xBU)phI*z$(df>^Tk z3MSW3M$gNcdE;Dl#Y4M$@mJb$`9CZsnOwoS{DQ3ou3bnRkoj#=X43SCAub7@P>d_J zG@i=~314~KLU~E7GDg=*yC71v`eB~zF{F3ZQ%8Rvwjy}^KFf9!fhygBD>PGnCelc( zx~CU*Z)>V7dHc-M{x2_XwWdDULf-W&#oRf6H%_;0tU~_ng5o{bXOx4}IFA}~RCkVO z9`}$(iG~%tU$$sSc~fNW5VoqF%@)Cuq@z8hT6@ox$Rx%*A>kNR0s7bHyOJ3kJ4qaT z9-!1{d^dEu0oH5@SDZRm2T4aP&K<`ZO<&x1^(E*wBXN=FITk8q^SYTqL_Y2L}7E#){aj&mwo)$1b$-i_SvB`7Xd`7^MBV=Xq`c!qO%05)4W%e+&Lpgv?oV zXxtiTj`Bqr3l$eSt1f-Y{X58q6MhBn=)*~Sh8BtN89AJEA&59g5peTDEA1^o#2G`1 zurB!ud-`QOKK$J{D();R_$sQvN1ZFPrrnH5#Dg{v_b>Yc<9@Pt8jQ4fx{D6N(FOEGfDLk&kAko-Xbi%PoCab zpZNLPDjqBCZ^l7U8IL7gBAbtlA~bQNAn(i^{5@G6`rPOV3PdMCMk^y*X0d7^F#p&E zY`<7weunqhoDEqH5SS_p|Mu=;%|}nMX887hvhe^$lCpxE`ltUrt+q-j;l6Xg8{$lZaNDSOZJY&B z%1hScJYEC0Y6X_Ya{F)RNGs^~04v51{?B2RtpPMqsed|0|F?dxAT7UI3aqFz_P7mG zxHbB+hAX6366Fo#>|EvLP2>#-)40P@;AFIuyP5t~{$`<6DlX%J9Db{Z90_x@6i>>H zT~;J9e zuOsP@2^S+z%xk0!YbNfMZcfLTD>CQXp5f#+Z}aNbb?Cm=dA%>BwWk=T>)2D?Xota8 zdduPCP7 z!pf$EHc1rR7yhwNRiY|W4XNE;e7WkhW;(a^$#b`F&>hSlI9>a43FXN}#uoM7GCvHZ zDv}M2O>r1Pw`6tRFccB0OUOHwJT6TM0mSOl-dS* z8d9>HKWa!YhANc^NN;FaNhbJ@g2Z}Wb>bIo@KtofwJTymj4+2~3d?&jr{U@Z)C|Q3 z^Qn#*b3!4&j-Ss$_jW5B`@!7=-P>}pftg{v#_otveo?iUvh_5N@v)yWI7L3tWORSz zEctk#5K)Y+>9(}&<6twr9QR!;|^8ZXT6SLP9yu2FXV) zEGwVYbJ9pou)v1&oF61+ICf)zPMxUg9uRYGcg)LYaO$9&iWx1 zk5_`_n2!M%M9an-qZrl&L@`KrxKxV$~^!Bpu7Vz=i}D06{H{i23wdD8Q~O zn?LdiErgm+%aaIw;I|E&mOVlQ=Q)?BG2(|vdHSHV$#%WAXWh5!%LRu$gHx1q%W8vW zA0pnSy!~g#a=uo;%RjPkL3Ky)j!>CV!vyCD9V@75Ylz390#IW+zfuqL*+e&9a|G^n zG*Y@ZR?}v==f!_0@zEew|m9Y#93EJ1-(nf`m<^heEf~#YEjtupJ(s zxo7it`vwaLYX>IVb4F(J54e1&3kH9fEQz8-sjUmI&9e+gSx*`8ZFg}?VFBtWm)gf_ zyg5qNDu;V4aM-8jHLc=&_>aL190yNu=vkfb%sy$IPmE_>VCQVCfP*oeNls`56m}`> zV_Ja~Q`4JxDUQU6X92eq)J{Gt8dh_&-0bH3DrAlDK2k8TNP0=89Ekt+SL!<^lgH*% zgvCRDilQLCPUzp)Zs09?FS`L{?ioow)RPN2%S#B&t4Zxy41X=?3g8q>?P@e;&hXhJ zsDd@&Y1Y>*vcpw$LYwR<%R8IbNnC#odx*A_?d>p15Y3>}y&XI1Cji~;ZWJT*X-ayA zt#HPmUEVb`$`8zJBu9IR`#)C+%82iSWHzfZG0Y{D@?;n=j$%In8G`5 z3!}mkBk_3TAdfj_DmWSdw;+;@37<+r*L~pMwHAB83XflD0*5QlY7Bvqs2thLe{&&n zUd#3^zeM0aJ7L=H4Ws0Ic&&Q_Zq8S9@N#3V^+ZQ(iWDzdSx&PknQIpkI+xcJJ`b6psfR2@3Bt zZGJzs;qlbYheWO6wa3rzbs>a#naO5ctI+ulCnU(e3>|4GtX*R$TWP{kcF$Sin(DzM z%4$npT_JN_wzepdx%0BQaX0yw%pX@6o?UNQxM9c2upFgdNJsk#0`q+KeBBU5U3ZXia{5_-gm{HjRpRrLJ0AJ}LW@snOHr zv&{LKO7dD&nJd%;hSxUI`}90A&y=RSQ%6?bc~&;i_ua;dCwp}-Z}>TTCh*+T!e1(^O$tNO;oFeU%((cdTvjS| zO|FuTK|{Yy5@}}`s=r#w?O0}o<6DH!v@=(nE$0xF1=}quYIpDKJh3lFZN-sf!q&gm1v)6QSIo;C$aJxj z(LVCW>1+OX|6H~GCfECE8sYnhNo!vJR*DLTpkD11 zYv4iDkGGzCGZrS@!VY-^UGpy65oAdBT1o zFH^}?g{>%`=#RK2+a0u= zzblo^JI8yiYyAfQ_iFkL;;EN%cTXuLeBD`p)x>a%*T>jD`PK3u&@sisX|S0P8LIPa^Ig1=*tv;TX=!o~IxGtH0c*BpHB=x<&1gYimn!L>KKdG9*b zb7K6NkIoktbyTDie;rf2`{8(e_S*8v^7S&mA2kqE=t4c*3kRoIwG)|26jP`0^+=lC zz4x)BrIp$0Wnt?G(L+*>W>y@7g5S+Mj!wCMqr6-uS+2 z@~5%syVZ}^ZeDyEv(rKEHvYX$*P2sR)VrX{s2sxf$GUJr_Chi+vVT4wYlJIWWUGtT<-okOk%){GF>@}NCZ$C+~Paa+W zo)qQTn#*k6u%FYm|uHqE02v-eiQJ{*zgEqbwcI z_O>(aYQ62UImf)U!*@gmUA9{BUA<+^H?o-~qV|KsdIb|(!$ZV1sb1b^1lwn(BA+1* zcjg1tK5`|2W;);2kG}bSlYa;DeEQs?x#pnRj^i6l%r2V|Tpb8IWXe~EcJ_ZRyq_eV zHG3k^`Q#w`hi-<$ci(TVUH!GuX@lg_bG0|@lOOU_v-Ynym&#lfZMaoYU)uYoAHDKu zRKpL>vP+Iyt1mVj*Ozs*xW9LYjDz{y1^*vrFR5=C9dk0+cXaa>eYx*=7vGvskrm6F zH=q9Q*q`t3u9t(|p|8C@{%^B1t9A80Y2Q2HhQd!}8t9p9;eNrn*6AxSs(3!@Wik~g z_|i-j2NfJa;f37^qO#c7`J?Pf#ctx`9p}{&|NStUhF4caS=% zWqc-G_lEv=No#y%dD=!M$@hutj%_|ut95PdI?If2oAh;@^}hG|GFrIDS)$TU|H557-CTuoObJ%s&6nQ|?VjXiZ*h-Ku@3X}P>iwnbVRFcsspFg2 zlBIG3#jVrd=v|_FVCP{o{6jO1Cn33M#2*cQU9%ykgJ7~t6y1HdUVE1pg>6`j%E`p~a(^7ujGmV*? zxb}@ngv@07JK5$&yX}^4#4x;!)_-rNI-)hVLh<0i`xX;K?WqkqW)^U}rK!Z2ZK0IJ zK38%%&3vc7^{M7!%b_gBv&hVdjVhlH%@o?iTx|GVfBUs#zV0FFt=3V5wZvaoE4EA= zliBHLen)h!JaxjV%|q*nxANy*=PcA_h&y`R_EzjxKNk5@EIGNs;)fjR10CK3DJ8dm zr4jFb-@QirH`$J|%%DxVjsZR?@0`}h8tj`qW0#t=Uw)Py_tceLzgmTGfXrI z>(1!}ad{(JXA)PgJ#0{{z~t7BM0hg{RkSjd^wvzscJ`NVO+8$%d9nM5_o%K1?o*Hj zoobzac<{I*XSZ8O>P4dUz`Zhuhn!u z-}z8hu_?otLe#oeLZb z5GObDuRXU?cbUJPQFx^9_WO~e4>K41Uz>ZaD058hvZH=0_{7v9v^Tl{oO;$X54AM}z~=I3DX zfo94B&Aa#Qb3f~Ct82h2J#SUuu&#Zzal!p_=aqD2gw#vk8kbc3jSo4S9s516A^SqR z1=5>$qSw0ebxn%?MM~1Pvv1U*U-{Non;pD=|J|*++GPEZGn$A;@>y@f+Ret9RF>+` zuHA%E>Mqt)_hMquOdGR zO8?tcn@vS0&r;e~?|N8qC~Lbl`SCUVUmDccB>cf(ANeUZVnyqgWP)O*in{cqed1+R z9b2CK^>=Dbjyp1}X~c`tr(U+*NY2_oRBGuzzqT=BwK_k^`NDJUQaSX_%kE=)N=F~2 zk=k=OWjAl`Da^l%v%9}W1DDrI;M^~EB&u++w>~%+qsmJx(2!FvI`~^%0Ig8 zn^ti@Q|I-Y{!Np#f}H&}bQE%>%z2M)_JLWo(In>v+c9YrrTF-S%>2F z+f%u|S=J9{9e%H{-+o-Z_1e+1tNat*Whvo$0-vW{{VZMaW8b0NutXh)kayY@t9`~! z2+}?kkZ}77S33FZk`lRo&+wSns&L(0oz>vjpPszt50Yba@l(&F`5gcJgH1d3Y2ER5 z*%>kww70$5!GBJ#_S2@!z~d%c#;zU8)ZQJt`Ot3;-!~`~ZPd%*`1g=@zT6(0_18cG za|arnXzyfkO}02QbJFRp!3}~zQuqm95{<96Z9~m-T$viV)|sqc0iQ|nh3?!-T-(aj zZ{@WGly`zO?0h_-I1A!yUo|D%Mv#I)R@steb$J2 z<6f#;ITrC%Ztp$3J_#3CoG|#qoAm9jnOY@kdo-Lp{DwEuoNNi1QmZ#g$;F+lP+qHL zOOSQb=^<*IP}-U_Z9vyhlk|W0wml)(LFva87s94o+wa?@cuk#b!yO;pPBF^AUyvnZ ztxyzm|8-_-@72DC(>o_#hOL0`QV4M(&Z*LjKvO(xa38<6=V2r9xrRD%p5fiC8#1Ho zprB?!cCwzele+X>Z2b{AeHOK9z=wFldxzUwh4kdwEQLpf|A(?Ok80}v`Za_QR0gdA zY6U?Fs372s0)i-rfI_PR1q50Z2M}qMp~&RG;K0yE0=6bZg#ej|10iJysWuG4IVUX= zQs%ab2pFiyP#__9ANqUW``&f$Ki5B8E4r2-IXP#4_uil9(IV(M{`&Z$>A7t;J^H^| zbEk?~5wLXBKcFMn(m(3xuTLGWUYNGo^&8Xl%8uW5Sk6`kYnWdA&LG&3x#7?qxW)Ir);G>tvM{fqtl_rh>q{@!2+vR^_35#f3~b2e{lwokv`u}o z-IDw+b48A=Pj$%7-vTU0e8@}mZ&J*+283m2c`QD-U#qIt!l8A@*^;dF*lMpA>9NcD z%}-vWnby6ZAP6+9@{P9SoFad|SGR|ApR%X5BSYm-FEDeb_IYqf*?NfLGFp=hR|(+Ck|j|%nPUC7jOw6t+=dUWWj)-;u( z8yWDy-~%PebPYx(P}T%gzKk?t=<<^pXMGm)NevY3@ihTtN371>aD7kfcE#;rok>Hc z&%uwzGfT+&h;nG--`aD`Rhylk3*7rO=Ca!?Ed0O28a9dua`K9DVwIQHAO2kJKWyW! zRbulBns?m_1X7M`ZiKIi!CA@oBM;WgpV-!f z7X27}T&3sbLpC{?*X3SATa(^-#dJ|X!1vy{Pb^MT4nb0GxpR7>dG`U)sgPgyS7n6? zh}2yt-fTwlQs_6<_v$J3hg3Rr=dNZ@R%fO!6WmzeClg%=K}O4H>myvz?sCs1VH6u{ z%ZvjXw){~f+ii1@Ry?1zvzgp`?A4aV>oSBHf1MFFG#oH;E>uU+&U#s;Evj`g`!px` zjs0{(oi}Mx_W&hdy!^OUk>O^&f!Ke3O1VYR8e6vCU|-2nRnPlM=Vg{1TLzyu2z-_W zo;~4X?6W9?ve@B>q2-d`z54e{E^ZyV-Ov;zwDihF7O&WrOj&$- zT24xRbK~=ZMHH=1_kIZR*zz~;*UjF}4pxaSS5aHTZ!PSGtjzM;nGN3huQEa^#^YE1 zIo?!p;U4;1ma4|kgG{)8>eI>zS0lCQc(5VIN7sS)Ha`1TgY{Df7yY`^{nQY-xY8aV zQU$s_h8{1bfBSWhm$&CHk16NE;*TFYOeVkE;=&{n4j-{xz9ni!)jzpom8Tzw>?YJJl=p z*;2IP!gz>t$*bS5FD2JAU(PPxbT?{Nx%pM4&!t~>^1QtqFY69a)_ow9tZF51# z>$wv#q<~_yWtrCFJw`Tco$FV2TWJ2#bNT$)SI)QA8Etqkw60zweWLZ4*y87qFy*MRl8

    )T#; z(xP%P+jM98sIM{Sysw29;j@E-`jT_HmyOh$EOrXjSCxHP>f^PsEb|3hjn*zxevmt-5# zid6zr_D1Bw&2!5)A%?>y!RPdEhMlKO4H;USUL}9>t@g!JrT=_1_}*zXK|6g-iK$OS zSI6O<=j|K4q7n~!tg17pTy0?%Y-s9zxOdGw+3Slh#k4C|D5Phb&*W26GA+z|&vqT% z+1zSk^`rj!)vs-BNfxDx?euIm+nQ|)j%T0Hxj~Kn&Xc6on z-tg?JFOPX)g~-<2tU=bpbn*x}rop@*s&nGtp7TC(y$~;(z^L_mHSbyN7UfabcA5Wl zqW6Z+WTjNcuzJ9Mosx6C%;Ujg*KP@)B9B}MN*d9>-7sS5whV(VrdwqxuC#?1{R7qZo>6@PjKjC}I9$!o6>=I+GnSxYRoRhha3#K(9W z-(KTJS+?Q89)p1k_2c>$JJN)xgPq4VMC}t6qgg`Hb@0h!oMu`Q+I@oZcX>{Gc7Oei zJlMx-nUzje{p42`yO+KDbd2=<-V1iRJmJ~L^(vG2=`jcXccd^d|doo2^g z?i!y%YRkAdhFdxrm;m|Q;t2b_@HmI#dU|`eH1N3sE!OfL|##8)hROAyM~>< zvudBp;pEYH>&CvX-sC1wNUzu0A1fsT?BI`wwu|>S?o1h|qW4fb+%I(zkL91xwLsi+ zGnieT!oKS2JcviF_emaqyTr}nVBo6F9VLGsEvW0mCbubleMp~a1-%vj^U&gux#>26 z`hZ{OtHIJ%eSlY$eX;m8} zzp=Y+alFWArr?laX_IM4#yR530cVW{JNz!j=aqo>cKtP3;1h2DQj7dA zwyYeKY&mO7zMT2}%N?h8)z zp~P=3oDaPGBJ^&+Uw1FMdTDu@M?Z^nbl2GP;P1P!)$4LIJ04hs-Z5Q{_rAcf4JztltUI&-%wLA2c$(A8~JI;~!%mc`U50*Md zVtvRb2Uaya(x}Z0pc*#Tb=@e}U&6dmdg9DKr}9Vk-1+HS4Y!hzm&aGUf8@llDIim> z=r*}YUR#{5*!fRX0z(j5xp|GRU&F&Q2|MQmo7Y$^qi851@6;HNCxy!5< ze=&n@Okwj(uaG16a(~%CJm=LA^(>eCbxzvlcb~u7`8JaG+H05R+HaDN_LKO87K@v)mCBq;Jm=!CGYfkzDmo^-#EKDL1LiqR32)2IFDJSDo_oy9t=eLx zG={v!d$D7P#aFjC@^*TXP3{`^6$vO##fwMDLs}M3EAM~N?p$mujBgG>$aDDYI!s72G6MPt^cJrHa^P~hJX856gQZj`~I*| z+sietw+;==Sm_ZhFRb3p4|;X}*YDCTZ75z()!*-4zwzfciw@jbeAjX7_RXJBJ~MHO zidDAe-lh27&|36&GnsH>pY0}i`D*hX@ZpSx1?@tWnZ8@1yJgsrJMCK3mfggETMQ=Qfoq zUQ+BD?KEhD{d3R6i)XfG9 zSTI`dn!Qf{mZtZ7v-A$n@~__>o-jQbyy35~yXU!4tS@HB z?>;HETxF=mU`z}?-F3HPSbq7?YwMBX z_;c2L`%|z zsWQL+M99?`*B_Q*EBGpeJDx<_JA#Ly0@p)#du5j!hWzsytW9{m_9S(FDux~!1 z3r+-%W0Z~`FedC_%~R`=U!MZyLdrG0O_Ahdhk+1XJmGh|~ zC*zGgR_8=3ZKa=#s*hJ)g#5bME}fS>Wq_w*hOT7k3PK zp#!*Neb4O|XOwvtay9Nq)n038x7&%!4$U9lOk823L$*@ocE;%(#wd$(xlEElEGhd6 z>B&L_;Cr|9fulqq19%r(62(y|dM7;R0_HeNy(xMFddkrWJ!jKd>gnBjuA{*KYy#XU zZ2wzOX05EQy#nFq@tOJ>_GU@AX_M1c{ibp)EwDXpewo8w!d>w_LJ{{%ULR(T z0j$55XLY9ZVJPX={Srcai4k+D$p|&?8h2}A=*m4~Obu8c>mB25^IhpG$JN{Nc};*F z&K=1V0y%OHBJ^qEhUCP!3yEUsQyci7PljaG2j?jL1Mf>K<#J^x=!NGZeByszPUK7c#B5%y)U&ae|2Zh-r* zHq8%ZGvQ^?VAgYfU0E^jh+%EoWWE6~U-(0z`P$)XNRyAIG@elf+}qEkAVCPfix%!P zNNwQFJ9ivW-$xeBjW_dOnm$7LPeCZ%kFpc!FH(0#l`QH0$^B!|KDixtldB{H9M+ae zjTyqCePXynhe$Gpgy}W`!v-6>#60f^q!P!BhBRdi;rE>Y`hMTFLHNrVi1P$?7rC7W zC@+wYc)^}C;nP61^9D&>x8$bFp!z8HVie?#0)>oy;=o*`JT>DNJ5?cJa%SA@GP045 zuozB$QW8)ML8`o`BS^}w=`T7P77F>zaWM0`{?p2|3!+Vpv=;s07a@Jpf-zeZ7*DY+ zeYg{VNumj+0FYOp@~Y7{0nJt6QdX)HWPfElqrpxShE1e~H)OJmocX}&JI*q6g>-GA zDu8-A49ugDREteA9-2iN)PocJWWCveuUwFcTh9y2f$GcWISF4?4XYMjwFkIrS~tK5XXmt_xvy76nNsYBJynB0`Bn1q>EKYH2eMhwOx~1%63yY}56#dopd!_7! zn4@aRtdVPK2*LsV$Ls4&?L;2 zf`Uv2h$^}pGZ6Ac;qYOy#meHkCH$_(@lgSQ@<+698wj}_XmcSJS3c$!_Fc~jBrrUzKr$+nAC4VEGX+(&Grp=$ zj5Ch&{;O_M=j&)8vGMeyoNknNERpW`A-}m5To(fgk{duA9-Br4twOk2P3W6MON(v) z)j$=d)lxrFaRLy+wU!Qd0*AWntsA278_%H&`VACqzm?I&T=JE`FYOO~rl5Dxy? z--nY6Vi3K=H04!#gQ~U<pbp%#&May2k$3n+zjC~^c7%!GZ zzBwkum~BJ58rY)7?2ZLKL__8@$YfzH9pq;D1iqrQn3gvKA6S58j)0}Os;oGKldFat zf?HBZ{uKWi^}Q6w;&(~GIN;fkOIY;T3wx*(l<;zky=8`j<9!v5Q)HCdye-KZ?mlg-J%%MQEnwNIrbABQ$1 z)(cT?pQ!zp!bnIj^nyuDiwI{Om(Q|gD-8KiSA z76OxDAkGH1$MhVSm4bIw2SV-1xYBzN;2xRvzA=e`F$kDR^Je;cpADs7vKQX`)F@Pa zU4&FnqvG;)r_aLe<0BRj90;}^n1Ip|`!NhD$I{LYG()EUar{~NdP^I~Np#$wo5spo zRrq)Lk>M5q`}=*E;l;QB(fk!YRRs14Is1JkscQznYa#lpLo=5kK+K(A6>YA#KvIr;s*l?bP~&Ofo0Ac#&3;J|QlOB07CV-1gtW z`Vt7SLgtAy{6Diwbq?onYChmaHSvnpdT`+Y{ob1cft*iHbXXZ+R}Qy|WX_Nl*#oGLEC>QHM%`y% zAv{hUt7&;?n6@6Cb1M4nziz7E?$UUDxO}$KpG?ssntAAKnU_pElE`f;sfo^EKP7s8 zi0G$-=U6`(vVMcI#vc&~*&7VB;)p!Yx%QQ1`bX3nNSIp_4TK}~Niy=z*Ilz(r+ z#ENGedT#ea5~ro%dL8%npe>gYjh2t|E>eYGv=iN`D&kXk7x^Sl$g4uor=>y=KdYS= z0Jo(ouFW*xLa@|qI1tht_fh7v>|c%p{{<*&iPK3H)<2HSFX{T|{Cj=GQSu!XkcwvK z@F++=VSX-Jk^!8=nz3Eda-8kPcW%kqJe^q=EiITq5yIqJl-D@W0#J@7ODXk?w0#DZ zE}rggzsw%*@bp(;tk#yNor-0LJBCeXy7LKh@~+hB{yS7)O zCx#UHzoZD{UVNJs!s;(X!f9tAVA=ljh5CyFN6FVGg_Ul?7ErRV zA%8SYo1ef7DhS-SvB0a>ExFzTNBFq~N(~zfUuTB1#Dl6qCbwtNyq$duqXM%NHk^z* z3kdMno9~aKypAwrww{bHm?Cawn~d2pGyj5E{zy zpe^8%>w%mM#I`V?(ew-bMpo`0R>-!gMtR$~$Xf1(G>&lKbU;Vdt1vu)1niy=z|xI1 z+zKP7B?uR8WGD|}Q;ApXn{J3MT%S$t%~b-{lRFfrPMn0O3Gkt`uXN8}pPSBH)E}+7 zI4}-hIY9Z)@fe~No>*ka`q<&A8oReo3JQq(NU;+q!y|>xa1)LUk>(0_ZAfeC)o8nW>FE4>nqNw~ z#rUA}d5Okv+U@tXNqYl`)WUxQnt!(IpZy#Hnh;XX8#9;-Fn0COA|Bwc^r$^bPV4vk zo9x82Wxzc!*_)gg7dJ8LE~p809wKNTYGDXhJE5)88nj|kEz0`{vW+Uda zRkvm@Y1}Wi0J-uJ;xd)r*N&tOam2&<$|E8jzf@BlTIX)K@jcXgF+gY-CtSDt-ip{p zlIG6QxO)WpmP@_a6hm{pLC4bBjQ4SRuA%Ie$xA!6zFEWgo%2_$CHcaUf8wmmtiH9D zncB|U86DH6@$7W^%3oc@?}1N#+s^T(khHC@J?*$$+0!VH3H#0wO@)F3fo;i6WrPc<{XeRZezfjI{|u@<-_@5Z10dMJ+uK(HYzLP|PJPY40^4yjE4 z`wbaKEy1e+rnk6V)v~@Ui(t!)II+8Wb`F@()Es3^{dDQ0OKd0~r%=`;h!ObqNkz`U zD&lQ=-CX|T-iF72|089MQxk;+cU|ekMbt*>yaT2;+095F;?m9@7dP`gOl!a#6pgY} z(wS_ZK8`(cyY$HbTABouy?XBMDzqY^@dJN5_GGRJW#JK6Ne3KxR>w4DFd>>5gP0D+ zK?xbql;NUU>5L!XHzZDrBpFmYl|x+t#)mFXZ!Z}3*pr?!wIDUbUj|4rX5*kB5J;aS z$^j!MYNL@3qJd{B@BLtK!rQICLN1{K+e)+{H5rzFihhV?g?;)iQu(E&b%7lflKEn z;V<4wHwcw6Q}kxm>vivwd3E1WAA?pqp-(yC7%2Cl*1urAGR_JwMF?Y}X70D`>u^JB zXQs!%a2>;qS|3e!;I2>1ABrwSS@m&+LhJzz<%)qeD(0P4i1KBDv-+#6qz@{=$mW2Q z^Nse5O-rsNvkmw6XXr%&7_q(!DGq1usGAMNN=L}aoG<>9hu1WPA`{m#z5l<8Op-3~ z-y+kRWNu0FByF}OF48iDDFT?37X5E66BL(AK81fk@jna97dpHDrz9R{r9g=Z0eR?~ zYUnOsL^LLRGPz09f@HpYv8DsT{(~3MP0Lfq`>u|T8S#j9+XT^;l;`(RC+0R};z_Ik zQl9BJQ#+0%KdxuuN@79|p5+)kW{_uiyG(EWEQ?6wPZ;eF$0@X|zsbq5n`veQDv|3X zY~PSJ{o9CX+t7(aX_JQ+O~2CGyb~yJW5=k3P*+VsynUhetc&BQsjRp1P8mE_?Y6eP zQ(rrJlCW6gPQdQ@^k5!wg%0_iGAo)%bkVVHC;DwwWlbvyS)1FH14b5rkP(XF@NFLzz!RWLj1GAe<)70J&KwyI!T0j802O)qcLe@Rm2N;Iy>c2-BjIQPFKDYjYlyzI5%=^emS<$!eB zA5;_INzSalRFgB)B`yD#lK7PS1(NI-f@#X2a>%av^X4JfgnGMD>4Vg6OfzMsY{ zR@z{q=H(-M?7KS-P1Z`mg;*@8TQ&&jCKyFvs9>;eePSWkHbu$5%fB1w8E~&pOU-=@|Wkq6?f;8IL}2zpTR^47_hR>tB!| zdD^h{RS5bZOy`yuVQvx`td>4t$_z{djkmTlI_ zJ+1M?7#ikek33~yrF;eY5Qp@qNJ}FcuMGwmtd|!_%W$n%68c~Unh^rYtwLXXY=Avr z9s^SzAb4tJJF0=Hc-7k9>N<$Dm19Db6~M}w8II(qe?7?_Tp!+{o|aXi=lno9|X?OSGQJd3qOmo zIQ~&V$2(xu9w`Qb-;b$28E`6V? zxI8ftV>|gEs|NF5C}w#rzbDE)ho7NoZAEgASrPqITM))=ZC@Lb5|puqmlTDtQtS|V z5|&;_cMvOzTop+9AWkW2NNJI>!pmT)NX?6rvIHTxxeF;;FpeQCp0}}cXm_Uh9vn#- zhOmZZyTk%_+%_%~*Ii5;jl}=mVGnq}v%U`FK!zOuLUQ~900Lyc2oUlLnpIDbYJfA{ zT>cALsO>oQ&lxW0c{Gf^?RplMXH}Ihub)=dUJ4Bmq}RIg@40rin|5QYmN`Zxr$6IB zQo@{+HT0hPBruiNYYlJnSmSF(%v|B$@KyuOW;Y##P#<$`X-d(U|56jzAx?ToT*tHT zKhVCxh7CVYool*1Ib@m3u0oc3Gk3I(PG>F&<2d}53fKV{yQig*5U zC&n%dHrzkijXoS)xLB}HnLa>G2*DnW;4_T5#@ozrn4JR4rWQ(|xEPGhaFV$zW75}` zjdyHq1?^LP4c=#i^J?nfy##Yo&%E~s^WW8L2!9jIbqGJ*daV1}^nQyt{C(jCnWe_0 zYdbmy_(;waPfn{`0Uh?Hj_$KnCzw$%f(UJFvC1E{>Hh)wG_4S;VjK|8Dv+zw31Nbp z)plvL{V6f@bJSWc%{`W$uN2iz(+&j4`|_hkr8IZ%l!c~me$YE3>^&iplVAVjzHgQ*T`P*z} ze_w~^<^ED>`DXM%1pOy*OYXy(p1$n0WBrnAuR@9%2mLFJhYi+umC5}Ea+sGtZ5yeU+z%KXCI8 z1Fda%5OOA!|E8@cNIf)&VXWZzO6Q^X!kb4KwEf}97$HH?cXN2UD$Z|84g%oJgMBIS zmGMK*vL8nF$5+MA9Fe3YKp1H%{MdwDzh{;p-n+^+HZWR!=)5$5e`$uy%I38-Rm4Mz;4f$;( zZGZ{=ko&^`kZSBTXNv^u58BG-vvFR`Y9|u*Chd zw(df9!)Zy{))yIAQ?_V|N3=L1ssc&frHSOepIp0$5sleAl6#9ZQW)ec-6K9pNWBpD+{dun=77Jw+BI$UPtQ4vB;OA%w`=lwmDYB*p9g5wR|Q9!@T z)b&}<17MUY2QVnWTKcCOU%Wsc^wdgJ6?!22yi@%IVScsYLpg#Rs6aINmwI+4jLO|& zESk&LFv_~WF=HU;19fSCx?P~OvZa6no3?g(O?$3etQIb}vomZdIbZY?p zBxtFL+)7GI0eYtR9Papi_CEKF0Q;>>X81eb@X%-i$}O|Z80iMb=gt@P@L0aY+0hKQ zeS&JV;p!w5z6(Q2ly$rYo?W($H_ANJ>PVRQ0J)_+OcgH|W{JxtW$Nj($gWQ8(J`T< zqRh0p)GF^!^7Hxzw6svFrMMD^5)+DK@$rbpbVPIc-L;RDScNhi zq^pXMqIk5l6J>al+{UodDaqB8S?zoAl1!$avjQINPD;}>e@W{P@&-)ic?`xm%%@23bP#4+om}@NjJVIIXy@HWX|_@>oV;TE zq!00dOw(;l(Z0pUcuyfctq@n#B-KSa%HXI0G%LLG5MLKLJn0}FIXF`fZP@-H*c(tG ztVw`zi5Fm8N$K^h*bb!(7^-2a>v7`Tc^3bf^lO;L5$3v^SuZSPZ^NPAQO5`$Kvsq~&ghae9) zJ=p3ntTs%CB1Ve_c_=Fd#Bk9VqYRvTJZ!ZL#P88k1}?XOZV27d z4zrn2KCj`btR^OTEL2)Llyg2LS2-ORB1v)SRmV?5v33!95h`%CGlcW6KT_eV$72uG z@ya*zSbnijfcim|^3k()GroOo`kE7TDO)&|Zr_zq+LKeyMLEZyt%jdAt@pjX3diz1KSXue&86g*!^{`U<^2?)~Fm|D&=_R(RV;-~VL+N;h zE@cIuML(fi<83G@OHqY>;>%bVU9*B=9yOa<+i)#qXjdIugs|F24l3)rGQPIvr&V!; z2&)5cyhn1F1V>M*1*$- z>qj}Db%l~RO5Cv}eE7J#I&_eBRTOq1Sq6$GmgBx)52?h`gYP(LnZcNAbH!?0)(D(cG`>PA>;u-ccUevg*>&r6qas<1BsZ1x~> zKoP2(6Dg4GDO1w&7VM!TkiB5)%CaBJG*>9G520a5qzlf^#WCT~(Z&y3uN=1VchzyG zXf9IFk=6G%UTx*UkYGVtftKS~FZ}Wo$AspA2NEqEfd@pmx{v14J^v;xZ3ZphBb7X+ zOEu@Ns4G8fkD;Fuj&b2bn0Y{6(Vw*_DI(n~)TOrZTIhYOg4dMa5t9xCC+VZ3)qom^4J*ptwOVM+bN#(N@@=&om_j|Psd4TsrcBCKq%6v#vBu)q6Ef{@`Nd@8x- zn*t~8inLZKs~gJv`1F2%0jwd+eSCVEA=Mgb#aI-9lS&E4xj`@tk4cgEJ8u*zv)s%j z!Koc4^NNfEuR`Y$)<_Hbpg+E`Ej3B`W~RXu!(t-G7!LMgDJvPbc#Y&dJ{ACRQ`OR^ zIMUg#(gi*te8*5Y4-P!;4a2oQ2unQ-(7Z`edW^~%e?CnioY~m47n3!)YH?R)Oezkv z?MK-7K55O|GwfWjSUnIpth~23sAxTvTjbgoQk5}aV@y#c zw3H{|a^tiGp57e*cBr3+<{S71llh```cMKSODP461XyUpV&v=oe}k9wk|j7U8V-MP3>P z8sRWK&@v!?5}>X7zx#zcFoel~f8g)`*)Np3Z2AA{7mg1R-H4tWN!lj<#3K_EI(grJ z)X5{Yuh-F8EhF9fepKg{?&%WPv%FVMByK!TdRV4sGb=OnP=WH&!`K;c%Mt#DdnP~s zo1C`E*x8yuT+iCR%+O;Pzp(wr$S1)63?~PmEt470Dyy(|9ElDH%_pdiXkw0__g7AUQ|vVVL+6KTYZIpm5_dOC^29ftgf9@ExBSa@iBk2W~FP|tLzt7tn4mlaB5aMrv);#YRHMT zM>07h4%PE4MMoI(iw)$A+7NfO3Var<_8euuAoFL%MDEOFjFbsvzLfAEum@vwiK@By zlojjn_Q!u`BFv4l)vTYUax@L~1N<^d99_qZcF`Ot4^$>qnbSR-j4jZ8Lad#%XU$1 zJgQk}uQxo^yG}N2M}!GF6{USZ%Ib1%(rr;z8GOIU^V3*4fLm`#8Me^Rtdf==)nDBk zkmKgP)J!Zb1J-q@q6ydz)e5Te{R4p4TxvVQifE%6Xbu@kV&%1VMU zxTy2vcNC!XbuxlODv%{3+a;eDA;+8&q$E)@{}h>j_cY!fd|A}u9n+gLkHNm&eB-7* z(Rg2NgS@VBTU|p5pW9$QS%f{r;hzl$#&DV5l=vh*6Qj9O>-eRjfMN_<;w(tTzcQUF8ptd21$n|B;IzOY9*J2w4ZLmrWL4i7&pEM3rA zO0nWK#}Vmi^i=~5zQ$<9!5J^;p&H#g|(YHin9*1H^D?4Uol!zt)Zdc0XO3h z|8BX%aU8bXH5Z#}Xnjv0sWg{qtS}@QtB;U2%o8^R6P@7xHm7r#c(lfd$S~bc)GX5? zXz_xH+Js+m(>=_1WUve`)37gDOll;WdQA{NYM7TSBF<~O-5J+@w_L+hhiPz-lpVGF z<2imfu4y zlS3!840~Y@j$I6^LUK5L`Vox&D9f9f7r#@atcuN1P9D=Veho$CSj^=rVMXQ3V&xH+ z2_3)tb*r4Vz=?ZZ$SR=qMFyWQ z^F02lefX`pai;E_ES*{O*y#w>@Zm*pu;VZbv`0tr;dn2B9RsI>(tOP^n>#hh^@Bqw zO7PEYezM{DK@=(9vf*06#dhmg?dz+hC9^+F=UTFjxW$(_yPA&Q%5Y7V*q8rc(-!6q=vnL!2qY;T0DZ3Cj=J<`RoCh;e{LrtzzPA8A zol7nGhOVR2$iqt06T?*qD;DQ^`pAABvP_&8BIUiqmdR1z#swP`I3LQX(aE#H*)yu? zXYaF~=VyFX_>tOO{>Ch|w?i2SFk-x%o{T^@xO|kHpd(sk!sdz(RxH!b@6w?0{vIg< zRI1kHxY9M_@8q4;s_sY$NAxw3NO9obRq#>!RCVgzOZ@g%r7- z_lI>1#e0nr|CDMNX4v=x>pog?b!H-aW>O66`TnpDijDxFdFt7pCt#{O_2TXcWaA)4 z;B-NQzGmR#PpeL1p-xE*=gB#YFzRC{7%_cr6M~iZ(FDO}kMPD>KS<;DpbuJ+LYNYa zW2}T3B>5?5$Yl~4!}Bn`|%p1>c@c>K1UnO-s8#>i2s;qW4ef)i?r03+|Q&mZcaq5eF_ZRY%r zw>Ma=wbF;Pwiu!RY+w5DQ_vxm|8X-j$Hm}-^5@{!gF#P0%F`U9tRv5P4 zF$%oWC_{noG@-1y3vhIXAOR0!K`?1gs!jSjD)NXbGd?81*mNJOj06F^^o9Y*T;^h) zs$-AHDtR>0PjU^4Dp&E1f#0)!Z|FPdR}&EqDqH;VAo9JKm>`f`75in+B!oUOe>*ij z3-vmzRwaz!q*_pO)#fNGtkd!v$FQS=7o*@PCw;`~FMNRsMJk(!WFK6$U=G zG4#PeApESWkn;ays6=z2hU}>Bg~{DOfai=_h?a|>%;=R4Wvy%JE)DWv*iDWUE~-tk zho4YBVPvE~zX>Zsm}Bn|t=R5+`JDR0_;?^^FP49uoxSVF7IASD&`JG-R2& zOm?d7jeIOJ4lG1yPgL*P5_UB|OZu^L>Nj&G$^)XYh(9fTnqOfvP={R;3EsEX$A=FV z?T>cCm_n$S>=7Pp$e+g(C~t%dlDT*((S3|4?khrAS9m?(@%()m6I zm7#N4y1e5scj}FYB*d|-ZgG}w|3U+Jro~K(aTA1vqX|#ZCs$$paJ==)KqcLM2HDN} zSahyKlx{Lz5mhV5>@C8cX17#Id4j5<>bBl`v=a8Q`{$4^$E&I@C88yrQjUu}j5@vv zb{pviW;IiJ2PjgGd>#n)Vx&Z{0F4ItwWG|?d5n5$46++whUW18RZnGEMD<=wmc=6# zv&Zw4Dq$5x=9>$-8H6W}f^CrLK_w$*47uzdff88Lpo=EkWZ6yqarO1Ub^9iS`(Yze zBAbl=aq*KuZtK(=UXY1%N>EYFNJ}&4i*}1njNdYs>A_U1r2N<_^wCq1*3lqdcTz3J zO$m{fo{vHwGYm{>rs}x~u&m93L5->pBr$l1466|O0DD_RyFP6l2W~WY9ge@2+=z3* zKaSua9Pj}jX8&A6Iwvki8LE(S#A$(`z@-Wu`>n;aZM})}Lo7I>DKL(>Px58*;F~7# z{72=Bj?RImF@Bx20@ldE@&I>=PNy*5i-fpzwU#xtez;Omais^$*vS9^MA$g-9<)XZ^a!Jh$;|xcdb8G&1NYUA{Bt9x_ zXWZMjGv-GZ@GM`FmQ5yQ9%M%G8T+ZC(`9wM&yE*mluTnk_6ZqI{aqDNUA5dzM-5Em z@%;1F{GP2M{HH1{?!#O1u!z`4wM?vtR8JJA%^X7gyF{kFW-qc_zfaD!}fCwo4bhC90)5p ziE?vRf7N5hK$zcKLvXZC5f=gf*-oT#uC8cbcZ^CRY}28{NO^_6dS;VJ{L8kgrQB4; zz5zVf7={!F8|_I^(DntgkDCOE%Z|qd8y!knuwl^lsoSBy&+Zc3wdZ@z)bwTO^8ML4 z%6Vbta~cF2XRGM@{l;>XY&9?al5a%b{IInX<^f{x>W>V=KG8k5-Y19y1>uS@X<3^p zpz7w(l@ZAB$kuf;+(z?d_Y`UBqKM={JYj$qkEfy>Ydw;!mDrRG`(AcqXo;e2X>Cm5`2@UI zpG0>QhOg`vFK9%$;qdlDUV_=iglZy?oY)^z885#GV|zGcQd9A{^TPfsaQNBDRsH{q zt~U>B>P-896$99c+6A>vRa_89tuoU^heZ(zTCw6(2#g}+eQT)|1g0o3Y)K0Nw6e6y zQfoq5A;gfAq#_~1lB8-_gtN4grLv`qNC|{Yfg}ytzWbyz^S$36U$41(UD`oIIC-A? z{;gVNNbVxYR1#o1cQjxvv~B_j|+Cb1T$MOsD&V=A&U1aFcm8)!}tNE>A3^_jaDEL_t5t0>Ev?7l$0))At~ z+TAy37Lfj0cK85)!x^_z(NtY1yC@`nUqs zn_af*dkQhsH7?|_f*-aI45vtrWy8@rA|~6oPTdvn;USpZVyNC)L`ni1`YN?6H%QU1 zc%-zBbVe-rJ+)P)N}xHq$cPGbdiNCz`iV*R^L8_|(I$qD)RiLhx9non}-$P@Jf;sx5Q^vF5`3MT2e| zZYviLOt69|Z-n*1z((`2@_(Ev3oszeXYlOw3L&ktl@P{^G)OxRo`5S5{meKIok!S< zE>N*#L{F{WYQdTYQrhd%9IM62SYzrmE{q!iUV-Z4=YBqMVQ^A1-ZXUy^p#}4$N>;L zhaIJ7LV*5`Vsag`TWsL>h#@E20v>eu%r2X2sjzpAkfHke3YQ*d@6{L9;T|mrD3#uYcntK|OM02v9moDMt$)3Tp4RZD(En;D=il1C zHO~N6_XcctbN|8We(`u^DaE5{*=Em;8p^BJmhAKjr>v-37Ek#=L)m%MYxB~b|1VAl zy1u1r;EU(~FHScyclp01H=fHr01Y+8aYo-7{f@%ad=H<=spWeAVplA9g2QIn2YfRN_0`LK^vPhYNa(D# zW&|&#K5>8?CDw3o9aJRGt}MRq3}Vd`_g5_`8FGEKgzQBVHb3vRCzf)u&ryaodFIDc z-acaI-kGAX?zx41@k_|b$K%K+VF68(`INvZpA{5d)naLOTDG@CzcTv$e=Ey9zlS~g zD!l9kC;)B(-A1Kw;k<;pu= zoyPd+_=bl4D)b6l7c|{SSsMiPq_MMegO9_;je71r6sUuG(;m#SPzYnDnfLP3Qh9_ami!(wdB3X$?_ka+wL zDT~}r`PS#_(1{N{M&<@zI`c>Dr5nojkRifL+p7vfc||;5hGJ#^?QPq)|NSK{*|x^X z(fs1l?|or>>~hlJAJ5`^3D)=;D(D@!L-21vA2MZU0F2yT(dezCl(d`pZHP#CAGV`7VN?&{chE1j>2e!OrVX4&gUl4uk$8L zF_4odqTGD1a2k$`U|jbKf`D^{%ZGLkaJb!6^}sv~e-JYLc26mYYnolI)0crlSpAeGdIA{Rk^y>U_VT@+ZeXG6_uBj`PXlwIs!O z<m4nr+Ok&CYvb15l-&y%RQ1Bm z-Li)eb4e?xn~N;HCys}HT?5hTwmIe9AA^A?0pQCN2K!BOkF*f|dosanMXzXX5MIWF zwSk#vm!ax6NpbyaJ+1_B*u6T?mg;wFX#5jP1NQX*E*cm?n;wGTEd^sild@&n`-+A5 z>OF9(#)69_wIU0KJ1N-~)|1!W{UMZv6*^>Ug|HhHszIf*cyqe1m~-tPhd%ntk+(e^ z4h+-Iz2J7B(VzQjC4YjkqvE2lPF@8IZNy|jB0HskQsbGPl|hAnpDT6rm<3J zY%l_m#!trxOmQiNN8}d_t?Zyy`6Uh{rzFB#xSzQ7SYB2T2)8UylgE|CiSm{_23W1u z1qr|r{^(Tq`CzJl9wV`@c*@b8G1>wWn)pb-cQyB7A5^x@TG3&u;MMa=0ShUzpXHijL>ywrH*b#$rn9!uGb0-;(;k(aO%}$m^NxPsk zFIHaK+$xjA<^ixdhKos~646MFXKez_?RrCB$;4~u9r3zQft0wV1^04L(vCb>#On8& z{231O?C|Ns_A#AVb)PH+vy5;e#NgR*7`V6Uhcyp|rz`D?sSM5`Bk4D>3`8}6@{=I` z=PEeqh3H?hohZ*4GSug)$B{s&)XO!uiESZ8dnt0*zi?!@pwu%(OdwD>-$?40L8ecF z|H(CTBxv6KK_1wk9i2c+Dtb#)dCCg@qaCG1nLVYu9sBQtk6)h+nKmP8Wu9XP!#(Pv zf+1eD%QoJ8Yj6rQNN&{3h6+0NRYRjt-y;iH(=A2GID$WIOI7E6v;w-u1Eo06rO#Bf zl@%s_9^R+kf@=t5pe$;y!$=5tciF)M1`m?}05t!_2q8^oHm5mb2T zX^wS4&^iv?sG$t#wwVL{E; zG@w$$BqVE}3BR|akUogK0=%;=InwHI#P^kS%wxz&exz$Q!!7M$W>I&|SALz&4ri0t z1}I?GopV)HUF1MK%uhd~Nc{{ZNU!agoKDvqbJmS0&Ojzo+^7$8YXCnm{4sIzBXP7ip>bg00x6-4krYf z)Q$GN-R6+yd-bLIbOG$>kdPp2J4Uub>!30nND_J%)+B=-Jqx-tp zF+v(rY>Hi3o9wgIRDdIV^%@YQ6OHH98_vMmHE|L=If<-dFyVlB7k-`aMh7jish~bO zZo76m#zQ{L30Gw6k9&gp1sb^^zhFpGOckmib_fnMSaLfRcTvPlw-*Onpm}Pt4`?Xi zZa}u}E#0h$YF3Iwj1$PQf@ZVCW?_ei)S{m$2(0X)6Km|c(0*MAV6yOVGHCsG1K;Pw zUZj&MM^OHg!5Fp1CVM!4yi>2 z%n!xVr~k5mqrYXibcM|%s&I)JgD5hKwJ>b$Sr_4zK}^aC1Hsc<+R6rVffF>qTWBeY z#}Mm0-o(PcP8b)-XNWc_UC@>i3$h$g?acGx0~3PC#H+o4p=yhj@8&zvU zwN7QpV)pi8Y8P1Zx0lickuHUfRV=4Yr$ZWsG`D~oWjE$ClqE@vl~(6?b+f2jZIw^U zO7EQ!afu9VQy653|G{8k9k8&`W(FPb1Xxq1-zJ(JIm&egBS@vM^}OJC%u4Q3b&OrM zwPSD^;7H!}zGB558lBegdLk|{j)TuM9+&5dE2=d5RS=hg%q{u`Wdy>5wl+d!fcm?s z0EWn1J9mt~uRG1AtRO`>qIUItiyq3?q+l2UQBK!z`G*C<;~pZil}`tbHxT96vq9J< zFe3Q%#02z&x%ZyusZni@1P0wLHp^6=+zBK@EO=VuCt z?F%E=7wqa^Hf$d#{cGx;<;7jNZMRt4A-=q$t8sPQN2Bf9#VQ= z;$*bsn^Z2~0gws9(|!WXY2m^-^!BABdg%t?v=9}>^8D^C8kkXY?&DMO?h{BxNE6Gm z$Dj^;fH;$(cDC1?UbO)<>_{@$I5HbT#)28@mnPAr6A*LdptBB5seHp6)jvw9zMhnSJEj~tT6;U#yUyg%h?ax%Jqd#OpLP%4w@!rJgM?lK&*SqChSBb&5``% zTQP#3i5bi5PERJi8bniVW{76JO(76NKcxZ)edszYJt0wl_YjXx{7+&_7cSOBS+ga| zQrTYWVY`Vw`IAspZB@%kvvO???d?IGh&gJb+6x_WLYz?VNn7*ZlGc2e%Vmd^%(V11 zkH5f53Au7!=Kn0he}qNY%j5i#KY07rLTYhz)3QiP2so^~Hn(}NTeg$AH1IzG3Se_SDNTJww-b<<<$XWj999IU62bKpJOP7!JseWI&u-c$Z7qCH&MtJ$4C z`XS%)+_v9Vh5A;uaD56^M=fUi7L&0D+*{wj<`oc-9aaSXxmR8YbgW^n_|tbwJ>G%^ zbJMFELyiScg#LQ%fIRG5%EtImNA!VWO1R>{InBY+POmHRksk{pZ$t6Jfw}E_(%*VM z*z@(Sq}3Zv7+?P+@UQy;9uK3``n(qHR0R|k#ex}+^a$Ku=JThf><5Rfvo{SJjwPQ> z(EFE$kdpi%k3Dz!84PhOVB?NUvng+ZX>0b@Qn?V;1f5Q#{M|4Bh0*?uYu}#!Y$E?l zRPf^;hkiJt*__#}kT;s+H9562vuH~vsyeFcz?KpHg1?OAoc-ZdRHWK?yIB?Oe0%a^ zj_PpG!`pLq6K)&fTv~k+IT1uSN@_jbY>j^e2^96)JO5*b6TebQ% zQE6$)3To%};+6wyC3kzCyd6d2#oG%7!?o`YLtT>I&0gCU^ zKo}gb&G&Q#m%E0>JacQ?uLXtKhjQtzNm2LbhyI4{hr5h+j_~H=#9(3_?rGb1|HE0F zr*r&rS_XU=r2HfT2Fqw@FG}Zx^SbfI(E#=XvV9g4aK@D-mMQPgb5SvO<@U}Zypgwh zy$;_%6INbNSI$na=1Z{!4J-(!y{mu6M3G@GiP^K6HNM2GJDmzgY-Bo>>VYKr4MmZA zPY5FfaO3HvJ1+o z8EeX!TFtj`4(Z71)=a#Ky(Sd$(?I&OJ+H;wQzFxpvX6xx<-Fd}sbd{tNRL?cMqytG zRiB=hRsa!~71kE{NMG>@u_H%rW*?%F>)_&CO`K zLW<%U4yZck-LrS&BXag8uog0E6b3GJvLehz@}IVbLt?VF6@%EKO!n6`MaW10dO8|s zNI0<~DU-MpUANq&gX!a7k>M?c9a1)2(j0eS!rc9dpCD!w#`1Q^Z9>d#|A^7FeJ~9+ z2s6MFbyY*-tkPe>q7EyEMvYlx?`L*C_&o6-B7VlcRPWZtH zw$Zw^iN+jLu zzI z${;BXxKdIrO#UGg$`VCL(%NGZ|I$Ue7(>S3Bd^dYOSIFO428LT#fis#6_X&oWI#di zM2w(br;#Dak2w~!q87SqHeJPmt4#wUIxsvf)iXs2H0pg;d!!E z{GJkM@?0CC26Z(gg)uB$Y{#Sh-D)^m1qtx9X4d|%F?$CC48jmyNbVFDKzYZ^k{9Y@ zRygBpJY68J4mS?hEWJcCH0(3Xv?o8Rg~Xa&fh4L&JR{zNS*SKHCTn5!Bib$OZaCHr z_;2-{2KGBc5;)ax82c^Bm~hH~1>_j8_Y_2#my^xq4AylkF8!`wH|Kk)Bo≠u66I zX(GSl3t}#G{hBbZz3T7lju49Kg<8p-lbV4$gr>q4R z!UjWcZGAx+@!ka9SRdwgNgy0J$R14r@lphOSrTpm96-9iLo|*eS#DcTA2V3jN$*I7 zpFFiQ#)HImU5;e!gRYBZkHH5b;K8SeMw6p_+0#DQ90aoOf8t)0Lc#YyGR70p)2=!l|)94M&K?CuT#)t|HK-#9` z-$^q~tNqBwa10ZlBE>EKg(hixuKK(QV7>w+a~jMCCMhA>`CsFwSaLm9FcaDmZ_m*g z%U5vVvD76b4p}MT)#-vRNp8X^oRz0w z$?BnbdypM8-hd0;@coU4oQ(zC)$11xwf`#vP^UosZ+#r=BaK;E)pBV^p-IHHkTG>o zIW7P;Rz1SpBDfUeK?7u&ccRi(5HZ~~lA@EdSyj#NACYCyU}h~6^AlUA2pMoJ`} z_7^$vh64-}oQSiAe&Ih@$qGoGtHF2*aeMRf*hE4&Ifyk5+vIjqvW{u;mA?l%O^2rhC-Bl zfl;I&&EJL}jy8N-GWL$ANBZaWcb;_Lt$BXYC?)P>32J<&=|eT%U2U9P?5->E=~&7% zD!ep;-xjva_pA>zl)XU9=mLpQ*;dBK6=z6m(7aE&B2=-FLyhy_P4^VBWQQc zn5kyVb>VBMrv(N|mtM%V5GE@pVkfP%@sv=`pJ~`k7|@#>27_pXI5LZ#yjtKw9LI!V zVq37f1R^h9{r?q&*F>Z#n=Frq zoK%>rO?QAnB$c=x^9Y~ye#h%{)3X2ZdSk&WHjY9$tfzdnb>91Qw&yjl%U<)@y*GN! z^NDB0MhH*wTeaT#!LO^F*(*1+L59`3pxICU<^LB5URM0!`?s7Me(~^$T(aBCwch>( z*jLwmb;*k~Gkjq8!z7-$6qY`mq(*msf5=UZdT5#gHhf6=d5!mijlJP-o-MOnpXEMB zrm3E+A#1=;bZD+mgqFLGvG^S9ot*E#JH~|6sW-?Fluf3Xz|~a#_V?oVQUd=}^4Z_c zFFSML%pdArrJjD@|4dfW+4Hn5l#N2FJgERuw0EDG6vk^Pk+cLkT%-wcnyHoRQ}d@^ z(eLpw9lGvVy*EGZ7xlVhvJ20WTKggzAjR3@^pzx3Rem0|9=#*kcxzNTJvw?-_vhcrt{R{0 z-P^JJAui6bCmLLbMlt@ZBYnXuQsiKd;^KU-D_~fpRLmx!V*bkY8AGmT+Do^nR`EN~ zW>Ro})Ba2}0N$m@#KPJz7YW{g5p+6KGY;J74hGo!;HbMeQ}z$TNB8C%E^;#&falh{ z?Wq^T(FQ6R<;M_yset5P7;cIt2Ab~RyoD6BFb#r*LU%ljl`DmNP#(m?d0O*h$3Fp} zdi#VQOC_B8ho$@|j(VMG30#x7a+UCvXZQa6(IXV;8pFP9M@98TWj%g|3r{!@Of`n{ z>y*MI;>$(PiX4%{+lX)L5P%2ePEM z7k0u_nhQB#i{oWm2!51(7WjWH$^0+*^Je&$*KZb3{s4>Lc~jqO7YoboSwFfLSL+Fp zA41R!FE>qeV`iL3J;ypCEb2$%zX%Kc{Ac^mtQR3i_%XWDq-*XQzKeRRZ{o`rEBo6v z-noE@60w#=-RNhI4no|Dab@+d+Ie@_&7Be)M<8$2u;5u?K*glcVuawHUb#Uh2l(5^ z&*4s@QI?6^>uK+wLAO~He*k34ZUMD?#HW)U==^ce~OZhg9T-6Kr%@|NY!b|^Y zEFhs&b5GSt^UpjLr0!&L!re;!(EIPhqINKiXoidV={B5^8Hn!9#mwcg@$uN-7Ih8( z97upHtQpmoOLdyJA!AiU1!pVr^Be?{2lhU#=LAba@ehQa6`AR!enKjxi;DOdwE6bYQiGqa{;5ZwQI&mzftw!kwzqIy6R7b+HufRwE9(9gl_%eN8Yl=^xdia&W8}OJ^A8E8gAGyu!QH}|U)wY< zNobo+SsJ=iV?bS(-pAxU+RmVYN>Guwy|_q7wi^gavt&tO`uGbl&ckxI5M@uwBrl-j zo)(2$7KRCirq!;l@k*y%YpyZx!x~+Qu3sR!O!(^)$^U!-XefLzwGvi}c2?Jqz`UCW z;;JGU=a6I$gFEPkY@i`HjTE|9+1o+ro+&StGFMolAqSIa6jMnRm_Vjo?I-pPn$2}Q zD_IkzZ?D~QQNQLb$onXEI2C879bd-qLr3Y_GNffk;j}?@pDK6{1a5Gi0BjU}#GVZd zs>yv2X|4MF_^I~RG+Xb`#LP0Iwq}KkGk_5I?Tb>*5*nnajZ6^V33u68 zFIwBmjK+CfsN9Nv8M3yu z3h&d4s_nU%C}MDP00#LDTOeXbv5M(wpcCWKQ6C@d$iD?$q<8J1uO?cg-lWETLg6M_pRq;gSN8gC`~j3lE% z$B_jzFr0n+7laCRX6gN&EeA?NmVW_q>Mr z3{-GDIGs}NLv1I5u0ukS4&@CeOk#~}^JL$N$GB(?7lL8y6X!VlP+%?As2D8u7O<4H z^#tBBl-vt&3D852dzn+JRelnMU6BBt76s^6!}6Dh1)EN#0atp7EDRaxDHg1Y00Cnm zq!_Q5bR+7_tfc(x7*DkvL!#?FlE!S>2S%J3qY|rJascG>Nkn0>6@{FX1NFu;=n^&@ z9N+&?aZ_dnQHkuKtw6oz#<_`y5W9_uv&^ck`oYnfqD*P7bgqoY!o-pRyyi5oZcs=B z3>lyN1b)taPFXfRlDB3jElCa^7BUv~JF+(8ou76o9MVk`Yp&GpfrfWA5 zsVwK5JEczmi5KLoBt%zPv&U@k@j3=$v$~xSB>Mr%V3(3#Anr_Bp{6^PI53{@6N4v8N%PkW4 zY^B%t<%4V^akWGNz*4TN6VPASqc_H6lc@~s;?oe^ZzJ(v8z>JmfbYpH&r)atN|S_S zY6u)QMS6NSxDG)TSeC^es@bie!s{z08IvyigcNd{iX{}#RPde zam#?bGC;H!IC5}>&5S?C#znQK*9IklD2DI0iQuBW)Bre70W8Y)XDAvXs8%(AyXmYF zHjw2&4GP(T>T0Xb#=Rwuue7VxIfT$gzE~YB6ueiv({eTK`}iQ&IGN|TZ_}|3cA9if zPB8DaJ{JeRw!NV}r^s2lLfDFO=SbNGiPDL_s3jwh97->hNF~wSWMSIg0%DUG z%py=CV<+#_6KD%>(|yy=J~I81Lz^VD2}oVhS8>Ab!QZ0XqK-C;b!EujF)D0IdU}0f~4ci69hFL zF1m{o-d*9Ewv8A2w0w^98LmkVfKUQ6iZIyim#K?)pZp3^Rr{oI5EJUpbdgjkD?F@- zUJ9C>FUA3$Qd=#36>$Ijk-ppYU~07l&`zDuH)_#=H6D|>kD`iWwZ5TxspR^xDL(z7uVt{o<3gTgy<)P zHZ3J6a}bN3z3UjkvlKL>OzoQN=L5j(6qZJrbve9Iu^lh(F69H3CMH!c!+p0i6bokQ z$(0+%G9%#V?QZgo~&2q|rl zx)}$L+!&ozY=~8p7E)vakOWIE9Yyj&>>uZjS1@@Qy8(mk{>Fx*&Et%7J)Fm-Q6akV zvgMBR<1mD;mOwI-$ElsALY+`(N&KSIUq@C&xV#B;2w!gdzx1e;@io}_H!qNX#S#zLXx;IjTb8)@S4jMX)+9*( z-0ZdSnm6V3CBYus-ul&hwb$`A%a2luJ)$A((`&mY!{ge?dG8mzy7Rq;L2B{Estt3V zED(CG^W462{Yo)Rk0*>?9KqT!`E801N40@g?_an`-vx|g(OwS!^^UH{2&&_7%JWO! z06=@fC!v23qe zVYWZD@ZHW;TjLp#0M^h7--RXW)cPtA&KmyxD^MWfyuJhppeuW*@2=xVM11=98PM!?QWC?rwkb;B)Im6iMA! zSL73U#Cj<%Q}@Z@YhR9uaAXg&#!!Cq*HT7pY03^ziIz8VCB3l_(X^*D(TMV+A*OgQ z(KyI-xB*VSY~==dlHHrTHdL?=5W($vog71Z^>A6!^?eG_FfNuHakU5zG%c0UJ3vPz zs;RcO2uQDMH)mR{>~Q=pmf*|C-|m1|Qu9amZd5sK?E`<$!*4IPViXx6PQiCP6rmqW z@kf)<6kZ+Sy*EL9Y=mfr9n<4dCXO8SV@<6sUkv@{o6zGjf_|A|{P3=_Mgnp2g=0Nj zuj5jAn#B7WeZ=rezL)j{(K5B#4IlyhYq40nEh>O^F76jN339cB}t`OaeDbT!YaFS8UlG>o&rxG=3hA5;|Mv| z-+XPL?=o%ElMwcGkON_Ovx4Qz0c8?}DjolX57cVF##=xQ(OD^-!=slS69j(%ZA?M7 z87xBY>%8ldaZy)0xC9DBTcxZp8ORSa@mnEh6(&>(d)4~P?hhc5CrqE&{EDHWwvStz z%=392h=v#k@U%mIHnIOzxlZgq;@-z`F@(3chTGnxNx)id+yfg{q}?^FESuxA_hw{Q zLjWOxpnH52(9s*jGmBE~%Im17 z^&pUVc7OH7_f#vyfnlh{kxoj!*qicLzm1n|8##rGIzrKY@S2?V`Wz&Gr%3awA9um4 z0!9oH#3wy1t2T709)N8`4d`jGY}2W+$a>&OOt1||@)@=7lIa{a2P#;2%-qITLCOO; z&yH6(7feRy4-+#u#RNB)9o_#PDiF`(%@@^HmEY8_%QkEM+{>!wb4MaG${=_fP!jot zyhMWARm!)^v$HiY0fR!D)Duf;EKunavub@(A~eJ~n5_vUBre2}BodKvC`(M8`#bUr zz-9;X1_3Nig`G$2`6LZmZIyRPL7$t+dbvJZ>oUPj2YFF0$cqwiq`hZhVioXSMVoUq zq|CIdG)i@jxx9W3`b3-!?IIBHoqWuGAf9PgbqjY*+EGbS1KwiB8WY?i=l2pokhT{T zu<67G0~it8e1lpbl@nq2loY^NssoB6!@bY-l}uAF6go)tV>B6FWixv4yq!wj%zsJ_Q znL~DX5V?o|!E`Wbe}@bgaNKx`vP67rM{$C?A8?uzUIR5jVlye2tg-{l%LykPRr0w3 zRyE-%S+o;^8Oeb)X#|qq{Ulh2d27ds!Ksw^!(A+qt*Qa;!DF5T{D&md^?dvmR`6$CJVcE3{wLXKG*5hSWmG zjRn31sXKdCXeJ|2Y&COnFqjLpWXKw)SSTcfLp(T7+m+0DfSirwU?_ojHKS1VzyvF? zjr{ZURaPfZ--BGT+yd}(b7qB8udql%VkXc%UGo#1VfYMbI~_RhWYQ`1f#Ij4dtsTR z4Qr0((&|9p49Ydw#1+Fh@(5TWs|;&Aqrt9NNhg=H?b>-;FAeyIiR^VjCVF4V6f7iK z?v7&HxaNNHB(kf63h6Nh&HYKY=?oLqg5D9(aL_}JRRJ!~4(EWVOs&gdW=%OX59UDk zsDLTBfCJ7${K>bO8dNydir-E&rL>i^Gt)5S9IJW~S0BxSC=G}R1`Om2#Q@$o2)KML zBMoqQR=6b^KA;84lBrf!gr##`M81odHqkMp;}Q7eVX&HvB`t=yAT8;L9k3${Ujxn$ z=-*>Q5T+WwPDq0cN08ICBx~&nMmV#(DhF;mi)9R>(+)*h$mAT(I}L8oBPKa?8r7*b zD?)$;WSnD0NrK^F0YxU)j0bWp}n2pLTJJW;0YvKfHl(e>4Yc~o8gfr{sOE~ zNI(JB6o9(!RZ?)7U@u%SKn8ue zf0|`k)TxCFrR11C9T&5rNL=ITw82j?3n)miIwHgFicvc%=*D>hToRP|m=#_-0JsKY zvaPI0PnLycH;-3#!fTGp=qa<+U9~jGKAT70usm)E7dr4p%Ord(-S1lyn+8gHqQzYo zKo*QT)`ihgLGaj)Ld$sRhr5fS&K9688RReFA?zTXU?5@4-erPNG(%IqWml1;7}7uh z;6!L-Cxd(j6{O?Mi&8?c|7S6J?TI8uYesL|*|@i`%I4a$c&oGR~FD&li!N9iXwP6|ON^C2YThzn!l1Fop=e9DT6W>DX)Q zr}hA!2p-RKi&9D42~g2@bqT*5y$PQalBO(+N)o}+xQhn)LU%#GAjJ|4a0(FT`Wk9M zXL_&}I#i^6suMCYJe~Mb+u|FfljP)2Vuz@5QXWC?DKQ*0`IkDzaUtCi_KV*CGMVaW zDPR?IlGC9#5s*YVxSEq7mB@ApU`uz358T00w{}_mV88?)8{?c}KCBjHCs}c^F-MO%M=3FZ+ux)SD=`Eh}wXD)h)u$fI+k?RJ7}N>31{?F|sf* z)(G?M1|8>68ks0!?khRT2h5VZfWgg?pN2QGLmz`~0f|Ci;EVPpOgi!W)$-RSCY_>TI6$lvaP%p zumVJPs_gK@v;#xt&h=gnVSt#rYH7Mlk!^yVlnaUj{h4%Bn!Ng-3g0MrFS*g;4etVE zfaHR9Yu2JHqqgk1l}Oh2;H_zlLqG$g-Dro1jjUPd5ubZe`_336e8~GgAKUbMQTS|84cy4~{UlgAut514) zcm?Kr9e)9QpC0cn@F_{2k+DEZ&U?S(c?A-G2I48z>!8~qVdaJ{aDytdefZz~y6VHu zH9=RGt_{*`SPA;Mu+*7VMN`YKY_GC4Qv9n7y>?|&PBb}a|LgrW#~K-vO!?bt*zi1doPUvIEI&*8bHEvc*X0<>*MJlr zud?mC8t-GEE&7C3EgtAUz433tO?wzgK4I0sSJqwre%nXee3{NKev3OEeNnf#X<*%_ zrfOoF+^Geulkt| zyP`Zir=gc((RgdN(jE(U=PV&k0Sa6{Q^hO&JXsC1)fSQSH+`d(kWd-=lWxS+k_nh)eR))Gt`dn2x!~7+v~78T@seHUwgh7ZC43yP3!2DIv45?j8A3CLf~_gu!G zR6R=IL&3p*$Ohe2%Bl5|) z6B3u=0$F63F(5uVGjq!>({T?f$poFKKG9Oxb1iPuwS*&lKwlNZS2I5 z6c7hm9&QbOq6R`>XPP*pR(M4ciZ!K(CkmCkbkP@(w$n82b%c!6L6L!;3R&CX%p%Xv zNi-V9XaNAuNfi$v{VpG_F|zO50F99Py{yyj53x8c`}GcmvTR}qx{$%Q#8m;LMlQ`9 zeRGqnz5U@+Y%Mny@J8_{)HQ&jLtL|5F0Q!WgvKk3uVBlwK{xMUNByIJ>1)JZcvqyI z1FHSitaGwKTtrSei*xE|jaBvjMePw?J9cLPWBvo(JkAPK|nxzk5ni{m{-vI{`yU|+{V zSo|XR-iF-`4JWX#jn#IM(ZRe&)sK4YwGe+SVD0R>3sPM(C#m)Gi2MHK4@1nTC}XHQ z`Uctz2|Ij8t_WY&{&~bX)wVrw^`$iYQ>>UFgqL!_=8uKPq+pGCFkF+5bRY`jqp0@8 zD!-%=Q7euMW5@)f1H@Nuq#qYvf=Wo&r)Q0o=hS zqwF+Ed6sTi^*{$t_VhToSM-%GK@K$dDeC_rd1c^2UGHBQ4CgN<@cHcmYEhwnOE5J1v@ zs2imwV>B}I^zaj)!e>$s;*~_PGpA*o*BET5w9i6=tzUXw*@O=FSV%-gSAa#w;HH7k zscQ^-R%es62**&bA}b~cO2nHPHzvOeC0ZtWm^%xwa-gLqg!rf3-Hq8!*nTfPmR;k< z_-r>7C#_|w~7k@is4sT(_n-eg)&ijC%Eyt!EA0DAGmU}*C=HiBRqi>vVfZU1WZt3*Il&e z(W!>;9hurqCbAqp5d3NrSR)q{lQ~wDF^3E06Kh`PnY^Jd_~q!88?r|%FCHTYOaW&^ zy+;VDSA2eeocRuBli@@H&MviYn3zoC>nS$t-{?r|VnvMVk67jAGN4nV>}kN0b1STR z(K*->+4#?Q3-n{2X)w5(^ffD{2cJGlb>wi?h-SXdeIJZ9BRKEam{C$*n>N|ss@{^$ za6hJ8F??t*X|SdaGlV;WLrjcg8wPn04Ctskm=%DD0_6fW5R0e5S4L{FAy$%v{$WFO zJM?PaeI!^DA%>0LVr$RknGSllfIq686ki{4iC{6*PF2}m1)xFTz>htj+@sa578eBa z>dpaIDnwJq9zW^l?grR8u8!c%o->yR?!Gww3cPM>JcUJrK^->1#Kf{F!{RHjo%WQa zgfzo@6dn|UUgI{>DaJemwkDkxW+R$EZAxIz(Oq(GvUmA%<<6XA!x=0JrNf1DW^V7&68s^2? zam{lX+Qk#luMEwvZl9j&L7-_$>mon&2i^1gh5J+SEWfUK%rk*q?qhmP7B0iz^O`1> zR#3jNR^%vOZc%XB6{(p}60Y8C({bJpapgfGk+`R#C~?8Sa}Y?D0o$K>OyPRm(=a*0 z2|7VSr|{>yG!7*sAi9ff^(2Wh(i{tMUJMAt66zhSd-a-53B-7T^Sg8lBpHC@Qpk_1 zwk8>vAIhRDfo_1bqkKr*)GF$Ktkn^`;acS#-o^fACs&@*_38A#CRpJil7^GHP9f_c z&X|;zDZAbFQHFg6I#$6XWP}>=Ta)CH2KWtW zcP4%ho*~nRpwlh6rzCZ3N3kUt_K~qj7pt?Cpu@ zOH&uX{#YRS5CrsyX5z`C`jA+{D`C86_eAh9)e#qboP)aB#iL-TDRSC-OLR%}o(le5 zqA4Z?RY)0Wasm7oTBm_%T4?d{qq$LKq<*)nw?tb@9*znO87)vf;A{3NsBW<>xV;&m zC+vhMaLE27;U*k8A>rO~rH0Io!erGs-TlRLXYIw@i}(`A9z>vAvw28Y0C`&ND!(~) zTX}ZJLBJ1+=uomOZLcxg(DaEB<<%PWGYfw&Ec2t_v4!p^IQdxN*#fs1oqLi4?;auE z*CA*}UKyqLUWKR3qSi38plrlb4IKFN5NQK<0=t-1HcCFHAir>BTPE8>+4C50ER(Y_ z@M=HoR5XzF^UUzE?dPT$KU$He-2#aDK`>!fk|s>870AIkB5^RYA^cn*j%3!p!jTg1 zoq(%FD|bI+h$$6n2gnFu0A6#g^0Q*79<=}xWBOgS(pxeCvK0%QrPN>&VoERlX5yqB zaAH^t-I)mI29RHg^g8FHOpKs{IA~_nOQmJwmQw_hrl=Y;8<~+D;0{~joU*fY*rY}a z9GY~S;`_opV!KQX#*SeCk$7-HBm?8rnT`tBB-<3rcA0xZtRU5~ASTsc;5GpwfD~5nXP}IJ0L|E&4sZ8QKN5+JuzZ_4ht`Z|PpuUM3d*-Xnf-&LOKAFX+(-y($H(j9*qjJiE83J{GSt|(GiXM1 zHZY-v^o3{B;hYtbL2%H5d)H#v%^6#GSoap-i)f!1Vzu(r$+O=NE1A1ZQ#*>~ZeayP zWSp$9x*TN1B2~)BM*c(XeFSWw1@2-8u!XOZiylc8l6gYT|1VW2@m%K>aLwygN?^>A z$W+hRqs#uVEM-~l3tk^C{X=X#{ApD9XNeaGT#v#RyZ#SRxYftGACd9YfIL8 zd3gNcgI~SYM0vgO%+fbbdPGuUuP>(@@YoDhFg~8)8@;c1Gdxn}y;m=IU5WDYzVgEI z?H+TmPQKt(9SEQQ6VL;5^u@3jUWoe_<)zNm+fVq0jgdDxXQ_W)^c#vHBV~)gzjQhV z;L4<1`Psi6U;1Kx&63XwesG=tit=25^Fr%JFMkJ@Uf}(9l|9@4kyq}N7t1Yx{aE~O z0ql`W+bO)`wj5O8-Ez&R$mfVC!ISmI;+|EZANh>=gh|#Gwm_oy%bdvX8xsQp&NJey zzRX46^30{T@4B0&kJ8V0E&s##wbnyzZ%e$Jr0XdgYuhN24UZ_{V#!iTyPww;QLWg? z`UwPHT7mGl1=cUXTg%m|tl*+|slZ?_%!Jyn?UVjcNSZM6m-(Jait#9#GR>(kFu-4# z6c5cC`m3{*u9!-zHunZ`C&6B9f=>2D+YLjNGagj%Mtq4AYW!IKoG)$%yfo4I_yMR~ zwVTx<)VpP$s(5z%FFJe~5GhE-SoSw43l(qV437qn-WdC2w(i^O(29DZChlcIK&y?t zfeE0Yxvn}_)&G!FGX;{gmebB>&#~wurQ+NckfbC?ywCgR z4dJ(2K!|8zIow_h(n<+}^McvwF-;-!-gKRZ6SSn_E*Juh2Vi}~n?>nZTnd5Q*l|xu zptVx;XWnbw_em}5RBEE;a8ezP)cCQ`rml-)mmNmn&alRl!2RvVUW4qoi`bXbUXcgz z76Ur*$m>c9(Fkd`Mxte>!@HWicwNs*R{asKcZ+`2?$f?eV~{^~degf`IP5O13pF+O zlwR`B%Z1qdjHDffGN_(6L5@E#f&vIuGu4&d1JMtS45Nh}w*({e9{16>hqfNuWertc z$u+Y=7iSt?go4kWYXdj`wNUw_wiCky?W{x&jy|JGptGBYQP0u(egvw#A(i47&NJD# z-nJru+C_HZMNIryWbZJvdyp-b3zzq0^qraeZBx(*O6 zHgx~g4Bl*Fm|5=y?}j`@)uyib(l@PTZw^tGKUQt&NHgtyu?`atV9n>4e=4|(-2zm4 zkogeaeT9zjy$;&MV}x7Tyu0>2vK5#VumEpihGIpi1!e#W2{jF=L=STsOoZUki3NX? z9vGxsJd%I}Rq7N(KjuTdF4%<>?U;B0Ks<5abm{V>6vw2owI6vO-M<~~G&ahUxbSj_ zJsDw1@AM4 za!{!Lq*epFD_ocH{}%lkE5a8R*M&K7!I{pr5ve420HKh(9Q!+9%Au2>vy-`XSElOq zX=p+O#VCz;!qWzRUxy`eW|{4h3dS7L_ed&P;Cmad(Gu*21ND224ad4cpgCZ#AJ^Tn zJbkE1X1&vumgf3}k%+@3h+Jb`p$5CkBEfsl=Vq0D2{(90H}XcIhD=In)J;e{f*>gc zM8hN!ZR;)RGJ)j{B5bHW+ZDcHuueA)!-D|wYAuOJ3-QM6MgKhU9;k@f2Ml{($uTYj zq~#xjKb+nnh<6|SAh$J5h(YykOFe&0qria zyn|?1Lr<7|RP$?jR19?!A%ve}W}BRY!^~)!fqXmUxFvJ>>`E{VH zW%{Vemz>yFc6udWr$LeAe>=$>1Z02uJ(aN!vDSu(+_(k=63`t-&I6u(dPk{6Mz$Br zl*O@dzjNav?YUC;?|YLftndya_3-45jX6v2?Y$^@ZSsq73>S_~_8pz!gzwwd)!b!3 z6S~sC(UZi0$I`CaoDJyibQn1ZL2q&>7CtGzH0b~>C}@|{?qV$11!F)++r#9=`S>Yd zdT_#i;Lt#(&xTW`5ftGClM_;JN&OJWeqr7bCP&$ICNk6;44c5AP7Oubb7kdO>eJAh zpo8D&$bpyl|4{YraZTR$`@dp9TTg52VO_Dd3et*|&)Tk5P!a2)6@?B3DkyAikyQjf zQ-L9ebjZP0#MTn9N=PS!m~%x!CXl4HoCoJ`vDTZfT4oVe_dSO&|Zf+`9W6rZyfdU+x(v%9!&#LVzFO!QMW^Q(`_5Nq3u zQHB_+cLT`+W8gHt#8-%1l0ptNgCVORgC_fK!mSy zl9ul%NY~br`a>5g{6=+wYy*-xp+^F9$#9b~z00ZS|E4oHdkmICa#zo<|X5ECBwtGB!?6=F|oJ^B&Q3E%wagGPkv6_b2k6eWi0Xa62T?Y zp%5IVi4`3ad=7z#GxBkkmsOKn7w*KXH&CRqt~0-~HJMu8uhq3e>7DTLEkGJta2Rv0dAqsdG>Hf<1drb7w# z+3Wo&IU&;{H1l$ETX zR)C?Zp(Rr@T!kBOUfIDy-U?vi28JcCj@+m?1F|42{*Rx=Z!Rks%o*kUOThT7v37k7 zXL7hkdzF_A7pK%*%uF_LE8byGrbcKwxJO=f0_F z>s&dQtCeqdT%ZncD0}R~_N|d4?JI}ele`^KhdMu^wHL!pN1M&aK~Ck>-^Fs34-Hw@ zNDuT8;6Qj~BXRnJwVa1WtCnW1bP%0jvgY>Eem)pFuG)yGu{~H)>N@r+GICq8nMVE| zr#ue`@GQ$0qnq^99So0d$5!S`I0Rt7vJf<=&4!&{EC}C1K|z)qc>jsr}vQ zVMUp0Oj)}l#7;>Clcl>7a|S7?G*~?ReR|g`$~3vFqf(h;3m&6eRmIQi8)?lTAB!w0 zQ~w#hi!jYvUSS~4Uk@dTNuCkpN%hO#1e2!7fe3nx5+)(8^Jwok}hh(}&r;{$d;p7I;{WUuTliUNnpin#p z4f7cVLfI`4;muR6L+50OYBSZYS&y;fMuL^Q4_OLn+#TLZ&Wu(zIXeGhJZ`7WYU?B; zVY3mgcj&-U#%*!Td!Mffi(j7w&^L+c!jK$0sB0g^4gUyKeffdXy9QjT4wd4wM8Jr~ zKsv;WW@Og53QsH`(Blr()w`F=Kk^8B?svNn-l1e-392-?7d|f#nkv3kfksI`AaIx@zA0!~Z9JUM<-Ndmq|8FCX8G!K9&bYsN02_eJgQxT@uQV{@wV- zUkA!q2$HfnbI>OR!jG3hJ7=0qsc@SXdxc13CEtV+IcLQhpC_!WMIX#r$^!Cd9qZ-W zkImif`!;Z>Z>;f&n_|Uf`R@7H_tND2OFG}3pU$7ZiSv zYCuv)mWP|K`YwsrKYMufe>ncnFW(|M#|p?tzkz=FxN6Il=#YM#P5S08{?l8r`Eh|q z2#pNKfP%owho5xsn|t|#IiFrB^1QVigQY8a9oLV5U_%|n|D*6d&Eh?ZRsJLXIU-Wl zW!+x4&+fzSyiTM71@(&`lpntS2%YRGF3tGGU z&YF48T>Ji;MJ<5=f9qdFJ`!otOO=X+%F8sFFepuGP^t+nVno0`7H0WPv>$%s_^v%fhvw3n77$=9C78{1#A-MidZo)b?keLAse zA2NpiRwWvu2#8OyFN~bo;)(;-hqb-02`rffL8M<4?W3`^pNWyCv(?bKID4FCYkGSL z4{U+>^Y$UUy|P$?ooxjVw*Nf9XBVv}DQQj;6*qo^ky$F~ps)`2vs7C$Ga+jmJE{94 z{uLo{LNcbsK?IJT$NX&Xp~TU=noxyPJ$hKy@u8EJxMt^H)M}Talpqw7UE#*M_-}H3a~Z7HTvd_MWnph}`?i!~2Zqx!vOb z<{^t0FgaDaw?va=3-{XTy0LujJBR4NJV)7VlUB+#Y8A;s-wTb}1jPKSqj6ZSkQt&d zC1L6lPA?T+aXpr>Vpn`R;BvQDz9|nVQk!(&#EibCfj3L)oDImAzXUo2vF&0sIyJk~ zDYu{sfaGRjx9OGY?4E&=uLt;5KIY&|N@}gys&Ghn%d-zrGT~jiUPJrY^Fp}GJXa_m z89bFuwF=(YBzJq{aNGOe(tl)zyUxfPo1+vs9JJ*h=7s;5=R5 zqFtH7e?u^#c@GEa)I$Ii(I0XLo3cYl*H71PLpd;=+EmU+B~#ChCIX69=4GWLet+!9 zx_qqt74ZMDAy*=&_|(7{0zCVf>)1AEGQ&&0LM5ad3fpNtK2c-a#EnshXuV-7nKVWr@ zq&m8E`FFH+0`COOyl3g3D1~hJ2P4!uXEB4W$9cm!&fIU`I6{M zBEw7Q7jr=9FVIj|d3!hAjL)RS!(M6%VQK~yfuKx^BQUET$LpR()hq*E(MIl9S7O^x zPh_rI;BIEX7pq+82qQsJiRWe7H-~)SoXWB|E8PFvQkXYt_l)Dw>^b?K%$)zfQAcbqgttaZr*_{P9y>o)1`t{awX&K!}Hi zz_}D-x5d^NzA=oW+$Kj^vd}dO(+rN{<<+URGi9w46_>(?aCH6X2W?6L4$O2jEio%m z6PVnfA0@>BR$Wc&Wbv*+(_q;mxup7;C~MbmImw;x4l);|CQ2TY&D5_a^x>Ka6)v$! zVhFczcX$~o^2+z}vsLdq`d@2{CE`ogZh$>>-^dd(bK^4^`HMrlQGC^2GHOuf>+v!0 z6!zkf*^k0mpetSO9!VQ-xjq%Dl}oYpN9uJx&CJW!P>ezJa;FDVyri-J`N_|3o(8Nu4sJ=}4pv!Z|2_oEr+AJ}J9lLff7@+TrN+T>_BzCTvB#+vfn zIZCE5pIQ-xVuQ1ebp5SiN~&tf3d%PnmYm>tyIU!#t>t!RZh^J=A`dkYE67E%CiCtZ=Ix7E0RYK2y!k(-xR8+>C-EkdT#95@;KsXBa@z;s>zDGNto&@Fh*z zrfm8{JXj6BD@&Z>p4voIF+K0-(jTQf8#)6=hhO`@xayOFORLdLpy`6QA$zl`Psy znkbB%4l~mqIa7@RW_&;^W{68%E-hOWZHE&Tw)#n7XohM zO6JMit)!zdSC2X7w1$4Fjoet05ncetd9eKqL6dq&l5sM1IxtU_bQ|UyHx51qzRpez zykmsvE1I3S_$_E+podA)hP(*{P1&w2tMW?haau-}zpo5^oNNUWuT5L8kK_JNSQu5$ z^Dcht6TzXyH3xjQ!qJbG*`~zIAB`=&y68P-~@7= zu1=6?!Hj=dvzuPj6Xl&2J4mbf40ffi;q(D}|EhSQwdwDLl9WbNC=+aP2ZXZ@Iy#wD9lIJ%_l*9b4R2{SZp-5t4#O?%sYL7b;F-Y*J+yuZ)?J9({7vQV0vB$= zuhBvms6aO;@yZ{^nsVR?k8P#}*~xi#k7k-?IM86=hf*M$Km70*CzL;k`LD$IrkB(@XBpg<^H#Bb*Uwt`mS4!6h>L!2 z`-S-}xajxXybVpPzs%qB<$n{2K5sy1jKa5=_x}ow&*i^O5{ZcZkjfH#CnOS!pLt=< z?+8)n&Bx}h_E}OtJ@-YwRd0IL#ri4MUca@tsW!3v*mEj&`s~Ma_+M!2(kFdBdSPPm zngYM_Kl&}^nHN2MZhXa-suht-0@Z)w7T2Elmt!Q5pUe&Vg&o|g5;9=sMlBsd8Ful31~r(7Rte&e%rPV1sKJvW0`zxmAF z_PSp{g;TDIn;$J;)jSF--W+@sR`lsx!#TLn@B1j0i@S8M=*eZP9NZ@MBD3fsi?^&y zwsO%HyXyV3E7@)$K=OBfKX`uKqQKB4D_qOUR?QZ?#ESfW*@Lvod6ta9-v_+>jydzK zhk2Ic*1`nVs)rxEI6UrRMeH5vyFWGNSDs)oi|_q(YRS`5-6v%6eEQyl*cF>q?!_dW zI@WPYhelYj>+ypK&z36E&}C^l?OIG2h9`YW6lmxaS6Hg}AJlzSvfoGa%YvlI2xDbI zCKFIN*`CbpZg_>^<$e<0Jv=Z$$$$TXVGS;Dp^0K;RqI~<>)qG6x}9Sgd%7C}oyFTO ztrJkfRaMzq7JGK%lfA|=UGAkzhv|mA`rrYA9|E0E^4*u_mm6@(NtpnzCC0y}_eT>A8xjG0Q^v zh_~Pb6ma|2$}EG76B00V!jB?uD!6ze#}f zbA^({lMzy>AB_bMLMs{}cye|7nWVsc8`%eeQ<0-;FXnDMlAf!t0x=xQs;RK#tBokA z@*wZ*Z7RIeBeb`aPY^(OFK({UzK%L?KS2Z~}v|DXu&-a!ykf^zVstHn$r*)KiTKVy{$?pU&QvF$ zZPAp3ykZvMjj5I0R!i}MNiM~bLU2eJrUk>Zjof#mT6GahWYG$16ac8~47cT#EtEUU z=P1kSus-Nbq3ZAy6Dy=oAMv8{#L_lxol~uH_AT}Y??g;YDtUC>s1C&wU_p4x3X9k9 zW5P|?ct{gTSE0NAn~bc&He?m$w=aOCLg<*CZRlgMd%RYf3r;mDBd}a>nj4!=4JOrW zbHnkq<*rY2nV-!zMX6~TaJ)v{^9Pb=N_LGgT6z2( z`e~JA*?N7@a7+yWLu5nk8WaxESQOhkW!r z=b37?QyY8GerfxA0iLT}-EqN%I6^BaXZ{E!&^US?t_kN3opU_AwBubEv0L%u)+)Jf zh}x#=>1L0S&faDsaGV46OOlx8Q5$_0ImdsDY^h(2LhM=aOuR}FBT3)|u+8LBB|--F zM*|8t-fg;DePesg&S5+J1~5f?us(>Yvt=(yUwGLp+VKfJCUyCTa`*9hZIyw zk7plZDP& zbKr0#A9-M{q;~jh;&a@Jb&k7jC%CoC*rZ&;kitt9qHfEAhEWV;R!%B0DX}wQBo5UtT1`qFCYtWrW|uY+#gZ550d&KZ4$ooM ziY=C!qG~kRnjS^>B+24+Y%eQru;fH_BsxblsASUZ_l@=HKGK>qQPpl34u1uc^+@B~ zMQ$nXp1HgT@7q!P zuAN*j`Pz4HA@ivnv)5htflZ2w;UK7~iOGXc$k*EX&;r7;7^9@RF|aI}tKOqWcR7K= z#&7?;U9GVd|8=jN<6}LIbfv*u>5F|wb$Dv~*_)G0p^@`tcJf1NQwsF=8_j2X*lxw! zAG9`=xpJUbw&|ZIhw{Vs9#0)Quf}v8nW|05Yvo2@I8dzD&~>L;fk{<=Izu+FT6Rcs z6|%|zn7|ilC%2@*+6Yx7#C~|O`H$SdlH@|@s+sTv^ha~#dpvSHu*IU1JBh>@nuzN; z7Uj?uUW6X$Zl{aeBkJNln_+94sVGhwticFhbqm#`*tjs)`dl*=(qYubNa6+lUt1-- zqj5whyj`&0SQ|0iNPRK^|GEHz*@5)x^V}F5vC`@=se<&&7Lj6R9@P zvH;T%onoQJC+PQc>{_sv?pYkDhY|Db7SxDT&lq3(HbnNWVJGxnc%rB{tYA+Vp$m(~+ z4iPa;m?~M@=dL9~q@T}R?-2Q+S9$zp zid^HJA~#@)Bx%Q&F-5-ZyR%{et7NB7gtzc=r?>EuRgS{T)n?xdcy-~vfxhhv{*$%H z|GS_3;!)2SiKAvTYstUi^59un345PACtA59d>ZaE)Gk zF!0mP1{8^ckIh{dG_=pxgQ(1G>gKi z{_OmHA2sEd&*!X12sZ~AcAHKKf!~A*5(t=@>3FQ|Ty;735yR4YC zO6+7EDM_7W?LAV=Jz6Suv34FU;ko92wBG6OVwJBe<6(^|y?4j3NGNyBHLMKRk9E8| z6_6h*o_3!C2~t)n!A~B0V5I-fm8LfjL_J~Kz^ z`b4KSwXI|PWZOa+C8}br@0L9uSp5DQUpj}2GApRY7xcj|p483hvQ_-8iCHQPbuItw zzVQvyP-X0JcjFd*eb`rh0_vZuuDxa)K@sI|_b%RIfYxwa@>Dt$hx+*{=@N`(Z%fzl z^JO7$kQPYSc}W*dm44qDW$SXt`n>)(lc$%AC(G9ntGZTueRN%LLA@Uql_JecvJmO+ zYXI}dp0(*=bXkYJvor>g7gzHyOuKD8`w|&pGdbkMy+wZl{ z(iE@WF9M~)3T*>og&z$vvL1$44`MZ@RsscGwj~yQ>H@+?u$HZr#s(BYB$H>5v^DmG zRvYJCo9KAH2nZVvq0Xmw774%#wGyNa!Vu!zu`*4M_?s(&2z&UAHmdVoO9+rFm$!F( zY5&&`tNdXPRn^4ay~!+=pJ-2BXG+MS9`i(XH?F!~tCdbK*_%lTTQ`0-VqoOK$w)DF zT|6!cwWy8+hO1M!^z}RHqXSYx6S-cgIjZnlU6BIS98Dlr*Bq^Uq%>ee(l+CQlh}>S zs89Go@xiFKFVzG&yzgw+0yIiD+Wstk`C+(bfyUvA9 zwrPg8^&DX+KhnZ9Q@bn%79v$mk7RYtfU#s+rIqt1&a>`Q{(0dwn{zE)z3tUZrnU}* z#vXDF@HX$oZ;tq!`-vr#`BJ&^O__0Oc@*ABG2}-5m0`O#Q9;S&Nsv;kSV9gVumcfe z48cu(V&|DKRI%saUS~~!Z#c6|m6iiqOKMfmNPg#l$E_8tkEDE3FKyR4uO_-FNk%e- zcBlEGjqIw2IdL`HvyJD561#`mx84u^5AwX7Txi|`HYTt~i;F#hyis@NRNa617AB54M`}UIOT*{ zn;RhjDl$2F#`y(YhORtb1fzXz$-OlFZ;afCLFL(PNAj3=W}P)DF0Qi7hV_W}q_K3D z{d6S2M@W!*{&lW0AReiSyewmTo&zwz1NM#&1axOrhO+(qklWH#-0$evB}n|m&}wPN zXNc4@=j%|Na2t1GfIMzkc9qVjH}#8Z`h|z>m8n&0*R7 z&CiQz6j5+Sz8#wFZQ7HTP1)7bnv@CCwr*jV{&@#?dy2d^ZYmDI|4af$(k5ChYUgA+ zuvJ8>*NTY?+iH8LAyNT~A6@jn+rU7BFE9r38m=&SgWcXEMe$mg{pwEJaOz12I_pvF z^MN_I|5jf*Bjz8#ntQ0K{Y-RMo5q`wV#Ft)vSA_4|A+p*JZYlme=lefdudT@s`kfk z8R-q?^=b(%7|Jqov(tiW!>|KMgZKJwH2_{c+NaVrb9iat+N;&)SFn5OjwslhHDvo; zNM8+gEnPjsJd;G!<*r`NvFJ*(cliwx#3*tNNE-lejZ}00Eh9cho&oOmG)@I{`a2Lw z`IDVzB2`UiA)8h%Fu|5C%|S7xEAL)Iih&krAl=>hkunB}OBN~dcB*@v=EM=tL4ioB7P?~PB7 zt=t7%E0$7-SK4&dmBHxjgt3(oO8vF;lkD)t;=7cXM46@#_0 z=%in1UC~QS`&vd zJ4$np1hVyyIyxlL@#dY*!c07CQ87+!FaAL-wY@Q5Faqw;>+rwT=VI~_9FSKH)mDqbe9Nc?ng&$XCd*%mfKrI zrV>pe>=*bgNG9>rF>B!UMz7zFu{P&dSeBqu6oe@wFGkqIecq!(z(O4w;yrO!%LyQb z3k(!iuG^rBvyb6>rf3Q|Cb~X~1cNQ~`yg0C=mV-)?0={b12R|B6pM~j7}JZDi6m^> zmd1m38a!&a={#L$3Rmm2M?S8M;AH7qWWa^_rFA28(}=d&h=sJ2?!1dXgJ@%2KY71! z<2&W;hiN|(EA~HJ2#TFBC|?H7s!h11zc6^*AO(!y2%}Xs8TSepAv#56YD?*fWWjWD zi~g<;I8D-c(f-Q0hJyaaLkum*QSu%eYGfqGDXEfX1bS;zsfG}C5+1IRJeh2#nGy9v z_%cSAFB-W)y}4AK-KpN=Eabl6Map5g($<9-$hgml8She*5LEpSn{91QLQo}{35d#A z^-J51>&36$bVxgjC*^@9;soTvNC%8O8Z&4-dOEDS`~Hf4aNZ#O8x<%Mbxf)!gHvm^ zSi|CGlok9o^(=h|mq2DFH#Oc~^9sMvLkslEyPH}kKU}zR9m5Z~^jJS76=s{xO()hI zRjA3b8C7RDn2Up$+2uCvrzU_2RSYjQjc^HEv?PYSnU>0l1Hu(s(grzWpd_i{mxbHJ z=+#qp7SkMnX2?2sY+aEI=SsHb;aov{VY6WdE~tV%&LQ0Sq;XL`#4`fBhS(^*fCYx( zf)is=fw9vyL~iz=GwZF25@icROFx6d{9D~~2?ch_HgYUkD@@^S4w1LV#S~zk8l9k@ z=_^#10z55jBkx?7K%Acme>qu@!60uiCkC8_V?<)@-|w463Q-3Yk=aSQ54IgiFVedq z7keo^h~viW_Fw^pO(T}OU<$#=ITv&p{O9){;ve%_ViiD1)1=$Hm&$H-Kd`yMA9?cs zbMB0%%SfFVdc@a^$r2;&K*rviR&ZrV&=pm+lWRPx@F7+>* zY|9|#<5sL2NW0`qTDf4^uJQN)w#nLFDn1x~2Y6%^k)Lrd)R_>{)Y#C9VdMt zQU>DZod5rdl&{SGjie!Gh&&0h4*n`AluMrUvUMJR5^NoxRSP~MXdPr9EB)5`Mbyq` zMeOw5iTI=0chA)Pk3RFA|IwWCoxT?)e3y^ShBm(1>(B?3*u?vM#~;kwa1ms4 z+jrJ|K4Zx{wvjdGfw|e`W9VL~8()=mV84$^x#NewI7b53%$eNadF$Da_WH4YP|10_;=4mA*VI``Ptl*;Dj%p^`hqOp_Vak< zbko@jF_w{=Ld+vQv`TVfY;%_MB3x;5wj9M&u&Gbe= zL;t?u)Nc7u-j-|mQB>oq&(j%+IrZntuXE2yE0^USzIQe@blJxTn5L?xxy|yQFHy4N zipKgU*25^B( zEeq-2gC!O8NJVi){>>H>b3IN3Gg}7J{`ZmMJNUY(jf_H#Jw*hgI??fdB_m4*MzxW; zrKI|#lk@^ziec^8NkhaCGt=Rzar92=xK1@KuUvhN7Rg&*GOaj--FY?j1tqthSDn$y zhwo~?IPJHST@jh6?b(ZF6Ue1ge*2r{8wvk=9sJ-+Qi*3BVo&)FP5-jZh)_z7-!Hk$#@vrXFh@td3$f|UTKNbrQDgdkJodmF2+>k8&_Y5oSN8F3l#qx5&6?lE-)#FJ z9uPlw(;FhtK}f4|6tonT<~>DpK<g3J;=o)S4_^PQ42&+ zEM25Hc$;cwncgE44>72ebXtQi+**kyC##F{9fB~XqFz5$Ma5fxw$bwksKZk!H7U|D z81X;j?pU2y^K4eFey^jMlJ<>gznB4YFjumx5i%R+n@tQQ8sz&X&F5&EVuFL)E@~F7 zG3Vf>y;P3n<_Yp}jzp>N^38G_&JL2+!D#*0!k}Ck?yNC@3e8$d*VgQW^#}JMfU=mh=P5lCEnPB>ea22xCG^daekb;#0r&l4ANua%IPRqk)s$=Urx< z$J*HQ*LUfLR$3XvKE#ViO{-+?GzCl99<3nD)$e>Tjx}>ktMTkOF09D-s?IYvXxW6L zazo&t_SrjhbFQW2&y4g%l^;@~g{@_N^~92e{>seue6$(FV;RriyZXMSqab)FDA$%m zNzA}yI^eI(f`i+;Q6^`AL&YbgD6$Ge`M+(TIIm)(2<6fTDmrsABI z3a4hk>a&+`use)eQQws^qX{n6tW>I4<)W?_a<%gu^rh`=qxLn}d*iRrer9ETQ`L`M zE}gHhk!l?4rG6ef0=AWRe&C=n-0ftZn$DotKpA#r%iheuZ6$?i=9-xLL^86vY9c0Q zm-^#!J2l(vTxaJA5iYIrHC!Q93hnh5|6P^ytCh+af9 zL`|`{ky{jJel|Jb7s7<8EiIaK9GFkN*6nrL>h}Q(%#Bd(B}#B&XK7SZk=|t1IjuJM zXAMw|TEnewYYolfwQlH^7Xn@T5?T22mRFBkct@wK;8+`;OF=D%r2jcs_l{b|;n+Xr z*48WNYYSZZloZBl}D=&akQ6{8*JDSj{Qf7_@%e9XjMY?thDY+(Fxqf-1*D`{~7o;kZz zPE@Ss$}zg@4BONaRAiM_K7UfY)1xu>h2pf~|^&b>}409;5tGCkE^qI;st_HGSGUit$0j_aK zaUZjZt?B&qjwXxk0!+3CwY>Z#Ps@fXt>gx|4dy-c{wNNRxd2$GKGcQ6k&ZS$Of_}M zBCq&2^27Ar;}Eyn&v;reT0#5+p%GA7{5SeOdL)+xT9cSjW^0b07ffR^JuspbC^WrI zm(xs-1j&-=LG1?!GfX5=^4Sek$sPLHR!Yk+q>lpM`Vo|>US@TI0IzC)`K>%`c@Rg9 zw{VO266)DFBj4%SZM#}wTjQzufU5IFEbk!rYqD%ykpp1h~RS!p- z!Ua2HqQA;9Q~L&+>-*7qkQj+wn;25_s5+s9ksgo}tE{}y;EhWHh2v;HGe-8acv4ss zcH8wf1d&^H`+RJJjMNf|&LJC4Z>WhaVgx!T9LLhZ^l~>UkuFDEz>-ARqj)RSgu9@8 z5H$Sw{&AK=Intd#GU@}h%?Z=u4UgZ@3JqD&6SQo-ty%s-xQMpZSM8r#KLr&aEa zl~^hwWThfBR@k+Tsnn-dnl21IV!RX~p`-^aT7f|p>QMf*+W%k3+)A>&`(mu_`f-gZ zdTPRxa+%8(6#lBJR>bC}xq;QIlb@cXWacG-z-`)iuvG)3;P0o*h6mPunDBk$*EZ_1<42Mgeo~`?7i9^3w_#JD- z=G)7UV#9vmKa1SLRioquMf)r}9r((vp-pYet*T`#B#<@|vsmA}S8Z_P$R3REwDZrl z?{-?lL+AcscC_P1WxjbDYwV!gcaOS@8%yV$x##)&flqJGvVQZrt1MBl2R?s)p`;gp~ zBshNM=Al;poZGAKXO@ukQ0!2sd#-EG(T39NvA~mPrX5Ka{)At6Fg(_tXp8$^`iGal zgTumra2q~#Cfj)P`F)+qS#-BV^{+$MQUKO@;v4Gv3;MuHiZwP{bN4a-pmE*Gd}VjT zj{#kS`CsneO^H|4Snev}vSf{Ie3IRF?~pKrb&_dFX&U~3r@W*1Zsdrz0Yg@#;{Dv_ zfquV_@|wSkLS~F=2-YutI=yKJ5_+p0Z69DM?Xhb9l;&D}s8+hZ4_}4-{7K#a>3n?# z)IV1RRJ+p*bfYM0nP#TmZ+dp8U0wi3P}LK&X{Pp49Hbp%_&T#{zFGcKSyy`T%~V&p zr(j!;5b=}bW@>&jb6p$s_4_|kqj#9cZ!5G*g~xL4Mx;^;MMhd)z543Q+NB;*$kN0O zYkNZMy2ySj7*|L`n|WH?lyS_fTGFBDO$6`6^kH6xQ7ai`O7l%mCPU0c`VNYwy(7;Z4GMM6tQek}e-XmERl ze8ny?T4(#lR$Ftll1Dl~$>iv!ka(i1mP!&}fv_~~5+o2$30bpaav3db77_a;%ID2S zhKQ-M%VS+vs~i96{Bc;#?=$+cIX&X#nM`~vY->lKr$iPDwIB;nrWR%Y(*9Ozo~)Uc z-0NvhYT~+#+ZN&AJe5PC4xkml$!>>XZ z{9i_jmnlwrN*Cx;Yf{j1)-61wTLUE~kzlRe56c$CC()@!&L^S6TIsiRCIcH54FfhT z+=J3%t(1@iBHGXJ$w(Gg*@*VYEgGglL5eQPD877)$y)vf2M*$R1;~WSyO8DWheHc) zOkmn2q0<-O)aob_^uv)0#}*9FpdJ-cj~Tfy9`iV~tj!wU4h#J{U&&jR!&cMvMtWXf z3QEKh4^!F_L)-&vQatpXq2g770}kBW(Mbrp5l|X6#3a zYQR5G2;W-^Vl1b;Rg2sYEB|oQJkyqTQjDc|su_)&lDZ{7cAc?qxqnM~G$n6(-vS#P z%j>fMI7qk7lg6C4$KpVuF;>ozf)_T}->~vvnYrEZ*s4i>Wk7wc1r)_QTM=P)%P-<62fy;r7 zP+bBr60=h6s*}Vh3@g{nC^q8F9p?uj459b%Ok{Onk?a_``8#;wFY3?Rk64ROaC>x` zFwF&z*{Ws4=smLrXZCzFt`)GuwJewpj{FzHVs&6hX-Caz?~HEs_(09kW781YCi}ywB-Qt)9h?nqU>;=FM5NFfE^^PY<|V5KoM+b~j%A(E)-xLa zLuMs6;v_&!8DIw;7H;4n*v*D9R8rX6|BZ0l-L#>d&Lel|Izi$tJE7Ufh7$j9UgsGL z>h8c1Vt~m6(9?niLYn7t$UETe$cq;gq%v_TBXML?{Lz-S;qJZya!RUEL>Jt=ThiKA zvM`y?-s$WZny|=B0x2DMB9pgW1yaMrptO~y-bDDxe-;7^;fLU5N5+bly=j6+jk~U7 z{8%{m@BDDntfjsDdVAW{ad399M(!Qle-kI8JF3fIe9lt&BV@HM!F3~ zKK$w1hBpRNC_bMQuki6EZ?*YB78*$= zlw4PPFp=sg8E?I7wUx+D=^Z7|631;*Kle&^WoGL4*iC~K$9O32BQaNbF#M$j%Hpr9 zF*?G9ELD!jR{EH#?|sLfZB)D##p%BG=YWw7v^U(Z-juL+javIX4#InZ(h1QZ?PQ)bO zMR0Il+b*Ohw7^HX+#gnbPCc=mOD$dV+qxL~@9(iEx2>lI%4)D?U5M-}V+B zxVF5?>l(mMVpR=!v0()PMM`qYhmv*zXB(>(W{s&9y&VK1iAf1;YRirC+HDTHX2jHd z!U1Qvgx_2I)OddCy>FGRO6?B{McI&peWhEBj+s2dxPrbp%pkOiwUmPGsho&OZ$HZaUK$s zd$4MK=yPh+08S4uOduaQTbRqQTmN?dJ6wV+Blb-3t?(%T#aG@gy`hzc8ryTpC1eTrRBC~pldSg|FQ5@UE< zJ?)hb)#vgAe`r%TOGbi~^mJBIU{Sn+yjkfbDtSS(8YYI@f;|8+qNrj5gro7(;HDWw zCST1a&fMh823;&o{V6S{nPCODez*_?psK;De$>NR9T zP0SlwSEMIi>3EcUC=jx0$;!x&C$aW}P-u}{H^{uKAYj}Q@jvKiN=^))5QJxO8Z&Z! zB6@n8Oin4H4f${V*emk#I=3gyn!9t};lb3aS7p6@-O8vda`e7B?yjh04k+{wcB z%554ty9r!X(Mya!`82!KWwum2n27bhzXsRX^4sENI~)a+gd50~9V5%*@O_0@2*YVn z;*q@5S)i#c^0ev!IrG{WxZ9)o=~!!b6^EM4$gW^*l0>X&v�zG8}Zh`L(v~kY$4q zubp&r+SNwGTk%|KUTa7iOs_C5w4P*y!?Ly3*SOytqCWwn@$nm2MIfr%$XT?}Z0ow# z!*%{^D3?4wd0|7RT`LEP@_AA#BPF&qXF@q0z0>NfpxoGB6;9DF3g=^UU-$)~^Y}cX zaBjxb`S|}|;bbj~oU@SiyD`?nfO#SFwnPv<-VO>@r5PXO+D0(Fp&&0XuY(mn<&tsm=E z)}BvM1?jt&oLvLv&oB4U>_r)*UwLxnyv0v1TpcjS_wje|*^9Qa`0^#eSaRG6)! zB)lvul|$Ed?SD8ko&F%E{=;_)+r+Oi*ZJih(YUR&t)_JF<}vgT;qHUB;Xv2@c28zk zcf;E0efPec>K^v_4JG@Z7Yu<4U1aMkv|n<%u4v!gr6W|m`aN6O@4^oBkEV9Zx&!@X zUw!*q>bhdp)kSZsPnynoS{Y$un?}J1*G{eU9idu9JM&ddqka)DHNC;1#fN+^nrc-- zCG`KhxZt4we}R9@U)XlMa`9^QOX^7y(0&OI|K(dUqcwjXTd`R*q!r?5I@nRF3h2RZ zxWFpgkXGQ83>QxUVh9fx%7WSPlMl+i=G5!cqi330Obe_-jQmO^OD)guIo3=Ijaomz z*W{lE{q?u`@Q2g4Wi{lF%*CVnO_wCq?R0BgvpV4;0 zT>g3c*?zyhdGx9Tut&7~bd{eD7It%*%}2R((;$!;X2NCr{T0}%IqxNtnarcC;n>93E0MT{Mpsn&A-@N z`^jgkR@^Iz$7>j;>v=O}xzdNVE2klx3AP#TR%i%0R;HDvGL$Q);qG}cFqoD3Z#Oo* z`mie{pHifETn~zFFO&Pdt?axYna?qGUZd07&y#FsVi&e*#4Rr)SQnCAB6Bz>vQw)M z;VV1r*r16^6N2(J?PVkA+Hy^k7;I*~SDFoJKjRYV?5)uHOsL$l2IuvB+&~3Q((_K? zPB}oDrKYmW@J4{vW zlYQB=bYpe#1N6#pwGXIZ@B`|IPZSBURHlYhL}I4PNW)@uyw5}9by|Ljgv?DI*v_tuv^%g(;)<=QspCW?kqWB#-d}8lJt@=9u7)h_m9hyMNaoj zP3NBlkm`lh>n{;!mG+uaY|{E3%ByI0`3Tl z(E*L_O^cW?cI?`#_O0C0BW21;8P$?tuvB*8 zkSQM31r*r;0FfRH;cEhNQPLtjK}v^}ooBMW+z73BFaLP1+En#khTu3`lu%)}#piLi zOXHo{CI&>rFzz*>URSk%oq~>4nq^FOQ<8UoD0x_|(n>85U}kz{H|F}ByxTx#>`y;ChYKu#L!!SiH zExna#ii(K$HQ?GZW>*f6(fZ*M1x*pg zieLFb8&!!OHL(mGAsATdom@wZ0gN32E{@i{B5Gh{@Xf=OOd3iXPo$0Q@WU2bF}P>P zWS0!0B(lNz0v*7+W>)?u%tGGQ#r%(5rF^vwH=DMNdM&Z9;noCmzm}bDKnPAOK zfP)sHrh8@hiiYp0_gmRdZq^E3`;z%$tQ!^8c6LQ?4C#<;K9SC{V#$ofaNvY#WjC}v zIQYh6!blWGa9(06on_J?^g&}q&tnS|3?IGttn#ATov9g|r zxNpU{t@K|9DCvvV`{vufL%Hc?0_r=N=*}_6MbZIp(_YJpK@Xsssv?~PPQEYz-IIVm zmeUm~CWwVk5p9JMkXBY$$QRnpetj&A7p9mIEGJA@ME)b5d4PjinMaJqZfVd~zR6g( zrskB@?buv@#A<8!&I=T6E=^*d@H=az1H)=@7({W>`rx7*f4Vq zRp5X9QLrT`bX*7ucPC0O-N~n}#jLAmWJH+6b(un%T4XGppkglZ$=I2>qNNDuAc&j_ zk_$W6RCE_Dj!+~?i{qu5E%0;0ktc(fDJJc~{@#a(UFE<`8}kMVqM8c&a8Y8f3O{!V z96~JxD#K|!$zfa|OKY2cf&gJl6h zL$QUtTX0slH5D|VfJ3u^nN@{I81PGZVqnziE2-{@f~h&KIcu@=NSttjYumrHPy;+z zEFbeszA4h%hL1BLdB{_^4l(?0{~5PNW%!PTQ+gQgTp5#gW&+}L;o+D;9n{*Nf8K}> z=?+sB)kkNPIpJE#<~H6;jWljEA-Jcgb^mGwEX9-x|KSmMkn5a4pr?cOu6;8;hmO0s zUg@1IeS;uA6GegZ^6M*i@uyd7T!Kv_gzt@cZ4W`dVqG2uwOO{E#MgM6jOp>KZxSP8 zT+E{_!i%0DjJ&hpuzXBh>MhQRq{SB8!{atej82DfSZ!YZrCz$DjK4Vsoj)60{K|>3 zL380`H1;Cg8y?C@gJwMv67zI)DTTBl{+9b)6F(Bo{uSV=7ARtVm!vB`zu zmt1$ztZ<_%_<^-Bu=mKEFbK-o4jBT{>e{1;u~?9MyxRz_MGJ}p^Pbm(gXIy#Wrg3y zKRA7@i@n|eK?`=TndkBD8G>8r^k-uoc+O;sXKrgNcy?m~FG(JzWu(zvMd7_-86tPt z(wLQZ)IQnt6*HW-tWqsWg4khA;*KJh3Oh`T&S9gJD-*L>jbLS%^A%ap-f{f782%$a zt#*%RCOdVDw?3%u>_3gdBBT#VZ741h3GdYL zvHpgd-91@`^PB(uY~w%m1nrw8XDjk8RKAPfv^T1udu5{G-e0QBJ+JM|j5dUVn9U(C zYVD1Sbq6Y@2uD;|5gqiW<}T*REJRJwdb$@aly0%KOwvxqVW^Dbv)AS)0_YsHZyX8! z?%o!iGI2?678V%pW#IjwWV0t1pTPP!+USl4;&q_z zUd`!xTI`nH7XSpbSus*u%;Z_mS&!Xe{Gu)~yLZoTBi*70B}L&TJQ(wa_py9DDC=vR zs-nASX&>A4v3mN#fm+h&LYA>L-eGWQ)PZxK!fZk3dedDKM;T;)mA=#Vu-21oWAQUldr~Cmzp`jJ~>EcT}mP_&Xjt#h3u7{ z+->*y(^A+`_lRhjt$2yOVwcbigxV!T)Ig++r{_1>lmE3ET4_EPTbRfW`E!7 zN`8gAqu=+j3r@jzdcS1@zLDo$m4DF%?D)a)pzN4$+xr;$mVQ0(zaChtCnow zLZ9WCGlIXdpWQOm{$Gb z=Lz_Q3UC~2xwqWzq}5nPq;6}KE}Rj5=e4h?nyd2#Z|er~F5LTUcn2%#XcTp}TLP@IRHI-G7k46=38Bxd4Sw4jpk`$k*mA;kc3D ziCEnbUk?K&>aE}_AJl^-1TA2B9?A>O!P+-Al`q>jaks?j(rZ}Jqr+ZXWiC@;v{T-l ztZ2>BzHbYuzApA_eFp6#ZqtDf-Q->y$;jG*FB{&-iaJM&+R8);SaFq^yZGN)_EG#} zBqv!ZeLE?KOAjI`S$ZwDL;C)KKKGP1#{K0VkG1<`kvPM@8_%N z>S{`+(0ubp6HK{()mhjZ&o5`6;;Pk)Gni05b0c{VJ5Xij6XBzpBtqlT%5UD&8DSfXm{-q|HA>_B(owbE1W0ZCV55#Vj; z=wlUDSd=WwQ`=+)cJII_d*cxQ4SLLSiTz&5Fyh$wB;6~gZOsp4YTX7kb6xG!Ez+0K z4lTS(;auuH6`r|ewe{LNt}CcuueBCld53DrhS#OCiPPXb#hn=}Ut_$P&0b5GUfn~s z&s0S_*;z$W*I-i{Ckt`{iKi6X)#3KKflTWJ{B#l*r5F>TA|zw5;KMP@b&@o?MqT`G zQO_B-OW#3l9lS$Nj#Z!Ey5?vI`)&iJxR*eMu3PCIitjC0H+MVjr$?Ds2KuRVA<%@S zUB%HWwMB0{)UuN2LzyZ*tte~b`{!F|g+j%j87uPpbcFp%NncY%2EbVxG+;BV;89Ww zxd0lAv=qFKW(#>2WqaMPgYJa%7fCgNsRLi(`5cx%GRca_*p(PuA zLKt3>n@aFOo*G8p7Sq6zTx=ubJY@JB)MKXS)_D??Ft#4cGulmYva&laF|W zvy2t4XljoGhwQs?rTZdg1jRepUnQh09Q;@-lrzTIO#KQ<{Q7)1J%68_sqKqioSjJz z6nvP<|Mm0@s-IDWoE#=`=E%w8+Ponj^`SxyOyc>Ry0nvGeW@SP94Ca^A)3bI2sUN6 zmrZh;K^Z<;u_ZCNO*R+FKfKLU-wR&fNZM_rj3_!Ln=;dspA+te5#^1Gh6P&pi-xyi z?3jsPDHO!DtTJ~t6_&Pah)0=?G@IFkwQ8`X_&F_sA!Ex!Fw?Y9MgUPbb@%U?y7#$P%$F)UF(`1x=& z_0;eo*4GAmgha|#WoqfG9C4egFOqwHQ(}XD!4OvHPJOU5!|)bzPm+XW*N;j<4z$ya zZP+4%3v-Y#o0YzZQwC*}$CtGuPRZM(RW-NU$kC_iM51hVxTdzbKW7c1jsXMM&J0~sSx&sk z&xLl7`8lrRHbdzURz30+T-7Ie;v4Q;R&H(47~#Mi+)#o}f~h)G z`>tRvHLzSCB|In2zYDRWX)_xLk|^A&yLe_}W?=ugxc?Yf&}SCR_b>v-^9weqa3 zZfP#k1rpsLR!tW%g(lu~eYL1Ea+;%?l1B*6P1Y!E4{opkAZt{}V0ez=L#n@9 z%N(Cn-Co3fKmXG|=-#s*#7G5OB0Gxb3y;+ce~77X+;4F{Xx+89qC-1gUE$yi_k7WY zBO{*@LsB~s8r8?jWTX7ox9_Dt5*=bM!`j9lR)a6n3ENgG8J;iT`Oh2114x57LIoYX9mH|H$wK$MCU<&6_I(CW)J7Md7Dh* zVFp>*{y3z&>*^sz@2La)6V~ZSAXPo!YeKwMti(8Z2w6EsJjCg){A#hJ;ot2OjZakN z+tg^kk`wT7n&3UfF-POGeT@e+qs-N#uKc0r25~gc8lP1_K2H;BPPJhx(WhH`FC&s} z?WlEHWcg)>vJeRV@jT5T5J zu;#XcxP4P~-|6e#u&2Q5KMprAl*x7l@^}`P>Zonp;8bKGr;vvBM5Lt6f-oCqtBknv z5EW-P>yv0WbLqv=Mz^t+k)X#WFS){M8pkcQE1P-OY*za0vNr!#l?sv!A4QHBj#-z1 zKc|aTXOQ9*nAFg!u*wvUZ>XA{ zgpQ;F3 zF$ZPE!{o7>VEnGWJs(Y?XYBPkj>2i}U5yfT1d0F&u1I^QU|RhU>m>%CC~@d#Zbw@J zEw!}KRSunNqQW6tmP*?)J`Q|U%TM?Pq$sQ4z;eB4#zh;*1Go*<)y(X}H!uf^@jvgC zUS2-aFb7Wen)E!0G5$Y^?{hK_5{>UMqVZY3n6!vYf(zb+iPeAp7YH)?ZG96#M!#)* zoL!$lm80LO|LSq{o9_SV^q}ATXP=w=>db}1q?c#6@2n-CE}Z`RoUrIw>*KNdud>YA z1u#y0q|dD96(E9a2a3=2(7cM>^}gZ|e%X8W%Br2-j*UcQi5$+Os)p9qeKzT|ojIL; z)yc7B0ZYrdeD|IMEQh-zUN?4p;qG{fltA8lo|HiL_w4j1&eac`LXIdP(wj_xKG$n7 z0d9rtSB4TuRSCl6vorY_S5-}2q8 zrN8Q87J}xG`Xwu9Ji9!8<~&PdUS~rlsahvBW^ELxZ{+a#cCY;=Q;m0JjMh4_ zllbQWve6yN)jvwy7OU}upUAhd)i7c^+SCpEk{bWEk7AQsC4UcTTJ&>o+e9Fosxihz zm#8W573#LN-Fd8k&gUzQx(E}~T50;|saxL>2CKjjxRq}@-Jc%AR%)m(YS{}nsjt>k zU)R%>VCQP+udGw;{B7jOyI@3iY!20;=H!2s3kX+ z)4Q>%qLzMd_?iC2{*8_H9p!%dfVyfI=NYykCNA0)EYuj@8mO|rFm+Ae4|ZCF0OJ+G zYx5(iGxfAImn!SxuSKJXg^^n6My~&-E6Q3aqTTSxct_If-vV4Ba8 zUH)_ZC&&73_5bTS-@P*5eg5eos88Macklnm=#yr8c}@Ebe}3kF%jo+GUxSSP=pLKu zV`>mKUPjlrrzkwsO*8k1dcUAw~ zFg*COZM!WYTi*&@zR@?*+jq^%*WwU(a}nuE@N0PE&J&Abef_fJ+kAFBuGleSSbpT( zwB3_-z5%*%{ql9g`gYh{k9Ypt>*T68C+^Mleez3p$8u7K)3g3jPB_A8`ZOR?>&Khg z;T^JINyq5DRs>Geb9N+nu zgo%`J26}Ml$_jvA->$-sPrY3+i_mAdu3*%y*`zNM_>8e4a6D))Eqe)V0|O$n{72y* zk0IvQStO|e!dXiD0|Qb653Z}+LTuMtOL zjZIF)rLq`ExFq*5#n;gp`2(&t*#T@5RRND5Dnf z8cjD6EDioi`{~OKemShts8Rd3`)^&I%S39P8}$D)p8X0uh7GEpgwyBMz1?^mN0v2| z1gNCCH4{^+f)jfh-fu_nM{8BS!Cji2-z8lx+lHP^OyB_hC~!36BAk|*Sgaw1mJrfN z?X~zAn1)8o1XW>)tO57Fn*HdvLqGPm?Z~A?IqWYZRD}y=-F@=-?oZ-@dRZM}eEH-b z(UjymC9xac(6q6YT_P!a^w$=4^Lc*ouR$;4z|0!`Gg0IvmFE zD9GQkvDG)-1Rflt^qBqLh9?NSqCC8~-*6MgoE_#siFwRmch?qw7wzKc&2Ze*H(cD% z(R6RvRlfen1&zkWim9a+o+#nPm&H>7iM_lh`xssLZlKX6rNNhZUvn9rvaRQ(Kbp$= z%10F{yr?x$q?`A~mk*WUffwDJ(%H0u?NyeB=J}qAZg~T{x-o}(%uWP#3~Na7q-l~A zU>I2_(I64jYMu(%_~h+#)$uwcpnKuhf-zJEuVsCf5veMcbvmd0+mj z@P<`?gQ>Le&V)5w5RL_$!azg5#vE{&^QH&KH5?*58#77n&ezM^jz>s=gJGGz&+lk*Zgj!$i3r1x+z zlkHvT?3bWEzUp2V6`*;$`%4#4G5=}f%!#Ary_z(08uicY=9%^I$|a%i#u#u)Q5c0& zeI_nywX?B?tXyC|B>81CBqze}fC3~WFp*woDNJ>?hC?w5@>6hcCE;#yCneh{Ady?^ zu=j^z$P89m_R!OkG$?q@1z50nv@jsT@$poa6}@4`7D&PJRYw>Pj zF74No0sptHRrYs}O?@Yp<-G$9U{x|-s-ZiaZRRRf%w;|!iUV7`wy@7e%dC6(FA4Wd zpJ#78fz$0mtl(vanTr*K#w=L39d7&lR5$}jzFGI}ZjZ?tFC59MHx*!@WKHlYk#QKa zX~4Tajp_|U(}@(l(GCl+v_8J@ULsgL!(oEk-_*uuVq)-%h78!ntc}ZH?V>iSes4`t zuLPzF5XPl2tGkKd%b~sya1WaRLFw$RJ&X0gsc3ak3Qpyu_Ogju?%8D_fI#f(%?0TY za0YNtHF$#I1s^1201F(os!7{?DsOx&(l66u_|6iYt0gG^W$>Ynr2*z~7v^d`g3Wjv zN9A=D-|B?-4%Fxj1%1|O(P#;hD87;v<)eo+571p{sH6Nq9y}DbHg!_8fj&w+>U%-{ zx>2$bBVmR-oDAJaTN^OHkaY`hQ!iR>N$;haP-gH6B4#l#dp!axC#qf)kadMEr+m}F zvBk$d{zaGW_MSlmmup#R^f>6uFgJIS9R8!zg8+Y<-W`uR5OF%E?d79=ErZyWVZ=7B z+fG#(dnvC8B+ny;77agTbsJ#!cgmMH2-XUmEv6BN{77dr_n0jj0gio*A4}j%UCv58 zXMv^@E8XItcd%ZIXk0ol`<$vZ>+(d^=iMn;DaO4C+g|)nj>bYcJ)Jyj7MEnS%$cc53!Sw>|@0)Lrt+= zOCrtB76hdxU5lHmpk#aMO(hUbrG^f=!hEM>Nt=O98$=+$dchgU((;5}^}n7c^{b3j zNah&WD|=zD1YcU(w~Nd(R0UFX+4>v+I5Ex;r5i1$t{wwo6Pbsyq2aoRqmXYh;TJrh zTh&G1m?2f_*@DT5n$fb`qjpw`fBQM6s%-F1!OP3?RoB12_X$*8er-{Br;E&3)lCH- zcDUZ^bC$l)TltdN*38AUE#p_iBcs$P`L)eeEZoGIo?RM@+$-R($bfHxkik)F&(FtJ zJ)`uxDLoHxmbjwBg3;R7Z39K^D3^^D!oW9RZ8>rGQptOA8Gmy-Oh~ATu30z4+fasj zMdU(YS@`kaf>*Uz0(AlbFnp|@?d!eYssaK|%crhMR!VpWtlYkz^V*oo!v^}S-8$@4 zwQ$|z=7PXoM1Mt+zq`4P;Fr;Yp^d=^(pBuQjSfcszc3K)b9|c%)hWO~j7?|`;k#@^ zTgZp~W*)a&k$zm<&0a4p^RBByL-$<}kHp<5G*w_@rI5D0zrW@~e1Dz&Hu#H@ExeG! z1Oh(NXOB3_{tMjS)}FjLMpgyLUtTXIuVNp%ch(l#(G3~Xb#9fyrOrfMBBw3YT>d^{pvXowT4MZWK=_o(0L~(JZ zl9H=8x}XlBGsH&tP%*@}k`s@&<%81`!)-Nbbz@1&!zS^1fa&=mNp1|`^}6k7GbvB&9fGaDxWJL|-c^|k;`6~gW+ve5`N}L9ViSi)PCZ)(>zQ2FahMPp5E4c zPA@A{TLs7BT+!vpB8I=&vgcgGfg#P=_-^{+lzLib!foPs5w>5znACLKz63@lB4MNG z^3WX+ddgwpq=+D(U^XaE4$&%4$y{-#XXe&IRCf>WIfEO#rGSqxM^p^Hm3>`M zWXIB|*xHGL(F|->Nc26$2;pK z@bM0ODLQaVK!?u)?ea$tFUE5A=$DQ z-d|GaKI0SgRl@2fmuQE#RsiG>7=-R%Z*@@FS95f@I>U!wd>2f*amBBbl1g=_otcs5 z70I#^x4T=s>t^#~oMq!RGi>Tx7u3%LcX$UbSbDwt!E4~!e)q+qx_`fI{-yDJ%U6dR zlY1^`ZV%|^7AH~ubtbkd<^lA=R?+wWBcQH+zSy{GDM(Se2>!~Mb^A<@$!3JL{p<8M zjPz*R6^6P}AVJ!6d^Ig62~uj$O-4!*q>aZ7*lOZPhR)1OtN_};B{=1$2O-Be zX>2xhO+{$lVvbGT>(VXGHp&?hcEzUpraU95xL`%g^TM6BdxK4R9YwcZ`236UwNcFRBHr&_P7?>>I(cJIlv*_8A~<9AqJ563eBd21UZwanjwcDT4u* z;FT<${@j3-so(*A$5JxM?C|tRwt}3uXh7d)uTS5u`%VamyQjCcNEG|(%}lJdkLdwE zAzHy2?7i}8>rhB2*yUl9cP8iUq(@)`zy^~O^Bu8*j; zTDIy4N=15)8M{yN8R_EQU>-lIj}!ez;Tpy;7z5CNV^_ep=RjlTj*tjw7JWsd{N@!z zp5P${lY^=_nCEu}T1*5&1LVU;6c$PiTr&i3OA>*9($c%Q|H#Q(oU4^C>+|gBi#5Wr zaJS2I*mk1Dg&el^b{7Z(-Gr2$RP&3K5$ep;9@&Og@dW+qN59lO{ymL@LZzKy0^+%i zf4|P`iSOl>^|>0qkp@hQr=Jj<58uF{6dAM*Gy*|g{7v?o5hn3ySK;Yurqqzl;3-ta zR3YyGC6m;7hq6`NnbQ1Gs*XJF*s7fhRDfFfuOAj8INDg0sGPlJ+`}GVzDXr@I*!Iq zH)gxn4XudX=FC*H)ryBDcDK5*NSUt(mTH*&#uI3qb9-k_X=hd~HI2`c`6r_5R^Lp?X-FUQEbR*pM~ilPk9Sjw zM8Rn{mh{7ZS>h7A#zx8i6c@_QIt`^}dsnM`>LSbOBljtJUv1Gd-C?%D(;OZ(KG?&1 z?~W<06>H^1y{x=ST7Gk^Oz?Wy2vd1?uX>+oJxJ%}ua6o0xGMVBUNvva?(yjhtqjce z^Pta571M(<+=9>=!o6%h)7i^E!QHhu7lAAV%>Q{AnhdiMf=FW_u`vS)NkXw1xgM(? zbVhZBEhf6u+hlscO5#Z&j^VbeVWMCR2q^`a$qpuTFb`0_4A#%y-Cd&3AcCwsGFtVC zh$&A#hS-W+gijE?f|5~MIEq`0c*Obp_-gsb4T3E$KyKg|7kIp+mk+p_`{XE+CI z1x_DT!0%yv6XJ2CtVOoFj}eOU>s7_*Zx=7k(FONp>$b_;V0p}|Y~|3!EMUQKiA4U zXw8VvW@L)$g86s&?9{>3GnAXkS6cSb{!ysfH9i~Hjb~;pzt##izhs@HxiH>Ne=;cJ z?-=Q(S1#MGmh7da4r%$itnq*|WSNLMiq2wxcg>%Lme8N;oxFcWW6d@I2bv8(cc|fK z0mBoT5-T&dbrZq*A|Ci9jfIY zHFXvQEbnDx-|K=Sq9zKq+Cw90Fk@$#Rdh11kz2-UY7%k4kq}poV3T4nwW zT0pvmQ`1PQEnU1#cSZ2T*_uye4X(LKex3y>ThrNcVmllI5~4ptI;_vJ6nroyFOJMN z7Tkl)5#S1t9-2vk^dW7|;3m?H=U!G*I?OKxpi&Z8hK8Ru+?^7yW6 zk8bxsjL>lyKZtW;7O(~-PKN~jo4W-@`*4mzb(Dz1j<$=wITNfOY%S6uUP#Zdl((^~ z=XYyuQLICS0h-{dE_HuK9#`$jyyus$Y~EVd+n;IGgxGMsts!P``5-a)_uk0tW@YK_ zx;bGfVGSctskCU;T(7s%mvO!(98C9sVfD?ZL962k=>diQBR}$n8~HvoyjER4hHt7P z1;6Wv#{}+Fts9NI|G>gf2Qzx|&u?yVe!V^VtI4E@BQu(}rN7}!VM5l?n)oi>0S3=T z(SJC$gH}($&O}r<1H#NX=BpcY(r7lVe15t543|)t6uZo=!98aSDGU_slTVyNZ z=Z2|?&-z?{u}^iu;m(8_butIf{g5xjTK$e`J@{^B9Sap~O)@s}^P@^9bI96V=h>qv zlyN$Hye+QaFqdunU`2H0H?lR9qQ_qJgjR-kLVj(rV|(Cz^cz+w>STzOr1&jUDCIb7 z%ghBY-Qn{O^vD?$%V!zr3Xz$S)fD)HZiMYCCv(O^ z5As$$Y9*5p+yJ0110o7akEi=2evMX5f{QZ4cP5-gNZKRmON|nP z+tGDuBG)~E8*vAHC5vfrV&{_p2p_h6CNsUVlkzd4kVSBV5k+ZF>p7x4R@&Qgn%hIk zhV>TqGCDn_+dbef_Oh|Q;UMV%%_)6HkS|fE$M-Kij?Uxbu}S4~db&$0wMv|VwCLj5 zsU*Y)!np+pI3uj_czj)n9cU@pI{h#TtRP^gY9|U4?)ka!9K29~%Gt8i6B!t)hkNI!b@)AYl$x$TiFi!WiFYrn4eV#N?%5uITN`tiFeH!rZV- zIS}J)H)~S+05vk1fPlBO;XMf+;qbVs@Yb$NXWm2DV>yZLYCmj~Mwic4F@zK3(K-JYyk4&GU+Mp9O~4HQ)KdSy`p%u> zyLET;pB7uP8=r2*-}vNz>p+Tsgr*R_=S{&OkMSyk1Jx_ci!|9bpf@;z};D&1@Ig*!VH1y<9FL;<-WCK z%G^TZBB(JnXMbEI2)Y`Qzs@!5H2;OE6Cr;&@!YNmpI1Ac>|XeC?dV+BTWfxL`OX^0 z*;g&ACSL9@ebuqba@Thee3+jFtR5MeUyNAeTz}zW-@thq-PQ}^fyqGgwEL|}=!XlV z-?FM}?3sPxzU%*i9kAlF--4_W8x4slNpX)a%@upVME`4tF12x;_lw?n8M#s9sVf*d zZQnU+9S1)2%A^&Oan9@MVcNcD#HM66GN@It^u!M!5z(Sw7D)|^ezvmV*TFqAw{dmC zD}Thl@*(SMywts^oAS~NTCaZbKSHYV!_H4CduS5F3T=&qiHd0h6?UF^?x6+^SL z%uDef^Cin;q;dYIjOqHNyD3TCjCre@I3zzr!%j3OY~XEO16gT)NK3?X)*suAs2Z36 zZ!;3f>YEUo7>Dq$^^5;xQOjBB9stLX(A4%6X|lvo=C z1eILsEIRXDZ`~_>^tF!W(ub9-6hqxr_)PsYhg+qm7T^2m*7w(GiCO=aBu8V{>^gjZ zMdHhozh~s&AE#dGNujR@chGWt`5=DXtnC@|JC#QNnwjP%=KxkI?t zBzpl%V+Cps@=(ap!zi$e71yhVydH{Shky-l_EJB#$=Y^7~Q6E z%P>qaO$^Qg5i{{PysRc{P8_sz2u~D8Wo$jSShx!;dzNyH4)(I0bgGtb(nc@MjnB~C z7Czb@3pPb$WM^*pwafXJuAz-x544{(6{vQ;{dkmqpmZ8(W$z(bSBvZ7mX8Xj2*UtB zO)8$Fma_68YOU;sU_-Q)ncO?ELBCJH`$jOB#>oG+@Sb_E;713xwI)6-msOjK_7>!r z)x~~gXc)XxFo^cFUKNavp7`bVWcSS28PCOgc5ZMwmQz*HfZ`r)JS|3!5G0-xNFPP1 z5uZGkiTSXps5fc}2ZixC^}wzoIFw!2Q*?Mm6;Q4o=o&22{U(6wx=5K;kGC7~AQdoe zBYeh7Vm`m6k9uw8(cZRofJ}0+U7qOSNfMLKZ)swM@3wBdPJ(|t6$&WB^JCE1hg9ay zULkLUQQqxny50}m1!1%7rsVky+s#(q9hec+$=kdfmLp3oRpEiEhmF~)mSkH{T(l9` z&br#7z*wNoES*gSJ7wv)a`bK!c(V4`V8!LUff75k0;?w?O-Vbu3Z|GtQw6i&GgG#; z+}N$HDH@vy6&!!AV25^8S=5=pDmrxwBl0>5!h69|mnNw)luqS?50lFTs+Z}@#2bOG z$RtLn7*+kj3Il_O;ZU*?`)dv@#2L4)w)A>jRY>-*3W-(8l3aj0H@5-5fl~3>FZo#) z24Zk$fl;N3IAf1D#bI12amK^$r2ynVF)_Ndbv3CPt$9_!V2Zl_Q%!^cJdj#Slo_9( zEWWwymsUBY=rtF;@nIIoP!XKH+05DA&Ix&UKCA4{q7+GNg(?H)N3uKj7|2nJY2_X~ zr};&&Gicvfj;ya&2L=nVoL~(T%_SmSZB~Sb7t>gfkSIp&fK`>1(7)49js`@x%R1y& zr_(#5ECdCOycxGmPBY@ekbB^@e*NTqbJV~Kl(WZvzf~a_qOV5BPYf-*!OKK=xJm_! zV2-l;v;Oqulsqqb=%*3+`%l>=Dcwl4_E-G0qQ_eS zb@F;f@xKSwluhDfCOA)wSJS@nIUfhE$qza6`3Kj=qbd2i!QyB1$xWpxsl4~HROdI( z?=xlJY9l9E;{{>9wlF*|iIod)a2LmRaOYGr*L%7OXDV&X$~KiC)CN*fDXq=aAl9PQ z{N6WI5ZEaJt{!#jkE#jaHQWb8Aim8_g~I4nTAPDW#4ag}$MF*ELf(nHlH8jP87n^m zl7t(g&4}oW%Pr=@!#zpTgt|NIz8o&^Ib~=l;~$k!9GI+NWDgDH2PbUB z-Wl1vr2aaJ^+tMBp)Rl8CxBKnqD@1?QAL%N&SHU3)G{rnVhGkqmfDk~ov;uJ3jnDe zK1b^(lOvATOF!Ws0Z*Q@x*R11%1{Y!%MRZkcAkg63jVFl`vb?2@%iA1-=^=<7Y$?dGoEJ4w zJsY*NwxjYv78VoDnCqh!e}aMPgsfUnn_jCs7QLzo%9yOrLlC1XIATvjDy*tu1R<87 zYwSl0af~gWk%|zUj4}(aaATdE9*>t`=;D^2YnN*|+OnZNpo*wmypWx^Z~+ZMBT^ot zYd}419ts}uU|)cP`tNdt`kTJ{-PgN6gc_Trv1&v5!d z6=S7GiSyXaTjwbw;ca$Akv5Wg<(H+TjRYi|7$Ahk^O{a5w%WxSczqwjlaudmKA}e; zoTd(d0rlxr5yqcAM$3aT?hW3{44?JohA9|n)H$|l*j9pTKdJTVkUp4)>1q>O-3m@6?=s3t)l#fL=L zwj$j=zEVKsM1+x|Hr&He8lLUO6L|yS&QweBH10Ncoq~8X!ur9OIqWF9^%i$>o|&yq zD4mv&O6ij}}s0HhHT5IZxB ze^(`@BM6A}<}w$MMrhDf;9DLKH^d+gD~XAijE+)YW4Y=(AtR0f_G*8=!P?T%rkmcK zQ2SB8xp2M#8z5z-#}0>5#41vdihy0!;%v=oMvlk5q?31IIMdUQ3e`|ZFh;J%6JvvVdwn+y!AEfU z4UFGtlO7-tZiwyNQnt+5v!hEOcr9v#s^Vmo0arQ@|j-c{BLSjW6alDe!I_V%2HK&Tz7dB<^uoi5-h8cw$marDq>DoOSu1` z(2`%kdpkwF^yDz$b$*YCRbx0;XPn#5N8-t3DhEIHbb2nqacdfQo2wt(FdcLEwj444 z^mF2nXCLUa|f0k`K~vd#yH+_g)u!l3Ra3i z&*~IW&h4P8!CN7@=~<*%*#gA~?MrOqWo@;^p`7d@A3?VuDb|*AzeSOQp;9)dcyP3E z+6r#&=8J@k&dGlMPM7mOb6cA4HqFd#rM5Q1+SBUP^; z*agzR?zT9D$q=q^lv0b9SA?7KA} zY2j!<{QQ8e{vu+rEg$jw-@5@il827)n?7%P&{)my{`;o~*X=?>!>9jq+Ux1zHz$Jj z5vSg~_kAC$9G~N}cy@~KR$;(je4|QS!Nw#0c>}(e-*YYv`KK?B%!UHeroSB4PxDxu%fDBFh9=_&>O( zyoH9oOYWzQGlDKTr(lbtN5r!|q%foDIF^h0o=QeC7Lt3Z={WWzMi_i04-aMM6lr$? z78h@3MeyQii*L#FI(KH7CMwj(cnMm?#fxh`VXr2&^j4qzg%)?Td~u(w+#^vXSKobd ze15BF(e}c%&s^J?OerYH7kkDo++QL1qw*)q2Hm!?WaP-8AwJXhb{ua4Iq;YW#oZc6hg5x=Q08?UdP z97>!%=3xv4?7`JxVJq8e=uXcfui#dKQ0E-a;b4Pa>J2|fsl?3qm^om`(2~NRn_lap zfhVt0!c`SuQe9m9sjIE-FEHEEY_n}6j^k#o%v!gmyveS!fsEM z!>4$la~YxePxmymATUp=V&{g%Aeo4Y!8|RX=8>dSl98t3f^Y$iKdyhnO>zj!<4sP}k|;um4XGCRImZr#=HZq>o&$UyPD=RZTKW8NeZr<)pIpr&^m_AF^g%3$V~se>|8wxiS-nigI0VTXE>Ig%Ji;XsjT7VnnLSu3e+DcGG&DF8D^ zCI$uNHz>tX3^3zehVME(WpCSQ5imUi88}UiV8Y1~Gc#Kn7ePmJOGCMP9TQ}^SCrTpZ>d7#hONBN<^zEor@s83wj zib^n`#AurCjL@1G^437th3kRyY5k1l%30xcJ&Ky08HLjYq^2`R*SJi*B9=%D01%_} zO=8o1w@Vhn(Ik>!23A=|GP1`KO84839O7gRme?m!a)4uYAC=e&zs>4D=Z%7&r8(LM z_uO@dXeQpk$Zk6OT6ejR(pM$gOQxoEmVhw@syn8;&6~$WV`o5&~h=7 zeF>!v!1fZK8T1LiM5x*oGOCg0ZB$s1mBz>Oxf#w2}oG^uO8Ohqu*!3oC?NeMj zyD{NUXC5E=fl*fKs*~UQeau9) zs%(3*tG`NukAhzm?t*7ry!#x+4Eob(yZqI`hN5YzbE7dk;jhI>(evdImj24dQ;IoZ z6026yqmnIOOPKk(+p@ba@>JxCi)6&uLWprn&b(lr(NKHxh^OkJcv=F+>|s|vv}H?58%G9bO3B_Nj{nc+^+9{F zMiEBL4$Jf4JF0f4$kyK*2VZx$%HwG({9wdG)y9I1P_^;N+2V>cc%3Ik06<(T@X9FJy!r9z=?9=dzPj)+t%y&3f}s|NdF`?28u2sYgNC z!UGXW>~|CM$ubu>?Q^v~Idq!26FJDJeAGDXL6{7@?AY1sF?@Y}ka%Z6Kv^-i7+2qM zbNgaW6f8B|R01XToT6bxA-}NF^9zNC)v*9e^uU5+Nj&W~>W5D|2XP{g=v5C#$!!?i zK$8~r)D$gCBqbTd4w^@5BpdFZF9R3i4%(BC`EN_qTptWLrINj|3&-*2VgAjhFFztc zix6cDCPI;+zbdEKT$xicKYhU3d}@2yl9J&RNqK0yXw{v3lz_-y{Xr8At?%ZUFtnxC-cf^`n{^mG^(GHjR?Va^tw~$Ho}}^(Wh4bx zhGr>z!JM8l^fBD1EzU?Jf_QaPQ7L_~%)@_XF6K>CBP%HkTs-cggYL>^Z5g(eZRDtf z5(jL`9a#g5IjN3kWXFk$^BM5dnmelK~z}SSA#SM)+#?AX-oCCVE${ zvGUA>yQg7bz-i!Z(2UZRk%-WCl!pwvy7(JZ<7~nF716_s>PN~qC3ZJGk>oI){H0)t zdfZ%7%*2zPV_cJ+_3V`f=2Cn4`>@^{If{)2xhE;S%|6&&^uh9~qIS6qHc!zhyQU5zSY$>?Y90PSkFwMc?Uxgl zt_zH}93JK9Y^JK;t>(o=AV&5_aN$-C8Wn=-Q?iY2vddgI zYWj==1G{8BI}5hu-cDK=kw(*Nv2+u#QX{^>9CX<}ZI}^6{K=lpXY+}hV{?Id4a*N} zJjq{@3qUq(|4Xv*S%~iuFtV%#+;0T?0s77XziOb))^faEqPI)BY0=%mQ*QbHW#zcN zy!>ZlhMWC=>pULix6u3enC}82*^PZlrZ=#0biNBh9{N7zwdn4YNsIh8lbEFM){CP7 zPag@`hHvXzr0?;E6yGzzp+4(>HVPXV0V+Lq&7?~$e$PzXSTT7k!je~g1AR*8T4!yk z6Z}47V{~w07ED^H%YF;`NI!S|%5{4$dxf5JPM@;Mcb@y;ltsRe-JJ+r}28I^v5;Eqo)+HL*l-)r7qIP$vi?sKny<$IGK7_GVT$NFPs;o4dA_5`}Qb9fWG zg8w0&dt5L+3o}YC5dl?af7~AyBwSKJ&W|SV*CL6t^sIM6r~l-alS*R@N0x>hekIH6 zVCHHxb7XEgvihO#=G3EGWSOgxbmSgdoHh?9G~-}y5^38@IW#AJ?pj4&BC*~|(&p)w zWaqW}RG7$({_8-^licXdpIp@~DanJyM=S8&aCaxyFUt1AFxLAST_X)9#b6g`fZtOTcz`03zZ~ymYlyvbsH}9 zoF2bvuk1Q}?_|~`wx+t^A2kJk?@cTC-;TnA4BgW2yV*ovIaico@ZW|bT$XcsT5-to zJioJKarb2lr?}cv+31?SoZ!C;uFH1M4a4d@+mUJg1E`36BcrUt5?WQL_5k-$UyrYO zeD6@PCZhFcG{)6+0^@~R3r9D5-kL*6#;aN1-qy+=Ls(tVwTHceHY4VVIA*}2+o>64 z1C+GCXvO}YyCBodg1TM{6zB&wh|k_0N^cWMQO2L$FsgI zn8!P?9VNO}NyJLuB*7L1jwJQe6{mbsgKR4IhHO$y4!ATJryC0~TI<-SfkO#W3cGO7 z5swbcSsObs6+N&Pt8_)XXbDHGk}tk10;y~R4mNn$99u5@8V{R##M~utE>5Ijlz!X0 zo`bh?kA)TuMonbv@%B)pW&qF;*LW>GgOdGzpT32Beo}km$quSg^9t?H$>9E`peb+i zx?8&vTi-6aQvP8db%DK#2D!U?O-Js~aU+c2TWXcjS{_CKPX!^05o({6K$2!G2OT9& za?d$WGUv@yqpn#zdu>XF=|a_#Liq+=BX`A9o)QFP-}F1ZmAdbgDdfz034MiFc9j?1 z#`4YO>cY|2V27RjTgli2$Ay#Y#ERtVqUKJ!jrDn$bAB_9&}Gyb0mD=#xchkTRv|sq zYkWqQj~et`GxRj+a_9h8(&`I;*MX`JMFC3Gq^_5*H>3{Hdc}UQ@Q>FPQ7Gi98?V1q}DX!jv~3#f3dV z;RO>UHQY_ZL(-{RBs5%pLdVRsAtz zy>Qx=!1L=&fxZ2K;h|A;j!!~}V5!ezBt!6jxO(q+D*yQZ|8R~y!Z}1na;{^KiiSNR z9YUGe4Gl?3A(`bEl~I?`;85AlDjC@&vd$>82)&DB_cpVCujBpse1G5HuRrQW9Zr|) zdX4An`MBSuFDOyu>@j_|nES&gS26dCWi+&$1dXkEKPbyXNENJ{-#zJ@s(Y6BMiThd ztml<<&3RY&gy){2u#2L+<{~?JQEK;j%okARH+f9+czFDI%#Z!cBaM|W;ZAwU&2=M< z2L@+&AeUU^C|qm-s+4#Q&@a)~H48A^62_`PTbvpp;|;7O^*Mi_aH|7VQI<#@AsQ~c zCVAR?#mi!AE955U0d%#0)MPg(y#b$*q-92OkRDZR+?-z zmi}6X8ie^=B0;!%t~HPex)Y10=%rbWnJnr)Mo~XXmO=HQ7j>dc1DMo<%@1hY>3$CM z*Z*0`^FTRbp8bK$aMQhV(A?tHe6|n(6>XXy6oUp4b~|V$lgeh_=xs_ZD%~As7m7nb zf{!g})rfBbW#Wl728+5)Ud}9?Y3c_}gj{nCt!1wpKWx`2sjr~eZ$5Na;AAYC52**e zj~+vhLO`xHvHyN^F>6q^tb1f7c_ndv*1!8kMAcxdnhT4ZP0y>KlWW1z6d;gDFv{yt zrcDuk)=b(Yx6L9?N)3f#$fD!}HP2(#Gj@L_AX5kx*Ml=CAdCR5w;;JGZp;n~u%J^Be6CQs=s~93Bo{nTS3D0I;;g_1 z6Esh~)>{VU6J4MgT5F0nV!Nn78=l*NhV7rg^2p{wbwKE>C#zuG ze7z=TBK|$Cwjg)BGIkPdC;{J#Md|y-71EH#QCw2dXjjEl9%68HEHYb5fy|eAxM7Iy z*H5Guj?RX&xVD}SC4qsIT%L8lZsO1{&N^XZD!Ua0$jDg$%?LQ0D-lc|_Mn??I26hJ zI0One<2;GLNeOJPY)lCtGxhB#1}URL7eTd+35*3O>rx7L&u!-r0qV<^-7^XFPQC0> zLhpxB=c9oC$|xxWE!t7r8BTU3;Xk|LRypB)LwkB!w|~+mb+a}No}yo;-C!V=-RabO zBZJff9SpWt6U>c#F2O``s`j^}4Y1kQ4`VJbgN43|9V726g9IinexMVa9b_u3@;ur2 zSU4C=5ZtzsvU0Z>#URiPy5Cs^`HK>|?4+vgPJ;$up9L-YQPWga-;G06OWlnn+qvi* z;~Zf6ngxAE-rIm@7HMp;Zyii#R9aGd5j}6x#W%t3NWYozKS1%D zw-q(n?UKQ<*TXyyRn>vI3c&mVy$RTtTdunVfGww83XoC`6uvAB>RVX^^$9jcHzX<& zbb@80?OY5xvzY|ooVc8o+rUm$vA4tQW8 z*=qHE+cVKLAEUpYS@3M?1N-T*YVMxqejg_m@L8fA_t(|tyeJtF}@3n~53 z$1QNwN-Dm>Ea+h^XFLp>&TK!enWuxx(2jB-V(+DgjhV;4Q9!j(T&nR$)WOtRVAPiX&WmJ4!Jsr zN7qYcr->#GfB?IC8JzzQgQ*XJ*e{RR+FJM7FYxV&t-VWHcl=!$j6#S#FV7cbk|BQY z;v8Cx#HD0{z+?6}*{k%}rk_84T}_aqDE6|v*7OM9LYhbpPWpTu@&SEHJU#>c(Dvsp zg~Y3Mqdsj1E1ti8Lwm;81i48cyF|oHy9PkJZtGN?R!EBe%`p>ijh2MbmB?22y^y;b z%9&550UeN(%PQZ~@6-Ju1dol9gy9c;T|t)?Ab|%E@vHQc;cIP^W^G41YgaiHUMiIb zc`5)p-4#EY)XCt|b9jNrXv8_Lqx1Zn@^_IPB<;5ZluOpqs}nfrBtw-OFL_zpWgq_jWvSPYT$a`hfw_a|7PXGJ}&Oha<_zBiF z#cp7BbYpz&lRsd-T&je{zepiUqW{6Ba$L*uZRPLI(2%)@9K`Ri*WMotiQVZH@S^vx z3zer%9@G8ti~&ofh`F77Kb6#@fUV(^E^&-fV7hHOMJ-bNbMHZW1*8_$?q7r@GZCL1 zHXx2Q?d}r_-DeXFo>_AyqOD=xx04!J9Y~laEh=?*@<}ybfJbuEoOMm%$Awm91LWgL z_~UgbR6z=IkidS$?;-jf5-KnKW|`l`D_gbvS?NzYzZ#Yl%<&U1q=z)unN`}yi|0Rc zL{LLD?XMThT?{hM;>yEU9!H-P9Jc0sGru{9zW@C`uMl}%UGqfU1z-1oZUbLl4xIz8 z0{rheQ#o(%H$q(w#&Ue`TNi=Vd&JBpb=M1bXh`o|k&O5m2T5Rtf13$JW5V4UYDp4?>6aOsw(OQfsvj9Dt>x zFVT2Eq0DozGM6aL&c|bLh@aQKw8edrw(6oQerJScln{2QlYH-5_hS_bc#G38)ZhPj zBxQsp1g1)ICQPRaFA#+Y$XTpgybckX5xfMM;$(d&i+?5-G=WXqr*7w+ zy__m7ibX^2f_dwB&a2}rbyff8JE2`u0xfBWMN)hdMZZ2iqb?AIm&PD03n%1;r0^DU zNUY3(TUeV`nMa5NPhLJka{cx9C>Lo*9Z2gVQiHVc*k@QD!fxHHQQdcaWQR<6Ix7^3 z^)Oa$DCpG~pC3PP0u=%sy)V$xc1+;!kq3##@K*)C3g_BlJv&j781VqNkxvOZR>1>! zSJ>J{Hpbd7kJrm%$W2N>Y|n;3`&oE2nnzV|NriYNG240{_AiGJC#9(M0cQr@B-Hi_kB7IpSk3q#&?l`4!UuB^k{~bnckr?K^z}HB;X^gph8Tz zkj}^X8Ql|nVxSqerLIYB+KzI}48Rf=k~-UnEAW% zBgd`D$B!fxdBJmuGCckQ`Qmnm%`DFE5cjbq?BR3i})iz~#xc()|U&v!NEld6k) zD%vVS@tQeEN(LQ+3$sG*#G_M@722GQ-IZ8)U>uJyLQw4Y{=8{sCJ+pt=+X8Q>P`K6f3$4;tiNdUfl&uoqt1l5`$n4x=Tz zvfS&S7@XMN7t*t(-9j9X&v~qu>br8r6<@JYI|d7qVR?aANbR&mzDGGszhUj*gqZrs z-1_}aQS^#Kxv$vqJgv&NZlc5jd4Ai>$Ix>aH-iokm4j|atGVIP1QeG}+@-fET!n46 zi>B*~(fI2-G+p5R&1wY13COyY^OC8WZS_M$xyaa%)5!`USo$g=+lMn_ukeFv$mp%! z`xnUb?_z^)ok!@mrb2$l^_B55i!o76G5C?UyG1WG=F7g(<%z z5s9$C7o>hHPZ43calPxlnT1ma0zXlr~)&i`+|1au-Iy2=7Zb5-d_V8W^gsGFWS|3F(we%l8;x@mCp$l81vw6Z`~( zU=b3gPCP#&Mh%lMCjINL8`JQ?z}kMblL3eloK)RQz~0?BM9K6j3x)4%^OEJi)vg^lW^#eUN=%Tf&<>Sx zuW?z%@j!yHTruO4zm8)@&J-5E5k=bYSUoA+li&ucjJ3NX9O(3USoA5T^E2iV?=x~V zB&LeC-I2!w(S>#MwP4-{I*Hh85WgnkP0r9B-XoBS zu0}t>Ykje5u;3%HJt9}~kW)}sK2m`D_7Pp-9gpxQ3bdmG1-`zWuCLq3kQ>}<)XlZ% zcHn?#=O@Va7DW`ku}6fuR|UfEQ_sR{Uk(4&;|3qdK6T6C5K4U>vL&ZBWM)DB_#-4` zw=Z0Q`tGDw_#m(OJDd=tCn0^-=iE>E$p)3c5e9twdTNz>yrcNL+)x`q{tUUD$Ttwu z`RO&hL%reiBYR-Z!hN-AQv-iW@JEiVFJFU_-%e*(N2bdcUrqE(&G0I_^~kwEEC3Q# z79g7{bwR10PW`cxNhwI(e|qytmK*94{*}GZFn24=ADS}#F$BUqMIJy-?t=0ZH0lIn z{PDui9G(Yf;V}o*C4EuX(HPS(I1-@Vk@!Yqx+5301Z1p*A z$3d<<9Jhnds|U}H#{EbYxrNAv1cPPu@!YPGzy4f@_lT%lpOrt26LY6L%HTe$zNZ$x zir<5`!oVU=9h6N@L-L25|K&qRI;P>EnuK^fdJkG@Kd(;huMYi-AHFMIVB*!?&_R03 zbLaYFIQVwo#;Ll|dc?V3!7>8o+AD^;l`<^VF5pjYn31jriS5`ez@z21k$xTN3>(=U zk(PXtr(CC~2T4=hk*-=S!Z0j+V~>f<8V9cI5VuoHt^xGxh;Ww_=YGn2-0}0grydaY zA!lVCRO00HK3rF+c>e2om2^y+4Q^kw@C~K*Vx;H_uR>cDq!;X_@j?J+_}k`y4Yf27 zVUrpS@BN8zP4F_rYgh4d9M-e{UT(uHb_b1gKB8zBuWLOd1|!pbWyGW*<#WM%@yJ&i z+Q<_ptj@ek%Umg#9uX{P=cng2+rCw`Hq|ru?JI4>b?KX3FvGpHH!C~pSlBD%by)e! zrMn`pAwDnF)5x?^+)1SWffHFny*f6L2?==u$1#$)d12aIz*5t5oO9yuCiRN@yMNTX z?C&SeU6+owPq7$tlW2p{$HZ&}-hcA=bG}axBiV+~l3uKMhPMVOu})qEu8@>-Rm98g zr~mH%BKs43=7wPS+_TGf7@1t(-M*}e?WdqqeQdwCme(n1c||If9kqXs|G*i|W1=eN zSS&N^TNZtS8V%7h1npYd@lv znCb}YrJPDX&5^jil=Mk5hd@z^)j9k(CEFQ~&VYGa&J`-lL3>bQJpe8p=atHd5&OsI zg=6hMcW@cMJ$AUo*uiERkA5vVL((bOiyGlrwT#SIPrAI)6I>R(?AXDk{Pa{Fv`Q$QJg?f`Tx70WOE0=n#u{WerVyXe(Hp|tB9hfR;MlHW5v zq=(NSuDxF?_C}II7koH;4Duf0XJy`e+30R#u$hGgvJL1+MJd#Me`iF#i#=BL=@y{OzreWnRtg zjk^W$@?3h4zw@uzUi2OqDU2Qvzq9vGb$O>XGDM)I^h83;7~b)e5gxWu)W>_RLqf%$ zUcNXo^7pBQ_<7{#JNA!+EEI_uT$lhqLn~=s)-b4%uoca%ZR|Mh5>R zUnMlDi1^A`?I6y|hyBqk{j&3cWU`(=cx)7fnC0PDUm+f?9U_q3)xNmN$nsr-EySOx zAsw!X=rFEz!~{|?`~ZQ=!hfdS-kb4 z12OaM(1Pl7#RI#<3Ao*Vg^uEL5e_)h&fYf{PJSDQ9ZX~db|3zv&nNo?R)gf$_LyYF zo|*iRdTK-s3GqrHF6oN=^CwZ5r|YGn;r^e|GHG9RsnIIEuZ1~OREiSmcq|RkaN&d) z;{1ZCVw#1z%Yz3G?LHwif0^JBmU4Y+S$g5G+8hdaHM{AYiq`Mm5bHJrLh+|(Zxl(J z!_hu`Xtn$6i&ycxvgcaGu3wP0I-z=lXs;V{<4KzFoT1y&=HwqS{(aK0J#~6&VD#3n zp>YWHPPi|{A-SFlH0^3mxq0ezl;m`gx7`|;jcj?qigV2Pp zHdvj6v$pa&$okU*-`tNW2pJ2(3mtrF$(|6h;a~-RA5&Tj#bt&(e||_@(t5Y->h7x} z*YNtq-@^rX^b$Wx-Pk+Ax}wqg>qVwn;0Us;d7re1BuQKwy^)EeiH?kZK?cD{%5s!@Q;X379Du|}*`M`*nd+~#L5dWw?&GDb=bf(<90gan3+JkMHd&SEZF5Z8x^%-^D zOq~A9gGlRemMQMO*vOMwU@ag4iHsGM_=etF|8Yt2_Zf{gieTE5TfQ1ASrkpNB^KABpD|NMu(UjoGAG5GZ*t7g%ewW+<_DrU?t!&? zPg_Q&bl4q{0IF8eK1=ev;D(i4w^T*xdHLj; z$7o+jKle&h8)v^I^)52m8uX+Slnl7jg$mZgGaODy`~yiAii+X+HPza%cCb!~Z66nJ z;;S;G76uh^vi+J%!U%^(!c&bzW1qr+yV9MPz8;U+kNTYXu@Qs3Ffe^xJ+}=nU?{>u z@WMK`<;>;QAaUe1XP2*cFJYQM1!qq{F#WaOR&}mxPaLnA3lw^4^37%T3K3 zKc?tTIv}Qfm~Ns}R=8{QoBS&DF!9xyl{bgpJex}9z+HMfev}2-Lud`zZmw@Xm1<)( zWUqF};I$73f0N>b#qUUaTvsjIncDbbo}jl|sq6zXf2i5j5C79nxon5#!CdpTaufWA zekuLZ{d0M$NTtuS8jD;t1iZu_H9@3XGQvYetA_5qjk`sJpq znzn=eiuLdI{mp(Jpwu3$g9$}a;5fTS6)^TP7eOgluW<51WlUO(Jg zfIAu<%0$W9az0lU(-ORval`B|&PU#h^aH|P1iNI1%MW_2uIgdt@lWpVk(h2Z^}wfHIiPdA={3qBIn3P{yBA!!YL#ZFCC-r zBe`k&H26m9CuPl9T&`Q9r^xHT;MCVbM+fm(GOSGS`_>i<#T*yvYRKb#r)-D<*y{VNS~o(KPZ`0zytX*!am@s!7}PKdDHrhx%PQ-#f3K`SuewSwVE5 zUZoK>_F8u1-nv;lglbHcZCo}Kv;@-p?PA&-pU(A5q$-)BVM}^aJouDPf)iPv%Nb-hViSc6`hU%lA_G z>_tzbr1LI*MQn1^mQ=(jD=sPCI}tii!ix#Ic1fA_OqYE7XS;9Nj|`)0S8^fEEwLe2 zYv*roVw59}c=jAjeGk7f;eC|g=p!&$_9d0mQXJtXdQwc*Nc>i;+Xb8s!UI-XP>_*U zhjg0v_~UDp^m~+Uwc{rXEhP1?20Kmlt9Av!dx z@zTu(cR9OZ>~D$qZ;eRh&gs4L>n?%%ycckk_r!d?)K`9AbzzuPK8{d+m-S{rdC3Q@JNy_jY!@Cb<`oeq z;U3uf>)naSRNiRh4gY0@tcAS~?y|vHWbn=i?9Pe{MTMY{eJV)5j(qKY8Lo$@W73xt zn&iISX+vGt4S#x7HRIcU9QQ6x?0dZ#6|{QYes#&loXlq;@gvBJ7#>JMTi|Y++O0S; z@op*c*>e@;$2-tvQ1C7J5#Gsfhc4pL>qkzieDI3x@<`0@efq=!)u3#|!PB5|B}zXT zFX@1GJn=7llzOBR)n||%20JKQbP6auckuh3{LV1zX9w@KxG1Gocc}}7F&TKiLkeF@ zp4>&AxYT<(U9{7&3$MKs6t~e!ykEI%p>8=(Dqf!l$;Gh=YgpgCi@fm=F&oq8p?Pz&s)dXo|ijvaOrTt>>@ zRX?p#ES}!wDzcQFC4l4g=e@>?7j?U7%k78f?&dn1P#=xxMvhtRTWZnb71H4O&Z~ge zWN}}8gDXW#lCQs0>6(ajQNQp@m)GaJT_qu5&ogM(;L#rZ!TsE#m>uX92>;7U#h9$j zEtlwo|NDC)iSGt{9^?5@;K!$5twPuI)K7;hyF9u85jm0xu1^vg`uWfbn;P>pvO?FfaX6bJnTc%Z}ff4W0Y#iwEIbiSJ*6UUt@ zOYz8NRmduR@bEL3!+e*A(A!hvL(bN}J&iuqg+92Dabbiv`KLWJ9%ks(HW`0-X8JtD zQT2}iZnGirv>VvS+Hqk|B`U#KhN32f6h^M4P#wimQJiO0F{&DM)IYl(e40K?gT4$R zOYqoOlT_&w@bk_isz>r6k+#$+KF$!N12m*Tl;C!lu62vi)GqFY%?3C;GKr8zyud2o zCu5&DRh0`@d$1Z=!-%}L*X;re&97dnixHnInfx=Nd7pr}qpFhDY;)d~dy^n>@K+9= z$5}R}1Ff)tPTdKw#ca2iah1e)XUNoNf_^}j$8!+#wE#*b7p$+Fs2Xkl{@CYNxZ>;nQava)+W2vEDmdt|edXxeTydqvC&SN9?GN-e(CGJ9 zu`x1jL@ejd<(+tyFo$88<`1gAT@)fYJbhHo<}bP|<@Dj+yieTFZ=B?mt1Tb)uAo}j zyqkP4@~0hqu2GWLeF-vw-tU*PPp+rn({`SnQ%yA!kcu*Dp7ksH7ysNEYT~q7dh()6 ziwY}yp7uMM1KEEPxB*3Tz52?(`{$dN8HSdKZ1}Xw5dw#Pw|V58a#@$7Zmswe)#!^O zh~PM)YmHW_=|5N=h@V5?J^qr2G^bQE%wMiJoTczwm5@aH`;G9dvn&553%0gQc#1uQ zk=Y-wm+4rl8yN7|8*iw9d?gZe^g)Sr9L(X2Z06q$UB;v7d1*x=7i3Z(i3k;xL1Ye)4@E&pSXy05ZiTInv%1WKz#RTujn;Ldbd8z`>%8y#N|3N(DEhlI*BC_u;gd8ccOp53vc=TBfBeSE$?j(G~dj8 zeqswL{XFR>EMP1kh^~V6_?~k|KDed&98Du1#3{Gpz{x+y_W8fD3HXC&=jJ%!`uKY8 z%fhk;C2oL?wUNOfvqRw#AQV2ZImTk=u+RSJq14q8O-m~ioL1-Pv>bY&H&s(@eF8Yq z$>Y=6Z`nAxWsq5n>KUl_|H@Llx)C|@LuHGVJIIbM4L7BPu?qVcOJ3)xQM4`zU8gY6 zY*EX~1MEg>_fF#oc607Vwn=#tVX)d6ICTf9tWtIdS;G{vLDQ)$DvHz%h$cXIy$#^0 zy(`eT)Y9p9p2=G^zwu@(TfOc|^W zWDo~fnIMRfp8-0}nP~_00Hl%0%!#D2X`95m>8w(K&8N(5hk_E{f!9>32FZDY1j)>D z-1YWi2xQUdRC}7zU6KoE9Ul|7Rt2(Vg%k^dFyq9c~OH?_FS~n2?!?O->nbs(=fmW2n ztxZif_F;&=3uMvI;h^=XxV9pG{_)74zLL4JIJbyV7Enquisqw&^LcM?G0B5~dtST| z$LYSt%pGNcI93$Xnv^grnWmHiq#y~j8iBlIE^Rv|4%%oU*^=kp z59RJhSC)GK+@T7v*`2~#Vy3&2w<27~|I=HE&A~=-UEtIk-NS+Hstob>( zIk!722_S#Hi`~J0Yyq_gA)3d>wyprhkvu{+Z`-^ARJW#x3wk`}_B=dl|Ml?C@pz&V z4{+ymX>z5o`=adr<(eoKlqulg-sgm|aQZwWjviMs?1mE9#-p3#nk4-ooo3@d=};87 z39gFT3nSdIo4$_9D0Jr*yOT3*!?_=&pT?tvI<9B6Eq?gY{c{F z|M97;II5AjD(nOuhKq&&00OjGkf1@I<0>Xi?@&}^Ogbf1f(dC_Mi>Imo#p41|4qQWa8 z^*GZt7ZX>4c2D#r|2f)QI>3diBck^e+ml1B{)Y$cjpVZ01aG@fNV zuShZ_0;*_j;YhLxJB__1hzG1zU?T7YMBxoVD?ma`Va+ZA?MOj)!{Tn&FakwyL&AXV z+uVS{0o9od^j|%U>A0G{&c7)0ttfxM)UoCC90U!f0N3?Ca22#`8Zsz#Y(HTKQ!u2U zUQ+L8Yku7)nLlqvUFYsH$Ol^ za?6{PxUv;#9shoE;inh7ZlXxMTM@Iv31-l+O>!;2Z`#kNi$B;;>sEUAFEnlR@J( zyKfrN0Hz&7@N7%{|M`5K2wv1qHy$jvI*e4|KFni@W! zOo6M30=~$u|C`a5l>3g|eEok$4DRg_1Nr}s7(2H|jCme81O|*4>O5F5Vwi1@7*=5P z0QnytQ%lem4SJ+!d88q3Dx%VfJN+{kcc>N5cR}2u!<-PVM7Y!*Bn!)c4NZboQiQ_1 z0eYMu$3KFtpk;l{LN6Mj|1NJs=`Ne~r%OVKbZr{c+N1P&ptN-yi^ndqhbK$^Khobx zlZ>D07XZD%EE0hJik8)*%Pgk2Cfo#f5QRNv9CoaftsQLJu|AW|lY@C$?YzUFd)0z_ zVrTdc_Ha?QP5kSYxjK#^%>D+Rt)4>|zH4It4Hs7);%`{168t5sZ=MYFghP%68Gzv; z=oqx1^CTqI31#XQd@Mj>oIBC&iUJ!p-E&2vTDZ73+=DG$bn-_7E@N+m_qbAMec_CG z`{Or42WzRtNLvRG$PcO9;PHyA=)1{jbGIaMWi1(C{+PLEih7`~z6&5mzPVP+VVeLL z9{}UfzQ1M5akRBmQt4lw!|c=#c?JujgWiPF}Ag6S56DPK(Q&~?Z=55;7Kge z+A%PaI4&MP6zv8?*8PNwm5iL%gbQ_aVn^)7K!C{ejz?$5UFzjZtn5)5h?jF-T{;4Vf_i?1#AIp9J$ntbBHMkW~z9t6Kwa8&}@CJ92Smrt^^cTa>$`s*eMaPDotq>pf*U`37GG z3`QG`Di~#+A2S00?Y!E9E(m~xZ!*+@UIyNK1uMUNo0>(d6C&4xFz7~oQ=A>fY%!Bj*(GkVOk%G( zx|^L!KyNoyt8W5CyCa(7fSI|ZB!Wc)NZ|VZ_~x!^vIhWCFT1$2XkL9lh>)~SnyhT} zJ4G~E8_vRXjJDDYn6F+dJ-UA`E$6&emLbRqBUgur;MGd<3G?m0Sq;Vp|lcC&l`57a{^)-31%=pHSQQmVbDN>xjwTfq%P}XI#2-(yJlS~ zHrDU9LCC915UgKit1RmxN#qQB?_3yHm5d4ep!lihLqgPA-*N89zZ#2243y8B~Y0ZJ_Zaf z)D9Nc96J03@&LghHBA@SmLec`npuw*!5`bo)FM@DeDaAZ($-H6uBe9Nn zJi-eEzzBk#KsY~}qX#;tW%_^+a8a1d?w_{KL(=3tr~ozV$qsNDcE1O*k!o-q%5@s* z-Px;Xpc`?s*z#Ot1HF5a*MnyBUOooKZqLOf7HQjoLxiJu+p!|pQQbE=;%*@6o*&41 zu{aPL@NYdP8O6(Fa)o$DW~?&H6|5@VU8?@wzZb zGi#d_PbaZS5NjLk7%I@ZEfGz<04S=2RM!%-z(&I@F-jJ;;ViRu5X@PdX5~jr$hr2X?@#hU)6*vN=tKvd{r zR<7D{R#(#Vy%$TsE`UY7oS(8q3nO(m_W-;vt%SiU%S_p%g&D_wD5rT9cdWYRFe$Ta z-d{S{Ox6Cres(Kttdha+F+1{aI<3DvE(*LCjj(Qo#nD*V^ch3OD*#IIoGE8UF)Nm- z0R5Q8qHferjjophzx;GOH_W2;Y_d6ffFH0us?lix`>;51KIEJ#1G&mdo0Cw)JHA>pK zu1Sj(5DcYyjrGwVd;7CZ)OW@l*oZm+biC@6yuP>;`%d#l(&pmDQb|J^(|%#5=@s+i zS?BX~8l{xTF}%fwLsV0e$ksK(4~Cee3>LXz9jL=1KorC(-0~~aw5qoGPfs@UEE|>6 zLZFlu3Zx6;s+a1>!L9%(BVp#5+1=PHx0C&or_ce0P%)~XcsD8^V?4{w{shpeAZoJS z2RP0(it&Kj0eG-=YCVN+Q<1S0v01nIdk%zElp0v% z?h01H%<^a}bITosDDC$j1Sxc@rV5X98%2*5ZW9ELT<=>Y8G1 z7Kc}?P3M@U16FMVu#34h5WY@EuEh)0vgu`|KVot!)CV`~SX_<+Ov>~ev(SDytUNxS z2?9NR@(rtXzy1wm!^4d98PQ=4xuZZG?+jvvhBS@yOw%#8vX_;swXuWj1!5*_yA>m^ z@-{n+4_=}QQeLy^XJ?(1!U3twEd4gy_Yp?8PP4b;^aPNYN~_r6pvmN|&C4}CO%cUY z#%n$6fB}+aR_+Ia9D_NVZmx5LSFn1_6aB_XMXNwBvWEMcq zR5!e#lb5_0g{wlP+)deHa9B@J&~+t9JswIKYBkhz=I*(!i$* zw)Fp(vsfNDi@}aw)SSIQ2kUSJgech2Tkyz(9lZiVmK&uk&Sg12&LhWTwZM~@%EOb$ z^Xer#r^uaXffAA$7u;>E`UgdI62=vSOX$lb{4jrKr;Nv{FHj(Di0jI7q(h-J-1;?_}xP%HrCY;AZdT>gu=(QLI5yaOeXw{=|+rR2LA&V7IjT1vmdvUSR{ zImL6vmWO)a>oE|`zrJzn73L?Z2mrco^D2k+Sgmt43UZKy#rg!jW!EUe-l&}V1wjMZ zRf@2|&WFbNyL|+WXS*fBQ$R$&=-3zObeXIPh_E1NF1wPBAxOm6rxwW;8686wo9ggc z9}vu6wl?*Sz-mDf2j2hhLV%5eE0`I}xTC0tBh4EF{@nRmGa zNCB4gMVw`WAPZ*9Z61GrHCEtgbi7cfcBT+%`^VmLeWPxJ-wP1=>^PmWl&S-IEZ2v- zrju5R!BX+|GK&VLtJ$!h?J6xBC5Ku`21<9D_ii)SzCrXCeZQEPyTQ?Drl5qHREV(*bOV0CWfN^jWy5iAcNd_xT5FNfk_T z87_Px5>UFqlr|COz$z;74_ynLif^=fl~KRIbh71&*xn<7aj$M0FwkCH#l#H}(d|wk z!YHNGMhPQ&n}4=%aKI%W@WeK&GSq$es`8Dv#@$#jDDU~)J~lM)PItGRJ?*e zb#dBeQ!1HGwYz1zQf)^}6B3Y}aFvyFmeJd-I@$bU|Sb2rt zxT+^>8ATDl7@PqhHU95N(!yB=`78af_eEwQg;vphHz<-pVrCf*hphjt$Jh2*RT^p0 z|2k!|UV|ilpDWR5>PY&P#Bp> z%Gw;On<2Iq(+{PS>7<*H*#;ywE6_U=L{;mam|W|BWnWPEXXKwRo!R^(U}dfAekj|)cuWK*T>anXndHY}jB zDK30sh!?~fk1Pc*L$|xqP>Vz`p;mr8I|xv=`K4un?Xt@?886D>v5S!nW#QjknWw6Z z0F@?ZFtut3?3%)|E2>nj8RXFhpY+I@xTj~_Jw0+!bFAB@{TLOB2uwGrjnH@9vFFbV-6yJ0BqsA|yYHqu;g z_-cK?WJ|_ESe7XboKQGvGRRT&Pq(c&bXpxfFQ}wb!oX>OXgbYSbcZz&^8GvyHk5-o z)$Qoka!>sf_t4O-0a9>|Ur=}zFhxdL9bI4US!#-{zG=Ayd;;6&7<1LVE3^v24XfE- zM(KWiRPQLSXGdyT+&zH&7+_4a@EZ9*nx_}f4~J%7$OqaEN_X&#)OeUN;Bf~}4VN-@ zXE9ULD+k*PS!G~LTE}+lLL!+ zQRnFtVs#LBxWg9nrrE~>U~@>M-UOdm8+%h21R%WIM@9Pn;NPrQQdt@5ly%V#rvmMdeH|tKd9CmKdS*BSs}qrdx|3)^~B$)XID+j3I- z+006|^#}-myn%x0@?_e%@Ku0l83MF@3ZT}pMK0yZ>osd{SYY*)NOYO0EkCdX?m*gH z;=M2;vv8|Kjg-{V7hDloMTrx%+GLSClHXGu8_MJQRP7igqkysvfPwu7NcM}m#aolQ!+=-P0L8J5Jx24&Jk&nSp2!LKoU+E+-VFjQR zG648-v4vm;rKS^5|A7*a1V{zL0pN;<0lDa~JHS<1v-MgGO3>!EUMn`xvxXj*%BB@8 zGbvW(X`IzF^K?r4ETd?gS@_Q+&_5Far{L-qajeyI75o#QZux0u{?cYRPznsLP7(F5 zFv-)Uweda~Nl}qoj9jO$ta}u8aG%-~4HO{&AXXJ5q1zKoxiP)C(|E1cueehwJOW@$ zC<}+GfNOyS^luShvDgsBR;saGI(EtH5g`LTAI7ZN&=laRqJn{XFsH&h1W>EO1Ioge z!GLW4w6>w)P2=ll1*walQM@Zd1S{}?%541} z-APu(Iv8Z|!vhb*--cN;lgYHOa_ZUt^N0Zi3lkGfOYO69VCP0Q&1w z3oRAGPIg!sYn`2- zBtGpADQMa%sb!JN;`eQkSWSi{Uz0o1qx`y$g@9R5wK6uhVLbxW(sDf_^XJ<>Hf}}C z0vm82K>C1SJ^RWzvvS8v)8y?60by@@7uOU6Se9(>mr_FgHn@R>?gOYIL8J8Tajvg% zfCvn{v&&$>qjdvenPGgxbWdZY{?gfjbbZ*Jo|}Jr@k;1MZ)FI@5olOhI8(}`j%Ilc>j63C zG8m*uV3EPB1mo>mdyZB^kY@MZ0ml7tVRy=UQ#qYu89;ErV6bC1n~M+5LkqzH9&kT< zmvjLh{xpCr+Uo#uHrN8WD+0v$DC8Noy~zU@0kuJ3UjhWCLe0VC6>m_5$(aEIpNl&o zFvsc}=T=m3O6F{3nWNUQ>l3qmte2s$zpr^|5t;x(i^ms7w-%+K1K^15|hiTjkh9m@gK@MK-h~c9Sq>YyO3t?Ar9k;QQcB zM#8K+6g3!-bvMTf2Z{+Sa#R&~nAsD`?H8xCty=z+pTG zNZ9?%TyXsV9h|^`{J#nKjYm2PD7L9!XpI6WI6&(MH|%+``uP9WLN_*b`YC{SzdTDeHe@}bFtq=&|1K?}O{okiOIk-QvNE7*m z{}4O!+eRjS0x&XR*lcc*|G~R~17UC;oX0jY;lYtG%KQKl&!dJ6MuuDQIPjz!&*8Xy z5e{3<9T9@QX}UD`(S2+wgny*0x9JE$S{snDa7<_T^i>8{{Z=$W3f5CSm0UyTde z=&P<``iT*knh{w znp}lyteQ?V^}&seEpyGk%79LH&c5ZuD$26_qX($rQ<_hJ#7mP0u+w|{i{uOKCM`C3 zvw2eGWoU6-YHzbgF)oz0=r6L03!kxxBY`4BP4-l5iS3$1=kr@OoFR6c*)_D3t@`?> zGf7TeK#e)ZDjrJQT!=V-|C~0XU@Nm}Yq*vCTIpWl_L*^!WP4d(!)GchFEXUp8bF2X zj}K$NEi({L>70`XTd9En0Y~=04#6Z$QiIL6`-=cv8=l4QpCuOdnDv~984D-MRAfOe z;mque>0WO0u=EjjrR?!E8{(b#F~0{;#~x3ERrxl;a=AHrLc+K|ZKih|V^+gvNM(+$ z+aIjz%OIccU|dF(!O)v?>*-`-yhRc?bJeep8LM8-%2ZQ&@kLuC!qsVW}|n|1{luy zsa*vji5Mx~r$^AWp4#A0s+#j<4d;+^4a+6iyeo#a2imQY=WA_pWUnP=9EZ-AJ-f(-zFI}hZ zuqf1CVOfv>d~DV6q&tvA?iHFfJosH8**|*@{x#J*Em_0GerdyF+b78Df=3V7vLfn9vD+Te1C&zM4agav^f~&G{(TLEUSc+F^&+^)eF=nGyc=f8#jJ zR}ZlUR-1;M8Yx2DXHe0FIz-TcjFiU1({c{uq@u$58PPU%HYRw2)ne(4p2wnt#{lZ> zRTRZ=;cg3l_74#FP8;#srsW}%aE)dGOh!ub@*QPQ^|E^kkZd45WXTm|nMUGVF`*cG!QGi=fXi zmlZy1sfkHd#o8L#XP^PXLtikUxd{VH0A+CP+?X!oc8)s@%?xc!FDd zfAwR3@btk82&JYL&{vwZsQYm_i>f^ons&cz7g&A+Cb{S*Y#z~{dh}f8f+K}M1u7_1HuMJ`1e#jou%Y;U= zR2#X}Hz`pjY!P(W;vBazNWWBzWbu%53FGNb;w3BSqGwQ{O^dldD+7>p8uaammcUg5(Xey~P)2r^1Q&}33)&@RrfKoK;%dJb79^l6 zdL2n+=B8a%TZMSKo$Wm^#@;8>BT$G+z}C9v;z7tG-a-`Rn5)m|a{$uGPey7@^N_fF zM?srs>>f5D_svt?5f=&Cqr=`#x+3?eoZCNAE^4SD2Y zv{o4XPRl6lm(rZ12$RwV8pF9GZb=4+soj&$aG_DAN#ie+_9O~u;F9P+jfw!j-pX%& zorSEZ+7-lpHNN0M<~PW@l?W8$$O{+cjX!(Lup0~42PIdZZtd+6<`yW|HN$5ufh59? zzT(AUW7tZWRCcmmSk%~6IgpJCbJdNXKi0 zL;*OomvVvPOBsChpT2cWV2B4$q!P~3fGbo-*(qRX7eP`4+|-3Y#-M@ z{9J@4I{ui@a&`0xLRugqjU9Y|4sEz7D_6u%OcgBxBGEpHAosK8izE12*1ik|Ry#T^ z#Q06nSE6MkW@?yTjrd75XweG7(3&bpWR_QbGE02kSWR?2=oldS_9D?$DC;hq(N~!m zF`H|w3)|)JUmN8ew9BDyClLavTD5e~g`>QK7CEFo#c(@Y#Exi0l+Q?p$Sk9#@VtK3 z=6&I7!AJJyvHldcWt`n%VeX4+fBI~^3KfpdV-0b}-0Cw1$UD!F!IhTLCe32d>kQB~ zB(pBtLNpU$;$iuzVWL>QLLW;6IO07!vz{a05M&=-8}HCC!1TTgLb#zlLAv1J`!E<+ z{jSJ~-dNe-_ec4ZY>||`|CodBQxxt@;+I)OxoI%eLQmCMD5^5<>gCd~dU9^kWUeL-RFX3HTY8q0#?{jqXQpS?r()RBpic;#NW0mftK|6`k z2qnOr=yQ-$KW~cyiZ2UZzMNV5zlD~}4rmh&7OL!%K{QN|imI+21_YzMXzNN z0?JC0VK9#M-lm{2PD1rk76i`qxB7&f4F-y6d1%2QctiuTiHxyeb+zI$XiupyBM8R& z=;uY&$qE+{tqm7K>ZpBz_&{XO`jtC}QBuAQ5d`wfKA#7qOV%-r3Xa{;Y=@6Dkmzg` zKcssGGLRcm9KEdt?9H^L$d|~y>+DN`*m_x*7`>lFd|_S6Rx%V5Oc0en9SAqd4?dW# zLKaL>@p|Sy21q&Wa|PX3xzM}}`GS0C$g}4|Q&Cl~=1z`SWg&2JTncdaB!*K>A? z(VO$2DxQHX^*NJmqAf7kKFdPfOjuJ67ysoMdgZ5a|cLuMSeF8Uz z#|;X$L*I;^N@lSSr|^lITc{jqwX%1nh>6k$R#?{WUFl>(f=Ud1i2xKsn~1ug;)F2e zpxr1d_EZMtmqDF72C>`&w#+0b3u2swl5{G&eKy4;tM-bo!nqVVCYYxbF3PfD3fc`# zpz`rZAYZ}^G)|9TLaVLNcWe)&GiDC1?U;qkdU7^2NAGEqol=@R{LH)2+F|Uow!?Hm>EoS%u{*EC( zKQ#np$IzV)S-1eOMu*$;<&N#Y`>GmlN5GH;S$|;20#@0rEM0Gp;3rg2H4K$X+F?nI z*xFQN)UN1hps3dZ993nTD*0AJ1w3G)9kRX?(K7&Cb)N<;2SkAz;f~Bl1gDXVQC?qD zR)zsuQi$5+VN5XI$h;EC@gv$Us`t&B3;nnPtaf;m&=?rm`wCdZLuafwF;qWj5rHT{ zZ~=l4rVSQ^-#*@x#T^3Vb?o`CtX3H^Mvapcap4Nwmz>!3ij2l*p2@G0WZL-_;d*Pe zWauFxnK!{rilx(x9ry|$476+l1henm3SW+VYm!f>gt<3==Nu6g*j*Tyw4+^y@Wxeu zKR{kz$^sR3`vTTp?u&2>wfhe(@yq{kaw6rx^0@l{lLGGHyt3A54QahUq<;V4wCN@H zgHYpi(BtEEFGwG*^w>w#IFU*=&A6>%c^vt@I6E35QsL14MCZQtlf^%f+^EamtoJ$u zoSWCC_<+?Q5xn3P#@o6(;EP`vULSdd^0o$&yk1Z8%Z`5mmAX8?yw;zc!%gJV=GFst zaozN`f5)Dqk+(Fu)Hw02=7Rg%NWS(@vLBKDbj&6gCP7oLpOrTM!sBqPh5p`x8*{Hi zMYyl#Qsz%?6W3?jUfQ_W{UYh%!G)!_)lazrX z5y&PCYO4?bTppk8l72F(#9~d^X?vC0lsD&)xX$8yU>%_*xXhB4dN;Pj*1C{?1BQ5~ zwC{UBt9H{B2*_@PyJ67j_#NC`{`SaTGUVU$fFyanrUYpix;D$o{>SJCnVM_)hA;p6 zCiabhB=z&8+EE&Y}U*J~PL?x$e&r_k)Bu31>cL(}2n=j|2E}=e)VEYKFgT zBXq6pmzR87pI-4R3w}apW5-N{1Z)d*jJ(srJKM)`{T+%H6FLP-baA^5s;Y7mQIU8M zv?_pQj+*hC1&5G&_15l;H+uMfkj;aS0&!~(;O90C%+k4KuAeBO5}3$+hS_>18-EZ9eu^x| zM^2-6NZ9XHL1QUYa5TEMv-Vgi@^9fb*b%VnE7wd`(w;P?H;ssl&6&&4)u+o~*Dm3{th_{YrF1Iw@;@Zv;eDW_^45Nb8RFoH;n0a|_q-iDsHyR2WE&a-+e> zYA*;YdIVCXHbBS|3DZYMJ6fNYs%UJJAp*rSS^aM)A5?3}<4E1O{G_oioO1+oGlKp? zaC<}U z3+s=ToAQMREcSTV2qcB)lXAkQGUS8yX-eE5QPWP)L*PU}U~B$21lI4gn6#+heWk~u z7^h(O&He8CNZW3>`h)s;d9CXvkKm8~1A)1%1i|we_%qi2PY8@pdF}VKX#)ImbpoS= z!yNI-obyXge|~wLtIN`P=asKOy@A`B*$+sbPRrc=C)~q7@bK8-@^S48(m}WID7Wih z&3OFPtt2DT-EAkSoVf7Wzqn~`ENWRI$Ov>M?ft;@fVcay4!O_!qT9dvfSFBr(9I`; zzv|;Ks^66`gUoNAci7HAk{^9^`F;kGjyiYHzAmQP=9l~D7`{`Yr~Q+)W=(i4pnlOtRq7cxYx=-&u2gU7V2{- ziR>An<}AOm@TVuPZ`Azw!}?1b{3zQN7+#MZqaRhCEATC+3Jzw{PAALS@uD} z5okTwcjI$PNp9)qwdLTJkdzjezSd6)7nc+^m9a_T1sn>=qa>w-4T^pKTsmn1>Z$UM z^OlF@O3pd2K3>4eha%|7r1gO^VP{#{&jFxBAi5#Q9e5vfDcriodch zLJ`Y>hSg;-2tCIeAedGg{~QY#LGKx{_A@=4cV%ez&tH!9-hUV2c5Q4MRj-VgFZD}p zTQY)u+k)^G&f^|)D-_kEzHhhSu6$28MMCQD|Mp2eB3{YgKB#AT723*7O>ZS{AxSRz zcSAO9$#xD47nE+(C&{Ea?%^qPMGJu^U9T?k8UST~$(|sB`L}xKU z^BC{euX>Kr`I#^ziK(`iTm5J|0N;SQT$f+#Br6^$AVT<|O;%Ibq`KD5aWAg=j$Mt1Kqs`p7TUI3&(4<4L2jJQID_~g9u z^QIBH?mWQ_*5m>iw5#*paHrTbbrYU*GsYdlYbxDJI`VPu*j!{HTqxi(c;R*<9p`2% zJ=Zxv8suJ+H`1{M+TnacMYn&pUkK_O+23rfIXAdoxMNR>-&f$j5|63;E6DuAOXb?m z>$3DOK#qY5IR`A0xqV$lT*(*H@LobfG%AF2ex)(9_`74JP}B!LiUnb%n9(B!U1s&& zU8^gfP8mYc2Z@X>Q%-L6-&0l5TnzCXmG_9FSBhZK*E7GQHmeS`?!cSIM1K11Xj8Um z+XR9&Rbq7yh1X)h{_#+d8AHs=^^8dIGOo7$6B(4P5WD*w%PY|-76O346t zi?=Ad96CNkm?+!UvM|(nNw$F|I!hehiKrkjztke{x;l3Bpq(ZrNO+iElc11AoDH25 zxpyu-s&bnYkKh{(7RuNzsB~OY($|~#C1ydyR1w1Km|~`mj$%E6!S%;%xB!wcXyZi@ zED)OrS*5Niy(n?A{zri^Puh4VyNZ}-(ELOzcP<8;ebJNlm#R|7%r zXJEZ&c(HOy=n%zTQNc_Nr5}RH02<%HUlgC0&nqqo^I8B05OEH>Oilv_Ns}uIS1a`h<3%JWSC&@P$S@S7PY z=6PF~iNq#=@If@+p5nN}yRRPOq=SMIYMmz{>}|<##~97sS0<>?iEKs%P+n!98$-AN zxL6Ii6O7s^Q_om?vq6!=+)@{p@BxG{%g$YNs7w`C$wU#4f$qtK!!Rco?#p*tCZ*MTRlI;aoe8?T zg|nSk`?*IJ@qm+xnXGi19IiN-%bs>&$CsMO5|BS=Dx6>BkKNweiPdLGt9~KFkN^a# z9KubLt?kCjTLYQMKc|Nfw>&5-s%lv0ReI5GVO2fCZYZyovNx#-kUwA6 zzdmesv{Zg+ar|-o{`LnRc0@qVWt}#_%EPC2VD}%Q(1Aqn?5*CbEs#?uq$uBLLhl<= zhz8<{2f4DP0)k;v6vlE_C8Xto9>+g>`Ya8k@BUX>=Zh96>)z>X12I!dI`fJwIU_F# zTHyd1r>P56-?zjdD(fHOku+(!#oS7Ox|C;|S-G)-=_>d@L7kz^O0N1o5WW?LG&vvS z>kYHYZ1iTm6{}a-5Fs~qb+GrqP$ry@F{K=ppUegh49lK0Z2gc^0X-kE~F%BDC_J`j{%EHx$R zyRS+pa466ytbHsEAf|JKW=F`}ZDaxFv~#?t4i#)G_!o2K5GovgF6%Lny}xFUJ6{&{Uf6(>bU`N{j!9J3~*<`WEX=8HPhQE`f#=yrFY zWVlFAwPnT+)4%5{OYPS2#>_BX(}lcoOO*kHD431)Ui&Q{c5`u-t#V&H^G; z<+))pzC1UsyDWq1vtM6vf};fMPh3+JZ_uA6QGU-M_!6Si5LEIiit>BCL(dKSyXa`) zfTO^hntA7Hbj6}MB_O%7>7)vmd^=$x)-&JJuuAEpy4juwzxc8ID6sU4wd(&GOl5_{ z^R}p>?TK|pMu$N9z?fKir>eO}@VCKrkJjPSZ*;k zRKlME@x0*8O>Ld*eByKOD|VN75Ch0L0EVEQCp7VNS+ZZ=D5ZmOgZeQxDIqz7si~ ziJj)hdHPxbV{!CoV?t`{QQrhP>xx}d$+OFdLNQRmzi{-BSDmoWwPxf&jjS-UKH6pS zKT2g8U{~yg^v(Oy&##c`MFFE_Wg_nWh*vsSN7ZaopJ;E=# zU9WZcj&HlUZH*xf={#=4!&e90&-!J8GIzH(W0r^+-XYWXNlOob;dO_BLHfn_#93l1 zC1&XySKlx4x3UlZLYn2gBbUb--qsnb1(IKki~8_E>D2}{|WXH;Qv2_zj&+z*7-WX47JbF zFJGG(U=pNrq$OSECd`jq_L(OOG|idEe%Ca!eyXhfjPCBz?-`Y(TmHpC0!r}v5uk*I zQNO|oubaC@x31;-Ux@b%3;yNxyN*G8bqeS8SPQqL@RcjCePv&Gomu-!3TY+7DzW;g zNa2Q})r51|0)JmFwycW*(~y60gVl2dEVl%kYkf7@JB*x8|0W7VIx_2@Kq*1i7m1G% zzp}jw^sUi|xG=H^j%(+%zO$(0`)j3^X#3CaVPdNbgL{1q}w_#+g zP33totJSBl7jLMj9Tsn??T1F=Va|7%2hd0GT?kGz);((?9Kp19ARH3wt0&xVkv-SR z#p}U>u%bh}Iev-nXx(7D(mO=mA=n({9ZEHGTq6UrsWQRlvA7L+qZg;BXQO7T-k;*Z zGy+Q1iV}NAo3TjF1k_<66X!Z|56*S{Yg|EZdul9uJcNh>H#=pr=| z{PQhNdoe4&>W^boGgCCDEc-U zi7`$pzAKo6B2c)>bE#E}QtmLE?K^tE@y7#mD@0ixij%D{0?&dP`qtoE`M5`t898F9 z(hV9gx3*r*moM5d*FDjguy=J0^M2I0`p`l%^OTy63OF;euz0g90;>p$RCT;xKxqzV zdE6+V$^D26EeXG$v-B)jLR9i|$zGvzZHlX^C99>xmE3;r7?fo%Sc}(5yx;F|^teEO zOI(DVEa|!`Po(5gWhh?=6gwH*G_1&j&@)A#uyDc8iAo8}(zl0Bm)lyyz@w;4?0i`E z$KLPFh8JunykqPC@4cS{kkn>Br?;GfKX7#epYxw@xcj^Q+1boH~4R;+V& zU9rx|b&uWo?dvY@lm28Qg*TC2_3&F~_4tJ4?#M1MyD^>Q3m!3461(0r)bKGh$4@M_ zd+rx0eQ1Plfe*d~nJ;_NH{gX=@k&^{i+u{Gmv21h{3QV#Za(L<*w4+yW%iZXWu8u( zUS1p200NwCue|h<|I5qgHof#0cYq)^$G!aS3-0EVZu{s$rOYx}(6aw0g>YAv!piR9e-!Y| zj~_lKy5u+djhfWRU#l?JmR)L93kX$2+j|<$gSDZqYp77Wrb$EBWjt+|h9$L^{Tjj< zN5LBl0vd$*7;UH}?PKd~&O?2s$4){_B6Po(CRP3gX$(qQx)lmR2~q-S%uQPA+cx+} z1z9I|P|?7u1WD(WO`{;Wufs$jU{CM7;$U#@;G+JFY>ABo?X#nE@IHW%SKVCvC~nX` zN}a|x8c`QAyyt&g&-&r52bObVx0SGKBz4^|sH1pBWD@54$zDzAMQ}I#xB*`sit_HL zlSc**WsUsS`7A;G^}+>QJcis)yMyy#!aI(N!9op{@@WOJU~Vv@Kf^}IfE7F)tEmSY zIH3RHC0q*8AP?%l?a&~<0aOKq8W(!{wg3Wl&@_3rd8+tP!l@E<|jR>Vk{YYx3U4skX4tV-lIJl*`I&_9o^}RS(jgeTaR~dE? z3{7Fx_lBT<8IXgTYRUM*aU9I3%uM8NHX_uZpZ$gku+FOt_amAj-T-0mLhtF^uW!M* zgl3T)63tt(Vi@PnEG+XCGA}nRSv?sC+cxAB?6j^e)eEWthdp5q>M*=K8J;CU1RBPfuXMXYgPQYS_1QUN>M5^f6Ew zDB4=^5Ul}#GzDLmfDPpGi#?z&O_Yv*W5DLeWrcwQlS@~FSq)hIZ*&lPFwZKCTpk8Q zRW_I%gi*4t>tjd#u2=z1vK3GW*D;}WFP0C0Et=4#^hzL&V;b-HD-&}WJDb}hibHnJ z=(c}`3xk3%ZaiybbfW#%z6ABU$6&I2Qk^rVEj$pIHIDN(1!1cU(3M;z`veP+VKPag z0lh~|(;$T2qpRM<1kAY^6UHVY9PY*Cp>u$3IJ%_b3NK9LkDS)+=$zD7?^iEGxh)t6 zI|o2+G6^sTwYAbk++1;zXqE4f6SzlfnMDj9&RAW!otKArQo2sG!CdN*s=6Id@aCOXQH7x$POQnLD`1}+GJ^swEy*WBf;R4& zgP)`ZspZ3=8=sB|r4DwSSy+{PJd7+MY%S?HpA&BB&<-jx=D_4PnE?&hgz&6jezJQo zoBuDbA2mipU#0WWlt?aHk1vVWD1T5zT%4&|ELrcml&X5k{Pta|@V)j9?Z zswJ)Is{LbA^oW@PM6}S3)Q)wQ?+U^w6uP@yCQr|T_oNR$lUxta<&mZ^Grp>Ykm?sm zZU%)Z%7~Z*+xE^p10t%2(=~|zN#JpO%@`;>8iA3vCb0Jlr_eJ-J!_}j zsH)M%p%n8xR-0+Vg$|Dc@qta@5E4bS@^xR5U?O&(^80v=G6;g3LcuUx56Tj)p~#a8 z<|V69rMS8W1|@49-T-QSnv^alq`vub{K2>*@&z_YBer6OBH6~E1jANOda=`hG+47h z%iVHp!&zcyvQDqaOd-_LQ%G%IGU^K&`jqtP9~p!&5`^ZBa$5}X!>#x*F|h+5lU13F zt;<7l(z>onF_E(MVnCjDxa*3}f(Zx20eNOk;ooy*U03sy4?_&tJSJ+3g&ddBg6=DU zb0vWEf&3|}#7+c*iJo9}FDTE%+u&3C=9wh44AAGmvPu=fHNh6a4!+M%+m2!#74U3Fn867 zG(v=h*T|y%vh(HD`)FxN0eRpYR=0xCAVm*-;bDYU9~$Q4w}wqeg3`cz){Y6t5X+$3 zBfh=wA6UJ)oxPQf3DjG)my%_0#s$Yx0odGyu4JGzaeH<9 zkgB7AP!y!ZvG$JTb`hwDW{BcFhg##lT#M6k z;}uRamHRfd=VnsQ)z!y@kXw>~G4@*)GEx&ENN z^=DVVc~G_H{{VBT4iLvO=k#BquGtq3+B+Kb{)+*n9S#0`Y@L&Cy;W*ILh`Y<_}qq3 zyglHeI|KvC4*$*gWmKx?e+ier(qK}^{F2`D zN}BUI(CTwDdNrBrMQa6WY!x4P{Yqc9A9dw|WHiFNup??C<&k3zFG2KQ^gnwmrS$Rk zlEJRehsyDg(#Lwz%2ND%$z!O~&ML#(it8@Am*`9INRUnGY_DEi+6bIDqz=TVm-Ik8 zr+S($05O+R#Cd6O15`2>2|#s0)>&UwZlEb0P&E`!mzhMjb?yC{k;Zhb_-M7HzRJZg z?O4a{9fs1zzjvo_dwWC=0`47qM9kq0e_4yT$FnuNCypR4@xY#kzy!`cIaI9v=g`PC z>q?`a!B-@&aGrvXn839R`>$4!xUGJ z5n!u0IN3WyOOZqb5!QdfR^4C#=#M!hfTrdW1;H8Qa8nkgNVZFPF57@N!d07;f=(67 z;#M^@!NCiJi4HE=l$2_`LW>-oXTj#MuKL5_N3q>wy~>lQXc&o8L4m1TYnJAVxm`V6 zpAEGi-09hg^3xBg(a_&GvEzeZx1}9YO|TXrp%7kx)PC|5Z$R${<(5VQqrU~apIWwu z$S^{GHXK13Cg58r4UbGvT#fTwZH2c=?Hy_)b_d7>c#bx_@D8KT+ z`r>tBYIFk=v$EA&k+_82lu$Wm!HPkZ69Wn`#P;GT$tJgyEN;#HkIu) zX0ue^dr`m`oT~cwlsqng#ymX-5l!b1QP64*joC~~)s)(f;3AV?^L)E-HbK@LLXn-S z5@ibKJW9;y{pOB;&O!kyoQwuV(NM=v!vr7*fQ9b&G@Zoeh!(O}7@X>ySnvHQkdtBn zBjNxT2pHfPgaLW2$+ji+NujNd69=kGk5cl~j#DwX`>IR{xkeyteK85)gnejzUsfDS z&Y|4e2ZP?U+K+ZdCVMuXR0!D{S;U)(I1IolM?avik zRlLniN0&AbtweYcp?4aLc2UE`6PYj12fdZeyhTyOmJm3{pv7n|UJUoO1%mRp4=q>J zCT6G!!${FElMf>yiK#?N6oR-IO&Is5_0y@T@}d~l$miT(@EZ?;i<>X#f>fd!5LXJS z@_v}<_!1bk`-y~Jg)hTE$2+;y7x-2UA)(IdyabDxNDp=26aLvE)x3?ny*&*o+Jzwb zOcOIs$PSoVx8r;W$1$kOO=?Ji9L=#-zy`_M$JPK*G-qG|?b*)u$};r`EXcd3;a{D_ z>y;GD&6E?bDXZ$?o?KJ%Gh2#_X6g_wh(k+b3QsSPYca5R^dJv0&;P<)} z<>!IpAad#IBrw)-g_w@DJhbB>UL)}dX@%5^3q%A6P%nc#|0|Qg?pP-M=micNpyF~4 zIU~;z_LCH0i62&6*<%GQy&kXAlv+luX8NO+qZ$|TlZu{Pap9u;RGg%P4?huq7rcs5 z>eGlD7l$`59qyDQq&EM_;QP~!C_lyo6eM2x_D(%oQ{T+`0MAym=pVB8Mkk=Jn&&)j z5DzMj^9WuGl6sC#`s^Q4d=XvmPiq^3=*lEs=o2l%y8x@Ms9E)07Qn-N4a$q1H~=A@ z-B*XCg5!{kXt63QL9KDSCUS6uXc6B`sK+F2)Le?!Gz$m+i0rr2Gx@8b9W_ zW9|;}O}y7pfYoa!l&k(Op^HMOeqRAUSsxSpjg`6vOiI*^F8jqlopaO|w-UG{>LJ$!KWX{#m4v=+Q>2EquWXbl5MOCq+d zL#DV)R-uAD@=`C@;G~hBtLJi6Z(=xq*Bl|Z#_uIMl9e!i5|ON=$X652Jwi#E3y#fW zkQAfLtoXmySsk*Mq1_*Xi*I;Y{JneT4Z( zJ@_aS*=8o#tW4IPs8tC`iJ(uDZCyq%opzKr0)s+T9Z&ZLg5ocNg{-R^1_C>Hd8RI=x`zBlC zs!z4x^p|yH8~P^@ORuOJtWTQ33TN9C`6`ccgZx4L+se(lWxhkj8*?U>aiw@e7I74` zq4(w-2Nco(15_9UA!J*RPul-sx=KO+u{dpVTTdDv;VX3;%6M^y7eRkIB`Z1qyZm&7 zD~vt}9uQ5}<)~5e(2+SlsCcwY zTCfbzjvR6E%P~CqlSBej$n3go9%!Z|Ij*tYI8V%QnWPPr+fv{g$!$Y!k36wF=LGSq z!^W8FXxsnc%pB_bhBJ~hT=Yh+JyAmEEHtzC=A_gAVzn8I-`GQaf^zwr={33d7D<|! z|2!VD;w8kMIc&o>&_;Dkg$6^eDe~lt`*ED!3FGGz;6N0JO+N z>!xRFyJ;+xCx6Haefapn!@lyZQ~rJB)rG!fUgKC-v@rp#t8cyNyAHr4xu#l@0^BmM z5lxg;9;f9-cN#M**VR9KuGP*fE^~1U8s+fp;&&k?gfExpg-E4HM0jF{HaeN{QS$Z{XrbqE042B2 zsn8nnR%%|e9N}tP`^!yP#*X4PmC8gmGsEO*AbuZZv)9rJ#LtFir2od&JD)-Qa}^s4{=`d80u=s3C8%RZ-0b7 zpAJ$c7^y6X1?x(dYxkIC%u`uZ8yv4i;W=4=oYkK%yqi$>&o%*CFr=PGi%f9bUNGT2 z#BhG5o|BAB$Lo!5L&A5Fy;c@VN509-LkrN&z0ka+@ zD?NT7ZE*X?;tgcC8$bN&er9sXx=0YvM!PLl^1VYo|HUVy^0mjyLwRK`oUM_3vo#?^l+cOQ91m}Ex*yS3nL@sUrm)Y6=T8tq2~pIz}qx7 z-2~Z8Xwwaf;>wVOaUsV&@@C;A#!n!X%Taa$Oz_e}!WT<@tEE2>Qe){MJ;*SdCHwoA zhbnUgfjdY2vPU5^IVpffkif7^tL1c=o_f$U*MV{YfJw1e7I(yzTD02#9ytwNbs_35 zE2D=?-+&Z%KKkoZlshc{%QGYgTt}oA2Gp6?H+Uu$x!ZA})2bZqY4~xyGULXI8k83> ztcsWeZfXX(D*^uhDe#iO|6hW?c=*F+s<;%tN%^JB{fLZSk6@e}_Z!0~8-Q#hvVyU6 zwFYBn5+EYNY4-`$;A|BEA?~8vAaYV#xHdjpiAMR+m1Kak;`;*udY+&(8I7}Fg%2SO`!85Fu)GHB-+1UF>AbqAftoKmu}`kw;64#q1+hm)E9 z(}z_D<89Shwsgcfo}wvUfJ>CKnr*4>vECUe7SE$~WSi`lf??KYPZ0J@m;X!$R@dC= z9ZP`ka#LJ#=et{pG#tDxmr}H5yz7iUX8C^b=QY6LNVD3yF72dltr0>xnh~iRCEjge z^WM?c0GdOMK8!Z-2Z6qxos^u()D&)PR##_DMlH6*Rk+!q8-Ys*+U5*M0A&_)sY1C` zvq<@cRNTEoGx*XWD?C@b#(TJ`l{m^xd5+a-N3P{d*}JRGTRkLr>96fn2|a*q>8iMR z1`~nLSLb#e*5WwOj>RrpVU1&kY4H1MP+Rff$Vwgjj(-$FN(?|k4n9f&(7kmUh8G50 z^joQ*>31kC)A0ra{n7YakV~k}Uij()?v!uB8XmLum0eqEow7f)RwEqwEc0X>FiYG< zm9LRPe~&%gi0}qd5#~(uS6SJtcZV@9WZR5^5#3N#$gtpCD)G~1d>Ax_^Yn4xNT2iy zEf^SV&O~@Tf?rWFQUFK+nIc!7Lp;3%8z3xREdeQ2ft(da)^warjsi^&ymk=A-Z2EP zAyg;uAneLAZJVn0H&*rBe`sNW%SvKYC@!jyTH7wlcW)LH?%yS6d8b>)^gF|Dg~8&{ zjPYjYt0g>b^{HeN4BG;TC= zjtnHlB^4OxV^^QB61lVbK{(co|=?BTcnHkV!~y*;KYZ9v&q#+eazAo z>Pq}>Gp3x$EGZ+(0t|SyeimJ!WdvkT=TZ~}Ny$Yor%}T#qlQ757%qPrjR&TTJL82E z?as8K!h(kP9*%6m#1%_zW*K{Ldxe|Mtmze`V&drwxYCAk`FdukG0WCeI03=>{3nB} zT4w3*X^q*ez2J;HJ3lW;f6~1wL%kKhuj>x5sBqo{ff8HaRQGm=?3BwFq=`PEFviqy ztlh_Fh7q37!AEsomeL4eb^ooDRPgJ-V9@;FLCq*7rTfqJ5xaW`=;sAcz;UUq2Q3C`*QG45XPf!(8>6jii(wSdBY7|98}`;p z>>eLOM{nR<`%t^g%4#Yc6$S2s_psjCzC5b=`Doh0lD6imk9+x^Z-NZ&kX+8C@~p%Y2T_qS{2oj?1Z&F+lLFoW z-${_Q^#CN25r9WVHczPj_CkHR$uO;Fh4t&;v)fYqt-jpCvxOq{ZCI^M&kVoa)9?+1 zEj>rvd5!G&V3uV}K50aGnYN<$%zG&8J*V+|EEuV{=kOYFBmHSmHg-?fj_??cNUIt( z>|;g&vzN%5mmzoK7$_%%5J%XUmnLMYY}t;M0{L@o6F6?Bn-!O$Q|fU3V2M4+UJ1WY zTC^JJ#oRey%1-w-j9|6-w&JA^G483-Ygx?*FN(D+oYz=8k(SInAqq>x8VV5Zgr(@c ztfXYfj?!7(iZC-TfwG@y263uh2bh$UO-4BQ&(s zg3cdIaiDQDOTN@o32!@$%sKfHCiQU9r>uc=P^2N;D$(FMnzgmeBp)jLbULrqN`r5U z*DtGBG-;lZejF&T{Wisn^>BY(Td3Lt@SJ38JYEk2H9RflJv@YKhh*IMjJI$a}B_X&ZQ*W4*Rt^E!rSS z)QTG6nO8N_+oG5Ld-uG(Dkr8u;Wf4Z^Y*}e(R(eyaK6VUAT_3Tp{TD#{vl+goli&a z)x(1`%*MJoqm_WW1FWjeR9tR7s*pP@xl)XqEGf5}TaBE%k?F!|-oq;|SEF2$w&GiC z4Cki6yJOUvnywnekj?ds*~HNgt{SNtxyJ8BxiL>L?zq0H;hEy8org=DC}Uky_SRlEB7#t~GmqN(?v^jXDC#eu)qc8o9O60pjpr>RHsO>sI zf<}~WyzC7#9+c^L1pIFa+9CJFu3r7_>q(1lxWDf7E@@w-(_**CW9|oUxWDDP*(2q_ ze{0ZOJe-_d4#FR?@qaqcFx!v+ah|>G>f{i|&bh7$cXn}F`jWFpjmw|sNh{y~#o60w z%`uXT(`G2;@$m?L0i7N%NZXw<`aL}U<`y0?>k+~uUBB<<{u9-${I4@^VSsjj;l9MT zf60o7Uza9;ra$-?$tUEsjXdwrJ%Ll6$Cdtc>I+xjjl6B0d*%+i7zYf8UHBQa$@|V{ z)~O=w@!r!chD($SFXzv#WlnuvAcGA8|Exvc;ud(sb}DtJdo*ZbOWd;vBih2LC;jf| zWsuKpIJczmpZp(PToxKOx-DEJ@#;Mf8a_5>uC*?^&07}AHsvKJd+yI#^2Bw`v2{hY zE7&pXZ?0tP{3$70Jx6t0#K$%!?RD^Mw%NyHPv|}?3RgY7;$7@pVSkzApGjXa;eIfw z@YNyG$3-iI?%`SVS9PT8$rc}u`w#J!mvy8Y$v|4&DQr-Cg=7ur(@7y;43GLmq|-%F z{#Ueet25krS$B#WRFE$zwvyuE=m~RSQPaLV`{IDb3QDxW2>YC48boo9PGvHWPn21P zioUzaSlrsd>=i&9p$1~Ki(uXPx)6nmo&fHW{9ksH`2|Aw1B`d3pi45A;=f-BUehIMMC8wz8i2mhGkb zWg8aq#hb-MAdO&ygb39UY^D-3V{9jV(m$J*FW4mA)>8e)*6gi@N9ld|-zM0aT|u(= zzn`8(&K|Egz3k#OA3<8*@lUTS$v#yDfE%Tc!d*VfZrN*4X7?Km59M*W@zaGLr9Z*rl@~e(~G`0W_j7T>P>l zcgb4cX%E_|UlKkM>k;)-8MgrfD5v=ouCU1Dwa2%XTMTv1MN*ZbJ?V#OR``V4zL32C zCts&8o>*?u_mWol+nrY&AtaT7JKg%SL{y)=_hENtzQO4CW%^U(zTbA-pSPuF za*p(GRtC4pZhhoRJY5G|t~QX|`f`rfyPp=UX7aqmi!TWMhe#{wE5-!={iJ;c(dJB{ zKM3T8YCRdX?rDI_8w8t@3B?x2Epl~&`i?Lt)+-EdifjXLN#Zb-j)?X0r-|ZVB9=qe zMe%_84v>2X?dsnJuEiZqY6Zv#fyZNn>ZeL%9#h6~YKp z$Jt6|9t;be#Vt7350CKRJn{AJG$}*YLV<=vtjHo5FhdkPe4f7drf01{3@~3u7KvGZ?os;M;%x#EePZRe`WDl6Y58g<`%s+a_b4RE}0+T=;6Mn^?LFc->gnCGkU9 zcvf=frL!>fBr0y0+ht@{*Cokt`C|xJcIeUB+u7}1^7iiQ@_3Nit2!?^91;kZ6T2!w zts>T9pl%6hCAj?)=^j<@+!ACypt40wXnSb=|aNPPs{(n*zT{n6pRF z4dclD@u(w*ZQWBU(w{p}e9zM!F!$+4QJ%d{b)*;Hb}V)9gN|90qskJE3_nD97i{X4 zlMrt`phxDw3o2mb#m->6v!0+e8ut6ug$jxbORkh%o+)|>n-}fW;CBz{Jj$gK=XoM~NL;;x z1=&MkSc8OcRaSi1TkpNUqZ#97a(zz#K|-?@Flk&+f2dU$w;*Xlo)YHqiIXNS!u&#!^@7JCwmB~{XuG88j~@v2zYX)(wqU?~#F!u1|`efDwJ8HB)--ap#Ra+Jf%u z;1Twv41RZP$!aYDFGs`b=M}mj!|qWRg1rfrjG#%aitFbI^QRcUJNKV+j7PHrAdw9{9EkKcz9~|27p|D6<)Xx=)oOwa3R1r|BuQfkpR7YW^*) zTZ0}An{q+PRsE5)-(K$%pv5b5lu-22A|4%_2A-P8S`8$!R#}bc>oV*<+sOF2vWvmM znyJCV__6Wfc4`Ij`QrD6S$V0%-Jb{xZXgv(h**0^9koe{LdjWc*arYj6;Lof=&l!X z!xUGolM(hb1A8yy+!b5Ff5HV+FyXxe_i5mNPk0S3o1rDK%5|U9ET~i!4vr1h zyx;Lf$M8d}Po3Y~?d;<+=fnSSHSJk;c5|HZd)NQ((ysgPEpRpMy?^6s!T^OM`oAOX z`7h12cXwE*TWi0VO`x>17vU?6I$Ki_u)6Q4-J3D2qbO?Or zQk3YV2H*Xig&tEtOgiUpTE}u_>s&noo;sI}FO=-DjklqfblbXVoz`tYiGVprxhog; zV$5d@`mRZTg8)1DQTqjD73uGuDMMIUmL9;UhCO9#Jk9*=W$&)EyMNgFT^Mr?SA2qV zc$=}}VxmiEHH+(l+Roelhqs|MnsWGS^$JhX=55Z(MAmGBYaq(Mt)Z;4m}!%%pVTdH z^4hX_uD;D(>Up7$Qk|Rx?uGMu9apY+rQyd%#_H9cc}jP-`lVm%e(iKz`;>H#*!{3} zN;UoHs;*oA1ThWMUj*r{Bc?&>9%R`v?tJx-d4a&R@ytRGk@W>;pz-)A_P46z`4<@N zU{3AUsMijTn_pm7AvbbsaeKzdEiVKkrSm<9G?|E@nc5y^(NF zZCb7%#Xm?qBeey8k2Skowul2y`my~OKZ9afBooGm3Vj@FYFWS(GpkXfmchUf`99jb zRU&h<#Wc2AalDJVJ?ohxQTkhlKw0A-%BYXfaNp;lAHZ3eE!xvoA0c9l_#}i`1;97t zeE(or67V$tRaK=p+Iph%L^xU1EF(V*g_yk`7?q=B)$rIB!Xfh@C9LUQImH?HYCIu^ z6nX`0rJFuX9gbbkP{@#m&}^i&WEq<1^Ux%0+k@Q-M#Z$CQ`0R!gz;elAsn4vonpyd ziAAY$s1&>af0Ig`!TS!%Qsq!MmYU!u+M*!=T~1WMRR!35y4l#apR)Y2M<4!$>m6dt zRRYo{sxm~EN;o#qgI_7N*Zta6D-IzXtP>dPbUb5u&**QZWaR_#+aV*ZJ4Jf3>>0+I zA}EqFsIp+d+#{h#>K)5lmEzN$J-#G(%uETR#yl??<@sHq2sRvJ>@2(FHaT|peMtn; zXrZ0qVvm+?!vHWVQX?&>S9SwWlkl(999e@_DF*6m~e*o0RAvCIMw*pKdh~Dt1 zsm=fhPU(A_lnFFn1XFL*HL_BwCxxd5>m&wQPC!gpWS*<2sohtv5tm9uilnZD+9Y{R zsZak_NmC@DX;f})YW^Br$E8r~$eX71)uFB{%}nQJ&6&?;>cGa9>@W!?=h*F_hMg3c zTh1j`C9&feFg1O9Z93kjgzQs_!O6!%!#N?AP!oV_d9E5|p_9Jo>JNUKXeV7SEsFQy z_=o@aXVCg7E$z}gRGYRgETt}25f31atl%4AKam9-dq(1MXW?NbwLscp+$*tk8(sp6fN#w?6AhF}LWQ^q>Vx zRlP(xAMA~A!9uS%rkLh_In*ajUeEVTtL~e2+l~|>6xWncv87%-Sa^6lcb92WAmaYH z=n_J)^t|sPf#ozFAD1QD`mHG-@}hGcHAB+36Ho~X){SS+?>hLTW7s;8{mPz^;r1f! z3@zvi&kbnIlyG(&=%JSz(#c3;TVYBJx)kif$nwEhsN|UaW9=1dUt4EqDlJTjTCx>& zl8h=G>l(!i`mgDE4Dn3Us0KFJ#yL!P{sMFM+s|LI0;3&fvU3&9In$f3nPIJX38rVq z)pOU{LtlNx$)8DCUIkRztD|;t&i;u`=RKdgMA_X@vM$#;IseJ2s2cbSKc)m&bhO;r zY2)<5rOQX(aCdnbHNMlLx62(_yH!gf0OP!(<^Sq=1t|i5WqGz?FEV*qyLW!HcUqvs zzCZK*O_SnZCX42r0j6WIy>#$BhyfbQG`re+daM_B4%^+hzRI$9;XdrQcdvxL+8pxM z8E5Y?*4{OyeP5tEU+2XBJL8tzJ@(VQIgj^Ep(t=@#>A)9$ZlY}`W7x)vvA+!e&^AU z*^lCQ3x3_Mb1oZmzZAOAPvV}YU=-G6Kkk0@>awpr6OyM5Cw?7UoVU&8i$?V31C9=o z4^FN7y!M-=wLc!MA!YaTrE=EtB7CHOoQ}(cD-Y#_V0hJm+aw;f1%e#@Sn@+TNY32If*4;_K3YBCJw|&58utEww1hlE` zcDTe7BU^GACq(<@->^c~xjK)-#-lZ42FtK2idI*k% zCb!u~?f-kiF)VlzmBETbR>4oZ_2{+d{^|iIXk=ZfmW!uGXvfjb9Rf<;^+m*M!O6&dpzXI4>Z~njQq9y*vGU0%poMr z;GYp93OHDNInvobfhzOC__vY}99Xba)^bkUwWvl5;Ii3$r=oD0Tc_l6UYppKOjgah z`({RV-($#645xT@by{YOEz`+*mg(M4rdaiP_iEGbP3kV2)N)#oVlJ>kz$R#}`)0+_ zQeVk7eRF*&UES+_<@?+0;5x!_R6q=D;joVsRo5_JwK5^Q`^@A(j`qiyJn!{BrPFfm z4i4^iO)IiDNFgWRRVr+olDExr5fD4vW*mOV$_H#_JtO%c7muhGRVC1`4Kwi((QW78 zb$o&ifi2Fd^_*7sUXMn&p%FXIWZ-e6K?x~1W#!Pgt91!^cO~Q{?Ei<+GGPDzEq-v> z@Tm;r6Xq07?9}AHp~Z`df0=r7!TnmOC1uat!#!Y-+0| zBBe1NPw>Jt3{$kUB^&DYL~w|?J*zXr7&8HPEYGt@cFvO@y(dn0BFEfJOG1O^>*R$_ zObk&@dbK%|2@hl*?aej!Rvv8mY0_j;d;|k+Z1hUg^-lS{G{urUg#H-X^iKqP#+T$I z#88sK?$@_9<_1j55%W~TdHryS!^M7?W6Ad%n6*gN(!3BoPeK=!Q6c!ttyfmb-Ddss~}iGrz= zu)Z6ffzs~pZ2=Rg-(ms`>6+GalJG#Q8HPtrFyl0dy7)UD9+{m^Gu)m1l+U3A+9>EG4nC>Pl}adD6giIY=?U&95hJ*SYKV$w z5Q%TiB+E<41IkGeXIs2Da@b7ncs4?Q#APTrsZ=bFF_3DhmrH_|9n>+BUuM$+z_#Fk zZ!cT}!{PqOx`^(%e^QyN)kOS57tV$3FQ!5Z}!$SqC6bw0(nn$to7WwZ#1|XTDG`zBsKAxXCX7K9ZX_9a4XD`<3>8g0*UC}dwcP8oy;UEF{xnR|bHPuo`k5JXez*Wp~ieR{ksCDm{S|b^C?Ru!+7+k@Vj|Z#V zcgCf{2hgxOQ-E}t9=>nytcH=RGV=A-bHKkhjEM-rv`xPL<3|O*`a~hrI`Zc1U-vDE zkQcrPUMnFQloq;-Huu#n-gwk9S|V_ke2wk! zId!UP$iWKh=3pe-&p?&5AP5gv9Ch<_`oSD;&J3YvLQMK{1ALMh^K2&>MaS~?LlR!c zr6dv&$?Imi1Efz4a+YYB*(aVS%nmiO_?gT`RVPHFwu}NyhGTqtFp!a)Oh;gKWP8r2 z@?@G62}?oPlq%y@EixbU1PM8==|FFpbix$gNCcQ!ul}TiKpTM|o6?r7vftU?5VO zHv);ZB({4c59pue(V2|*R%kLkuCtE3NwSd$0sqAL8!c&dw4|pB7veS9R$9!BWF+ZD z9}hFbHVdM5g1yorq|!Z6>l4w-5I~;yKrJ7i{kr7gK8HkGu-LO%cj!3M0=+;a%{z_$aKTe1zN)m2 zrw{@W63g+C>8W`SWQ8BA&jQFER)t65gXUiiZTW~v{ns-_=)H&j)~4v^>`cy}o<)P9 zZ)9gl5TF$mpX&+-RGK+sy23EcL{iXjEuH*bHIjR(`OIvIQvcUOgDg-KmIx#m+)SN|+DX_FM$*!q|RpT*(-***oi% zKqtlb+}B`LqX+m+(2b;NaJD3&mkdh8A&Lx1m!V3J#+f4=Irxm{J2bmb6dhk77@DDk zo2*GsI!1BF7t=zkK+Zjys3D?SvzKK?l|cR8pdkeu_q@seJlEO6oC#GPP4C-f*)CV)WNT9;?2aS|G4zRKaq%KH8iX0-O$`*C=8HA79 zCMK|kC2R0>KW|^MDebWyb4FZ)QteLMRh& z*}+-3WMeptO#((Rg>TOo3_{VeKtuB+-Xc|1c2|b6?HoW#*5(EgEf_$xZ%n`epe3$F zO`7tv59xcjJ9_EAj~&s)>nweAWwgGR{HBkpvtn2xiANZZG0&gRjC5joYarM7hQ_H; z5(9+>{|1b?%M|!(&%!E?EdrZvV4WQhyjGNnE^pYcVOl>7ft{HD|L_0aWok(Ll8yfv zJb#M8vx~!S=jD!boL6mf-Y!CvlUs$|VOG#JJ2$8Fd;d`s%)5d{lQHpb`rl*cM}PYT ziUOnn+Xl`o?sHgB%n!7RH^KQn6f&A~*xQmeYRpkP0WY(Vr!1VWmpvT+ zgPmaiL-Wv!Ol)xP8rBQDEdyJfJp+w{(c>v-ig*^jGu z%XrcT$CfYO$Tq$CsjT&K%Qt_zS3tEFwO&5PT3*sx)N*+b2GMOr z{jHaaoUe-aH6>S}$|-5TjJXrpWV60>wG>plZ#|n#)&M{mWA>7Om2aDbQ8hM$Q@6(A z75aa-G7lWOHmJa49g56tM-GBn#w^Wjx~uPq79pp_Z0zfh-1e?-vgJEf{>hUlkGVlO zDjv<`y|cEf`|FbKK!Kk(h~0umAa-9oarfNhpkeReg_y4)pC*>p5-UdHi9hs`uT$jx z4~aivizlU4q2b&IdI~9$6t`cs>7F=y7{y)t)#wGwhKhM}Rlue+l9K&6ZnVR*LGU?f zh+Fl#_Xa>{ZXRmOJ6*jCJ3aE&f$9M3Z*0H3W?oniNCBj16#iaS3B{NA?V)=S=v2Cj z{Ab$TzoZR!c4J`s29Xw)LOWG=JL~%C%7!6T!0CjJs(*WRH{R~phTUHs`8-jp3N#GS zl|{sNd{N2lkA>4vS|$Ul6Vmdfwr+2aQ;|$wH6ky(Q^=rgsc)IlIlgLIPzBKKw@lzM z{vHm1pYh!ao5*r~=}yr*^$F4Ad0x>UespMW_+F>PWM&BuES@cdBp*Q!{oEZXwm1Hp z?KI^*eFEvg8p08;L-M9~!q*Ye?Eh28j#eEk{nXIc`f;MRJIK(-7TsVU;R>En)fFDa z6Kp9a(cQcCrK&&)C_zO;6qm*dHly%qAC)i|*=#z5M*;bT4C$fK@FK{_s8fuKBb9!Q zA=$R&MDrP>1yPkayTp$foAu(xXB<4watk$z|8mT5puRCPeDFvFJ&mWU_ZtN z8m=GzqZW`${<<-;B`1~^oFHTxyvr_Ep@ko*Go9a$)e=r52tP2x6f`ZW!b>j0f>_)~ z3I@R&N0;9t@y;?1=DKEx{H?~gJrjOl z)xAQ`fepDjjT9D<8Bd9FCUCEDukd(uTXzN)5saW7#=HOE3G)e!zgo<6r_u(f$>a?{rl4TU*(4-6+cr=u7OyES)NU(4Xyz z7GC^Oo&5Zibg#--)HEE``Y%g!-nL9q$fYV|T`rd;xP&o2S7H_szR&||@U0n{=q8B@ z*?;jrlyC@phtV*sh;b8I@F55|E$0--tBAsY3hPyTL&hshKb{B>LTpXq7OU*cV~RDB ziX*Ius^pFjagM++EflG#3PrYmW;1gU^^$HFXD%ISO4QzI>+b2HuGZ`6uVQ+sFEk-G z32y8NwyS3vmiV6CgS`$TXl*+;B-&ChkP(7p8@8X}nGD`2QDrKWsF~KOgXctm4uWm3 zszqI&JAivp7-ADJn22gw@r2GYMF?JgG)~mPS1uP3oKqtNe^|jpwZ^>GZHKs(>6zRB z!8Yu22I-3JnMKc2+KR^qsFfMC*C_tdBB=K#nSCF_W2Gy4#vz7+l79p%nM0mw$|117 zFx$7SZ1ynwkY*G}1qL%Tj^A(e>1n~DY%D=A@^cdt4c__lPjO5d!UI|e_Nb6PrOF_~ zoAsQi)~)c#Ou7C(Y2rq@-~EgGaHkMn+XFYG`I_A0A)U?(Wn0$8q*%rTL&}f? z@q^bI^k2;PNg_l>d2YaIwS|^!(-zehng1yjQvZ-+Xf{ZlUq56)t^qi(4AbWgx?DrO z#_wTbM_RJhp`zDeSf#AIFvU*BfK%SspYxeQX;Y-ok_Rw0H(2Wk z$;_Z7+oF*M={1B%R6|zjFc^p#JL!YQ2SXJj79?Rw?U#%q&YO|(;v^ng8}PYmC@GE4 zHwbrTDuRD~+b=EtjwNaLi9$)W8@;6D1L<5IKk5P zcZfga#4Hx%xB_`Anw4`t*`G>_*2m%yGlaYC?EmB!h;$1l zdvLBXpgD%7@_@7RIRCX+Fy=BN`sp&GDc7~9+B409NHE>mXkWZuJr0w#Vg9B}teUtn zd*`Us03R!cfY?8Fk0wD$DpMGOdR&>qdz#tWV1Ajfl@a6|>G<>=1E~ z5{+yi`4OqIZ143Q;v}}Gmu2{y9ZzXdxP{`6SDUh5dLZklZm)Q*IC9;~Pr}_1jvKb| zB=bB1i5bL#19kG;De{{=i2q?`!5DFFXI4wdsMCSdMhOJWR2Aw$!L-LgJifIyx=+iw zQ62C|r8_t=Ozcvs^s3@eLK?!olSjMrO;OhH35V_G%LXiCP9_2*&IE$(qbd`lb6)bo z-2-C?PjQERoA$?@24BVJgbweh}4*$aqbYSpQSWk;mNr^CB&>#ZmcqAc!;z>|PR|MM#v9Qw1b{VR*05gZpCVvgCh8_t@ zJeWL{>S$ytnsG)%W2mLGfx-nxRTN)Aer85w@uHuNym~wVtuq8)Kh#^BCu#rsRFAA(S5x0MS(or%dAB+ytntzdKaSTP=7`)JoYcD1uiLQ7+i?*psMaP)Y zQ8atOgVJ{!qMBRJMPQ9-x!jvF-g-L?{BpQK-9s<~$iOugHtTU1Zhmw0@SU|$;MhbH z!Z9Vrq~Uefe3VQOIv$ei!@#g+uDP>H6>48XTpzA>f&kq*xeXYq(>)bx{+-%rzoFg^ z(IpQN%eu9Z5D$D!3uiS9$v)}&4&<`MDRKkM;(l5fGg5S?q~_98Y^lF`uykAI2`z86|3{A7g!t!?Zykc_MYZrFL zdEFQ*shzw4w9!PBb zJMRws;h*8y80AkFZo8{JO$e#ZD|FRXvK(+Fvg#DVy;*^bV$>x+8E!w;zmg#YbU`q5 zPVIta;?2ZO$s!?>U>!1A`l4pwV)*hp{<4#^Bw1Uv_<%&|S)jk6ne*_S2TE~nO_+C@ z>PG8D6=+Kg>0=9ho0pK%FqqqN3T;lKE#qR6mV{Z8RK~oPLrQC6#O9i(G3_6x=ORw& z`=CWn9H|x9asR{6UeebtjZhHt8Z%4ULkMT%*;O6lSH5m1ge!+2QY!@Bg`ddn-hC{B zmXs0|7;OL%xCse(OUWM|5Y6k;9>h?>ac6%uS&pG9a!z>&t#8ZWEcAjZQ!HO7jdt6K zxo$dqQBhcpY)g0Zm9=KBWr8(Jg>N9>U?{#dClw2mOr|7DKasL!A|~&9!%Xs_g{LKK zukq>2R<)cI@l}Nm$u}-r#xqiji;fZpj1=V*n%W`y&?~$4_TT^3KAa^*rpM81?N{XY z8-3J^FD0n<9_u0;Ks*+f(B*$9+ko5)SARvXzKwloOWJM45e<3{nvMv zQbMm&-hZ8K@`-GhjwKT=Rt?)t3leqWsr*g{O0p@|gGUvP46$XD8Iq?Ox?+C{c^FKE zVQeZd<{Pjc75$_jx~OH60^Y)JCM0jgsB`ZC;fyy%yjAJyUoud@q!We$fV!@vD5g2FgrY?K zGZgP6)IybnxQTvwnwfVJqJCx`3xbkd`ASjH@{cm>Nl6zIY1(~XfJdGJ|3VOQBQWXS zuERd95r1X@V2+?A&MGIjN||tDhFgK6N|Jx?r1cl+tS?=SY}TiR#t=?-u#uu&LM^7z zhC%L@5R3U2@dHW}+|#{c>;b6{(PLNHDc&&D&))h#$~x5}erGVp8G>mcGvPKC9oC{= zhW&MA-{po_#R<4ym#Hed>lvO2v`jeQbT?Yln9;GO@b#ide2G@^vyhQrTc<zui{BrO3OLaYHZZEL_1o9qOEy86}!Me-}MPL9vXgwmZ-2Eqd> zst+mRE?m|yBfiDK;Lvu{p>k#x2l#Rikg{^75o4m}Q9DHhnyfPEwWvY%mkC(28b#cl zg_jLttvUO%{iA!G#%u>P@->)TQ)_&~PePM0Y`D~o%OLqPs4ZvLmwswZ&0Z$b)^TI$ z5n8~Pm)3p6Mc`m3!F`N`Es^|3)LGI#6adTx+IH?tX~|e9n#LJ<^+s$pB@35{QSr?% z7l51)o?$N3_H&u?)mf9ru?v-LA8N2EcQ6Y*sYVcI29}rzSPGM>?x(L)!ltVwtHzb^ zu0eHFGFT~UDzG+MOl0KL!P@m&D9HLa*gG_sOxM+1x5IVBte#Vs8&geP-*JN+QBRPf zI@zh1FcudEg`T{d0ZWMU}vGj!RyaZ<#Dpk!=fSW0d? zGbH4)s8O%X>N>i7hDpK@k-}sKMcvnu(-YbARw^@7jwFRzgo_{t;ao`u+Vn)Ib%(K4 z9nw>sRhGMFt-Uv#T^v>jC}DRc;b@W3GwHDd7n++&-!E3JD1myJK2-!w+*Dis)F&hx zli(6mEMrY==faO#UkzHYKGDeCwr9~l6tqwg>GpvxHG3^jx8z6W-9#G*jE!%GAIj^+ ze$C;GU=SnlXzZtNv}Q$kXGTew#(X^?!2bUUCbyW~-o+0te@w4Vs~gHyoUZn_jxmH7 zNFoLGN!h)+)V%MHh2>ilM~Ld))jRs-bp1CwpOBJ4)9CR;RCIy~>Snh&BPYN(l{?1=-;hx1 zD`qY!!3wdWU!Ln4c5FXyVK`NjLX+JoEjNu5d`=;RKTTYT>dSEjU0F1mA*lOGEyIHE87Vd;XkXz@;wcnbN*>lzn`mVFMl|nh z`iv3iH_{dAgN1ieA$`ZvAH&eA4S;qZ$w2zbtndOH>le^Fsouc$Q37I zxe-6|NcQj&0uz$DTLid7RZ3I~N`_jOc}RNYQ1Jsw5);mj;hi45sMZh?2|e&_&`k438{>3*JeXoK z%q~TJIY-*BglACV=tV0Pbfp)gT%kRNd*GLOY*N^oRYF|#(Bq)CC{}XDJ7p-fDWOW` zotDs+t2y9rN|q54DbfkkYzA(Ac`A;mhDP-BJ#x%QjHlXZ1?eK6ZMv0wZ`CD>IQIFy zEg8cXEbaI#l$EN#oVC_|d6<24cyi|Yj?0>5>B8rxkD+&{$=yXO4YYW)=@Kinr?qvR zG0zzoDUo3&XS7L_R1^YP6UE~)2vxlDM!ag?*^Yn2%tvLl&%ecHHJADj#KAeR^A`H*Zrsmw07FcI#9DGI-{w8Vk zP#D(5qcgP!Gm?AQ+ck>K?jkbdd+R zdl=>~&1j@VVL&k&nN}6Uhw+-W>{R6_Y+Q9XZN{0YW@(mH-4N|}Tz4YH%+N&86sj_^ zPYpkNa%QF>WWE*xO&EGGrC?YV3G8_Z+CqII!>&jr#J3-d-d>+Xi-!A&!Z9<4x*1VT zv2(X21H$Md$laAz#N9EpHj<1oa2#ZvT%WP&p5he%Z6$~UHFf0qU=Cd_wF!Snk*L4r zT#Uj!0K-_(dWb5rAldQhWV+WRN`h&uwU4OqQ&#UXU|J7AFy=TgY(QYT3obj`g9uVm zHTvoJoYTsUg26C(u|u_FL#MEr;y22<@5Y2Pq76?h(mo(7Qf)(HIyO~SF_L(U(L@pg?z)K9FB&i| z(_v$Xk?WXfSUOehnQ5^Z zKRdW6|88}(L&vw;V*diq895Ojm|31K-XhlC0(5@|SRs5C+b0N}AB$@qclGr-^n9W3 z;;blpcw@aCU%>9}$5*|*N9{X3yrbPN=)LF2udJAI^nU(^{py*ygJtv9JOAod_0k;E z++z!MtPKdNT>Qc-b9S@de#xD+@7gqLpUV!!=Onqg_&=+1dDZ#+1!u33P?!8dr0y@E z{^H>Ef6id9g0upQadh&M^#Eqlf%aa9_@=DQ`Ihwb`lisT*%KevvOHR?29I6`_KMZF z3vXR{^hnsfbIPIbQU01cT54`p#x{M-*IlZ;nZX^x<+pbc;$^%igYU&`e9gLk(@%*T z=J|B&?xMuvmh&7!+F5v-76y}*tmTcQ@DI~OHoqf_wPT19YRF0$6{Li4eFit1?c#^@@fdxngnv5p^tbNs(=ba`_W)w z6k-Q~J!)Ovu1xC^4;IXh8fsmlXlV*j3d%$;gf;~42q|GWyJUGkRU>jfA9sx|qi^vK zz}(g?kL#yzqwJ`zyL7LJsNwC$)%l)AYO()OK@)dQ3p{fy(f(GmxfG-h@^;u5K=I&= zCyfD+aL;~iJ}da_lOdhyw7FPgho9Hs&TsC|yBm^92^#e69}jm3fAq|=3jdz|bohdU zft1v>e-_JL&pS=Hgh^Wr&q~kEK#(h*xW40EbyD2lpQ5HuCnI3&+(fz*ZG6dcswXfJ z0-sazPwJX1da|OBtl9&CAL`DQB@iMp)@1-k9<=y~iK=O*%OVUd-)K2k#6~pkqTlrI zdsKH*i!{M*zWDpHH2V>#i;#q4VV+s&z2OjDHcqTCU^x$q zOm%lKM}4V&dju`qC|s3EeN{p(#UuHF1inpFs40Fkd(!bFG&ya>i*5X7!gTt+I= z76=+tR>776i&Y)nDI}0dI#beaq6HHTLqd8>Ex{64&77A9B2|Hig%AvPdyG(QY3II`ic34wVVhPoo#0^s zkQrZeSi_8go8qcuYK~~rOQ=o55{;$r8Gd5WLF+H$C<3qcyv`XMyl|j|7OXWAH{;1KC7Y$i zDU@{7ggpV)BNpbGWynY5%TtV}39SONnx)I*7|gY8UaWYgYcLhAIE7S>W_|m)(9sxQ zn|YV>zG|I1(5kl;fC$0Fmd+R#hpimwu(NMAscuk}77_cEk?ZfblAB-s*((16uJ8DS z!q&_Yh*QTYQaF?X-q-%Yzw7I0K@AxtZyQ7hy#hJVk~@?j9;!M<2%0^g8;Wu-y71#s z-2zEGA%v~eOXB7S($@GOJUEIjU=>qavezlSQp}Z<02RNI$raJ`>`D>^JAuh0ODZ~< zBR*8f(Q&r(66GJPcYDU80RZ7Csv=yCB;O>;xw3ZHH2X>6Hydnjbks|Bd(u1I& zO)b35+wYwcqsd*VWfZh6`Kqy=K$A)?y_y-F-31||P_jNf(4>ycJ_QlD6_y}`0PQcZ zME#c#@*29pttbWF{NFMjmcwqlC3XuPogO+aVa*S6Ud!?aFvQvCtn=aB&asaEtaJZk zB6YH}b7pKKZ~bpIk7>i_|3CO3)AoxUR{#w%&t(r5wgku+C$cgpnaRbr4PJT%ldQ|mjS#7T_T#^7UR)x6p@>!Aj8F>9OFBtsPRBnUdH3f2 z#27#%9p3+5s9c}+gm$$?4QxM3%$e-$sO@;=YvT4AkK(TGzrYxoH!xVBxb^j)sN3Z_L;y5tF0N`EP2bfR@;&w}(C?x#hfQ!LkO@`r7ZgyEiP0 z_Aif7KOt)mcxPH~Xq-a5Qq*Eff~mE&u{9UW5*@N0*DDiJtfhJP!uL7o6pSkiQ*14B zmjH>AsBNyNIwbd2fBo>|TkZdT%;|m#wmb1*)uw*RB@s)XhWw73%ehR@T~AQ+Ad>iq z#Xk_&>bMb4iIcVD%^&Ep3Rd9IV7Clu#>m?lcm5Z{)_2JtnXtal2`sSlchRovb&-#c zMlWhqxP8ztMBnVGUc41UP4;5n*}m?!h*7T;3$lc3k^kP;lGh~&G#KG+ER0WKzI+Br za>Mw0fLwzZP?vK*l!*u4{-{&Hc~6_EBJEP>>dl=p3-^^iqOvE^z>YP7fFK~MZmi1v zK_+Jx47~H)C--SWscsn0rqJHXPtnws_f#I+2wP=kxEwG*26n&?k3I_fO5(z08a_wE zq*)m`S?d$seKo+y!&zy@j50NGbA7yU11vU%WO6)j<cVVJopU*fd;o_j81xX3`+p0_WAZZ=esv!p~o;{A1kFyCf|U zHBp8{E*|NR&Z`?CXvH^M;#v!G^5Udzd!&lR?< zFrOs9#fe_RI=?-Hu13j($fae{y!r@+fT9H)KHS|;1p@%WB$K5%r<6hO?Hx)gcsWkJ zy(g8ZKB>l|y$1_ZOiPrJ;5;Z{P)*P}@IsY_2sv5Bvsq+P*nY9kh;kgs5`9a1EneW~ zkMcV=VbGPzT`S6XBz;~oTVl>WSW`I3ZR}Z6rsaMv-_RYT)RN*6Vd>FR<|OWpPC7Cs zw$=U=aikZs2NA^^z^qim-ci_+Ej!Ktwb@!O+5t%C%g2@QizDb}KltPL(s%%(;MCF` zJZ6KL)RNtBV0D(#MtY`&d#WxWoeki3^B9!DGqey#r`6br2S`q|!oI$aDDSZ->4U%ku1%2N=ZvdP1xl3vsZ{DDO{=oycUiuIXc! zt=5^!?@m`=GBorN!Us}B$tXm5--80$0PXjlm|_4~H+2Ywp6#1|etx_a``wY8T;_zU$~ACqN1TvS#V}b-h4?Dls_4yV4Z@qtqE_?AloO{LVO?YbRKbc3TAyB z#!$1VMM)Xmhf=Y%{9g;gO= zZ~wqY4&tch?X$dB--?pey1f?l?{2pM^8uFc!_b5UtFsaVe_gf?f)GWSW|+xP99*%1O~7yte!%A-=MVOIKA5 z4PFd`y`~e1G82Zu)+P1RbM|STKhca{YDYFLjDJFx^D&l}`7HI&gsBMY%_NOR%VUSS z>Kh3OV4e7(o7pIsYCv_NUn^R$Y;10{1HEnp>RQemc7!;|cGzJHFcx5nL(9Sgko|uUBQK*w zWO7X3`Tr;pS!=t2nG6R$vb+X18OkK+ij*e|ncb!Nu8Uzg2AA-057v<2dZEx<`NYZl8z>>pIPa}B78E<54Q7RMSlpA0so0Cvc`I_xu!E8zjnuXaw_h7Q+>;GV6;znY=ou0C1R~oH{nwcdi);l z!oeooh0>RUSXcGyy4lJF9-@(do^f3&Y59nW9zNcEA!_kfS?j^zD(Bn`aj@_mD!n5vTa~Ian3A>W7i9h6dY*HPB?S-4o4=jSR~>1x zLd_(mF6$6_dt8Zo;>sjBdDkCnBub)ami{e$ZdZKw*R!WoftfxX!lkd=ByJ9S@W=|w zmZ1xYU!xgg)A3|k9s5VQJohQ5g+r9>#&o8%B`&1!@wn6Fe!gocvn=AXt}R&F{6@(H zbJmdNx#NrdjOm3F946%=hUbW4&G?>hoh-m0KF+bQ0!$VG<}vW&Nis@dDz52Y_)C$4 z0$eF{jN`^msxnF1a*MaTqiXfVFW-N@Bk$jNJH0U8D5mEb(}?P*lEK2pw>oZ5Etip^ z6A)~~`DJbSsxZu$7GoTSj>i?@Uh9GdaHkYtEDR0cP8&vEa4*PrzNsNS}`FS{hYl{0P=sod86XK z+3i|t=_khHA=q{IUGyl{5VM8?!}R8nc-0;qbO>vQpo^?)7$nM$)HMv(5IkvJqm;3K zE+hkpTO~Z}NKBolcE<96SmGvDQ2;Fc6x9-WT<# zLF3W`5(Rq^CeV`AGoFysEQ6DAG~uFc+R$=t7$x(N8f%Y}*f_)>fv76+vNficSU6}p z2Op247@u>5!BjTBfiM9x3nM!1WEvSP0LDqEvJn3SR_CG)kx!k3h$<$^C)(cn2)5># zHs6_I>IjoVLbmhZowVuI$%>C`Z*}#v*+o^N_M8I^?mLesKn?GqwNbXjt?AR7o2)Q( zLoH*K_S{!QViyinv1pwcawk+Kg6Wev)&`i)`$%Doq#K(Q$W2wo!YjRnGiNzP6X}yM zd$~V0=8Sw!3X#=lYNTBnYB+hSNy4$&^u_#-l`Clo5PG#D(oc>M+JZ!i+d+c9D>ooVxp2Vr&_W?@N2O ztW9V+CpyIFB#mey%z>upmU9i=$XK%iaR-4~fA(pwvlbZWcjICxzRoDil^7>VcNEtq>me=EHVpfTVxkH&<#fMC*6h#DWIU=x;o9>>>t8*~!$fYc`e;C&2z^#{Z>6{sDY@bJM?)2cLA-$5~~?XDsINhM}Jx zA5S7~;W_&-eUKD2#R8Z^f_SF7XpbGnXdSaICQR3eAjf7zz&ctJN%ixI3tbR+~ zD_ET}Zf?)+vh7ESTit?PR%4`2iw_=vZpj`lP-lwt+(v+r#|3gi@=+to-vnd!Da2Ge zH6m?R-*5U1Y-tIX;}wR*&2e)jF}He#X#S9MR8&3j6`xCpqcSA9yP`O>IJw!E{7%~z zAWwkb_$c}5$g_4X&5V!n@QkNc@c-lQXq@Ija4#9{^3F`xG7S}Vt6#F^9`HKw1+Xon zN+!397I8PJFB|kpt=R(5oHC`fSZRr32-Ac3;4C?v3eLJPLmhi(9Pr+JtGqTZGzJN} zMRi!ir!yo)VDG}){=6}h%#u<^rMAdg-pCYUBoXL5Q;~YMs4a`Dr*A)NSn|QyRQZ&m zZK}>f#>33a#%sW!{q0JITo$c@+~n_{GU@xNI}uF++7c1jCrm_Q}QE)oTVzb zW6A~wiRDHaT^4bW?ZIPMI*?(U?FXg{_71Sr(=DW^p3#YGYu}gjQPo}84K)nqo*F;X zQ_9yw(KS^dhY3X4-=|wDH)};Bk7t-ocPAm|h9{w)t7+ih$KwAXK>&)=S zVFDn=BuULJ-Kq2$f0BRTXva+xDLQQ=Dh*oU$PdZf>pQkI5~3-s`l5y6?i42$ljVBq z1`SKZFtbc)z0H-F03U%JOW$Oyx1EZH^lO-6vhk-lke-4*YnA5@e_Y z4an2VZj4|!quiZgqum9NfME01)eU?ME#UTFGNmUA(R4FRYr9-KJ604PU0eR!J3w#z zn|7Je9?zd^|ME(v*hXclhH4=T&o9OAoO8tDN!?zZ1zi zzgWB53YgO#Kyx2Lc6-7tR zIq!)6WKJ9_mKQ++XHs-xrR!3$D*lD>1(L`E!xDEtWB7>$G~k_&ZJisrgkPv#x{rd{+PbY$`G`)d`(!#en{K=?4{e^ z!ZyZ|M4Y?4-ec>+$`jMWc&-`*TF68vq@unrI&28DI8a7ZZ3wLDj@5_d2NKE1XAl z=}m~R$J!Idjof>|2(nf-g8tBLP^;_m?k5$P(zkbBg-|-In3jCKimFl*709!6s(yfY zQNTAJbV?3N4SSW5H%RB>%1w*n2b?x2VWcEQo#eNMCc8l>A4#DP7UgugS0p7yAZ=t$ z7~DHSPWeN{>JBDZpcyp*GF@+UH!&9V(x&*}#lAxli+z_@W>`ESZiLJ=M$?)Olq&q{ zBL2ewQ|3FPF?r1gb7P);6e3LpLhP~XS7yhva|Q^dDdFrh4y!YL9aeC-p2_+NyPk~$!npv zR*1S60<^?{q z(J;X_N9+|gokL3bYtAcrMSlCL-c(MDE8dl@$(}w&W0Jr~0pvIo_H+f}l@yf48 z1j(#z(FqmmN^4t^yxyIUCfayoQ+?ZCChbUUA(~>J6EV*(y$|bS^TJS>=H5{xDU7OO zapc0yNpL@QL%YNAdWbG_?Q6?xj5^?>bkgjQ1f%yItiqMz)ble*CDx>c@B9{k2Nu=2 z^LXd~z4P#6E_R#m$6VzSc+_RJ$2&*eb}?Rm&10+EJMS~ynd{x&y6yH`%H4I9i_2W# z_dPcK=g!0P)_?9ie3yIBK($#e=nPxxwmc7aFRwY+d%OpZn#+roFU(qvzH0NV&Cc%X z9r*uXuDN^=tk4POM5+R-l(;jgi7sb(w*@bFJ(?5nheOnU6$P3?F$k@3e1xV^2u{`!t< ze|#MF7j#yi7p$Gf^zUcX4|_%i$IV2PFQ`^7*gV3YKA_p}8IrUDNea#tXlmY9z4Gi4 z_x$5pbRKhs%N|60+qI3RoV&W`R<(rs5{%B@z29zkZFkub$5`5GU&qti7`x)y{)~^C zWMSJVRs9(PuC&g(XP&qAtm;FWvMgH?zHoDlp*5LK&d`9>w;E*ycaBB@3MjVEc>qB1 zX;)j{VaXZWp57X?5(Pamww~ww+;utU`^!ZwMSsSc><(00tPAdyU4xIazeSmhWZs%f zcbrn1fYT`}{%fmtoeXpM%t8HDOjCy94&m?1ya~Z_xxKjGnle5xWP0@3bRYC7&dX^> zNG|8)%-tnz_1uLIn7ab9JL1bmv7vj7yMe~@m5;KSKMWMNfdk^xTW%bm_S$>`OAyo^ zq?{se)%ax|(^t6(S7+CNsNdg&r6~WYol!R9K^GiEs)bmpJ8d;JJ=PHta#-)sYD6OQQT<;xB>!BGPIxq`J@^KI4E9!U;-ysNWKn7Stga7)v|9a09(hery(TgUpU zuI=2L`QP*no09^~k6gj75+#<-MkMUY%s6*zk6i6uRjU>eYXkxw$D5m==G#QitNxeE z*~)F6RY$cOTctRUAA`Z=jEWbH z#tE4J9Jwf~X6t}#+(OpC>jQHKk=#&vy}|VHWZ_qxWNsIXcY!_s)HK(UQdp0T5{oYH zam8U{%e8m^s9kx7lr*4nWV%+fJLaK!Y83D{wsd`$B9$7X>iDN9DFUdCY&pTa6ts^J zqsw~uU+u++`e7F~iblIJ!J^G6UF@fH4Kz&vt9rXdB8KOJ_R-U=Rx?lC&axDTq`4@` z!YOx`Rhkv7%Ck+QJPI&>wV}je07pyP(76X|jA))(B(rhfr7@<;{G~RNb$C#*hjdHh zc!`yJTOCIziC>^*xp|O$UX?|QIjaedn!Gec2{QrRRZyZyqHcVcd1;Ki5s7DLH+Ew1 zPFs}E9xIP$fsk(^zIG0{up&e*-fU|zv|lK_e<7rQ^+iF zac-Zjiesn_6I`B>0OBX5CD&sih2I*%kO|2ub>zfy7m5cugn#hmug~q+_>>TzenJXZ zft-cNUNUCXkP3|*HGkbrYAMER_Kp09OWr7n1 zg3VAQcKY=a={QX8^kq#;l_<5a)bGg&X%OdRLBthcJ|4!V}kp|)c)zZ^!aOzt&7XMXk`yE8eG2A zO&JZbg1OV6Sr#i(j5~t*ArL0E6&Y}nwt)@U42>AM6oEiDj~kJkvZ;K3|8+wy!>5s{^ouGy~q$sk*ntN+a*c1GX$NV?<4gx~6z% z#kq(!ng<&)|M4M6c6~s6eHV%?Xv$Sfhc`apAaSa#wA6%v%x5w^(fJo zu80@R^FE=cz8+q%#6k$<&~lJOGmk6`NkQPS$uHXhWYB)TvtdHiWn%w5%eHadG)7N( z-pL1uf}0W#hV@JLxZ88#MJi>x+7-xv=S*uI63mXh;(m8wZ?VH>c}To6K=AuxRUd$s z2x9_Ktr#Ed*bN&xzsexbo88M*iyP_-!nzO_6ZkiCgHzRWcqsvG`B;?q+v&}B8z1FH z2xNJMOz+j)Gb~j1wQF_{FWwYDGx>e9r^RK5BKT{NRpe|-K@Zx9r9CfNu)|uD2HgDt zXa95F$y|2pJw;NF6@5?K?Zc4tl9F;UIT6)oh90-eo4Ft+{zd<>UfeDgk>a~>OwQQq z3s2d4ke(28kM!!#6ud0W3gCq%lM-xMk>#)KSI8%(*feOV{T9VlCBpY5YRITMP-0~vYcbQJeRE7qcT?eR-399h z+NugpJ{V@tZWh&k`~(C5!0GlSm;MkaBqZ9Yilc_ky220^uj1XPF+#j=XyCJ^K0+Ll z@Q@oUucf4ueR;3=35f=6W_y^atB@H?kMaR(NdqS4B!JdYiw02f0RT)a1-_VWSSDm2 zq8oNt!P2U#Y)L~oALj4$Dtb0E@o%EuZhCZ*5QkevG*(jvUNV;3{kck6VkG-osE>Ws z;OpPtp8S{)SLt={MWt!}XFcVfZW_4Qbe9yzA1T<$MiNQVovB_rh*E+&N^DVVA!E~8 ztm_P<60!H7K_}CqI?F-+EhoM;-`KtAJ@iRRnF2X%TXS+!}Ue;tX1L61$=K64^DQ?^Yha=(77m`y~o=-OjQ8f3_^A z$NI>`ku}We(+vKuDes+r|1GpFaG9RBH`;T=*0k``aPKwd$Z0Q@U~x8FWwgk?s=$Y{ z-@99|D9`Y#pX`8}Yfki)v-h&8>>XEFcNxpea{6<|(CBku)a%%>JnCq$W?l7}nmJc}wE6lTf{sB`YeN=QBb(91J;;r+rz{RF7^T?&+!@K0I~h zU;Yj3~thK`>$f3 z7Jk=B?mQ_ea@m^~VZ)apSFIL<_+kn;)F~mZ4j-gkv{VODY*(5dKT{Xh5tYs3ghzTZ z$*k$s9WEnWr!GIQzB-hu`6>#bi=TX}lwCyR1R{FVeWW;Y3CZgtUdZZi>m+ZZ?sqSo zTga-KnOCs>axQz9hBJ$Rl6W5w5&9%MUy!C^{TqwFX!B_6xhNQSi~y>n@0jXO#n>5j zJlh7-r*Pu3RjVxcRt}Scxq%e@YBq|kc#ZD+m3IhA{PH4w+HX$`o{##H6r_dlq8o|I zU_DW(&ny*uU*6egFD1^X8gpWan$ccfTYU7j(7&$Ix^TYz8+rRk0Edja{^)Zyjg!uDOG47=)Sbk6-yQ&hP}xOAbtcik z3+p#mZcp4LB;60|&P(qSf-!Wy76?bhw=)j)r$2@7TT?zn^?!Q0K`jVC-j*w4$U4az z>KVoH!9EO`J)~%B7TH!cZ;4^E>JuI%ZixF=Mj%zEOeJrjO(IIxa!BV-$Dr(#C^una zqx0}hi+E~LIl5DTHM+oyE3xYPv(%!-be+{adfD2Ht61Mh@cBUo;tq^9HT9GzF^zDm z$#PH+ty%UbGo5PDR%1n-cse<&9*Ue zKB0Z>;15BR*o5}^n_NODc3yY&p}0ASPU3w7v7r4tn-a4|ovLRK|3->oKq;rhF$$<7 zF&Xy3f6P23zUYUjScM&bN`if;LwLebUyv>%g}l23VfrK_^9R+`&A^71&mDHL`X)jP zA|ahioK)YOdIaIE`0Y-rE5xL2>!$tby8klRxFH<+(oN}k z8Shbi;avW+KP=Y)6N3phoW{iDUrAVJ+t`TI#7H_?aI#u27{o+yu~Ji3nFo9IbQ3t1fJ{^`HqB{H(Vs&6KibGGFK(G|JT?H3xyIorC#AgwkVE6(?cOFlr(BfJXs zf)PFty!?wHzOw6TpkTx#jMKm%Km4d|MmvfYKglwlZ zCrwo#-d%UuA)_S)#I{qUJyRoDCRj?F#Y@x78b`vJ+6?$o&8CJdTdlg5Sjq7pW!GN> zqV35qN#0il@k*7R$=9)#~>=*rS5d$r0>_P7AJfq7Kmw1Fi}+% z1?oV7OB@(b;am9^hP$lF`38 z80lur_u_8kyDUJO84~TV5f|G93XD_ww4&7G*IrWOk(nFp6B7mUqFxD%r zXPWf+ClyvniNg*zYyg|!&roHmcmP?T{|{rg?c(wV{SS|zsy?+qlU7&Al~VYH#)7a0 zdIQqMEoADuh)YdTJWjABZk~5Kl0!~-dm2?Gy{kB0r}=wE_p*w3!XTwU7*1$Htk>3- z|A;m>;m5Y=T~o;N<%LU+XG1Iyu`?Ft=InDt*&5bo!6pXVdIpGF@d;??aomodAW>BU(0zDqD;`EIwlZU^HS;mjA~7{B)jzW?u~ z`<(Y(T-?0zJ8b^XrMvf^&;5td(094B#pKt-D>m*g{*GR`kG+r8`l~L>R=O;HVb;1Z z50GXXVK5Zo3v9y+ zYH8Q@gJ<*41ugE@dLP{9zG$;y;il1BChsQAYaI5<i@Fu-fUpL73UAWb+ zhUw2|?7M8+xO2i|&x0B7IWI5<9=iIXI@IS6;?T$LYhdR6?!el4um<0b@Z78aVS4`F zD`8i?0vEs9J^vRaps^1Y6${W@Mk9(iKVDi@?0t38D=&Pve!rW$?2WcJJMf8cgNvHR>sy8rL=X#VZ)A6JK2GxoW1n_=uX z1<;DJ+ITst4!gUM>RDxvz!tGIgz6uGia$!YZ0wMDuNgk@gah-Spi_3r_@RV+WiLhn zG>S_S)Ws9eC*PB3b}5U&CgOM#qE$wF8S%|%@1su-nAC#Kym_@G?^)g)x#NZJZ5A$b z*MLg(c(N#txx++qX(vJ9Qh(gE~c?2nhhaa&T&0`qmyJ z+8uXJIkt@KE=-9nd!pGp8!-fFc*I=CuU!)b-*RDDA0gEeCs*Q>BBreE1IhXhQITJZ z_QyXK4>t9_K}Z%6qO0wtYQB(Xq1>gfQSP?D-`^ka|6JK2E?hy}s#^c|9rfg$Ej{5n zxcWMYo88OQHGR~LMs6m86&2)LV^6%rpeT_y=xyZg#}mUiPgc6iv8|qboQ!R?qEFK% z)8-9MEIn9mykMO0&F-gr9q45>ZEV{yMYow?FXd=ZR|n+maQvD=d|Ft}w_bembKAWW z=~}Dq-}-qh!r$NHJF*4cezRVuXYOrI`3Y0EtBWUXTMq^Oi&oNoJs6AJS6?yDTHr#% zmtSD+*gx*^8grHJY{o9v6j)B(kz-Pl&siIqG@r)3JGx zaD&H8FWmg+XY=>w%=ZqP<-OML)eUBE?AgL{&zd}A=}PAV4DW#HH}3_f?{t_~erR(}j;PB3oK+S8h&dZ)C0%B612 zd^g73(FxE0UOFS5|KFa!C?-5NFP)ZcY4HBTh;Cta)I~_^ydOXNtkj3II^Aye+_7{@ zzW$~4f311x;(=d%wgF$mXZw28mmgJf{+G3@lJ`f(>MHIQ?*2N)a?ZAH=Ju3|M`A`Y zw-f9gaS;!#EXRt9vEi0-9-_NhD@+JWyi6N%4vaH1ZM(O zlT!0c46D&L;i?c!@3M~aM~kfwil$fzqiO+^(S9`;o3i_wzmz!efa8)aD>9y_T{Aia zMXSGasNfQ_HWEo2{KU z^{PV|Xie=>3*YwZA~Z5p{ZMch!Pid_?xPk>sdy2q2C2s-y?G_Z1=?nD!a#mfqgqs( zCp~UHb+@Iih2VCf!3;p~IW3p4jG zXuRIDjgqyR)%iJnW(KgP{b|tCwGpmSefcY#NFF*CmA=!eRkU4r5avk#^}InMp>o+; z^ID-otOeqo#o3Y*+xkI`CwhWeC0C=rR(?GADSMo(IbM;#x0I?Z2@=X9Err8T5c{Xc zv^#RzI)we{&u_i)j1;8uepr*FE3-Z6|1=0z{{hL3pd?aYm(&c1?{;O0uMLaEt!(#8 z{(9B9BVvW=fyY-;PrvCL$!#p#v$TstP13t~_c!5hQH|)FQnX5juXTnDt)Ef5tjfAj zdE&0AB#T5{eV}A2WH_+;MyjatNPxmDD4nfnGNK_vayc!PIv{xzQw~9@4M@;b>ZtWcBZW?ZtG4lpYZ&l4+h>?%*K+hV-elwvMO+`i7L@ z+4cPWs;n7Zz>I+v|h0?-8nIIM7h|mqFa}iJQr7&y8cn?{;P>{*fsx@N|{~-|QVK zQimgh7OxZvt=J%eg@yBS4{cTGhw!m1HU$7DZ4*lDaI37_`VfFAbX}`1$a4F4I<#mo z((HAOLb}*KBhULTd$fR&4(2V+%1-a~lFnNes?yYMYrt*; zOmZq8lWu%@~8Keq)3w5-= z-STqc^nxYyCrH=MKxtSQf+Pl5|4Wljn6V~`u8IsWL%`;2x18w5wm-4@MnsrZZ$@H1 zJj`KH(g$ZGrghWWDMP95U3)Wqn7; zk*u8gEAhmNSRlo?D031kx1q(0Ag|3l9CBesyA zn^s>G!jYo~5UUDXVYBk7TlqdiPmtN$13gkYTD_h!WAg&C3B3?FR0fP8;OCUJKL+O(0pG1NdF;u5V5YET&HcbFck z7QoUjKqrm2UU6tQAKdkNLU6jq`=HaW+e%km0jTnE$FZb% zwHVdnf6G*#s)Z3<4JFo*6Yz6Owbmv*wxAhVMPJMH#l^z9#~oVxZ;vls^|9d zXUGK7l`vFM{&c^I#~%&l&7>pb?ledxh9X z_tt_^IR19c%-@ybb*jpv(`#eI!n~XpW`8@&^*#7rUVfM1{@L#{%u5dKa9K5HZvRCb zzye=bmhs-iVt2;!tFyhl8QcB+4PG5p%uks)?|CeqW+bsazRBG`?sIIuM;&v`ig_Cp z>&BK^oN|YEG=Jglw76#*ycTUr3S+$WquB3an*T41k8{>!W*l(y&FoIj+v~p3Bxhtn zk~7?J-7yuj)4Fk#>z)e^oWbdhK0T}WEFP29M&>8cMUEUTRlA~o!hCS5?3E>|_){$_ zwmf_V=dU}D>7v20`?W=DzQ}EFXx^x7bqE)KdQ$CS8729w7{aXqu{-3=1(7~wPl)Q^ z(dPxL%nILw;<+4HMHhr*;Z#O3q&bVeysjq zK|sZUcQ1UKncv%ed~~OAS1v9};65VU9S+O1q_{_9s;AIpCCPeQ0tjkgus5TqD6(|SFXh?=@=DM(2%`o03xH@8E&R9HmZ@xFoV zkZo|N8*<7ZnX~Rl!`hb9DOX7$Y;oPpz0IhP@wn1VRs-vj)>4xask)5*f>i1Tf8LU& zek|M<2r@MH#h%inMVk<<5d@>!uS|6T?UxQ52#(s;u;{-JO+%kf%aqb_C*V7r-n#Lh z3-6WY-Q&s&&Q>|{9lh`|mCgr!;!4sJ)xhv^BoB!p@8-}HIMM}76~O0o0*tr zXmWbI+9#a(1G@9*N=x8hb@RQyYR$){519*TP(MAVXjR>aTww8Vg8resaAn+1N53Ba z$?#%phAqDOMlh@sERb_76F2H$z7lpR_H)~8hR$o-z9im}y))RP(UYP?GG%mXvM{St zwaA=Ic{HQrx|n+{bj#{hWK~GV@5@N3oI^wnKKe`oCUm5|t!O}1(47S$XLf5%az$ub zS{pBotO8a061{8gqpE0)Ba0I%oewNYl?)9>w00Jc!UiiyD!(DX?26;LU8(}U@A;85 z+E;_sGKk+eKeS#@Vgs09nNf?HG1%I;(DO0+jq#u+AZr$Fv-6S_os^J{Ro7Ux@An^3 zHyZ~?LCh3l={clesI(<8kopKqQ)f4@`lIqO8t(RMYz1s`sS}Dr8$0NZYOI|adfpmq z+Sqy)&V+^t_fes|N7WC;_Stw+SXJgab4*>JL;&1@?3GXo1?HHr@FX(UGa-5+yrS(- zN?2r6|19l_9{>Zh^m!*&?K$PovTDp*|9EYfDi{6>Y`3d zh&dy*gy=`kVWZMWihCXwgbhlpX!j+TS`^UO=sv>+vb~h%rB(z79U%`7{;OUiRed9s zS|4{)pBKLYrE0dSs7lQ&O>&MgGebKL^2{ z`3LX>+Xh!WqUfk>`nM~d_La^B@9kw@mqo5G?l;f!WG?rGOWrl`%J{6MZW!oSB8MKu z*i|{fc#V09_sk>hw6nL@hshrKzcM92y1mT{mW2I+VZi4_e+FY^lvha32w13sQQzp( zoA;ca<-T_RJ6XOLW6&ZoJu__D@lbY}7pi~H@&2sP;TZtMbTTa^^pDWh`HFDHo5E9awuoQ=eEm!_6CJ)QoC8_6*P474I>;beio| zvN$L=ePJPg@w$jb*%_-a)pPd$C|u)ny~*<*Hz(J7l?#ugK0EE3#b5Tf8S~=LU2n~$ z*I(~9&Yu6LyWLk_PiuOqWm#!mwbBh^z5KOOc_?FhkL-77iWMtD8JDuE8h*ztim6+P zCCmwS>b&`)L{$>XAZB7Tg-}G(TMxo*&XT9jqXp5kZU>H;cTU-c?Q>28Tlv71dt|s| zN)b?PynKdxjzoOy1nM8@z;^%!3AyS6?1(w5zt6w@%Re5Im$27b8>wA+9TxdM@~VuO zY`pn=@QvB%#wfjeEVPBK} zVLQLKdpU-w(QZDR3;=Kjxcu?wS?*-q$H^I;T(=)hs_7|8o6 znG#}kbV>d7ZfqIVLfU5DKo{38SQ!t|9Hs?R-pVSo&%tK#y1SZtGI&XmQ)l~T+Eb9Z z5u-CgXJ??-Qb}7$K?rrCBFCQ=^7eQC>~h(`LO8T)W5IwUt;Ak4`l#(htg5!D9tcL& z?ZL@wA&2B@@7vD?AAagi3Zp&Z%8-j>dpxJy2Mv1%iW4AZKKB(5T>4Qsv}ONVH>OWj z^<(B;qS}T{QQhnP7ZEWQE@{mVsq@WJ2f}MAhg}aDY`RlIwpIt-C<1>a8AD0;)66uy zOS8m!>h~Pedipqxn<`W^EZ&?WKMFgPqqlHXM3&<1#sgtT(F+rW=!;x+PO4)yn$$Jx zpx`8#RG&;uv^OGJ>wq^%*ifKvK9+IWnnVt(UE&^6vz~qH_SaI^fX_Omt@X_of)&k$ zz#pIv%B1TjpK=aAf;7;p(dinAIsSBTfwc3r>luSk5{cG}18oBX@>!>HiMp*2?~J%P z!A+e8V-;=np>8T87WiPRBcq!XoQ#jh6XK;$(jc@ui=g1(8io%8mZL{atIs5msxi?+Yf10@J|g~%z=a?Rda%p>}}B-FeA~R7o--l@`s_$ z=xBfvP)=9_(bNCP!qAil8YR610Aif&$C~bKy|BN@FS7<49gWa0TiV~6V*q&iR|cs_ zxz(JSDa$))JFDF{Tkoth3MRz55gRK-2XuaF!#m(|* z<>)Viwj?am`N*FZgjv!dg8Q?Gn>=|tcbtsRK-sWyK)fps;5S|ftL*}pCN@)|eKKdh zQZDORE|2k!wUxCT$6$~H0oOzmky9xVFW1THZbu$1%n@b87XoFPI&80G;b8sHb>&Xh zPi*Nxs)hUpq-PY&ijvBCTV!yVdRsEuT3>o{=WOibTE3W5avB%7a=ajn(xE@IYQ6k0 zzF^W?b;ACmWgSpdCaJei%sl?76baBk^~-Yj5jPSN>B3D4*tX+kULj!Pd3Ui_V}4K4 z+#l?@J%nhjvOXgQ-2&-yRl#5+B3LwY5>DA(9*px%&PFpWA~wOi?M`+U?4@04B)_p! zUA3==5;uy}s3)r{P@=kAw0Pyr<7e!GrRL_q6xz65$aAP?+3GK&QO&Ol7r%^Z%{zf@ z)Ji>E;bLI#fEZ4admkLJsEYPu**CfoAb<@Vi590Od|}1d_VuSh+6~g~bET_N43XK& zUmNHhWgl|u&)1waBTI{TbtB~P4AvRy{a|I$H4B$w$n_`~LTwTD3!G#%YX;mtb=61T z5>M6QQjHI9dW@62PY)M#5Q~aG0qf(-q(#-yiER~GQu#+#njMZM zl!gYc#H-F%EsK>HZG|V%vX({LpY0Ik)3-h}QohlTE$>D&dZl(*f>vOZzLhdfB zV$_&_vR8`MqY-)GT+6gQ-=0wH(96zyMm-zqjsRY`Mr<7ep;hM}qpnoS3d|$)bd;-p z@;zF6Vv>-5$g)w&Ew+FPucs*JQ$jrN>ZgZR(}1 zv3#{qUm0RfzyY|AsLVM+R5t{fINKNhQ(AWh*)wGh!A~fc6(qr=ChCgioE0mqgk{{> zDX)Hc|3h`^kmU)ZR()JQ{Td-kR>$+wfT)ljc<3~bt1cM!FH6!rA@9&!9#llkY$;N) zd;HA`ZOB8@V+o=WPs24603VYUnSMi@=%5*bh5gt}!*%qJVyiao z%k7rqRCNX&v46_(RdY4FXDEVyHbW-+GU}M}>0(wy@l7xnxc%aS1c*WT!^ZcEOg1UW5 z|MX?CM)T|d`jf{wTo}K{%N);6&T_Ul!+*XNP^Z+kd=rSjN&S<`ce%LA%KO%H+Kgm@Hq&; zL#7QQ)7f-<&(Sp@iF#A%45P_g5gyp%IwMz0Pmkob#+5kq{cv$_g=bBPU3`3zwO_xf zi8NI=^ki|?HjdXP#>3y<&{=p|2NRezsnoT@ehw}6~PfhYAJHfJ~DACyNh3;ro#^pij-%lN)%87 zOd#&ZfS0KRRG^V|MfBBW@Zz^VOu*e;sV_Se#6K8fiariR2(Taf!yGYk=Vm(ZWQ4TL z)kJ^o_2?B7(rEF%0yiFOreez}8s)V?r=DXwQ(susL=T|46%7ax9!|=!H)O19R;JqQ zWp@YbiNHRkbd^-B7WZY?+q?Y7OGif*ZR#a%va|}^q2{mD+0`%m!(f4ChHNx5RF2cl z492#X!iCr~T1r1;*?^9c)ECYR2SCx0h7e3b6ZYlUR9Kvcat9%@Qcr&)0IfQ}tBz+Zx6m1vdt`9m1tN(iI`Tc7$6HH*2 zPxsh8oL+bbCCXqs-#Gr+5HS)E3UR*YzO>?$kuwPDq{qVjB;~yR=;7lIUX6_s?Y}O+ zHxs?+b3_U(!~P&sfp-@C2CV8vW_#cjQZS2XkWZf3N{;yKI|xBtUIEx~_Z zf&V`AKV2ICwf@?_k)dvGf5r&w@h@T8JnPj^>_pvM=kJ)D73hq2FCF*T6z0rv*D!V< zF1dr54DUCh-2O0v-)EGt01EX3qVndLd((u||D7dVxG6a97pDA`7tkaX0RMMxj$dZN z0n`8^Op$fg)$^0#_vY@jO1}EnPI%!SOw>E@D&Ji`>kt0{NeA3*!T%53ZG6@XzHWbT zS-h7)r^|nRRsPKFUwAOKyObbo{>3Pi^KUio*TR_Y#{~2Ig2Tt=`FYl|K&HwYS@_=N zXN%tJ@$}=-Sxxt4DwJMjIIZcM~q zoTeP*i7&pabX=eOQgYGd<{xZnY-b*QrTw^kDbp8Iv=8H`hPhwJ>7}jf z4>ve#n3hs&Rf)%RZolWQ|Ctu87cg!F=6Htiy$YMO3#X<%H(#DQ?w{zza?tvD)sOd^ zN_}qlo(!@rEad$)cgNp8chi+!p$57ac7I-};pFV7(p525RcX~)UL9kHrkGaEdw62T z4%wV;_bUym*l-|F19XKs^F#(!Y`Uknyy%m-g`4!!*60TS+Ja1-voK8Q%bL#B6$om= ztkXR<5BQvs4j zezjb=W}I`Wj=b|dH*-H^Xt>E}>pC#ukM%SFQBiT`0S4C6QM7_=QrdneG%pOZRj_|~ z;CDXCXndhl6g^h6WnavjN=tJ=Z4b_usg<)0=CG+GBUI5aYmBTwWjb(zWp?^$)lxa` zM#t(6J%{U`&gK?7W@hf*d+Cog^Cs zb(a?r$nQKezBol%C3kguvIj}2a6R{@w)SnRYYjxTUl%DIW^T`ED;T2g9?fyT)=a*f z%73v6<5O0lFRj`1wSPfRPnvIKG?SUQ(?e(JThBR5iS?pDx|G<`dah>2RC6CGjTsKJ z_7y50U_&lp(TO~*H7y3yk&^cUPv2^bO1rkba20o5gY{PaFN0qO{F4;*RYdl&$+xkV zU1Z}{9Of!dc2tY}L|q-PaLAgwy4WsFnPur@lBO-|+N!JWt{j4=$kq-!kV|i=FK>}u zR*sS4$Mw9S9Axuk*Z4Xbp%kB$B`f2>%9&MP?6l+7M}Yh!a3Y8RyQq&Cs9GH2RQ6Qo z#k#i}qp}pKGuZGYIUiN3PsXt}sFCyDErm~RWFTJ|FYcKV(xzxbk3%_7VvQ>A6p|!g zZ?Tin{urv*L^~I4S9-1bIqAx2cMR83!es3VepWCsm2M5Prc5?_S`O28cK9p)6AifKj4<ED1=NfWc4t)9o#sZG)(Nbo$rPzui}S#RL~p z(hRumfz->=w;P4^726+7`({gjt?;nY{U`gIil>wVd8f{@HTRWBcWEu7Y7xjH7IstH z9q!hMi~-(4fsMEQF82HsXKN6^S})kGRCIp>@2oyI(E2ltEC0z?vwSc`$vKQ*gqUAT zT_3~SwS_D?(vmTFmy*bs>;c=)UDyKyhIBfS7ep%&xoF}HEFBa73kC#RZjFe0p8XpP zsB{JE1cb=Kzo2qCAG@v{4$N0I2bvIB=o@uagY;|21zwgOucl8bsG%##q~i98b%X+S}n)AXQap?gIv4O9| z0V2+h2hruV+2qDS@}sH-`I$ah>x%xZEb1m*dyD8Uu&Z>8V5JyCSA)XV2PCFKPnT0e z^U0w^;+=PNaLvk|x=7JKPR9ofr!S)c1hZIHLR%wD`Eb!9qineBQ1ljAqjXfK6inFq zwhxamLMqw{5rg~VKc5=cz~a$IRoCbV_mdfDp-97PHY2br zIDv-cp7Qad%2rc$v*M^&ovOn|r4ulUWSLtR_4z*f+b^y=GlpSLxNlKt40ZsU=v96$ z=e*#+n=N!j%2C=xk*m2^qm5oEJGi;Y*(8+^9p^z1i%Kta~hPc?HgkYl;5()-+t)%t(x9QUgiz~eVBkF&L;tB`+@Rj9vO9g0EN)2NhJxdp9PFNI==~n< zjXn|3{*%b+<> zN{P{h@v;!WJ{eKZRg>h&Gi2okZzNX43IKQBNhI^!+yq{LYkP|FGJl~8<^ z@bTkJ>>M#LFE&u3sKip0ovJHHN#J(ey2DNKKf~Rg)?6ojlvy>YG~6XcYF>WjkdfLp zo{B1k*NI!n>MwcpYm6suR)M+~*x$4$d|YSbYd-dCB$ z?JOOeu{K4xN^yCmRTT_MqKaWbtGr>}Dt{t^JxXY#M3G`UO9)PtBOM)aRQ02w!k5fQ zsGW=*>Zocq7OvWDuP>;YhQlRRv%L8(!Jo{?)$KZlBDWlcFXZSSF< z>JL=s@kBI&GA+iXHfg&T4IC8U(!fE5NaB1!LTkMcrFcpRwFcl@obW^KJ-M#r14J{b z_R)}>@t^blH)*KtRojH_q_A|ZBUi|McfvmEnOHg>1Rd>tBM?`|h8}lwgJ&8}KEPi< z*2&#EV(mXe+`?yHdphyjwvT;zS>(0E8SD=03s&w<%MVl1MC$HXv0vt3>oEQke@8_S z7Y}k_>(Ds6bPVmz3w-Pf>#>AIn`LCY*=}U7;Pm*H>)>#m!Qw8__dH@M;`H38orqjl zGS1qLXX^%d+p8ikTg~#G`}hRt-fiCYm}dA9b4>Z)nYtgj>M>>-_o9e7m)wXyo&}3Q zy5ejzH@>?k?}e%Q3Zi=oYg%gHVpKUPj`2_HFP>5QntI8DeaVh@k! z!xCf^`tl`r>FF36DGEoesZ+_E|t5TRnKl4%b6@#Hh8dS)M2lBCKeZ6 zPfE``H&+d!$=ex#9HZ??C6W zQuR`L7QOjyCt?(@0n|gIJT+|x@MW8E76Vpn&xm`wF~(RI#*d3x`x^@nt$b=`y z1*xo29bHV12V5fTa7Nix%dw~uhwb5c&(`)2qLLdj`k$x3)TYfvDlu# z%B4gCy6K&6RW@|;f|3&Le@jtPevh)$B4Zag74+U1SrZ%W&NH^=v%O$ghN<_WLxf3u#^QTX?BFddrOMK9cI1BssmiQGeY{@8`wqPv)u(jgi$9T&ZqmUV=t^;~keDnw#t`srzY-xYW9LBV%i{2i-RP zo^4;D$DWXB_8v{m@14=>?^>ME>-#N`iEXDDV!mg%>CZEXKDGtingyGA&yx>Ec!nj= zG&Rn9bmIE@u-B)i)33dB?6tq=1j<}*|CQE+!(GeX{e1tGm7!zHC)SrfowUrw=ED3| zsd+YId$jKAS>`VBlRAtODle-^CC zw#V4!2;OQup(~Kqzzr)fKJiKr=N$W}Qv1K0cS%vqIx6J8(q88HG4tA%vn)u?u6tQ11PP#p+$Ev;a zuj+*;fufg|ev!kegG)i?UPdd+*yPp0hO1Y`{49|3NtE>l;p`VNdms3*aE zQpMO6lTDS?m-aAsWaXE{dt9Q0;tA#t^*~0_loo(c=R0v^ueDwPsaUe57QQxncb;v=PNSPb79R82`6@0GoT0s+h9S3EyDmVZANP0 zcjBna4qn+b?{0-x5Bu7-x6b%g=8A5mJR7{hdP0gH@?w%CYJP4X#n3emTyFC05H1g_ ze3o|W@9lWd5zoa65pQ&mQ(u(}I8z(>O6eDq(e=d`-E zvj^CR!aaA=aa>mYBd2Di{3t>Qzw#+kIPIHxqEB_6znWDupg68a&8*?rZk+wG)EwY# ziv#6)l=rkSMp;WOyi)A2J0^MCEHKcTvRIuTdsP3FE4TuywA@N_DfJK5%gK;k~_oZN#R7(WvlSvaN)O|1t-&%ox3+)ado7BZq1nWZ)p6GDV;v0yqXaB!GUwrrjZMp z)P%YV*zmb7fjuyMBf&N{u~aAw;tS>@dGl%JBC{7j8~HC;80XHO?x*RsGb zw~LU{J!5iprFmpoQZF}jKmdK?HBB3Pb!HRI%Et3%W{av&zM9np5qru4FyQ{84TfGEg`-OKQy=1yv(SJMiVwyTmO_+3reKpjtG;efO?)EC$+e`KZMn)3gtjd0Rk3E!L#n~s%1g|_%$Py% z+zssUQYXVxlE?-~%Zc4?%}#`CE{zi^i{CY+vjk0=)1jndeApWG+Y$+UAfaXoeahkl zJQC79{<4BMk@(TVfTy=qA!zua|1`I_n+6wJ|M2>UnSiLJZ~ z;eMb@Y_~x~hn6U8;qD(-68s$dWs5G=Ovwti+Dw%Ad$lOgX`~X9k^>t=t5d4KEhj4* zdMmaE&=)9KNtx8Y2OP;e6?>O1xCm)D5_L1Bfo6Phwuf+mPdh8cs7otwQW!7|;1f=^ zU!XTyO^`^pWM+)q0mv(ZIIi2#H3dNA!#l3Jcvg$JCt@D)0r z0O{q_SK516{bW&pGor|CH_v&Aii4tRynfxa;S{8xUG%us#-nLWhl0Ikcom$2@xD}6 z3>~?dv9(?p1IDXHh;_tCafxF(%TjDLM_*z(70sY79ON?{%+8e zsAe>LJQyV_S&l;QNVv4Bx^s3#E8lLcNB?A&T4uB;f5j5D@zp%2&rX{^f-}MCUZKjo zxh%s0?+=~pZyGM}Rtq8_Q0D!zh0fMIFaRa>v{+1^F@<12Pqto|iY|AJu?*zTmFjG) zDC1>E&8V@mbD*B8Zpu;JP9;RIP5wg~5QrhUwxLiz{krPY0V-y+kJlJi{^a|pQJQoB z4Q6{U*HOcyPpBGwfZrYcZHV5TinQRH70 z7-Wfq1gr(@C;_X4SRr6`MIaSO(uJ*fa!w0bDoZlciWCr1SsSw5=fvs#&;Q=nz4Lla zU&T=;gp+f=pXc*D?}t2kYPk8F4alBhy~mB@=HgJ#*Cs6#3t+nNX`%NHBgKz(nimSI zS5)4Z)l+@rDs*x!PA z$cyTAjYvzaiKl9}u7&33p+dT5r1tMzE2OG~+6ZnC%QguAmOM^bbNTbNT5OERw7%o2 z+WZJnA`3nSUD=ZF$aoSAfa5r5AI)Gtsi)$*2Iu(zj(nBw8{~xq$?0^0t(mSdm?{ei ziohdPmej(gl}e0V2kao>q!a8Rr7fmLj$HGC3_NO>JaPUe2?Xg%AXW?F>-lY zu!;J<)YzKWEm;tZH%W4K2BHfMLL~XafC6iwd+{;eW~th8Sz98)T#dB9dg5eGoO2)x zXk&A9Ah(UwrO^V6Qfcjd>w%Q0yr*V$+foS_KdciZ_wjd8!tTCUQLS-b8e9#eWg;0p z)Z_IsG7H3&5vn;iSbMDgT@fX|MhfiG^+tEFjv7YqHBGEl zkxcHWn3SBkPY8n`5Eyyb$|kZjON@uh5==w5Tj>WtxSFCqG1L{#jjE@v^w5LjJ^B9% z9r9Y#O<|_TSUo&WPK*tsMh?F}!ij!q$u+2u(xIz$pkbHl0l=zbEBjMe_b0Qt+e8y+ z^i6KP5E!!yWwA5?K`ow`0mVz++!e<+x{Ai8PHY(&PYs`@@t=gS?e4r87}iMXy(#GC2cj{jRmOdi+=DKyLj)%0E+b61Y;F* z$!=Fhh+FIthCAcn{9ieYP0YAXk1xVKJU$dMuAH44u%GEZ3ir&dG50@BcrBXmzI^VY z`SQ&RK6@%*zOgV+R)M-_t@oybBaHQXR(#`^lM*-K=C`+DyF*!F+U|%tnc25+R^6!` zD6uvDGK!ApeXeP1I)D0uy9G*EDeVpOD!{bkO#-9)-?zDMCcLa$wWy;p`Ve9m)I+97jQX`=gi?fgqJuWcJ+ z=CjzCE^qDr(el`rH|X{6xV7`*1oLyl|H9ttjN3oHdgXE1Dr=76&$*8htsWKmJ&cw8 zws7_gnj+WcJ_Rv4PY}(BZ)D#@oOmQx5XvZM%)d$ZE9VL__InD3-drMEaHjI37reuS z-R#;jzfYy-4)WcFAREQxfrFJP)Z6C5=io3<(&Cfp(sNw<^QcZYInOebI0LYmNoD@m zkpNb3h|@i!n=QUw^v4xn>)QUc`RC^D4~e^Pzt;H3xe_I2X8P36rR{9LyI2}V)cD*Q z)s-82Kg*Q=ItCtTl6Bse!^ystqHamlRmorY&KPkmCGn?Y#Nu@a%BB7X=Pg2Vzjm|C zUxHTXZnc10yOWQ~4VwZ%oVZG+3=7?iXD4jIPfzlj1H!93hW5EDqmiAULln<8w;h+C zQp|~d3LawW-d(B-M+yOsi5^*XhQh%fe2hAzPuXt|kY>>b)2-i}Flx$TqV-Q+r)v9& z>f;Hjlj64@7R-wS%=V1>>vN2dAhsogymN%P%Lzg%`;fXDkmY`vuHQIOxUHY60i1NH zjNZ4vWsFi9*dQX3*h@#~Tb@O{?o`B^O{jZD-lp|@8IplW{mJ+{9rlb#NZ-^jCK${4 zMlhSEd(?N&O%}UP#+T0@Sm)a=_DfILa;>Gk-*v89EH97Y8i?l(XLdI*`TKoQfQ#ODN2=9mJ$nr0RMnhpSC6hf-rk{;f{9{$J;=|=G8L;+C9T8gqy)RL%+R3o$(ZmU-}SENAsSn0zRqaN zg-Ndo9VLtPS{~!X>uBG=4^tGja1#zqx9GlbqlpmS2m5ETyfwEAg+eMA*+P>uqnv}H zBLCyg8J&4Xt`=a$iCk+_bCO+U+5)J~QwaD!szp7-qOOM|6EVmrTSi&DK=ni|;^ytJ zDJe>La7FHIS+Rc;Cf0lEiY6?gVj&=)o8XC(-9(6Z^oGzteR0l|>)Et0P>O#g2NcJH zBK*=>$hY#E=rdo1h6G)jvyKhd4O7o2(5q%&y(KL)AeAS5~joo77ZB=N@4WrZi zTSrDlXGu1F)0EAdFY2IVHAJACByWc~2Fl`P_ek+0>@P{~v1H=_;HOR8KmSHl&{^c8 zE5v_mEZth&zD~2^{7YaQ7fY?M}qTrG)5#R?Wr|4$mYk= z)5mQ*Mf5uUEl{2u7|83k#AG))Pur5*j}Hz)7&wPFOfM{PRcrzlF=dG~5RGIHxr zJ5DvqLwnb^O9O}vcl!x(WCl@fHW8HTWL~?-Px~qt2Qm)PT}rZdtYv{g7O;-Ip3MDY zyra3K*P<2;;xs?q-%{|*=gte1jHv$|QKA^Zngk0j2hQ5viq?YCz5Y4V3Qco$=LQwL zPW^hi^+EG3U+>Ryw%P0} z!MG~v2VrtsE@xg%wu6#1E!c(*>fv?T$jNE4EH8K%Dhn7}dgscO5S|%^NdN}Xv6%?5 zj^>L2%DO71$Xu7dv@|l@6$An7TBp?lI5-@MQJ1Z0CM!2VPkx~yzNGL$dh(NP0NY63 z$iR0~ldzG!=|%dsg4-R?+9bH)b{fsaZ3zUAY~QWYiZcdB*Q-L<`>B&$`0cbsZkR=%o+NMwz6 zm&HGrgcM!}8gX{i2xv+jtp&!O3F~*{^#}3!%u*{Uwn08r7p{bEu+cVekrHNgR2<;M zZ;tXt$3b%@-Ep8qz4vlh0_(2U>1oq7CTFx87$+lhPn){HSV z>!HuphT>V8>3;b}o{_4KlM@$M8y(j|etoqzHah*+awwId14W;w*p0cfEt=#9b7^u8QREE*8 zS{volNdumvKrBjb&JocbM@k$gr9F-`*^90Pg$OmM$x`WIWKedqKQ*be`k@>*oC~sDfibw4(nH)R2jKJLr0bM zvvjB)m+V3zn23WVSP-`O0QgT+;xU@;OZ)WbZ37Z%0=WMbXga0S0JgHNX>=L@9^uJ` zomYBz>%FzCzlgZ)i_QUFn*l1_>mf$turBap0 zE!@y9(L5hoQIX9PJHr;uY|)|lo8-3qw6OWXSrVsVA$MG`oOMc6vb4@W2N85SGTD4V z5SiO$L+sMhb=j;}G+$6C`%&OFH5xr>QR{GivijWbpIH z>dNHZ@VeI))ubW+HXIXQ^>ljFN{A*t&*!0?YARZ(H!IW?Cn>RFoeU&S+JKFFi=5Ue z)DqpQ{;!C&hXhFUJHi<>C~ zUW*W)!%yD|59!xBq0S+EQgpHBev^+0b)yOAJ@qhA!s}ewu-4Jvc8K$@e;a>Ws$0@? zTW|jjgy`NXcc|>`>ZDB0KHMltwu(BF}+hh$X*%&ib+&a^v-`~Y4TY#YzaI<7%;gGy8KU$kAPYcMPVmQcR z*lpBu)PTtXlBr6X_<@OjnihNTCGVBP4fS>FHde1lZ-i0UqLEMimW4D$+%%wn!`($* z_4&G)5!6s&6D#V;{f12FQz?>hW~NyQbnYWA{d&kaXvcv6qcb-m7;_YCL?M6 zDHaIHKClsRF;+MBL5SuOMJdA%Y`^SP+)0ZX=lUgP;06nErpq?f-)>2i-RwP978fxF zHk7`quWfb5#4ZFV zo2ZaDE2x__rNRUB{jn@Z5emAFspPILJ7r5R)CoG3$0`kT9V-8Q$En4R(}4kTH@(;l z#J}wMKNp)!?<;PzT^72$h$S0iWr^Ec%-3rfUd-38xNT>yjAeZM-tRPqSxYc#{t9+O`~woKuHM*Vu4FFz!*jDXyS_fLlCcZG zs@aTKM#v5nEN^674n?^#^GeF0aZexLF{qqgAHL@9?jx8@>6YYp~;m&QPzVxUR!p zJvS|1_J>K|_xXM-CC}uu4GskTF2-sVe?_JgHVM`)x7GKdAxSTf`UJaqEDF4O%>U}~*Z=gv6rc}0| z`jx|t5jKzCm1$|70FOwy-6L#8j!{K3Q(~wpQ9jo`9obQRB< z=1|p*3BOGylIr&$=gMx}`r#&V`4PXMg{b}0Yg&*Ap9cWO@D5kE$NZpO{N3VPgtXn~ zb1(@hb8g1e(3<&gJkD2m=bwwBWXigwS5*I~eZ4wHEyLnK&)jaQ-$%E5$d)5fdYKRh z(0hRT9YG2&K~r)D{Y52HFo7Q^pjLEu`n{2olG@hU%d`A)H22{o&=0P^L;b{1+_nD8uMQU4wOcyM;)v}7&7VTzb&o)|E$W`K+1k~l zA))!0a-5nyQ3a6n8tvKXQP7#k30ItYKV7bAHFazEq#1!4I@w&5QTUIi%~4Hi-b2Jx z8QYCb{C-jbKF}xZW%5psE6|n#(B3-&!vQJ{+ln%@bA6Huwn(W(zl00)z;xVHs~A`^Kt$Tm-)L^sU*z`moy4v)_r&uQoH z)q|q2HfH%nt)c0|Rg-VE)G1G@;1Gza!%4t-D1=*cV@y)I7B}cESvwqh=aH3ug5$B9 zc!K?PU7Rres7j_bfnM4l6IX znDD8?sX$X+w_?1wp@e)6Xg*OF5T>ha9@li(d3i3U{br35^A=esAEycr_ZeP!90sl7 zL*AR5DcIi+HiRGz{PFA)x^Lw$Wa40Bo~G*%KnbG5$~6YZnZe>~2LVY*!FxUkp;1*} z7l?YACwtJi6GRbiDP+4qEqdM%!2Q&uzVpyJ9NU+~P3V`>*%!6UY|P)eCG9@-WsTx^ zQ+;OX2F1F=%Cx~=^2=dtwB&>>06Jg&sC-;1VX z!x(d-^F7wl9xKXsM2GK5d{&`b5Ev1Soh>?BO)WI`WLiN;dFN~!nw&aJ{+ZC>T@K|m zA?vJ0QtAZGxyIzG%Ko#M7xBj$oteW}`6+V@9OWm5 zL9_lxVoLe*RDL*TjGM5RN9J%vy_6fLU2sq1ca@Trj%luE4)lI1Bq>ob`H>t3SdPM* z*U`pKOj4fExnGvEf9aRh{ymZ|r7I6I(4!^VIcDYx>MNNOv?ma-hEgZ&sF@uBC~D#f zWiobqfFA*tF5GFQdxv{U3(ZnlJ-;GaQe+*!ss14^H{W zmkKI=8s`$lUU(KW$aqk3yTYsZWwj<6to1 zHK{8P(A;GS>U66LRNxCv>c9vY8>o#2d3OfbfpEok$BZS3?+TplP%>@4bcS;CRAs0r z8kMs>S1F3L_*5bMntK}G*tQ7cLoz%tm}K-tw~NK7N{&XV)JO^vV@$mpN5K!Jz2b!Q zNFAecRGhmb6~O@U82R~lxy)~>?N`cV&r52gu1-K~F|U4R5(n)>Q{J2#zHl2PG$7Y# z`=RDr!CC+G$=JL($NO;F))jv+sNHF{!TuSu5g&1z9c_2|s^AD`Ow+^*NrT;J6mN!D zK}PTz@pH=H`l&L`P;L>Cwt2v08_^rZYmEtQvFJtRKbgnL(Pwj=2Rzvu9L0B12&1S z=h8Q)0a83VBj<($D@rdRvW^os7t+-l%2f-;%BMdU+)w+C?P*UUs|DHUfX-C4i^s9Q zOC|;Ok=z~AEIg;1R3A>lu8ZLFUal%7Vn$Kfd&Epti0EooG%X=jo7J#z(>W8r_q5Yr zjj|y{{q=}QSRQ-oQ>$@3#X;Yh&JNNw%aU_Mn7iW!!)7aw6uScHo%^)~Sy8&c@mc%& z^$<00e&VDVhQ2bt>@?76ET$**`JqNc`2;bBPw5ymKR{mh4)tLc%C^3s8*a&5uV6E& zY^1OBiI)`hyjRZ2|3erWp8O3%MHJURb2KAbt4c>?Rlh-{#o7ONof$KzbOL(VOtiB! zG52E$`U_Yp+z3w~EI&O^ciJ)2ROTB!nSE{R(?|ab&A#T>Mu@lAVryfaMD#-}P%Ok{ zap95ZV8k;stCT;R3rQqyfc<<{N6Ypj@rv3~ zD9WL48;He-usV-)#*nDWOn{Y!bzt#Z+8)T{eY-QTkQ7Zf=XP*u`h-I*=^b9*BBTL` z$*94_Q#lLa->BixLWf=p8b!l1`|`Flu*A-?dNj?7Y#M=XS55FA6y>U{hp8I+-j;y= zGSxkKepp;8DXGycO-o=eHYyEeA(qL*w)GEjzg3kljF(PckY@;5?uix_SC)+DBGpNTM(ZSF^V%wz4+1S}~dlQ1)@ z#wm#|okR6YTFv92odwYlf=IctTew-a;F4WC-wN*#YiM3DT82JG zPV+`dC~}&~T-0n9{kEDByViquZT`nMa|+xlb1Q=nFa00#R(@sS=X`f=^*oDB?UmP%*t}8oMIB>%wfi1)*j80~o%LL#sB}M`HCJ#$9Dv+fRwLi76Vjh#br5iZ zwsST{)?4>)asI|A&8`@_UPu&1h<(bWy~a0M1j^c?I*&P; ziN+IWdaou^cRT8SoNCQ?G`-rXRJdg-Uga}GxffV!jiEUA+-NC!T~o00 zn~1r-#*(IFJ>8X|N;tCku(3pujHU-02au;_s)=NlZ$|XWdDw#m>ZgZBiY8Q4n z#9@fE9K~&94U{X^Z%pJXR!bOEB@iJcbYdpDtJ1G(=t%f zGICEJ7E!%0>L}rsmT@iQ=jCNDwtY!nS4)%neap(wC%;J(>HycRruA=nb4#S?N$aMv zmK}0K%bC!0c%V-zs_f9*!2y;gp%TQ$W(Rv}eqdG-=Wl#k)kbyQK{}(~mMcM)*9Nr2DXl+yl8y?>M_d(I z_lK~3h;l~v>CIAsSt-OXV01;V-HF_0tiSvDCa}8m~98bmy*0@jj&TE1JnZIO00A7JeB?n1U8YgS5>c zqe#!u*qVk@>7o?-^TNw!dk+NJI(sVq_xx`pc)_b=_3v`)V`Ca>u5EoxyuBRmFfLWi z&mOMLw;J=>rLWe;MReRYTvp4HgEX(&l3>zC+ozaIFN4WBQ#ALArdVP(@uW33HD0~A zT0eJr9qHppr0sZW?>;-7^2XO|Q=kZ7d3j(h76o`D*;)&x=|_@6^X-Ii1&i*6G_IbN zZ++MjRDC4rOcd0wx-hV?LCfI=#}qkI!k6gGF+94LMfd?hz0Kl4?RrFm0_l$kNb9Oty*=5W* z&iJ3>Zc7;M$K4itY~eCqWUjcvJnS<2O{VMrky7!1?Z{*QfAe)?+@anAS4b|@+|7tWm_M%kwp_p$??SGaV?^lx69QoM6$@a~F5Zcgy- z%PU?>@m(|QeW}rXb^}~F_$$1ObAJIQcl(PjtDm1UFtyaphcLS?liQ`Miu~<<~x4 zuxVl7Xa&P(CrH?=cY%};tjLsAyZP+F4u>Uxu+JOWZjQb2azos<@RONY!LHoJ;rm^s z77fyq!K2(1gaKz(CC-xW!3b$fs#!ra{V@#`{W%X^Jwe$0@$uX6B|S)deR=Y}8VO09 zCRa{YQErycr}DmBf4*^MVNi@_mknOoMgJorZdZ56<{eMf@14BScgg%$J)U3hFE+)_^U)7a+94^S4B+lr z-#7)`D0Q&kj0-;XVOv%tESNfYZO-mwNc(^I#e*zSXVSrQzvS z)f6#t8rb_y#1|t}Ri^r8zgmFS-emR7bc%d`#{HA2%2^ZA=1&gITdE>?Bbl}PWDA35 zVl-bxYm({D0w}u&{WEQF#r$uczBza(Jm2qd^M$bR*)&sMJW=c(2N|-z%jl|y>2hFy z@hoE$u%yNI=!m1!MPKz04Eq{N_J;pIXO$DLNI)lz<;@4mC%!ultxX4fKd+~%X41`? zP=j{2PN5b_P7_s&sJnY}>w458k{d_?Dj7|Ra6_CXD(aY*4JvCC?N8^u9evJA&_ju6 zu6{E2Jk8Z#ua+hhJcy<{J&y3>&h1r;<45wwiFHltiue;ShGqURMvBI>ihrvPMb2rc{^o6=?Fi-h zD&2n9G#YyeZ>DGxupk*Lx#UuUx~lO3FZxL8`8kl$b%gj-2H5)dNd^FBF_)<9x|tZY z3kn-4?W-gNsgClx*tiTz*g^VqTeyKE616x&$!;D~i@Kt7{a^+PJ)KIP-^jug?inxy zLTK5%FSC=&LS*xIH*7`^@WLPIO}hzaM(uJo-fDqne(wbG%SaSFH835FiuD+j1zLrg*~ z|1GY6A}RDw*1ZyC&fi`ggyAn}b{{YXO$>}G*JU`Gxe1|q~$TtdKckl-=JO%aB1 zwe$?|6^%iAshV`El5Z^EM(Nt}wuDRmq?3kjJV7Mz5m=E>RS^g=C~`f4h(z?X>WI_5 zu9l`fL+J--hq2F_B4u?5g$QlU?6ZR8bZHvSVCZ%>N!Z^ajC(rPk)8r*NL^I1t1${( zg@N|9lMg9L(r|5hKk-Sa-3C-tL~MQjU}7|R*PwkBFquoxqosr6y}T01^^vC55=HU2 z9J}nEI`(jUBNdYMkQcOumcDu0>hxYTU}3uMYR~Y3VBtvFw$8!%+oJt4vsAX`(oQf; zqMJ?$@bPCQ<)2nXq8|s6xMB-7_i@SCwI9@(o!w_A=s_!CptH`{Y_lc(>!KYA)qX6biLqYPEEEH0LktUOVE-xg2FB;$n) zM82c~D^b~XqJC)x$>*xSF6}@)9)6c#>_3t>Fd=mdgMlUG(=qdUQhFL)#q#3uX#R@y z(S-ku>Fzi#xEAA~ANJoRzwY$Yp5eM+*8eQ|!z3p8#m_IrLwRb}O6Qoqcw!GSs_U6c z{)x_C0Pn9ng>g88845r#okIQRxJNQma|_+RhrE1tjm`BL^jx&%h@029FYOk3Ev*=3 zWat-OZCJ27andixc8KA}6t2BhfdpzN8j5jPH&wfCx0Y@_AGvhs7ZW3U(m$sUzp9FZ zFXimW{=Wv|MCv&L)F zNRyUvOXi(_z%v*&pUju(Y5nsbMG>LCC7#=j@0z`H2N&jNd~j#go!wu$)$J*}IXu_9 zpk_zy-j8eFStJijVl1iq;Aq{xZbnG$J9W%ZL;XGp<8lTBNEiug_i;RZMq}jfpcuHP z={RtcqcMCJ!Q7>%=;JF}6d18Qy>H^l_Sa7bE&KNT%nCNEEAOsw`NamOGS$KYVcLNe zWav(-!=cPm9xOCZ>k;pq)Zv%&RmW{bj#7g6kjOYYs&>i#mIf^S&wF?N`Sv63N01<2 z)2@sdB`nU4VD**b=m>V~Y?m(PhY>QLGBj%qX}t3}lxStRV&Xe}STjSnLM;lf-Ogw3 zpH^BW9#@Qt$*FV9PyPeNS5DGU{G{ejWhjzF&53ZXZ?yBVLz_rd^fPfP$1EcH0gAXG4Km^>`@%o0Yt)g`)!h$rATCd{-GMoY`72&ay)M$A!K)H{jX~ z|8I{1Yy~eSy3dA%fVp}(^L1v(9_C-%;u%{4m@lqjoSk)EOgj{ue|&+9i)RY{_+S3p zq42@J|J@hyU-<3qv_HXP)=O7h7P!Ep09x5RWUZf@wbAvh+moP|&1Ssm8i`VCPmdGe zIae}0HZTgZL&w|?xofycW>hoWn=5C3>nYA&HOe?L==RsS0hmU1pkm8w$j7wy$kPSe z76zuQTh(>lzWQG-Z*1A^`1Af%Pj4|^m|5^w{#ys#{}joH*k#)gc7$=#BTK;8SAm1` z1S5P2ebojoa;B(VU6*#QVB|2??Pb_-*`Brdh!W@iJ;rm_I)=pN^{C72wW;%s@4XZP z&uz-H-YnmTOA)L7@!&T?KOai7Fu!{3yoR%LgV+2u9v^K~S-kwk8isqq7kfUG#HS^E za^-y$WAXOfBk`Q$jMWNe=*d}~r+j7r1WRIo?8!N#!*|P1W`Kh;c}O?oDHt4ia}EC# zW8n^wKI{EK@7;7gZTPQ;?kvB?irJvr?8Z4pXYLcvnY>vs{wy9C)|Nrntn>+pOM_GP zvzN_j!L#&v_h<0zzWrnYn|pha!L>o9Zry#KbdjRsY5C8^r+-=XcK?+$=S91`n5eoB zzDrh;=98nE;Ss`Zrn_DAzn1aeS@f%;g1Ec*)_Q}%@woR=L)mY=q~L_!Y9t+_R*$R| z>Q@!agKY2WlXsZrCd~Q?nKeP2Zj3VKpNFOjFw4gK(u0`IO3vo<=I9dXVB6P)od zfDLHz80FNI1$VVMFu}*4;J#zmUOw7ZkWkz_(qOF_{*9XcWV-5ay!C44q*>=WoTS+^ znLtV(=$3S=`Ys8AgL&KHn+pfz#I5+2qOoSqSfiS|nT#JHmHo8Nzzh72T6}QE{c=^nI35Ut9`6p@^|YO-w|SCQgi$8CUSPfeKl$cY=Z}54DAxJ zX6Ylum&D~?9#U03r$8lmKl#eQW;Fz-PpXjzrD4X;%<`r8)RJ+!kV2MUQ%9nZd0}9b zso*P-n3NtB0>)mSzpP8yd|shUwn7wZFg|hVr1$z5Xj8x!QlQ(3Hgikw;~h;=vA^PU z6W&v?r2CAVkRC`cCI?p&LKbb1ADzN-AT@8teL7%ZAU;{2d&}IaX~e-^qeT51UFGP` zL-JngW!&&yjetVq~g(E8UP0Ox*(CSVk`)RWDDTJ5jb( zwlGkXFr4paTJtYoUGs%(lOhQztBXq1V2+!mtB>q+*BYIGs_odZfV;olsx{*GHGu5J zLfn2N0(Qxy{BKXdm1rC2h-)c*vIUy6aEf@l^C3~?q!;zom4{4^X_jCUw4+W&^Y-oJ zbpzWyBii=;1B5oggE)ct$Rt0-p&b{%hS598sspUBdCM^wl}01q>5Ry0&UDT!3{()} zL(Y}FxtpN&nqyn3pRGLfQc+81Ie{+opMg#hc^2>_kQ*{}YOiJ1-e;hwe9 zn=CAuji%Ndc>qk8DZ=fJMyD!qZz%Wl-h^MjZvm-p+D=LCYl~;wxMeR#7)bG<0dDj< z2O@ir-$pk&>Mqvic}!2iU&_T6e29d=dps*dMQuIWqdt27r22z_Ck+qCRs#x4{u`6e z3rUFuMvK-G^$P=&#R0<*-?XWyu($bTIwwpgMXL=--IJL{40D3Bm#ryslPfP&gWLwR z7_21Y;!+<~^^jjonh5q{>`d?eRQN`sg*}IBBdW)YPp8_xJCG{RZ#1;m944gcv{KBW zWC1;Feh+zLq=%~9m?kBhp@GX&j$s?6oNg>O(S$*$!5|#|L_5VfT?@A1eFH;_cqujl zq{1V#!KOKvyB6L7OsNvYZ>FEYdjS!GKihJG))opJN}08T{Q)T+9p~-Zl1+&p7G4@k zf_A$xV&1NP&3kkXBMq)?N&V=eBlJ3acpNM_6rgjBFygC5zD$r&;;h4yl`vT?HK|Va zN6rt9%P6}gr-K5qNVSm0hm}ab<4-xU_qYf(qPOnLB)O+`qHuRuuu(&w_05cPKi^Z7 zs5q#1*kCN-I)|Qtwr?#XWh*<TtS^vG{$x z1z0&%o@dMvd`bakK7S6ETQY4q&$?{xvw%V}^`r1pyjMsEh*Ne>TPQ3 zft%z>nQv^w;j$MV7D#3?Qy>m>mmf==n@oPFfFiv~h0kR+t@Rf#QHA+FQ2||xvoTAtJV%-+D+}ozTF!o^zChpBC1v6P zED+IRKkkgBV73^I(cF+~J$jcm55T9AmeKn1TftM`C-ZmB1ha@hXe0b_LX-)fg{_;o z6Bdcy$2Ej7rj)L+Hp1Ow9$iGcd!+sB>Xi=e+mYrxCg)yx(5Yn7k4`iw^G#Ezb>g=E zRVzT4H=vKUWSamH7Wl+r2QH2gc<-=i{aDi$P3tP{=7%^QsDNzGq&MI~2t-u2OeYr z=QVsrw)ilVyl(#10OuY;$|>I&ks)f!?J6xzOpEneEA!rs#GmI{$t&?vTUkgL$B&Ji zKxIZ&Ea{V-wVF@gS?GrpIC#kPR~qV;v!qTqNCqJuJP0~SMxH9He0j|9WpiT&5+M2^ zYif2t{7Y=dQ5%^sx=GwecNBy0&B8varwOAEiWT{=O*_%0# zyMrsTQsNY3=PYAb9%U#}Mj(#ZGpCHj{1K{higu36#Jnc*x+4RZWy^ntkLMS+g%1P#~T`20^M|SV|?;1W3KOv=knLa+}CG$`SRxnUw-QK7acl$Yf)$I zO<-RW7;NJBT=IV!xgt|P;l_A6h)pn_NII%7i7 zEu(8RI0}t&W@&DO-p-C0DRj)t_*@!u{QO1mrRld_DuzO>`~SdL6yUL{)4p#rldcGQ z-(z3)5lfhiaUj@PH79uQKhw(kBmT@8hA?f$b63&Kq3KZ1p{&6L`KMO;9P{0$U6>g@ zoqRSQ*<2CGPgi_z53cxooOj{0|M@rn>KsoBUR~cjEAX4e3C+t?@u%BXb~9GA{Lg)f zs+O^t!VW~E)n*nUhgiR|T#=Aur<4^=JfLnuUnr#QxY6GE3Kw7Ai$MPYvFQ8JrH z6aqs@r0B>Fv)%}PG2J!`;aYRqoD%#*U$mDzAHxqS$=hd%w&Gkz3E;DIMHf}&&>6xd zrKnx7;{M~0e|ury&rL%`gzUAh*Z$mga^^;^~7#;Vje)P#G?%k84T#Zr`D z`rPu|uI%kCq6O^Lt$7J@LuT{8k`(n1TF3(c7E`U-k`_{r-dtjF^=j2I33_ukHy1kX zGL`O=Lf@lCwY1FHI8pE|8armz;MTp<+<1M&{!(|p>zSEjN29kpY&KfzL$~iV?K#t8 zuaB>B5J5bmBR#Y2uah{499vHOaDS?~CToE67S&cLXS_H?2(z*t6Yj{7$9L3OkS z^L!?6XjHVEZ$X_Lz1q@#<3#AS;!**HYrs+L+a^|GdI1KYMIwgnER-%LC zDO(p@?8r)2yGci`h1~I@UN+yn@$deQSH{(nCs>A0^A_lEd~`SMH+*K)UE5mLmwtPm zFO>FFUV`#{vu02F!M^-DN>crV+@?^!Ew5?0d+?$9?erjZhyrK6aO#U_^($tY<3qmC z-$X9f(&~P5P9$KOmMpaxArxtM?y|6c&9?XyKemdLa^k{WZARr27lZ~7pAqw&t=-KR zO2e_gNAIlEaM^y;*u(xx6jK?TX6`7yOKrJ|CERFc^Bp;6fDWQHZh)+ojc%Y^>1|?t zd3|bDDsYeD)y5TKUz&EJuBhuK1$w-tF)bGcnff)9fDaHZylI18PuEb`|Njc@1Dm|_ z_=m^40-2YObiYP5>>b#+h7fQUY-#|Me$abIBYmsRAcUt<)~lTHhGhOtL>^3N5{QCB zLS)E2ov0{r9cqMCd~%56E=;@Z(AHTUTm`w+21ZsR^xK7xg4G|!s>P9>E(uiiV75{1 z9)(`F?8ftR%!DXCimC?jr_N-{dH;RQFTA3FcfG3lpB$%y~DD-7& zq6wc+=RWy}psCnAO&0+)U)Zbi-htb<B8ye3!VHOj^RYw{fzg%AFN2Nh5dCSQTA#Y9@+M_jo06L51ZI~)Ss{LLi*~7 z4V~t)O=gm09^&#gJCtMAf${$Gt))-&uWC$r+j*kZYB5I|z>_0=Mv6=|#jCE8B95^& z_sopPwau_v&s|Q-_=EFmun$keIUA;o9J&SovvU-ZB{K?cPBChKom@^h7b7?8`eNB1 zr)&LL7+&BABt)ICOU@t;trzZd9YP6&Z8}3OJg3!Kf&CE<%j*++4%eRUC);echwY*> zito34^_R$gLIf9bRg_%wHGlk0N|DxAF@mI_wxpkRm6)HuBW8$3vZkv~fpsYfGpUbq zSjqed9$j6b$VccmJ}MDsjAZ2do=``poI@5VIZC@V6lFO&I)f-7CF6jy+YK}&Cn;Tq zADj-f9J{lNE1G{x7HLb3v;5wTSlrH=CaU-LMV7hT$2D=JpRDG?GrPlBXLtl*e@n_J z#&8g;IW6aV+P+z*PlfNx*iv97SeBEpX+XyZ1blF=xTmdPnwIf%S0zg3`X=K^gw?i$ zrZF1ou4H7*-v*!c44_|P3Do>VkT4_oN0FjC38So9Dw+TXL1A($4W;FLlu|u2%Aiu^ zQSZ65Y$9S9!%p~^OSeXb7%l*De>ptU`h+SEfzm^Y}RCgk|I}?pHMpw0HGmIo4hN zWq4W-AsxOa{^f{)oU_)_U#lQxsyGjhin{>kRO#87c}wwXDrrwIe8|ZF>%=Swgs#9@ zW%JKO=r0sagjhUq&e?XErv_?IAbi?4g4>alUSO;8R0D@J<}{p|6ttnYk2mnOBRh7A zbKdl%cq+82t@{naoTh7+{Ck;N`W+#AFhUCLHv9FX{iH=^vP+Pl*lmy~-t>s-uEGfj&fp2+VV23V(?eOjs= zDs)22Sq?1w>=~*YPcwKoa?S`DVaK_$zWk%cfa6B>tn?#hE zx@eMf#-r28^BLhKL($}w0qlC?2Iu)sQ(sg<$i__=9fHPYR_%g7yAdNM45GXt$Lf`q zs*EcCPf;Nwr6l`iTTYk?XU1mGcQC@;7ByJxZtrf(4dBSx2zTSAg{A8tHa)D7(n~Sj zm#sDwkZ3nMJym;fU~*v~`e%kaF*L#;VhZ&GAd9Lf z#XJ->lIO|QAp|#4mJ=t8Kt`TMLT*1mMQQ#g;_o_3pM<{Qev3?&S(y*V1S&(5Ucf&b?g;0ge&?(Q!hVXXFNzP-ooAaj}9{v(XV zj2HJZ7rTG_x8IYB?rttFZfZP65B~Qa;LN%6G<|iF-gGTyy@GxdmpdMxtbNfn!^N%N zaeUSl#tT=>9u-XM>=kF3Z&cbDdZu56jcL`mpC4lE+ijh<$D?d6H2ps;_e`6;vH#WQ za}Xz_R=qaYt&bsm*WxamnHw7BHQ=b7@!I4WJi6CAlXU@3nPrPR{_w|JKMIz8zPN01 z#mVsBymObo@yEV3^JQylPRx4knDfLec{A8^o!{oQn-V_RxFDsj=F8diPBj2)| zV}|lKr87>{ZDBJn$8Js^W_(i4+|Yu7Az;_lK8BOFveA9ho0}H|{>t>DVDB#MtrX zkp<4Q63?`K34F(KyWG6ztUDLn2hRij*sF$DrnGTAqCQ2g^Ir@DXex#l!g-^G)-b&} zZr7~&UJ3kj6FSEv(rv;m2_2WIs?)8uw4inY)Bgv~W4F8|1mFLMq>l+lBk@I07v*NG z(hi(9yyehL9n(v-0~`I@YyL-CO^AI(6J7_CdoPWmGM@9~=tJ~OJ~^H7L5pByB}v?> zWQJ@QJ(V;Pr=t(kqLpVxp9tZ=gA>Ag(|V2SchZgbrqq@Tm=E!qb&*(6y;&_htgZ-UhL}hJkaA7H%x@-y&>;i0^A@51 z6SH&|h%3TWoIYtZvF4jd0I7uQVSU7xykGM7R-b&t?rFVvAk535plX4`FR~34&jIo7 z;*`KJ+hL$;oNb>q9a3}K2SfKL=?M3omgcd@w&{VbY1zEkjTyX;`p}LgdfiYGl@1el zcTu7*Kd4-;P3AwxZ$iCB?!5$79KZDSx6k_JO#a~J9gjSQ@RI4x&tUtHp10@LLHHQ4vo`k@{9=f2i z8C9^DJ5je=N>wlmpe%E|7LBfNW=p($HsIckhYvnRgV>XSF^Ae1vpX>0cEGSza%zER~uzl>^QIKUUTHVxrN=%Qf#zysPl)B>V z0P&qqd({fw=j5H6mmhJzrwGw!ubo)Z7SDf!U`BA%S5KTFT)|epP4BG*K4lGlr_c0V z)F)84J0MTC^8P@4rh|WSwYoya+>X^VtuaAPq`!mP#YoZ|d*blyXl0jpeTfI_c*DZo z=k`)n!>^2~VdV8^J0}#s^wCqaDa=1}D5;sPz&Cel5_!@au*@c>Yr$UJlC{%ea~}O* zz-@4(o?{^ct8*xonH?VW#7AhPiAkciJcT*8;osuVuKq}VjtON-p=JuOnb18ZTrFq| zc)C2l{njhD@ZzB&_x>n z^Kt~X1aJk?$EmWd2F>fbnFT;;a{qx;5Gd$etgK?4T>_cuwhi}V%eNl!V?{Xc-2+4U zuMQ|gk-xCMk*j5ggzVvHps}`39WBu@JQCOO-K!<7xrM21=oQ`_4pae~k(Q zfQ2{jyR!KYfZmHAR?Y>9h^6{->sPHbM(ZnH`E=E5s`Zp!wf2v~aqW<`krH3Y3Wb;^ zSMwDu`n}BUCU#o@fFbZfkd-9O#8->qki}k*sN~G^&0Ytr4V@}!zF6z`yw3NqNpUjB zwjv!~0=^z?5+m3wMD2u~2C?Mulj-#SuP*GeIVugqc;iHYBaR-<>4K?v;)p3mxyS*# z)2d*i%E6_8C?Zq1T)BJ{+@v~geW`s~v#SdNk*HU)Oi764vg@bopIies4GY>->gJ$( z0WRH0nrJAg?ZNL0%JLKd215(>7S7+&vlbtXCd3>2pwAoF9|vS@*5Oois&AKhI=1b? zIa^$?(}u1V92zRJHujiYV?m^{oWL;;H}2u#2gM>|d26f%B@Zn>6@JHpno*BU7I$Xj zc(J{w+hb0t`GIV~ElVc#zQFs_280s<08LXO{TFRk95b|rcl2;QA4u0nLtIVDp!hVR zCK=K&9gu8h9~z#wG>xc{p}m$ih?Y=Qy2XR?mO`#GPf>P3(m6j^POph!6m3|4KhfBn zSG_z3?JHKBO~>0BnteY?Li4i_w4WH(VW)&jMd&o9fX3^8{Iczcu!#~AOSq}51Ztxx zYTjQWrgC5Xvt|#yDy);%lj09iu#1AbBMw^YeFJ6`GVQ*Yw=tfS4Cql{T)4VE*E7yc zT(rP7pHVzUi~4vd#(MecX))SSccmCGSo#0O)w{<{d5NKPKl#mSt77=Kbi>62_m&zHYgkc=!mdG&4Z7R8i=d$5k5`@_;5n+TW z5NMd&?{%hjzu({YkL}@XU~@ThKJUxx^?c3zmfheUa)ROP4i|k0@=r1Rbxd=UH6LZ) zGFSZJFm{FojP|?%7}G(fp+{uY04ePsoP9nQd%2nP(vHJe+f>tj26I!=G^bbe^U7u+ z2WyF;f>KXmA3G)_83Z6byH}uGp}>o(0Gv#Mg+jt;nM3tddw2XkoLF+nP03an^TfsP z=b~TUYe2flA2=legHuYRYtvL7`>-XLh=#Tw1-bFKPho5xB{Iw~B7R^wV4?qAZ60pY z&X~q}PEREdZunLGFnPXmA!-mYHBn*TVr`}&z#s&mQu7B4gha555MW%+=fSIeGsW0^ zQw-Zgf>HhEBx;W6n@zc6O`yjAx>YFGT&$aLUNqLIxKy$KE!T-1bQ; z0|ie6Ehg429B-odj@OLT7u4s8unoK|_gc~kp&U256YyHfZ5lhyws=NkC+{xii1&}H znUslSN@P@9vXdq{`?(R7_No*M+iN4?$07Lopd89B8|=_2?v{A)UxzvZm}X{jd9@Ts z*?4$1&_pN3!mMkU>nJ&fK1E7(o=&ND@fk<11ZYaz(*j^~blc3t>}o5$3t>a4z0LQ@ z72;l)Hirdqft9F@{a?B=C%A zh;A>V-c{}W<(1~>XTN%3pGL{r^4Yv^u3n(u|L3|h(U77)bYP7jC!}!aWSBv^!(OSI$f?2G(cB}WU#A^EA??Ko+Hb_TQn&R}~sA^|xA0@5!;$;$bGb743TW>Qo zgD*!vAcT5yQ!rWbhxmsQ42&$6qlLhDVubkeunN(1v>-Q9^JiGKw%&%&2O`fDLhxTq zntsF_vFRo{f+nU&C`U7HI9?oaJyIfJ){1SQ`h*${i7<# zJ{l=^Ht+rRKH}Pe^W}+XeClJ<*Vcb1C#Tmxf$piG6MRMPay%@%E`Pl9e!*9ko7_&g+n#e$%g-dSP*NFqOj zyKMp`3gRq8uL6jP)qANlMncoe`g z0<9_{aa4JrhkHsqgB~zbo2vXfm#BB~22sZKqm__lKse5@_GA%j^ zPR&GDJ{HbHdU(8GSBPz&HST$(jd>_dW*h*ss_&9vCSv||6ew@nn$os7Xy_Sgo&@{R zIaM>Urb9%=#V+sVb$ioFLUenA*u3q-NgqBPRcRPZ!aVrwL0>J~VB*VRw$EvW zaqGm|;%{UZtdbB^78#aPdTq_p4KujY`vaq{FpX6!Zjh{`^x-ljx(i1%D^MPA4w>}0 zzh@G)2I@fTBaW((O0S-y1TySl0?22uScCQkF%?(8hl{~TJ2LR~E182Po%M2S$FBWr#2W1xB z0DBExP&H$mt8q(Kd>XPPjTD`{FZ%@x`(~1lr{-)KmNf)TpiVJ1lETbcB%WnJ($w5l z+$i&lH*`EP(%kkm4Q&*+pwi^wy1E5e=J;Gfl*?d>==#HHYA0q#H^&Jb79a%#aw0CY z)JK>Q7T=Qt>{9{lSZyTk00OUr7DOM-)pT^8j@oH01gRX{FnPAW)RHYRJShD(Pufl2 zC*P^2s+~_J?dy}8n%BL8Xq_nej&05c&|Vyuy?X9SpEv@Da#n- z;ofhUouiNrWb|=l+wo>t+;EdiaLS!o*Wd(~hc1y>j3Lb1`GCz3_RQwhs%6{eU1IjQ ze=--juziKIw}ao4?&~i;*FE#6T?_xS@X1C0LC3yu;tAgs)4ZjQtW}2>dcVBIDa>Xc zKWMX$pAG-T^WvM&{Oa`9nDZsRlj8y9VlU4ro@0y4@{kC}#mjvTG%O9i@~cz3dg8d0ISRT1uuLXciyVi zKIl9))cG#j@DE<>X&kd>&SZz{gTKqnFQxk(UchFR!F-(5;9h$5x5@jP2*ZqS*{KY_ zUcqy`T7u_wb(iuxzDJKNc9N*i!h^2D+!?q!c@zb?BBNScC-kS&`4I=OA7`|zCm6MwilQNTXn`h!UgfPH*a*N z%)lA;tnc^wo{uK~Lr()o9o+fd140y3KX>8XbfPH#rsl25P1K?sU}_DXEu?58DYY6k zyINkNuOB3Nc|UWz3E#t!zIQB9NMK6r59=<$AQR2&elDEC829;Mj z{${AM=YODyR2fLA|Ig&rrmyoNiF$0T@8C&SOr^mzqH`=yEKY>GJOY0EW_*>4ss-~jy%l?ON8{k9+h14}h5h)MrN52zA(T`)Nc>aSM?IM@ zQ}$lxxX&qQC*zG?(L3bK^qI3iG25`2h=Q80nUjK%bummN^7HDx&eQRqHSd{myp&$7 z!$w@pMUy>9UA48`lvsuUh9G#Dm>5D*#PNL4f$s4nBh&-V!Q6uPc~*H#qsz!;h)8$F zz|R{fy`|2+UlMo0q#eS!zp3no*0zetAolBt<L)b;SHEy;xR$i?Pq## zx?viR#6>Hy!7EC3eWtd~sU9|-lPlJIOB9FBx$IF~ntCH2AXb86>L^^%<<+4$g>_-Jc_0!PVzN#mKp zsc>Rui3j>Z9g}|mjpZJ%eb~#$qL>XGC6La9s0Wqb34vl50@Lo=<5D=yj!Eb04)iq? zKTNE!pTYir3JnbQ|6kxA&haYLU=u^-A25*!9nGJIq!NCCX_O;ap|As`E~u(2p`Pl}}|>}Fa3`r~Y(Za{W)?cI*-u{Ka*3WlVNWEYL%G&kt?gani# zoPY9rOpJriOwn8lqEP%zitCn_bhqF0Mrn8?p4Il3dnL)56^*ng zt^+B&Np2rk(*TuFwEQ0=^UK9MCYB>MHeX?Ric-C@ zR?FbUF!YMX{dWEc!cE%Mh8BgH6xr;H0Y>(=N8Nul-2QZ1;Vk*#-+snyyp0rnKtIun zK$yY&ME2K)cFrLrwu&c$scS>2pYt|Zi~JkW6Q^{R=uu&1$SE)SV#+)Oniaq;_sQ-Z zlqB$DurF-xydZzC2z8v7cvGKNQcS}9tj9p;3!0nwXU(KXt#UvbOQSaZFpBq|n~y7d9- z71r$H@UbI7ggD=FuclFwb?h#AwV663YtOQF?55cm3j{Lx#j;ECMq1j|ele>f3jwWh zqDIsndk}Cg87^6Q8&hTd90)aN87DJ@oKtNul3-LQ&L6*EurwEam?*8Z*9lSOB>hgi z!Pg;??SFHcZ8soCWUT4xWkNJF`H19CYX{HQ$}=#0I6li@{6WqhfwVdRzzOW64=UQT zP8z8%@T#`P+U`1E^v6*z98r|{RggbbM=;qhP>;eLn8@`zHJPVSz7&fW(5l{P&DVDp zj4>A z5cOtGQ;B9A)LdbDNq99QGK}7*NFSXeuf_~^{WdyGUL78x8<3v0e4^(RzmdPFec5)& zu<9>^;L^7L4(qRNhsq6CoJcdz2;0{8u#Hm@%lZ>LgIf1 zAD)VkmAI(VVqv()@J<11tbYwcE3 z9h;U99@8-xyRO^J9jn?VK0ujd-n51hd6)^Ik|E67KF99U)qyj(dsqn3{Qvgk6RKO& z7~nEudm4+v7UPYY(4(njZHJu9eA3>sg1ySei2Sx$_Ld37w7kSWCGX!qk??~NowGF-fS#LLlvc$o8aEq#C4>-WP=bbOPGU9O z(tThLA_bd2GH;R}i5OL_7%6wS{k;{_#5B(EIpGF;EA|eHy^mnOnM+(WJfc3ogG@raeP zEw-hg4I(ZRGOE9m2QloiLC!Wl#v>^yv+dJTx+%tT9E6R^f1q}02gJV5GSWMuRmVKm zcTofELxZY=q-3P6Bz$@%dGx2Xw~OmBPlbrSHgbf=ZLC^d$bmj10(aj$x^`ml@oxh< z_stDvQ)hfGSy-9TTKS@ln;6ttlr$f5SKOSFh@x@wXqxhtYQFT2S)Lu=aNf{5h#Q{b zyh);HrbTTS%NvKk`;+cwkGVmFy!)=FlkUPxktLzOEGT3j)ZpryIU=k%G{eO`r6rU8 z{4OmT9Vi~h@6L4W@5*P8=E9yZ6QjjSC$5T7!sdf?()E?b7>6nGjfXSAYg0=zWfLE5 z{n+QCNuW>0r!*zvwvQgF&!GCc{_#-|iEG z&BY(0E_lao!OITMug37v?hhRU!_jia1QNsy*C!o35hlCTZiStFn4O!G^Wtc`XPnnT z4Dd7pWbJk?9Am{ghOR_@>_w+b&d$#`m0KW)dUDYjyG3tX7t}M2o0v7}=v{Aey!Y5S zD#q;k?6@*tM{}y5q8&vC>AkOw9MYeT*C)xMzLN zdws-rFC5>7=FZ3ajg_lYe_Zv6%(Kd^)N*0|h0eI8Mb!(tA&X{I7ReP(_FFnG1xo{4 z>q7d1k6{zJrSMX?9V@hE%JIRaozHIfZrXa%DIyt)`|vU~>j(d^&p?q9hLy=&4q(*H zcYGz|&SH@q1aI!7cVNWx!z~^u}Cg~A$@v6Sv zgT^~Ad}tqLP(h=5=aFZ>pxinR%18D(fGblfxBo;?^|22+t&4lF`#Jp3hQ4kmQSW!x zI;mRqGF>~!&)I&5Ipx8pQ!h$+E20M0ZUqPR3rH)@9sS+*g(89{iwWq zqGsZKHqCt#Gs($A33wqB5B52o%^#*w0IUHnb6G`53x-5mbhK-oamMj*ViCw z0-aIZVvivdK@6rBi&B4;=ROqVK{HE3WX#HGx~q6Tcvoz&8pjnc)~`@wI|xd@?MKAp z0DZk;mwm&090LL@DEekAfL>4_*dUMl2JVYETg({*s}+L7pq8KViesv}GMc%;@vv6j z42aU?;$zZnp&CAX!P+#!Cd2^&>}GR1H$&O`MV)58T0&e;xMa1^y#F4D6sl5VXQvjf(9HPEma+`LT zK8V5DOTCidl@BDwe^mfFWvo%@hKA1Nd`4euB+{F>n?eey#8mRxey5#A@|C`hrbcYA z$uH(08pdFZ=ek@D$?Z6_%=jDKHg^oxIrIx(*q^o*@?u6g9;y6>8K>q`0w~AznE9@( zmjA2s=iz{WtlbM$S7=Fem1Dk!QH~GUC(Woa;{*xZ%)xmv*ZnSpgF=2|>B0!JXs-V6 zvLe5n`F{6LhH8{=bRY?}zo14Y=_4B;v#z`OLD%b}gluG*67|C*aRgFe%uD;2pmo=k zh_V$T#$$4>s4>N;UXqvf!hSSsI)=y7tokl`SqL#-kkF#B>_}Ar~50#da_>Xcs3)75bpzSf)TJo>x&f` z&Ju(2g?+NF{d*Wk5o}ilS-z(xaG^5kp87|uvDm_!C`~y1h6K4R?nqO{0 zOOz>{GcBIGQXe|s_BlODI|^x}jU>vplHyOc4p&c&J*s&>OJC$6(+!XgS=lw)zdyD3 z#1Sv9uvwbL=*G8(m{hslzuhEAE+)4?d7K5q1L1&)d|aFp^f)S3y)D5m-^GtU*VIvTk0v@+S;_~F2$N096mFX4#yu=628HVYHCd((k>y&?ZY*Q z^5sMtDdRbw?W1cGg!liocZr8WA{jMNBGvp7X)I*FxI@7L|AvB;j_mb%U%@aob?j5I z_`nN5rci9`ZGpiT*%=5M3O8iQN*5JI9L-IFjVonq7Hk>#54IN`E3?EhI(wirR5*4O z@+t}Bvjy$`XlpCs$UzS2))YDUN$kLA&sr!IQU)fUJ*pYyY^&}gY6ntD!R8S1D%^WB zlkJF_*(cu>fvl@R@@lJy62*(%w$Gc%t0rdYT-daW!-P_BPDL1~@!TPp1CzVY>!55h zGu1Q`aj(bU$~?mxV?~(>si!lSq7^6=5*R*LR?R ziR>vEq?&V62oC{5htm|oO4MB~%-c%W;{9PMW?+j1%&lIMg|o0$p+DvCoPI_08PvQ{za*>1!J|St_KHBI{UOIxR{MAvSR+zN|$% z(i4)UE^+Y-(5#qAEb*)sicsu8aWG3q56EC_n>Q&;Sq&~;Skb1;(&+{Y##p*C&7Ark4CqY2Y(IaueS@t)%uwCp1`MeI zkjAD2Yn>r(bF7&no*m|J-G*%Ox%=eFQlq%<_|I3I=9G?(7FmfgptV=LPqh*iTA>P^ zrU7FtF{kUa9<27*8gV#wB6kMqs|n8K3c`bDqB?A%^s22V_z(wD;yq%F8^N+}hp-`3ViiRXbT834d zkGvDv9LY1KvY%C%WSgrnbn!RJOH~uxw=|8ilH{yZLew6ppnkhILDKE>CrLpi_^t!8 z1qW-qw1EK>c5JoWg2bM40+*Fc!EZKjw~fT&(*-8Ef!XBXtqH`aBcs?qwvX)Ds%5|g z%yuNqFb>B=WUNgRK{o822S8{*b8BnPe7T`zj-% zoaN#+Qk>pSS)!Km@_{+j1qq9u$V}~(B7b~pwq%FFKc1FlbAoeQsVn(;be&F2H%|`| z2W^D=9=;+79V}yg6LN#5nN0&G7#xrNoxbu0`onsHvi)YM)cFHeX7RvQsr4 zZeZN1xW~`uDM~W?$H?f!&hLh#P*5Aq@|@|0>V=9;RSV4NeUdIn7CG`gL*LWan=Ags zF}2s6GpXhCLzFXU{TJmz6Zg;^eRGbvQMGbT!T(8PK%*F#w2u?SnWDwRGDJ62PesR7 zwT_5s#k7&GX9Uw&k7yQqU}KiX=;qm>TEP_k__n^(n-3$pHjlV*H2>A_ga|p)s=E4g z87DjBoYy0G@TV7WW-Va9O*UgPF*mMc00o&xEBPU}G@$47^ajB~uN)g|& zo_vUZU3p^K4-zvw(x0)jx9zQ65UtW4F8As2&@Fanpyl2PS zMtY~^_!Pd!OOU~BGB4&HR8@Z(ItSKbTgi?YEJQ^Cg~%{Jal4+a?x`A^Yco~$)L09k z7O7;Cd66uJ3aC2D=Ia(!uVs`%YNAe{MyZB3e8e`loE>S>H=UCa z0aKiL(dQzxt}m`%$$f?8`AenSO}lmPFZ8C(tli#^y)W7wjd1p5v%*5A1%7JQ*$YmN zKSeKDaKhCKtearh<@rB2x|*O)V)P5XtaFaHdp`2Ec!3AhYMM6 z6W*Rgy9H|)yX+It=riC+^teSfX+D(sZ!lf$vrpUE+qo@V!&>a@+ds45S;w%8(B|8% zc!R}y(jmIv;T^}_;doX&R?X3V@T46pc`mCnBAgsY7Oz}}Jz%iQ*D!4_k8*Ui>XwFF z#miB-dR3!&j9hsz%x;y+`ls!mGjyJqsufdCf4*RGD?08JTW4M-aNfl=EuHOm%K0Zc zVIL3gu+^7Go(l+@T06LUu^o@q5R_ni;jfD$=5(&3+~0g_s)F*De$sL0h4l)zQx+#i z%kQ)J;TyrxKdxeXUe)<#rfgU`q+8#Z@Yeh`TOa&_Fu}7a>4i)C_a?lXlA#RyB!RUi zDQnCrqH_OXR;W5o4O*c%X&RbbKzW>gNRICtW0fc4+o{{Do^ka!k^bb6tB)8Zwj{`oN<20$aTAR!zx%^Ul-+!_7^ zNg|g$uaOO@x7D{o47ZDtjmpo^lL_NsV$7xwG!uSU86CV|aJ%V#vs38sgTFR13|c(V z`+r@drE15p3dB3!N(exY-wRbs3L_n|S&j2UbTQWItP!-0YB7g`utD-;JOKD z8d37EFN-2Ba%g8`K?e8QO~OF|iRJx)GfFRR{qV>7d{SCj$LG?V&^?yACN*e5oWQ|) z_U(+in?6ZSXKUy$O?-CNyt7R%%>D?7I|;hQh9jKW--xgHG4O=9kIb(Pso5?LYf0Jq zQxZ@jlGzapGif(6o=gn1xQ|NP#dTku+T~~|n8D5);W%TvF&(iMQ2Ca|UePb!@+;4N zHSIpq$V~%~hv*jHAo#O6uJWmju}w4|=;MdX2eW|VApP{vV`BU!F%#mL!{YhLOHP=5nM-%)-eMQpJ) zgVB?2x~WQ3emBO9yHUul$uG;q%%fp_=SSRfLq{u=ESbn+AKy1#`b}!7P zTiaCW&18nSqc2(`qwdP)Xz^^zvdt&*IR6|`uNXxv#PJ4zcV@ea+SFgQ%SG$%!7KwU z(~88w;eX{tA^Qob>yp`$Z;lPmE*WMT2U`j|drc#IOMb@ zczinVHncRcAjd%C>a1CAeO+#K)fa|MTtcf%p}k& zY2($6DaR=O+&*~`NjKaeHnmekJzbb8FKM@!u`N=rkOg7FQpn%njKOitKFPW~UE2n% zQG^C@^%OjfBbmhKcNN~YsurFw~nlzn%u?Zz}Ss$CB|&ax0~=lO(6CT0WRT2Nvp z+R{|IorVs1(T97ayBv*ag(#%QtFVxQx|adQH6wyu!WhPN1V1U2xLz5gV`c!Nk&SKN z752*mKAGfzskO@dhrIfGL6J^RO(O}Hvgi?(e?uqySvmDsx~kHb7!(P zzvB^kW|~=tgO_uBP_kCY?C=W8L(?#ZFQ9}Ql6+6fsM?uvW+}?NHpHr_;ws)VJdPLP ztI`4SR01Es1pP!&X8b@w3#hydqFXnTRy8B>s+VElSiTFrI27aK5}92wj5g z|G1a|L5aEBXB#e9J95fQX8FgTTR+5gc^8>%vn%g=ttn(LosoHXPwwip<`_yAaj=wB z-yAOPkQ3rNqb7r25Ot+m9+@;niDz}r=jG%$ie&yB#)wsC+T5{Fr3UePMyUd$^9 z&!L7pzQsxDBu~o3&32x*j-#YZO6Q#B!~=i=K!UZUAA^92?vfyQYzuGvfh)AnKy9}P zwafnhzvkHCPyHwnmqj}*a&k*xh1qRQUPU$padc6N7u zY30m&fGep&)eT*`0mE!TBt!9>Id;Q;r~AuFR=$TD;PAx#j&| zUB842dZ(j%2++rphkB1ePzF3%m8@F7lM=KWhMf!6c?Lu}0(F+9oOt0s4Z9s1+)v%M zyLee(ebbexS9f_a^(t?7n3I1DD{3dCUx0&$qF`EiJ~({D{>B;D9>uGj%}0h<;xU(q zpc808W?X*Zxon4WnY~8ol`n8F4E)5lHVFTEIS?Ubo>jZ&-AZ}xMf1;m^X~<3F*}3q zxl8}p_tJ{4e|r-v`!=khw{-qn%v#a)2okA?o(R_aX;dyY1ZiYCEKHqo{fn1xnrvU! z_0d$_!bTS#-Arf7{L<|OGG&=ZibT`3Z_KCA7;9b(3w=jYi9i=_GR`oM3OkssV3d9F zyZD-0ujEHPmdn6~8nX z@PXQdrJJ)_NkI%AL34Xz2s4*toKH*)b$02h0cGb64A%=|XyG_CcY{UW(D)FHTKbx8 zTi4f@I?8^Df2`Y_WuOHcTkco&d@#%8AW)nN(L*gMkYuX;qavqmX?eCtVpS z#Hkyf%UN+lbg+#ZBsVp`yMKcyEu;BE1*8Brj)kb-b@^b$^kGKxDc?QHkWB_F|CWn$ z!KOX(J!wu<-GTxb+kp=R%%C;ByEq6$02P`}f7Jy;4s=Fo3_d%L5Zwg2ZpeJIBl-pv ztEuc2lEiBXpwtD%&;kYJI3fkoB+0#3q<)bI>FW*t87eRJwmtfx3piJVaTe;#FjcEH zpceU{z2qP%5<}I+jQ_JBeu7{yT={1&NEegA*2ee8=)+YJNhSNH-IM6hS$yybj8UwKa+$4p8 zfRtavE(NnI9JbJQVDK-!gLiELd*bMgZ5m6(3d~haS$g$%qj5V7npvRJ8BSMT(T7sG zx^sHI!XBTMPz_~Ahh;rNl55=b0hljd|GDCaM9iO?xgpWGJQex@Zb{lr!l@6_ZG&=! zFrbxv=p;tvYg=oAI354un62)6 zY`NXuoIOi54RZpw8hczv2EZS{`S;jq0K1)p@_!faW<0RHyY%Gila;KnPH$YGH?=ngT`^~YjkDSbAP~} zm+r5IBFDPduQIBTDPIzbjnDagF zcspxFW!Cpjp~+ePX{<1DK@4_9{trDI#V)Qc&-oM%ofxYOyS`-8czoe|32slEJSttb zcV6{@M}mtN;K$PZgE7m3&Hm|LNp2zS%yb@6QwwLJT*LLdTme7U2L;-f+0Rrj;#rp8 zIa+8IUoaSjpDnmhu(6tz|MrCIBAv$Cs_lE}Q+&+(DJO2P{6Bub#@No3>#zBpHOSjc zN|tUH;gWT5_;t&WI$~%?@Ac={I|zx_XE*7F`OkhUiO9KsX6B0alguyJ^;FZAqVrg9 zeNa@UZ~td-@%hx^cN3k$GD@VN@Sm+WEtzpDuPT*ByLxCg&>Up)mP$ud7>{-pu3;9R z43642*E-pikJe#GLJ6|43EBN<)|h_A`Qk^NyX?_=ODduqB^cbp2h&|N`dDcidO$OC z{JBcf&+q{uC=@z!*}e5YvFNX+f4MKFek3Iu!HG*GpWGTxI-9Fh1=+O2%*%5nyVF(2 zjlsQNO?gU4IL}2G@d@{jj`qJq3lEal){aVl?!0_BapJvZ@)}}=uTVlbHQ=`MZ+Ftz zVuih!+_HAp<&TO-RW_G_cm^NH15(KB^vq8UEAtxNws+VD{gm)@{DeIQ1kEkl8=q9YSEU+l44-Sk;TnCnvT<5e(oTLcDDj{Vic+T}>t_e+Dw! z2KP3!c@OP|-<#J~)BIS*-BvwCmCQDiSLH*cm#K0NMT!&kOz5(6rIGxbVJ}jmsH7Hi|SAa{+PH|Gx`a?3c60t$nYyqbEfA0g$mYvqhP@SO^bBDvj-QPviZH zM>55hUh$(o#bYAshyIjoG@6Ra8x>u@`2-Dd)#tb6 zN-O?$a=0c;?G&eT{#0J9YopJkG?=K`Oq+UBv~{L{uC?Kjdd{bS5nP8D#a#UaEjosP zj3+#6b{nDD`j70*cK*lmw;*bubTcO>ptcf;T%+0UHv|Gkx zlOHHw$iez#{1Gj>GYM>ou_$~7n^|e2=wZrm{p%ZaWSyzGTnY>Z9Bn7br?rO_2u@)YPV${{t>$cHr>?);0M?KQ zp?o+2h~;`YIGafrh%iF+TqZHrdcSulvAL8lG-F1jT%ixrKU%&Y8&t(sO=k&4ej6f* z+A3)BI+jN1X;Eg3Kn=Py<88tce3GWbful8UytS>AI5xRxVe9mR-W0R3 z>D?~w)~D7b4ncoGNR` zI%ayw37Ffes0qww#+NmrEh?rc|3hnSraW?TJBvUM|Gg-H`pJ}x4?iA|t|71ir7?`= z$)dj18m)vY0CUKrS%64^c3mwW|N9l*m-&aKwGE>WMr6~RH3c(9ZfL54<9$qzV1))y zz!UQb{#x7%H1ocPV~{f+HtV^mCC@0xr6{5n=V_a=14iJC2*NH#&)zoDPS?)b(n?4nr}MORzTdAnKcmDYKY{65pj85Wx=`Da zQK%R5@9n{CnvoEv%?K_S^hb3Vp(`=8HuJ8=gE(X9`|in>cleYHP{oEkwzn+E%HCpz z%0bg{yX)l+6*5o-$jpsv9R}m#} z+I#2BoTBigBO$beQ)w#fBd;Hz~eM9!Zr0NVT!dB`>|2ZaDPxO@xKuO@bW z

    aQbYIRj3&6EH{M5ol;c6><-F!GVF+KP0MQ^BYV*N_ zYn?35%CYW+D^l4ZLs8%+LU?A_Rf(59OFfMX8$5$fFkp3>^=3$I1@pQae9wcW%=&!W zbTX3xgI+n<;iduMtjg;zMi*b0aMhtPNs6tG`rB`ngH}qC^b$CQKUpQR^R4yFj0EzB z+6nfeZrzNm;K2!KM%AiU2I%zpCMX%29qnTuX+0t9B;$5#p~x3pH$C}Lq%abAcLUA2 z2xJ2inO=p^SBAn>Wu_m5fvz$xw}k=J0`%o{Z6wvu-*OfRJScZ?5R{*h zEa+e1{Fj%Ci=?KR*C!+;4vCy!8DW41C6ZiYOJHxSoy>QSgzgobtOT-LSzsq&&eb|5 zC+Z41a{)q!tKW{C&wx|WU|9m1-V_iudP1;*@NLIihtwEz<69jGCO zXDbMu?1#CRwoL~P<{_K#Ls`Ra=D|RlEsp>BT#BXWPmC#*i^7{ z68tt&5qn!A>^VD?U!>a|l_#;s$*p0raK93js$NJ#BStAQ6sFc?$YT}<(X$I_9K^s+ zaArDkVd^11=#vv8d-iiKG^znB2=eiP%}iSZeDn%#2y3sa(x3rB7e!b%#cQC6qR<00 zH*0PpCgKiYf*H=!Hb$NU3YE*T8p)NJHbQj|GoM0(ke^pyErdR+H`8@9qY%1-Eo$y2 z@~(pu!WM0jlafrWo$zUd4`}x>yo!^B9GY-!N0=aE%h`nGhvMNZDeUrvNr$F+EzFX} zphn$U(b-yHR3oA^`~cgLN#59YL8>XXMnT=KLVvZs$*EG>XTV-i4JlDL4U1GAB!4%g zbqj>GqwS(cZ?fN%vLJfb(AR$@CVS{7eiJ};3M)I=!7#wBEQ43-?o>$iA|eY!{IzP)^5>a^rHpV0A4>pHq}Gx$l-?|b4QlN+q84%*DC28F#~@f2IQY-g<7vxd zjqDF(5x$ujvbKCyxGw{gkPvQMn3ZnQ^X?`Tc0=n-?Ydm(ps=)E80_WOB=LwR@Yy=R zkR+6XFE`f)AHfX4PfKLJ#sT5;Ixf<6aJMl)#;Z0e&Brf7rnaJ8EK!*dV{S1naQsfRD&a_K^1bg~E&gref_bkVg%7dpmBx!M zZ+zu=!5v%Xfpmxo_E;$Exs z2w!IQp|UrHPg8h2j~&JXi;H<4KL zl=A2UKMNMF&*Q~tAx3!M=h`J7(NDev>~JBU^4`U0zkJ)eIvwe{ z)969neDepOlnp#TG33weFLBV%jL)At3(!2^5jt#p=3M>fof5mUW^BQ{=@LhOYRA`m zu<9rY;X<~5`**)5qklnOP2my0zfv_m+xKI%_*?pIjDF7?XGseigOZk8x{rk1KMKM# zW1gN@ZFcVf9cHTX$yCRP#}s2TIt?C4fj=k~E*4rm3qPufhBnY1P*q zFDw|>J6>yoF+6Gpf$Y;3yaoo`BLiS=fA@j1mk`Lp%o0hgp-|aT&gk;YH>KsMRIr%` z>`9cOKxyn__5mLV`i!CJ2qabe9)%K+GKBBryWf2`1WaA*srD9DX$-=tT%01zi_`v{ zqyEVP;M?%yy_#K64SHlb4;OYKqvrCS_vKGX1m(pm6UmwlSS1YiJMl^r9CUgKAyPY< z&$DRP^iMzAha?)P7Rds>C`3X*HGl-y>>~e^Uc5%&8I%;+d`=4Sh-k2prxGAMXS&KGq@q z@*lWzmP1zYEV+bhnx$MO7-+9HV*>DMRIaE<2So|kk%CcaO-;hH#n}7Rxq=5Y~)XMO0%TXb3V@qz#;$S+kWdizravb#<)jpq0dK{o;`k_Q7 zy>R70hy&&Rm&COKYS+8qf&JryId45DaXqJH&$uBX7qVM}?eaBvP}j~`>Anoi)gT4a z1e6e3*y>65pntoB&vh>fgM2j*u|Jw{zclydT1ru+D|KJhykqlyRA2l~>(Y401dDb6 zeQQB#{9+9t0Jug^{~N0_76;E>p?IW)FWzB7a0UKv-Z}f;d%*aso5!h-*G%~2Fcyz1 zyk7VKx^1ofVRJT4@b|i^5{j*ij8#8xaQS6tm*XE6%1fm-{avcxZTr)*XzHGBOI~aM zEh~+(uYtl1LE>!i%^P4}pDvXPpzc&YJwHt4$s8}M3A}jdC$~%SrM~xz>5q$! z`d>bIxFoSSPz%++#UcFSbCl4GqI1V7EAL+op@k;cJf##?_I~EKS+stQ$0}n9*5x5p zFu%>z%=bzzYKQQIE;(Nku`D)CX!ArJMo@Pcy-nL6VE2p)wF0C_7@n_9a~^`$jg9vC z>mNP51U|OhF@$}EW9QP2w@>4O$Ulnj47J%BJlgC0O1}2NzWvNkyCxl*(=YG);K4h( z4;XK>*Z9A|?yO8)!N%{}`Q{A^x9XWOV7{IZ6(oz3dqo##2%IB6li`bTVWuHDBh3}9 zc6Q)O*CX~)q_l2!{?dBsdLSf>n0S=Z#X-se(Yo$oKx9^rCVxIzANs3LzGa(eqdd}e z#0b^qU_}5SLKsyWW(Deol3i>b0S>fqx>jdanF^I)KT&oPHK%3R5Bz2A)xIU)X<^`P zYCgij-NbsFqT!H<(s!`R0mxsa`n3y|S484`NL?$_hp-1^^*uaBLFV7bxUynz*#`VB zj}`)qFiZ2d@>f~kyG*r)?h$Q)t%C5a_D10c+Is4s~FDrYk@j}Jog!=OtvQk&bg^&W+${8&B z@57F=w~Y~)OYT^^;3QTPSBiD=a<7zW%ZT!$pcb))?IzMylUiOc4%|%$B6=7rZYApr zXFG5~%#)^@mJPUINO^Zm(e#;2`K4@t{V~0PR???gr&pZ_fFo11suqpr;j0weLa%Cv zTbk!i%?(Txf%4;u&lO!;R8i=W*7)Sup0zNbG7hH8wfxA!0iXcS=5@B71wQ_4!c}ws zvN`s(eX-l)NB!mMNhn*yY7ZHTtg0ENDq3*Eb+)7I>Od;yI+hA|$?DB`uo+V5*;oq) zBUynaf)byge#MGsSyKlJ#}zlXKT)sfC2I7&#FSxkFj>RF@5=7sH8JMqv)fbgS_PQi z$(G09vb6v**h1Vzg}oMba3-Vm-_nI3=ik*Kt_!Cn8tx!5b~g%`&0rrB*k-pr$Zb9g z1xzF3Gh|ISNb~Z-H@njHBYC#So3-HnV!(e)UUE3ksefPs+16$#K$tVOolXc6u=na8 zI@)?Jcm!<0rRxbVXp9l$C2Z_HR3PP-;(ya$Tg#ISie3rcq27 zU}TN-8QVp_W3>~6#_zH8pLb}*zMLJJ4sPP^+!^*~lia|ArZ{FOFIdXHn0fZaHtmYr zoZ$X@TkmoN=%Vxef zG`&y|M^+e+3GW$RJ+&k#yZO8TX%R-GmTYZ8y9g6=RkXSJoH;Ghb-=<6=AYR^Wr$I) z(Aemlv|*hUilO;1z)(tqzK?_sH-ezVlo7RDE4Jm#4d~D~W_s4d-$uI9Z-KRK8zC~Q zmzxRo$ETx|cufah(P<=Z8BZ|>%~u0^hz)uR&P5o&w##KiOLa;V8o7|QWb$-{F%9}b zqp{jrYs=#psHYaD56&Wa0%rgFq$pE%;mqu#I8D z!GqTGX&2_a;|4ofXN(9U#7?xk`TyO??xxLiThxX2vIi-zy99Q@)OtfWZMECVeQq0Q z;ono74TH|q1I)(f*1+O8`@eUyeT)BRncD{|C(&x@=K7plIiCq3rPu#Aw$j%H3|5<} zTwkUvLv8RbE4^v%kuIO|+}F~=_z+&Ga@p%%0zu?~Gt?r;>D-}G`?}_PMH*?ryXXCD zflsagsQUtnhvUrRu7Ced+W~UKb^swAfMyAJYO-Dqc+cfSs^fUb2FgnZp;>~qG0Yws zFQo2UYuo;fjG9H+6A*4)Tu*a$5WeC=$$Ed^j}@*vHUf~Oi&C}h50+Ql?$R^!_o^0* z*fpM)SrNQoJISDcSZqh{B(a<^wKs0uRY=9t32AjV;Er#l#&>Dk7XUuf+gc5 z&N3^+=`FqzXJ6721Df>ttwjW7=)>s>h#EVU-IsWnTS`8$qLDH0XmYO-17O`?Gm=% z@4lGuO~0=?-e7*2d>`i`-%;Vx!NRXUrj`t#+qY0KA+b>o zfP)GR-k3E`tGCWR2Q>29bV4*%DvU+>y!w0vw5miwiwyL~ngH3Z1df}-^o$gy!Gsn{ zpGUGmb&Z!a$1iKI^yOYUN7iiY#c;GFu8YCv3`m-5^Gq!^d3*KsHd+@xzI*< zAqUbRD(B-@PS$3Wx&nwc|KSO+h||p#K5vm!U~&s4I3Y)|pM;a_S7$Q7h-AE1F6)xF zizYEaat|{|*FFX>3lIfjv6KE+3(v|o4KX)V9fip8rO2z;1e`oN=m&xWIrUKxP4M2o<>F{=i6bMG z3v*GmBlpf#yEJ<TMUac}rPbQn+!N_IowvHgb;*l7AJ?VAU+s zSlu+s2JzaDS8&bc_l0@UrfOP``t((pgc5B3NIh|L6PzLdfLD*T)p0j&gM&~#QE|U- zFC5ZKqT#MDC%;Z-R!bMIm__mLdNp7;gnIbFM^e|T!B8X=N4=|xg4DONr#X8P+(ZVb z+tg`9a3)e*jM^GJH9s4`4`A`JaqgXks@Iq&1urKuNWmDEAe|(tbh&X@iD3gFh}A4> zH06KTlLq+#L21r*qCk#{J%|#H365D{C1v1u%L;oloEZh;?(j+Jn*XRDdH6!`k_tH} z_tAQGz?t2}1gD_?8wg_H&TTu(gXuQ7bZZ6b2v^&4(`(yVB)?iV;(|XTu{Ftfm3e@( zGi9({FiE`kIVV^qvbLSEV^x4^F8ok4XtlNBwY^V>>e>MwH3E$*bMo&F%AP<}q2kmX zq6P)K$*+Y?RWHSiELhq@ehvCR1>WAE|NjsC;x-#$WZGbX@SmP(W&r&JItO!Rd&zQQ zh!9AlKqhnw2Px}EP+KNh!wPlOs+^5l&3STEkO^FX8Ye$K;o9jwqAJ&u><=bt%-Flb z*1EWf413)LgYqPNL+$n8bpJ)V5v+-1)894^SWXWV^XUQ@n464yL=L@UJGwL3hYd>c&EOxk z%O4Z9L@%dq7@S;3qGCyb0S<^0d4#|`1IN|`@}5Pk&W3`_d=xdbkarcf0>?Ue{#~-nA+Zs6 zCyp7vkvS`~$h(G#vgIA9{8&tW8k39(hR*=6IKAy$RwL&Xw&)L8q|?76D2v+#3WUA& zY>F+^tjoh|)Tsuls&6=Lxx{TLy zAQ2MBIL6$o2sDt;bD+OCt{`h;63DNIO$9FykPis7wDja;Lq-GrWJ>a`cD|Qfv>%9) z{xM_C=fs_|)hV&WOaF(hH;-%b%-eVo0@xO@Gj*vmAXY)Ds7yDlqM}x`VwJZdFp9uT zTeTIDw<=I%iNyeJKx>I;O^B7v?206*KoV=&glC!2kW>)zP8BI@S`gBZ?OadVnKPgB zIrB$nu;^g&tT@vBL2Bd1D-c^t*_w`!bK7RT_`#&8bQgrCT8a5{kF&c&bm`QoqC4)(91Dq;T5gqWN_<(yd4(J46Ecz2dS)bP*1J6>;+s!!gkZQlV55FAGmdaI{mWz@ z_hnmuqvW8zkSpFX97aT`(;eyM0)R(bKa$Jf5Gy z^8C+k-~adBe&B!Y_BXk9`#XNy?T@imdYs+thA#ibde2A{m|rpJ$Y{CZCaiM+3Mm z^a-!uz>M$wu3eb-)t}G%f3$#ME8IQ>>rCm;lAIay8qT-qF>)E@R5Sf$b(K3P z+-m8ot0#gpvDu%N@aAn(fpG6;OJ~o6{-V0u#=VQ{UAFVq^c*XSty#42| zIwZ3KzA`^3_{*s77g>*fM;Qiablnb3-NM6lJ416$(uy6H!s`28TeFlq_G0})R>vcR zShZsbI?RiTElddUMfoyj19L@(rfhZ3#m2)sK~)}UK9d-fZPrSb0SIQv0Hcb@NOi_z z1vOD(nIOT_iq%XMywUucjPo84MVUa4NCAC)HnE=!Uf)5)WN2v5m2KCv7vGbw{H_J} zk__vuY|1mEulKYoKzaUUh;E_p9AX4HWJO4S*;~Y|PeO~nKpetOudVWnw-^ifrjy_x}2$^G}$OSud9vo}rc4b0Bbaz9Yqf9rOqk`l+l z(wkbiP@0wyu0Reng4wSNQ`s`6f3!0bJM4^{Pw6Twk?@*V)BLr)&dJidE0U<{mik`x zlCQY}{v>@(XUf}pi*q)8%xe~JU53HIo2BUmb7f&NPgOOCV||?#?{Bo4%<@GSG&aTd zY$~%judC?XhcuB*Jcx&B6}uX(Rep>6;W!ias!eW}&I%u0rPE61?~_RzlXo++${o#l zu1yUgd~{;VVTMc5@_GO6#d)1Bkv+11v0)I&l&yL95v1!>qT@uZSZ{qp^Zr31yFV<1 zVwJTCn~Ar(@RRjY)sarqqaW6kwAIjpd$gGD)^P{VS$H4vGYlJZWOVhg=3}+-oFKdI zG}bJ5Fyix@+9zXcvA`#U~C>Oo?{70%@CY<6{S{V^7Pd4dyQEO`_1+SPEg>skD~>643QAkF zD#z1fh%Q;4Obauq%G;V@yHP>8C5O=Bl=8ZM(OR;GTWB$k5e1DT|5o!q_`_c@h6Nc{ zp(L-yGBWD2g9w4WI>hX5=xSIN*21!&jtSVKC9bPonGUTd<-3sQoJw3rrh_eEM6d0S z-GXcc^1A$fktOY|L3ZoO{ipVjt)F)fa6O%=Nu)5fblTLExm2hmE43yGXO2FT6s}Gp zDh+*v$3S=Q>4_9l)ZeJ{*Ol=;Na+I=iW>Mn;P+;f43n zSLJa~d1DXl%B00@k~AkG!HNNH58hX$?rvoGqlx(P@J|>CbuRDop_pK{mXT-epv41Q zY{+={Tn}UDpq2y!T@Mj`{+(mO9(OBUfA3eSa#X2W7gIQrDLCwU%(3tH6Z~3*2fyV)nTotROo=`TZMni2fD2w}e~2~T zcAub$2GtrHE$kVbv#E>6PWr7>5XJ}>vywv*}HAI10AIMcEP3f=D!9y%g68iZ#f}!iuM^Ia&n2}aoz_R z8P-edTcN|(7QB-)is5|ZLB;^AE@2G7YEr})fYpMx4e3v&hlI$Y1c%c6F|ibn~PMxUVrR2%N(EbYgsJZC6wTuMdhb% z{8g%B(#2y{_~zsuM$6rFa<77s#^dWa(X35L%%Q2%)ZAZR%(x$=%Hs&fvkcmiAcQ=g zp+n4{4YQ;3zyRle)l}jC4oU0InPMM#vN0J`$CbWdjO(4^t);3A7Fw7bO1#DAt>u~; zi%)f_4R1=vcpp6gCpbJP%rJk#S}azGZG>>bLR>Xr`-7I^YFTnp39*N4fwY!NxJWcT z^Ie!FczZkUDH;XftndjdX1lIW5E2R^1G8OUM=_gYLYOoN@tn4Bp+dj)sGKqOgdv7y z>byjc7S@bW^$$`{KA#}rE$VVm!mJRg+V$8T z3DeKFl!pseJ@MfCeWw{Oc&E##r$qzV$bL?sx8 zH-8>0ZoVzY#|N*eP|*#Et#gE!pXuaGJJw&R$^h(Mc>gJJHH=MEI{8nV*i(&!F!o>% z$3f!(;F7$D_yOY8nBLV{!7Y~?}PTZVW zEux$~5m)4lNh$o4pAJH}fDj!_C99z75=tRSO4BMiX`={fN>=xt7Kg;qLXG-ws+MoZ zCW&Jfv*7I&YC1b7H>?y$awaG_`)EHQ>|+!wO(&g$(X%$0F*)8D#0H1`8&%X>jX5L5 zm1!;=2yxXQGDKLwbE~O2o{|}ZS$|b%!Vb?ke2|^FP8yA&LRDtm78nIz3f&HE1$X%W`oe?h%H z0OtCImahdJs>5MSzbB`K&@jIxz(up#o=6DAR5QCYICC_sffpVt57!%;*^?qBWibEw zshFuE+hp;_Yic$->lg=Mseub=b91SHDhVct3lYC!ck^@qg%^5uNJ_XSgPWtFl_zLz0H zli#E>FDTArW*0zy)9s2F!0}hsa#9Nvs##rkJgy-Pqx6*4&sDCziV~V{y9f^Y){`fC zoatsPk)T4KnAnew2j8x@^fmQ|;VsID{WbZs-oCnkT6fRsgHLjEzkdJ2KXosOYc%fI zo+vmdGV?xpN_jUN8B&$Rq(AABhdTM;=}3yh@kxs9nbbGx`jKXHbiZJ+bE&boK;K$D zltJ8(dzTnXe;JJt`vd_55U%BKh}${$z6(ttr?2H8H|UV5W#$7WY!BML`&RNBg*a`8 zXTpeJgW&$*d^QaK%n;opO{jNH^$Iq~n0bk2tTqjw8{?XW$=GNGe}nlB*70NC&$NDq znL6A0v{lFLynNft+uv@sP_i-ID`x&PcFX`$2c$WP+z&G4^dId?>IN7XxeEHv6PiYF z2pQYG6d@lYr5`c`#fedFv1K*m+?mkGoZ_eVEKIS#PNJM_od&z*;Rt&VaLiyqCy~||> zKJT&vdp_C*4v>zCK@Rr~&_MZZOqlV@)ST7DEMJR$_S&eQS(^~WI)oLRG0Ht~Wl)$P zVcnO{OsscBfq7!6CfS$*Z@v{_S#e?*8sdUJX?F9dq1c)8)-=VIMl9bPbMF7>)_N}9 zSsZtU_2REZ`kh`YTOFJqfAR`^+g|t#Di6X|C_d!*;0tE+%UXVV`Jz7ew~^H3PQNXHyvVvc%vkyi(I}a3&)0PDH@k&BpnZ(hoj<=$OwZWgruyhlRm9EGfNKPr(+HfoKsm3C|NxK(W>TrW_xE^M@qSnty@zHzXt_)s38YVewfjQj6~pY zi``*vliXZ<51FK|{QU_D8c32c+36=|3xp zub>@yNLIP5zXt%-nw;`HGkN}DtdgpV1{_8M#Tq9tdQaW9*jew!q!f9K=n$Z4)wL`I z+@upMFvK=O?OJ$ZVhiF*XlW!?>)NKm{%9=e)QrY{6)lF1L}SeVJ`(n2M@UKg$AVd9 zQ`xjIi~r6q>Zr&3cjXC_ z+Mdx{5OKSUzjiyKe7XuER+uqm7fOhVoJmp)r%Mz%OUPT!U=7<~D=Ug-X2PX^+Zj)_ zWSYuu!Ul$6bsp@E;-TFr`csq}YsLxDBgW@4+4{L&jO7Hw>H(`tY*m}wb`JsuuPWbk z^gj}}SR8+E;PkWqdog(3ec3cm_X)2>7d-sk!n~$e0#y7b1#6$%|5SQ}dVX5q{vU$b z5;wQ!)A3s!|Gf|#z5eol$=m+FVe>dipLd^ylXStvwAl;Y{HL>CjqqMD&U#tI+Pux% zJH&hIfcKf;G4F&<);|$#x5BeTgxz!aFIAp{KBHfIdcCH{(u~ni?uBe-L5L`Dg%I|c zXLC0t3_{r{gleX8!`Us@|A+*;FW>2MtTnP;TI^gsz5_7@TfW@J3iA#Yvfit(dCD;{ z6M6F(ST%4_d(AN#xld(6_my&B_bhd%Cap0}o+`TP&xi+5t_vswI4!u(mDJwER% z<`g?NJ@lBb3jATwH9v7rAm{z5Sr>(~IYWV;&H8N3E|1K0^CvIt`YCAsXJLWa$tPmB zvG?cfVflyT?3bp6vo+XM6B5W(TdUE}`N)I^abZtF;lqXx+vhYn3! zX@@e@p3?O%0@kS(dKV*b`{lxK0ynDt+n-A>X{suIefsh$!wP=q?uIUEYGPCuX?m~K zY1jfHVO23v9YklpL4T&5#c>o{mU;K;-Z@@Pi?cb^DI8fA)_wVM(|PiHj~ zE-WdrFl9Kg2{f=9@u7(Xip&{bpux1=kkkNk1!^v*rck3dIQx`eP?THD!8ZA)>IcO) zp7q@oHv^GNpT!B?oB`19&>Ou)X?xm)`0Rgi{!R-iI+&#Y)I@t9vak4K>Z7Ftx=_|_ zT3Ab5Q7?OlITFf*x~igx7Cl3T%Fe)nNh!b8IAxN-E++Z5r>1c`?3 z54c8pIbuR*s`wUu`lHjBC5qamf$<|FuYe+XLH1UeS+>kDS=F-wQ%fuyUAh=)U@l5u zd=-aIhxu?M7cNYZiTm&SnQ#ma9iQ`V=U`x-bwnCu-}&>%Dy<9l%E%t7u8vHgx?s37 z$5S(n)|OLn1hcVG5V{J?M9z0ha~`>8WR_+=EPtsNyfq;y^?kx7m!kBvbDMGmq^Df8 zgYvX9M-@?%c2U2rUM1KNdqn+V79}pDl2uf18I7#3uaYJkFG&+fzd^6_hkqj@_1K=T znGuv~Cgk;VHXUM6GyzGu;mzl2P_irOiphac0ke@wrNnZkV4u|86-@+U7_%A3T!fe( zfm68Y)OPJocgJt)cMKjdZpkrb-F-YxXUC#hNX>l(L|R+_&a}SH)Y~fFM}|%fCA8@R zj*mXdHqvN_0))AtkA9cpfRFLj|;5EdUx$$oQd9HMVTpYSq(QVetV zUd*>~Yc?#I7--&mo(v>{x-u-vWt#`q6}bYNJpEjqq@ zegD!>q6P}K-Uj^pr@93}j7zj2qO4&IV)H%xfT~7C^==1MLE)g6!v&E)H0EGp)|f{K3nrXf9VA#LC`Q8|SP$9TrDnZN(o$W98m{%n&h)7S;^EV2YHGXKRMuwMqL&hH2ac2CkE>v`>53|{c*X6B0fS!V?XO3*4YgWD*J=iGs6-)UBs>i$2FR@sG_Jll+Y|Ob}DGuxOZ5Nr~W=etV8u zmF{fMuioV#)0Z;Iie6h&TJ*@WFjK3J!kEJ7LyoxR(HNyT<{Y3BAj%XeY$`h72IY z0A`VT@xG~%sLAfGWx zFVk^W!G;h-l|0HMHw>uBibis%gIbc6Dm@qyjvx{0CFh{)Ar%X{^nb~inpq17I2Uu{ zP7Lf|hT9Hn5j|KPAK6{7cU|ZYa}x>2Z9~vGq?|}c;@<2qi{G2BHByx!Jz%UbkLmGx z_z{%)Cdw6`4f{%$vjrm6#?A2wZ zxf#Vy^TGOGY`k?j2(`4R3VqgR@dCABiv$Gtyy+l-j38J=6Kyw3|U3IJ_~ar=7xLtynzr3`NzNb{$XNPI6sg*`1Q|z+aobV-U_G}RzsTNk+sc< zo1JkH?lV@`M7cMFLVRJ28qL33Q+LL;*QYnC{5pie=aiQ^7XgIpWq#vV((9n^ z^3+lN)(q%!=fT2V)rW}XZw6QOoOMFXQrl=7ZhUsH(PnOGzDizy(&Y1y6iB=_t28zS zN{V0&Bo#+#r+!Z=hFeJOD{MX6&m1pR9wxkdJ<7)9opUxN;PN(QKxv6hwd=A0yQ%N= zm%plad%DNB36JlXg-b&w-hHIBQ(XE7XKqJ9(?Agu{UFohJeP;kMEpfcGRZt$?55TdE8WKA?2|?ZU+e;STe{zt`0q|8YN$<&+hzugqS(n(WYuc%9gyA63Mm8 z?*Dw`N%wYIe!20}WlfLKoGv3?O(Es?$eM8&G*lF$U`owjEN68YOOv+`cwdV1S%?YP z^#{K42I5<0(N^8`Wz6i=oqFyfNrtd#ET(G+92-z z?J<=ftdZqVa=5o&d*qXr%llaKp+&bdYrLnBnbw3i>9yp{hh**1$tL4^IPaQ%;u@RI z+@JC;k+$lOyG~Dj6+Ix_&24#%SyM|L7*mpa<08Cb zWvjq&k-`rwzwdn^)wZ!_H$dgowGrT;;;H#Um!STdl&J^dr(A&%b81t;Nc8Lo5H#5) z6=s%VNb0+q^7IIbl9_3#o{`jdH5cr~r$DA*k~WGCi?Qj5NlGot2ui+?+3Og9?wiT{ z2#TVs%-s}g^cd_fdyu+}sj=3W54Vmm?y~v=DJ9!CDS4BTeOt-dmY$LEhmtMU#Nn6zqDS=z!Gp5l z(O|+R*F}X%i5Mz}m@M$4N-Xvsd_9!bX^H7BytB8+$z~(4D~GB~YiMjl5i#dUn7z}% z#{oYl%Xpc3POK8d#rNwXgt=GR#DrLFrmCJP`sofh1)EQq?37z0`Qbe(5ZIvbgA6NV z%KOrergK6JmW@=!z>L~%9)rg9%MG=)j_5u6cg?xaq(7LO&m9xZT8l`oE{t;Pd#USn zZ{8k+0y7pfB9SHs0{3j?)Skh@z|5>ufuh`w6FFs`8Sq?kGdRYHE2Y!w#h@8G^@OJb zb#qE76&hl**|QWY``2{qHf9yP)^9BTh>nXd-?TidGr(!(Y_6%d0U2_es9r{%J4kKe zs!E@2XU08@*@#O$r2V6N$!Mz**Av6Kq5a!2u3X}B^83e$n8_}pJu?$l-UdQCZP1lJ z*>bAvL9P-uXNf$dXNwan8;cVh`#ao%?%RhN@NEbsozh60AK69;w^XnO7FiWBPY5YD zEUf%sMm&E-)kvY=1AA{>AyaO$k()TxA=ni8P@OW;jkGO*Wd>5ndwt}eYsSb`V{l2Y zN1LdJiUb0s& zDk)}wzwWoi2xHNn6E0TTPDj-QW;?t3oTZv^g<&zaUc3!``oL`V$i)w|(ZP9h-~PAC z>jG+|m6+(e{d=DEG3Hs`?%TZlk*~D~Zq4PaWo{dTykfngw|UI_-S;l}5=ef}^P+dhy+^#yY-M?VyX}SdX9UF5{o-|C#=?4J#+V;? z`gV%^_gwpV&g!a{C+4mdRk-{7uq%k25Mi2CEf3BUMgP7Qup!PbY|Ti*)tJ;g6(i^o zPFop|kcS>&v9qB)Z~mulr~aqXVSAbN(l+O`msl@8unr&G#@gt;T*yK)N7P9snS+tU z?;u(2-=nt3dvNBboBxU$+l10$>F!r&JU75n7XKQ<**Wu%THmDl-99$%Y~E;`-|Cp% zQ-{6l=Vouk2<+zhAHKTgCwS)nWN;4W#N*Q!E|t9O!TYkmoXcnL<7Kw+E`H5>TToHM z=d=71`0NuY^X#nuIZ)2Advg!)bM34%)s=r>luR`*9G@{yQ971opDC18K9@ElK*5;x z^X1Ut=0;b&AetMV#asun0y$zJqK8}5VYi}?N17%^9;uO*bI&_v+NYz^#GOAJM|tW5 zC$o{+EWLiWr<(ZuvDv(lB5%Z`&`!TLMR0&{{Zk$cpAzZ2t@;%_Vt2zEwAVzRaXE1E zNv1a8M}N9ZT>ooee)#LO*}S5EzS45Bde`!qW?A2jl|2Sn;+G`VUG1*B-rJN{r?@$Wun?>J{gh#qKagN6xa+V{M9h& z7=W%gfszk_nABV4VXjZjJP}1os}8dp;z1{|FB>S-?0@nko;y8~S&hFYi(KJ$)LjPX}!NwAK`hd~kcaiey*A%s{e)Q$gKGJJq zeVe3xQS;@t^#@7$L*2by+($*XS46?kPE>W3Xv>?=gwW)T0k0kF$hZ57Rr|5pFS=RA z1SOpBs(I%1&)gE-ecyRK%BEt2ub&l6vc7)+N@X1`a_9U0(pwm`9lr39$eCHW@wf5eEg+?@IB4y)|`!FGxR`od{I%gOfN119* zrHnW#j$N3Xwr{OVbOd@<33MF0=FW)heqbL6s#?oaDohyXM?=0Iq*g?zDKg*!l?rLc&BJ7M=3}~( z^N6tu_ddJXPE{ZMT_GP!%uhUjaipok7c)TPAl#HY(H+eWd1wp+@NEvC48!;tiD&b`mY2n zwj&x;AJA{ik2uuRM@Xf#O~2jIqFZ6&rU8UuVu%W5h5X^N;$6MenM4NU&p#QWEjy&1 zxe&~nwdN~2rLuHk5-^>pVlrHXK7%J2TyKN{*Z_k4f~6CO*%({ih|InlgFNL?zifg&#y+CEBYzz}1N0_YV6Pwt+>y(sB@mv=M*9abKcWgWNzhK4%z}5uM7De_ zzy*d3W>P@S>(KINccR2V&G+_@nzwE;BeAYPY?6X4Sg@Hr+QHwL#U(1VH2JkLldKSq zlyB5C`a!ZHLEmh#FyuqM=A+y8md^tS`DlemFm430<ns)dpqTrEUPO_(PFdHiVU1R42bnw^qwc7 zHOxK^39%!xLu%eee%$ZCz7T*QdcN=3IX67cmB!YT7g@%HYQvG6q0)WFKQu&2Z6F|y z9!YKb+>Y@ePGs?<$i`*@z|H2C_M4Qn=V1Tvs!&?OL^d>1HP6@%;=;gMN@URm+#cue zr_FmX!yBT`G!hlipHPx_57> z%{Y$R+Woi9eUQfj7SriN*8N9p=coBE;4mgM=6XiE5199V+WoC=3$R_<<+*jmF8H=t z=l#7Q%JBT>wO>42DyJ{>@msT(%hR_ zZ09aqQ1;`~(6V5AT|Kh$6TK~)opNpHZMTY_?mM^nvR}5sqS-c}Jj>Re|%3|5B|>ftgWK0>`~nt zy@j1%7qmA$Rgr>+O+QVpZ#H!_|9Y7aV0xoz(XFHfE{N5iV;M`D^SQbiuWC}X$?`c7 zVkJ?fn7vw)(wNL=LfI7W>=Gf*cR8{gSKgL&HJ?Jr;2`o{EsXkVX~GtpqTtTR?yb%Q z*VV;b2I$(p{;BZ0DhcQN!#CcKF7xdaAXqBg(0I3?O}6-6HR4omJZWzEjFc+r=l7+O zGY2Wd-|k-~USP7o$Na^l^e`zLVk>{uZ8wjkKJ0z@NF1#Ir7c6n*ED{XPAW3V8rO1P z{*bCUHsyIs5!Fj2Y>I_4O$&3KK28yy)U6 zUwv`JR^{^~ES~aCvy>~vMsx}7l4Gs?r-G)?;Z$9!dAze#r-hL zwRj*TgPlRYV@g{%JPd9RMUgi!DRPYF6l$cM7W6qc^#CSoGz1-t@JN}NQ1xHhQ1VKn zHbijef52d-O8=4AZ`dvxBmQ-Occ32>43C8B-lE)+Hj(ZXRU;5QJe5j&toK|GHe|K3 z<>O!uxAQk8!pGPFji~bB1mer{`?J{C2Oze3JAIYuJ6ET9i34J6xf=`w0dSDWx}6jBgj4-2oG*H*t{&<1mL9J<-59y1QPH=j_zkAVL)l061bO;{_;sApeC? zvG=4;ZZ5g%(vRdkDJeTlh;GZ&yaPSIWomC}H}AouCtez4Ay112_ zCkbgOAk@DHOqh8a#u$?Vz7*j2Hse+b&Kx|ERTzlM&=eP#XOAu4lpM3!Ig!FUrRwe7 zMT-w-=SI^vMX+IYS6*WaBAkOR)}TXx#3XFhZVk5lq9C3(tmIC!Gw3@?{Afg#qqYe) zDTy!zB?ynS#}YzW_<&#D;=iw>qUfbQA*Gq4V&{O(VFHrB3nJE}5yxczFmFA|Lk|ms342IfAE+PZ?~jft zZWAsnFHuV`1sy=*`XNlu5)x_2nr`ZvMUfHArh@p?^@rNDP-fA!9=jczYc2w-C(|Rf za6;H3zx`lEcULXWZ|Kr}A17RIOirbw+Qr$UhvZb{aThNR>QH`b5tXwW z1m6|7_aDUrLDB0RPwi{vaKn(KouxV52Vox@P?%8_SvfAApOnn5dymgJfvL)!H7f)% z%*V`3=MH9!xuN-_S@WTpN!E1@9KNUxH%>W zOBB!a%azxqgWG`ux{^9b{HSJ61njv2m^xUDOjD+6;zUcau>pd)j#=a|_W+_!hM0id z^g^E{Ia{4Qu8B=}{vCKog*e~T%)Dl-{YnW{V>$x!D7Vy+c8``MYs(L4N9}b5V@Vho zRjuqj+mq7&Be%AENeDmRCn3z)@1g|r)Dz~-tjlSmcGFXxWOlJ2E zTC(2B4DyI;i4apGvsKCu*fXf&{@si`PB93K(JHLFM0Vt5LA%NTt@K>vhq@3W#mFkh zjOjSGcT<|-C;!x!@n@wCs!vy+;|C^O?yLQ%r%|wR??Yu_-w|>7j@X(RYn>^LKUg>k zWeV>XhCSm(yZGzE)F*xH*wl<=k=Ly&LaLtQV(P0|+f106Uy-V~jZv9JVs#^>X$0HN z&U=STX7p$n`LZmz48YV~Lo?+J-yP&IkOITCvgNAnzYo}PT7vhDxE5NPAbwNJ+_sQd zor;P;co0+G#~jK24HwaTYA-GT>^%h=kGV_=<8>uUY8iV-`Bn^0)2eQc{|ck!Nn4e3 z8S$9k*OuUD$8S$5SB^|EvG1I#9W5Vs^l{09$t|CnNQokg-Es;ypn8|@LPKJ6#|mu4 zcZ+|=#W8z4e0>}yB>Z>9amZPjR_VSRr@ge5Gd>XIKE&|9GeqxsCP8ImwzlR8pOpY@ zhdVMg4IlD@=gV`H7oB6K{#L;WpoV{W{De-zVqGi|e5~uQJL|ul@^oJ5{bC9&Cf~52 zY)V}a@jwBO+K@KImQKBWWT;7CLv!6#{wlCIKE_C2zXU727dRC$WB8C_JI9D68}fa4 zVA-9}mVS^u^=9Ldkn&AZfag=gb$2@lvz58p{vS(An6QNWqeeN`eb6CAD$odkdm81} zt3#p19|F|Qkb;_J6tY^Qw!mlNp5c|9_Qa<@H?)(|=!aw_EC^Q;^g#QKlkuTB2(1X| zoDncmvrB-PH$Gm8GyKxJ$%>la%m~P2%AcDx6r73TDbxv`CLT?xP8^tz_tj~RZ$6cn zF;ja4r%@;&$q``|K1o)DCW(q+njs_|icUPj>Zbc~LZiE^wpw?hpZB^t%;fy32b5bI zwwft)^-!);S&*h~6O%yM+phGSg{xU?u<%)ZYVzyd8ZvvV`RU$Nx`N3;=q5k3GRS^) zVUA{)5_d8mpq5jiaf4XT0=!zrL`Yky3LSHlBWygYe80kgDfb9f;jB*szzS|`0Zg@V zik4G`(asWEC+-2m5d)-1DqECFRd=wPf1Z;g2BmK_MnhDZhRK>}vxV~)69uMccR@to zAZkQz{#B5)=su!k1a#89S)9nSD9=ixgO-9%`dTXWwkq5t*l1>cHX0aj=FyWA{>Pcw zc6nHRnx3w-xH^8sH+H^x8&P%qTqdbIp1-kXSGLmL+sawmnaZAUNlBO}X3j&gQT0^= zPH^#Pd((4{!vs$i=6uq+uOICxMk(n@@xz{F-8hxhkBY67s<3_^v>72r>0-b&`?~=s zOwAY9B9`xRWAZM1W+!R(?Kg<)jK%>8jNI7-76)tXXtj3+XBz&KfqD_6QlRlz0ENt$ z=CO?B{wn6IUYN7~$!)r~|8}osUW@O$t!KTk%gfhm?lzBDkEG{4!=nFV#@&Uj_6GcR z)_)CJXHSp1!wg!3U8-w$Hy3j2&#x}{ZO-}vw1acpeJ6n4^76mn$$HacjoXIJ?r)EK z&mQ#L0XcS_d(Q4>UL{|7duO_De%ItZ)9YHj=i>=)E|Qq?2YnWv8=JB4zOQ_)N04W> z@3o0p5fQ(5RSo$DZN~x-{3EUK=UK+xbJphU#{RZGD0Awk;kA3Jc6zS7J6Pd9<9c+I zXB=jYQ>GdO6h*f*PW*G)jBDF|Is?rS``jj@-T8UbCX?HhwO=?bmsl@8b(Fq;+$->r z^y4O8Uneut5`Ml%|1C(rT4h3Yg5;3KH>7TS%m^0 zBeTCX^X)TIUeX2Ql>(9+|M6RZf3R4K1_J)^;zN%=i+^}W{Q2U*&lIzY2Cw{k3%=Ot zblUJ;p3t$sjc*Ub|MvR-d5hfsw95newA(xudAxPO>jke+*5->|dp!K>SRb>F-}v`D z+}#%)_OJLOyj^e85mlWP#}=JBPwmuuR**v1NsVJ+S5U`4%T_m1*k z$ePCXn3lKABf-nl{p@LU2m>)O9pxP{hDXPshg%#Hlnv(IB_p<9l( z_Z+*|1w`0hnC=bz{8hWxd5{hR27Trgd-~SvefY-~a49pi4&siJ3)WqTp zxD^IxJ1RW<%!b8m0b?W_7~O1{*lLS;;O;*)u-R#f#CX;GV5rEly1=c+na$Q=vX8ls zwOjcuzpi57`qbe~&%9%<)KSm8uQ99lMN+ck#)p6Dt38Ca`+2ulZ;ia6U42`tN~rhR0U}cd zS&_`r#SudEgz3Ox`^-B5HzQg?JyNRYgll?8$$)=SJY!-TaSQ2LoC#m)CkzowH{y74 z*T-LNaV9W}+0GsAzs+e1zRM8lEkmp5>n@zp`S$BTg7!4qQIbl6zuSu7+Li@G)!n0y zbxYH!_nrGDi~ruOjwJ|T+HKud?HiAZ5?nm>>u@avRO#NWj}KJy_5HWgY2kk2>xabE z{e(E{vGg6tXCNm66(^n&4|#L(w;1y3N`QCYXJIe02WHHxhv^yGS3p`7cyk#cIC5(l zj5pAo_km?#3NvOyIknZ2=6HT8I8WZW*@gkab-axkY0N$n9vB1K^%FRz4P|7oWf|oj zgP(2v;(K`kcfR{S191?!QOhZjc|YMTUK7__s%?{Q^@m_lPDuI*i?!II+dh(FWNAAi z`%}?%TqLV6w9akJkV3$}hpyi16`5MT5&Ei<1LSp@c%0QJ>1z6tX>Y!wud_-ZUYp0j zrU94A;-3mhn6VHes!^uLVbb0;{e7{ws)K4+)7Q0}9a#BK=MG9ewDS+z-r?u&19sv} zpc@7*`f7Wd&W@uYvnG$z^`jR(wIGOZhy30-_Vqjc#QzQ)rk;<`g&;YX#H+KR{TM%SAJ~v`w5VBdp?qluRIahK3{JJGl;(4_Etm9rNZEj$zxFV7$5czU zmNuPRlGRe1N>zn13l)w}nW{w(%8<8yZbYY*lHJw?=9%N6b4UozR8um6%g5Q2Cym=; zF{|JbeU^K7aI?({oPGA-znS&;|2s0Fv)CP+V?#ZqJ?ip>4TlVvOZ5@*zLb^^GO*X2 zq}P09)2qTVXz!Rr&T|$DV+9m@9lAwHG013k43n}LM{B`Sn)H82EWtx386m4Dm49W7 zv33*y%s6MnN2 zi=r*91w-&@q%rh5lbIAw$T0Lp0Pe<-R=enpw(Ab^?Y};;e}?)fg$Y6lqpwf&@jt4m zy|n2Z<{{>SEnVo$WlOee55$vl@i0}DcA%xWgREvE?j$Qp=_*0Hw4rjwJZ)oLhB=q6 zTA$|YdT2ACc4Q(Sp{rE{XUlqJ%OBJunpfF$N?(lhMehxhzLev zpGJPZUDo=6bUjUra`~HvX|Y0idSXynm$Wm(%KLlG1D~}S>B_<(3Sp(W`T&QNe{dK3 zA}McgDWVz({xSq9!DGW;qok#2vgP#EOl?Xe6Bu3kx8&~D;(t?_Cce?C2w56zx_I5P z&E1`E)GU*PssghIO_`Y8%Ws|RD|v4^L-dnP3|#aa%+)Ht;y-eq0^>#cKwUabXzI8) z9LnBvX~Qks)v_;!?5Kj%9EE;Dn)4@itn#$A-~9CZnu$4Il@Vs`=p-pk>?c}OVNsot z8PNL<*=XrF01V8GNR1T}jIMi17cL2~J$2k= zXwMVsn5LJKSkqSBoo(mX__^ z-&a>QiXejB5GW1}KfO1}E+?-$)#dxM`)F|uDCozW%$Nv?r-J75id*?!9l6xC-U6RN zW(Sr%ND)_3Ka8c*{)xT;2}~=Aq~A0LVqO#G{)W>{ZT%dnWc{&$`4g^f zvReOrIwasr)9?{DncS*eL+f<@#-UD~B~8mYY8a3@{!&WHjO$JIfqf}RH|l*{S=T{9 zN7>)rDe5jMvF?6I|Cno^lZ^~BriGm3ygWkXN8|#FE>**WT!EMBVJmk%G@*)i@=R75 zGpD61j|fO9yWSOqY=j~(3IW6kyDO>(qWeJrXR(5Cs_Vi!bBBsb%pj$wDT%lB7QjL@kln^%vt#^)FdGh1 zl6$%ZMt)De^#laRIkAdVl*JwUIV~OK8yjrp^ehb8;*pHTFc67ZeEm$Jsm!8QQU91^ zloUfPr(mp=3hxK!=cKB~x$Bv27^A7c@&~{+$R)AW%E5BiWG_C{Jy5gB;0obJK)4>F z3KO!}dypobN$m+Ul`q-Fzmc9J!6?HDS`<}Rus(--X~SH&m*Vq%1nTm{q|~0X4zwDH z{p24q<wI}>EDj0u)F0{e|yXMy;8($O(NP{tH?;(xprB&G)N1MCQcnLmZx2u&Q<{V-d*soeTp)7u?Ct} zb2TMgBj;}@LpRn=JogNT;W~=&+zniN&fqXlVdv*ZB!~_pX+38LdOf3xlJY01iVgwo zpT>Mr7_E|;UNwn(hrtV_P*qj8=y*C6^9}SDW_3$G7yeg-ck}@y+0)Z&xOXi4AWBS z`1#q})2S;f=1i;V~R*O8#(2$J?-eT3E#Y(iw=8& z(Z}0cPVpIzs~ukpQ?q|_tM?Xunj8ZGS3GVAh98)dq^D0=>`z+9`E8^^pG6K4$---A!9#xx7zi zi|Y!q(|QYD2ptk^yjT#?2}PzbZco#xvGTPcW#q}U6>?J2lO>H1L$W^(w1$b9OlfFg z-0am!n6wTtk;jm!;qgmT3-Z#0yU`4`oHtCNX-G@e-|dUVa92-B8Xj!58PxcESR0Lz z=~B|T7c@F^7Js9hLEMA$F?tXu&6t*AjlegbWc!i75yyOwW*uQS?xC-%7~4U2h6CA{ z<1LHUsGIdMi-s7E^w!%Gp1q`r|po5e5rqD#3D4O&?sJU6i5QJki%yef^cg zg}g8Rmyk#MUlSu&eD^Gl_R`Kzsp=8(>UrXNyX;fC8rd5o$X8|z6>M0NhFLNFQ{f#tzV6AxVNd17 z7wRF~xmjJxGQne=OvGwUHo`L}la?y^5g#b-kgX>kJS_issGXXA)KE>zVX46TBM+)* zuL}^Y?ENCA52bx0B~=qu%h{MglNH^RlwvqWM1_HAr_y}=Qs|fN(b8^2kH}J*^X0&8 zK_?wug^cvxK0B95)`gDR6`2mhpexj4J1K`#SY(0}7@Tr!w{YY?TV}<5k-?6&iKoU$ z&t3a3Z72#XJihAOooJK*6!G`%2Z;*Jhm(b0)ePGP_wjYLxA+H-n)PjRn{MF~Bm)<| z7M7IBS2a+yd{?aINN?l*Cz^Nr;Pp_Grxl6bXS6t<+Oli}JjUswM6+FpPGxrZc8o_c?XaCoBPTMPtu!(y)$Ch%NPAQUhdS)n?xn~A0EUvrkM0ANGBmV2x zXi7L~qGJ^+5WO-?tg5+1*XXeVt+6JD^FNBwP?BLqZ9h7d<%q27E0VenWd&xFy|w>l?!cKvWh|L!-J+}OiE0oEpx-g_1Py4uUJsP z+H(ns(13V}5u_0PI}yoMWf$2*X_Jnrh~Ei42*i=4A$I82Am`}zgZ+O{u{$Lnr}6_u z@0CttmpzqCP)%o!9c=xeVeCe_fLNs-CZxxo62h=J>iMK}PUtP(fo^tIo0RTc*qca8 z+RIft8Dny-;&?HybHvVHV~*|naT^Zk{N^P?1#?A23U__7QOa1~J*Eey_-nbBu33#N4t8Q{K|QqmH=aI-BJiP9M6*NgC4uvI$S zAZp7_Llz;)Of8jWC<)f6l#s++q_M#vYqlG#{|{Gh9@f;Iw{a`0t*BjGrc=?@f>dbb znYL3as0bBp)ygv}FoM8LTcnD>j0zM3q}2en1?@thOG2hXKuAtvm5^Zx>B3ex%M=Nz zAjwQCQivf_5YmwCy?^P<^S;;nkG;^V7{kdqzwiCM@6X*_I?m!!Zf8DsQ)RJvLRhS4 zR5@%yeWamesaD)2gi}M#&tjzGdjCrnE}bG95_XH*wG9Kj2R>AH1Fa<32knHfFKU6z z2t2@5inhP}YhBXPQ3@+>kQ<#&SMT}IxuO;oBUc{W<92$G5$MnvY%jUl*&>cUKm>u# zj`8pG8MKcy9D%~0Z;z$_QnFMH(_CQ&d!M4e<wt!#?DojEluoaYm+jVE2tuc!(XZvzYwKiR7HxX zoA*nXZOE}tTf}7Wsfi9#asP-fCQSU*Tbp27?3b?DhUdo)bV!5gR1-a8+R};-;y!@K z+uHGd#v#U*hGiHCB5&`28Zd^*hmYH>gFo@<3&y8wxv}g?^D6rcmL%?^h^rFpu7Jsg( zaI|&eZMo-B&v0-kOkfgP$sMR)^@uS)Y3Z2>m$_2zTOxY#4 zHMx2pM5+>4$f$`_FbRDM>np9xs{@9aGET*GQ9yBHWpX z30DjJQf7LV@JHz{R7PM-@HB99J9G0SkwgW8St6TP8q~1-NvOOrAVfJ)p+Kv=qDiKg6YuY4`ul}sq4MXH)Dn(IB@n^OwDTDY(@F9JhPgXO7{NOZi=ITbH1zOY&?hy|0xkv<`%>GoV&|C zrX+51eAY&?O1?55gmEbaVl&cHn~llXUEi(Qk>D(ryx!T5QqbJCwO`E&Vp%J66k&gJ zj;Wb>VXX6MNVaUSmIrU@IG5$_oRLKLW8_j2Tdo=B5l@1rSD-E?5gt)=V)hvEd-Vz? z@fe>s6}L7OF>>K0M`ycL|IL87^k(~sc5yVU3+S6~jta9IwrI3a8M|+nyf}4p{0H$E zT_>jNHExzU6P{4A+cw&@c?vecNIcF-I~zYNNBg>jdOE~{Tvax!Z11g_!#|{-t4o$H ze?*~a&Y!{0QcA4h_*a--={K2td+ zb$-VsM|;z;|Evgo^T9zrkD2biMT?&S2ifb_4eLGcMfWXU6yVvpJ`UKG_oDwUTwh*% z-y#$@eB`${(0j`+-#s(F4{h=b-|qLyl1ZOe{4Ry~`xk!TwQCmp)^`-KUSBROTk`VK zAg|x-TC_CPz5K`qq*{Ic2WD31q<_3$$dJ$eKRA3ZH~GgAT5-sOPd|oHW9H!lZNWYb z^>*Kex>d)Q?~&E5ybnIaq+gbA3sjl{V%rX{KKJ{Z8@wNtejjFB*tjw0Q20aorojG$ zaDT5CQVEml<*i-obdMT7eCAxlSKFAN?VVBopnL+iIV-o9V5dlJKe6LNuHQS-5(e z14~!w2%ZL*OE8`gnfI0MlH)74q4e~>%LbFnzxlZiR&iVxbk67j>Xv9{M+(8%7VdJi zS&o?$zZoh0>N4srrx;FwhIl)i4{m$EvXE^^N$!3P?wV&qUTeL7D14s&F1M3z&|YVJ zha`rJvH@0Bwf>V+bY1=(){nqT^yie<^^+YafSsXh(L-5qiMh_m%(s|_VJP-&0%1I_ z1r`ie4k4<$=eq@!%jE_H>Pgf1jUnyX)m!6G;REE-gt;p2_@%%3AYzh@!yvWWJ)JY# zUD9^4u>BQIc4Y2}y{jvfa8qvXLEk?;FOI)4P5ZgF_ff>9E6<|k)AU%y+$WskU|~X) zJd%<=b9lafQ#P;u8G?su*nj8~Jlb$;t>NnC>kH zM|A6Czn2V=aJTagr-%nQ3TWeL zi@Be|{(lKJ`Pl#O#4kSgy-ABF3%lOSSFjBO+&?pr^oXLjz*njwKaZng!+YfCky<>o ze)^>Dr8G1}%ANv^OU|mrs{w?`bs@eI_>T9UVem`uuv$L=P$J}xFX=c_M$x8jC{ zQ(A^szlNF#b~t32g;px}lA9vaK-~)}NVC&Qng03K_|fk7QiJ$z6UYbx}Yagho{jWH8q{)%2S0`@WFV5nb50?aQK9wPi-? z9zb`ENiox>_)!;>mB}g7#jTWEBiNl_;oAEZ;Umc<{BfbPQL#nBGRmZ!()YDDfF5F$ zW|FU{>U{^wd=7oBz`1&>w(A|~;F@g~=IT}_JS#0-7gD#hYD?vr&XE6jjRnDpM~1!~ zj`Ol`*Ei|l>B7O)W#8UaCeb^J!z8huow)qd7c)3Tcp{4s+e_AKv?3AE`&N_;r0PdD z{+Uz6@kAxk>!rKnitNm_P^0Fxy!t;I80CPitJ+q`Udxhf)86wfH-m1D9kGS2SKe45 z&*7Bj|Mn6y^+x5It;UWMHzrX6;Or?K^PCGsG2lk%@{1gEJ)q1Tv&Q7RKFebdtB9k%D$dMEZ24n}eHHq`=KqekPnJ2W;;-`}D>mz>dj zLAB7rDD#R-ciTb}(Qqn!w1rjX9Z|esI?2jLxwOV@8kJ4)GMJycJVzUD^`L3RS~Y~x z|CB$E*7=@o*Mt|2GK$EQ)iEj(@^2Rj4le{3;JU)baGK{XJFPl438pWx_$#S1Iea4m zD~Pz_l)7NqiX$V4D9bd7#DnhYr+&xQTW_+8%;6_`?vB>1=H-6EdPgM8H(UrC3)rWk zztc@|EX7k9-ks#}X=PEut-sCm#GgLGNuPFe)Y0VbdNi`~(&mM)^Ux@l!^tcO==w{h z$*4P*+*K|+7+jbJ3)nCpC(Xt;$N8Meo+n3K?wc->xaoen7^#|gE1Ktc5rRO7} zu)dw9ob#o-TjA+%G;~+kQ9~hSWD-Ngvh4KktJF2-Z@49D;y}Lv-GrDW>3Uw#DqOB_ zHy{A&tP4cC=Cz-Gth`qp|6HGz{o;81{w4Vq5Oos^zji{2k8oak zbD`R|&DwR|I$3U$ov^6i>=?2&q5R~_L$V?F=Fj?1vXm7b5lSq$PsfvCd)m%5EKs8K zqTJ$|m;t~p8vH-8Ql4v^H_ah3Ug=)8y`ii z_IT*!l~>aufN4?np4aXrlv?a-hGQWuvvvIJlvtY%cCk>8_}p7!zJVyd)x}aaOf)G) z_VGb8>9hN!7XWufQsED(s8LoSy#{AVO7ZP)Tlp4-5)bb)n=o)xvb9=P*v2d1Y8z=* zvEW}$v9)73M9XHm+CefAa`4fw+Nw-(E;F+!;>5MDIuld}4uqV`)xb9ww~e61V~*=W z3g_TNZHkXY=MY0lq7ZD><#h?#4nsxoL_0JSKhB_#&txt+%~~qZn9|W-UQn|`#cNmX zJ)A7sAsJv5M9^&f?cz=D3i-il`X2Uk;d}{8g(PgKT+~0bp+AKuIwooAz&Lla3}r6V z=~j8Vqvc8GFddALcuc6c`@FmJa6mB9bSVak$1`-OQdh=|<%lB13G34IuJOOKqCeXm z#N}xc&Q`9DYD>T=#Db%EDrDRoXOA`!BzF(77OS2jkK42RyaOMwsdk)KPiF~6VU%{H zSnaO)twy>bzmxTXieNFf zF|iod-CkkQf`*}rT#|@Ph=^uX@&4+{k;;a!immv7&-M(RC2FFF&xIYB4(DS$SkgA~ z^F7Z3ETHnGf*YV3%X87h+V*QZQkk}8=o?N`{sw&0@&RJ{yyrUhoM{v1PX+4ouP9HV z&pnM6*@iX7;|Lnt)j=8ZUP@H&zZpiq# zcz__*wkU?%}CAiRHAKF{(OU2SaC^udJ&vmnVwz*K&l|kw6*k}A12oT zl;;}_*?fhLz`aX5$35O@T3-F@E;7&Y_QEsQemj3d`--nG<^P4TPw}QWsG7q6l%xOO zso9c{1FGTu<9zLt*TnN3~mOsU1k1`=T&x6dHk!-EqJ4czk< z(Tmc%H7_MibPp+sueFMOU>1EM|8b^QHNUtQ3cg2QhTNP`7OIRV!WWc{TwOO?#Z^ zwwX+FHOAs50vBOwRcS;9$6esix+D73UV-_>#*!2uoP^1*c%{sx4u6w9md)3J$hQ~$ z4s*qlbJW3$wiIhIBRdOXhc3D7tIV)zFD1@a94%M7&T06TJH2BzIALgtm(s%3Ppj|r zrRi>7&0p2dQU}v@FSVbwTmlbGdlC=G&6{UPB}Uiz zq-xT(T@n!4uEa=*8%;Rl-v8L*-+3x}ex)qj^Y#vS%QKmJJ@^2bOz(TgV+CG( zUmclDUtZ$#oY!M@Go*+2T_4|w550H#2-`qf5c+jY`i+1qx+P$JO6AYX)-MSj^1bv2 zKi}J&qp`>RyBz@N#|MvS={Hkr$8(Q9Q1%0z&(Gv^q2M@lpVF=XFjmr-DhRDDS zwXeK-$p2u2zr>r&t&2BtWN!8PAXKq&?eji&68x>rj*wj6hG}WQ9|QJWw5|Ik;kg%@ ze57dsF~-IR%X@`H1 zE_+fY%<*5LD%KqSVO6Xm;iM0FAm$bwX< z(^)e$z7y>Ii74A2gc)ZOxZ&1?quZGZ;Q~c3zz@6@rxm7VvV$&e;ZW7sfy61eu9umTnA4IC#&D&S@6_!ED zdC0z=T2wmpWY*Z9S4VZo0~6Ly)y&MMBgX}{t9d~jO> zWmSy42&hBZQ@`Pdiw$}}oiOj^aPprU6#2vT>In2PG<;NTV17=o{}#{Krb@z8V{1jr z8at`VtDYX?F2TKpRa^pAumJrW2rf)iDW6cq{l2v*t7a#Kk8iwPut9dWWz*57l$h{2 zAf&Me86>B=)cVWFOSp|KoNPLZe?nfVzjdVRPt(^q857h!zPF`olpo1`7HH+w z7f?R3PB}oKMboz~&a;i_y2s3Dv$duj0jBg>8sn9$^;Y*=aCw2TBW$Oxno$UeLm!yY zL<`R3)~Z)D7Ke%6rdDr7lvjSHcsMe3{T;3NZ4Fe+q8vnJQun;3?Kvj_DrIbp+!M13 zjZ@_|t>mZPbDC>+*IobktJun=x%tVoqIKA~Tv@q%0m0IzEsU>>>yxj4;v+@|gUqPF zCrqqAEHhME-xFnM+DH2o-w!>GTvuAb(5Ic(1+i(lxc!?>GH-kA8(dv;&j&XZQKPtw ztr~d;t-!@mZkI$1RDPx=DzT$%c41lLJYAbe;BjPm$S5O=0w+B#m~KIWY2&#jb7{a} z6Plwvv(sJgNrX#v$lmPTqk5mg%L$~k#Vp#SzuBFxAmeE( zPT!H$-D7tB{5WX4R-C7COYy2W@n%9sV-0vr9X}K5VV2nj*af)E?zL zR6{tL!TiBxY*szDcF%Rby6d_(E z9fU(zRd&jmq%L%;{_9+A8**sj!_&>BI;``}DXA0P&Q3gc9yVI2MM7~*3Ia)E)#|du zDL~Zv3rcsJW&61=3M$tPbZ!o-=A;_Kw1aE~dKwK`nqYCn09#+czJ+P;ksN%PZ7`K> zOnpG(>PWQbuHL6Jh<6j|}xBsdn3%EL7RDgA0{j zO1Oc~Ou}50-A-^MZoASftvhIP@!eQ;^Q%97Ch;k@3C>T{Mk!k82npMAVaHf<&Fb+f zN_4WNba$HTntN&j+tZGrCkiL%f2ds;tq%`(<`{ZPjW;=1p`8zLF0RGq

    ^dpM+(!*0<0cvI$3MSip%NZMh*ACV%SkS z=+N&{GtVJx;HHu|RG;QbsDT;RRo1NqNcvlFTY=ESn14}Qiut{H99v48timlmfiEuE zUTtVnQNobC6dW~x{?E}oy5kgM%f=q!z9W#p3fPlN_sY7U{^tzR3k51}VHJ!%MUfq0 zs+xnWX+qh1<5#?nmk}xp7tPp*425v?z5-f*EejZ@1Lf8l1F@_ z1AQ+{iu^D5?p)>Xzj@ee%>N_dnBVuSpIq(do8$WfqLll5zHE*Q2+=HgBRJS6;2rCN z<$Hc!ycaL8=BYI$Oj@#J(N^&%-s}I9f@l9Ci+Z0I-cs%#y>fcvb~}>4#hXb~Ib#p0 zpIdq&UO$ukmidLXqZMwK@ng$BT&?|H0k6-IRDvw?cc0(ZIXmb2t&R2Bx5wTQGwOfP z;LrEWmZI6Y%xt;R%geS#+q2BC&>?7_@e>A%0m9mFOc#oekI#v*cr)c>V(` ze6A=ciCVrgn2AhY`L5;LZ&wFpD;E`yC;hbKrYA1h5%{pZk$X#{ZGWX*w7c`V&$7$v zvE=qjkX@6t@4wS=9;wMNmygr`QoZ(*)ALs=JK1ldA`$~z^8BShP##{i1v#dxF^je) zUT$X}Toj2|R=#C3kWsHSNyv7#WG!2cggXvnSJx%88p+Y~fy&Rm=iWTGZ=QHI0ed#I zn@hFt zc98Anr7$F@<+t$sV(I?w6-EAc9fp1(9FiCP%*lt_aeMm%=%kYOMQr(P!I_g3dSan>AW z7RGW)0o{<5QTnefpA=7>eP{nJ2MhOhNPPKBp1S0wdT)iBg^>aHA}>~2m%NY?;@Ixn zOG`Je4Y~Lh)%q9O-=HM@L?`n4BaRcone)F4+J;W{yyxz?bM0>RQ9>TOT=0k#u~Q9i z>LA5+aY!15%FXI?Z8G0i2tKluECk;;M+H;97cixT=mM5o=zrdw|E#JLUpC`1s8v`O zZE<2<4cXIrx&5qR(c^ajgqeOZ3fmjYR_c-QksxBm0rpvAE4_JY#4saq^0%ui-qIMX z!zy^z?KC02p`G7yqw2OTjqnm(NBzJT5uG=0b`0LSeVi2C=La6JwKS+4)!#~ee&^&C zObG)2G4k>q`SoQOXw685C9V&0uNjgiuV36mD_(B@#4f#%t65t_|DB>TlZGfoBOQ3J zPu6zOuM$1bLgraZCuyRYt11gK%F-;Rgm@9nAof+f9ofyRVLHU#W(p(zTifNQ6H5!I<3$UaUam?P3@>10s*e;yJ- zL8yuHS5}jJiV&t6hWh`J3DAa1x58zkf5AX2)kN$iY9W-~;IteqZz>4o90lmYV({l= zkzAZB?t;6MNAo;JC3=|(bc|4uo1De(#ftWMjb~=T zaoCI*3ILE(;vPp!A1S+c`TPI^_5;pC?w@DOq)(3d{U^5>S8?u7+_gc~h$r+tE~v&{kB9 zo%z*T9D`~FYZ0n8%suTgj+fj*(hZj@-pgVfe(JLUCaEjUTEtt_(#79VR6uPRRoA@h z802Uzy=PyEY%}Z|QIo&KGclHNxDp%md(E@ZIpa4L*M`V9Rx@_0i{tuP{|jpJeH}!! z5G0$8z*8_^DgDBr^(j#wH(Vx@vs)QLCz5|WFis0POVn%6ju*6Wcl6J0zQq{1Eqbk4 zpM{Z-x1%~5i~z&Mj?#PeJKU0nLW{>%RYS^BP_S*t)!sv+xtHw_cSlAf@eGgjRa#oV z$~#WJP7;d4=mQw@x1TdrXLHc1j?3Uu#I#m)VHn^0K*NA^>!f~cKlA6*`+JgR+hdnDS;S%EC zR@~Fdh}@CJkJ1&TZ{C@cNhwTet+MNlF~BkTWnpKPK+D4-X@O|Lv*{tUTtx_EjAa|~ zUKDo-VzFX_U0d33Y}{{DewO8-7dvs$-bdX#zOWo6ZiRH@{~GXA9-qMRt%>q>Nh#Sq zd(9$AQ44?3X-n6mZ8=K{k6+qO8eUA&6Eaa_@!>vR@h;OimO_V*E7rVg(R}DkY416M zk{ZV7oKAw7Qmct&mi(0T!~yt)mu!{T)EQj;5`H4u4wdFfC=W(^_RPH) zuXxzYMyD#>1M1?Ne7daf2!t>`*v|u|{09$6RD;%Zyxk%z3oxe8+1;(T`kQDU*EDzG zB(H=?d2zQU;>_1u)7Tw(RHfMgQc*k`X^juZIOu-%}81H z(~yoBiZ)%B>0}T?@Wc%;OB)1x4i2Yc#}6%=+3F3P2m6U}Akt|Ch7ot6+sTW%hf+Bd zsul-y)llBX5m|QDz^^t7P+Np$GSF6&pqfme{D!w zQF7SO*s~c0jJZxIB;DK;dycCnZ5TNz#h^Mvon;v`z%#5#chj|lu*QYrK2?+#G%{I1 z<_0Be(+4qDb`>uuIHcPev!+F{Hs5aSIEPoAOqddM7AR-tFj~^m${c!8`86=mR@UO_ z!oUq`1U$lO>2SK3m(9cPtCxQD^AFWT2?hhP+VQznRTF30!bZ~_=Z?6Oke<X<) zqcst_ zHrL!}_;9ZiN{^+rboVq0zP`Fn)$4ILbYmT7?3l45OqP{TdXWvfXqV z?~nX0atSh{D~7U(A7O+sZ&s|vyBG6h^zrttB$Tf=N>?+_WB!iROq_(U>A+#3Bw=<5 z;Ur|S0zkGriD%AVE!N04lUlPRhP7;#ha}-{Kj(xztvImHD~H(=V1^m@9jbg0Ap`|j zu#Rz>l1Z#dZk@32tGoguH)wSWALqCy*kI=H#sk(w3eLeRClbRsMa$GV>p6gk;0w}X)@D)wXvAZG$VnE4z z6`NWm9&=Ymu}zN6CgYD7jl*E+ao5QNwXvfxOE6cUtlT|r0d}kvg3h?Kyd)+k1rOg= z;;PM*-%uu)#g4Oq*Qj*awA+iEE-Y}Rh#cI$d zjzfnrS$I1ho2w5s9QBy1>+aZorX{gPZiGGDJ@}0yO?3%4!*5R{&%f{eKM0*1jCSv0 zK(qWG(9L&DG|_v-%9p(TTyqzFH0K$wg>$?YzTuv`&gZwE&H4n**mge(Sw;3+(Z;KaK}z1joKe02~R@+C8srTj7pw z2@V^pm=XPO$Ka5EJU3!TZ@FvAX}%JAMDuL?e;A?gn*Oox^NRS(6~^g2b#I3H)@;m@ zik$AiBgCAX*Y3ZJXd-cyyQbkIsxCZ_@KG!&Zu@bjAu4CV{~Oos+;sC_^+57<)z-!S zfxNPtmiR1dA^v%Ul+OA@L0Q3p0Ns);y5RjtyDxaQSIcfd`gSwS8u(e~wX>M@?*L$L zYxCXp=4sc(?K!V%MmAH|WINp>_H8Atio&MZWLhD@wz{aVR6SfiBY{9D#h$H=kD}Lf zercODRno1hcQk5`!ow+FdE=t?tC_z(;83|l%M02&2Rd&zYE`q>DSw?>zfT15>b=!? zq2BBwWjE-nYZIyYvW?Gcj_CLE@R-_5)WffKk`xS*io1SM1yo&3#Mx2b@;-88`XZsY z>szkUmdF^Y2}UBrqOCSNU3+-xA!`e%xQAaMdIYOCTZa$Cx(j8}V_u_Zi;HJ0al0IB zhHA6Hp%|@j*b8j50&!eRL1ovIRM0RAq4L^D-y8Hba_z;+%S0f}(e5?nlYY%z9qyh% zO7`aRi}}P=7ljsdoC`&*+^~lTLLHNBC#72s&~<10_87+Bg>*rZ{4;*v?xm&7Gm5Bd zn7 zDY{N;OMrJ{t@g{k(QTOvKEot`K| zI)A$$Bfss@a9PlhtfM4Od|-hlv1*>%c@b+^jKU^+SJEq_$lXGE!%D9r!qk-Gk}0o` zxGEB#>_L~iRP(o0(`(rTI}bOrlWSsi+UkFoGP>Xm*gBF>xb+PsHwp@Sr1dX5pl=|I zh${tY905cB#`Dla|K8tT!qC4lW<3UUa*nocYHG$%mWVvDqQG7H9v8u%X;KzfBsf}F zhsEa1?8tQSdj|x1hg?R;k4UP#S|U$1Hq;<7Y36$)@<|h~ALc$(Z^KdZI)84eQ%?xT zGu_JI)k}CH8`X-L5WSS@4q{7=SCW#b?@WJ-cvUMS(K;yxx%O;uK zY&epf%a6=%fG2rP;rIzQuHLozv(DC&0}L10-1u4c$S#KjypVYDd937_)#7x5T*rX! zn9H}^otj!hh%>1AfedSIS|BV+`GuoJ52{ns@I|V;+x=r|5-H!JAca;Qw^13c zP~~zU$BZ#QE%~cqiqa>?01hciB}AasNH*zSAD+K5)Q09dP%bodZ6VWuikcx$mtn05 zL_V<*#$yJ-pe+t#iQ-ll4a9gQCh@n2#8vNfh#jt6_@QE%+QrJ|G+LUkdupkrofQq|p`~hUv}X;RhmUTUdtPnDl_VX}F&O<_vR5z=egGRbGPv?9fbW<-iYhp2A5D9JEY3Mx=;E@u3w6JJ}BIM z=7pPa2|qXruVc-wtofT-v6Vb(E?%mUcT@9v^dxkN#bv3OdBP5mM-Gu6O2$h3x(hm9 zHuq_ZV`pGf_E-?**Ks?hTg7n5LrL-oF3fs6a4RIObBUvC&dRax=vLxsBnH{$?r3JA z=QpacK^B{fvwHy%ZXSmOIIYm3+|FK-ojq*ynmvU?tmCYBf=Wn*nNQVKUM4}^vl||OdrV&U}d-$oamoe&(T5QC8Q2_6rx$~R=79Lwc!~W6# zW#iYPY!5OABp!x!J$Qr0VI`lbu}k7b2@huG$3tkTPQgZdpa2HWco&M9DjbS*EVYcT z4_vZGd_xwhIZsRywLI64iSj&DR10Ub_Pk)hM!8cXiEqO*X7OGF?9*o$hsEI6)v=4WGQW&qMAaHf zoUp0MkkWHo%#?y!RJqE7=!kN0&kFXI&sgCV73b&ZXUu{t)xFRRtXrBVY?RPK`wF0H zp=L;`n52Yv-T81RPaBp4Te3^nhNs%ylpA=j|${LJ^`W)@Sk)eeGu@uQe&4^fLi3m$B}QB5s7$ny$d)FDiGBw5a7@P@k9d zwM}!QHaxHu&bM4?mDF?ZV9Qa>kFB7$93W^%O6>1$!v&j(9}jiomFr@g~&os3}ChWJF!8x?y=V=wf!d(*nm7 zNbe&mAXYpDe*-T^2oY>%{wa@g7G4vDcQVjVwW+MxJy6Ih>myNhuMnF{viHgcDzjLK zyV?2M3k>BB8|UE%*74Ta>%Tng9AeY7xTuPPthkq_kFobwUKoE!d5ccmGgjaQZ8*US zEFR*g@!hSk_;a)@ECHm+=_N_2)kkK?+`+yw-%MsDs-UILg25Y#$INNzugvLU-7wWz z9_pTbwY>`hY0hYjZ(A?#Y^WmhEczW~F^On$RWz2iaU0Ld4>QJ1J0Yze&pD5CT=mC^ zz4cL3eA5yg@LCB@^QFj6L7T$-%fBMqOypU7xoqcsZUo0o4Skc&hT~c=S-Sf$dxn9! zRro}vK}hX#v`2EZKP8Jyox4Kfb8EuGC7m;qDq6s22px7x-ZZr~KS^5}!Ps&VYIY17 zb^;1P*5!;QW#;E0ea(j#+-4{mY#2O#~fm zC(HX9$_hA*d3oPJMQqdWYiTSAh~RWqBo?`OVYVc>rnlo_i0vY+xC_(+Y&wj)S`X(? zV`s_TQDlN;RVPw}DE#ZK32z3r(3bDy)3IHJO_2sI$N$XPjDBRv<&{a zoZw_cJz%lmW5wxK7N5$Qi8IbK4OMj?w>-RidK#uO(-%8tzxuB-$C@)IpdAC(Prnvl z3_?SL3^d{O{7Z}t^CfRDzm+bpg>$_ZuEfxV?Ak|A*M95t396eD;j@_Izt(48*o7JY zeRI#x`A40Xm;awreFS+`4qt%}clL^X!H%4Ot%kVSKz8#}k^c9+SFHKyiEp2|^4;?v z)Gg&MF1BBscXI{xZ*Q+4!_%vNclgZt)xSJ;V#5nycYfl#{)d>SmPW$= ziEz(st~*sjF#tKLB`)aAUgxruM_Nlw{0ldSvJYv*OjP6yxY`|IYK_E4ePsCMge|e7(ny&p)|EZcd1jdHqy;ab4?rd8M#LVUH z*YqU{P@TZEm##}CYM-aCBE>vNithUD?;|&LQQ{kZ7mVbW4BsFbJ#K#G+d%KfR}aFQ zVgbq&ex+VtSAS4FQ3g-S;+08EHNOar761`=sPSWGh zfcdV+;GhT%A>OjrES%}SbM^1!rW=IhZjJUv_+HYVRYcUcrSH}4NrR|BQ1u#aW3>e~ z(!><)Iq`l{Qbc_k2B&xtbtMxUdp&nMsvHk6Zfb-oEc6v2G0 z&M{I~N6v)v-r-Pkjzhn~nN+e%26TvmLkh@N*K`e0w-qTEzo^aR6@)7e<5Rmx*TSxm zGlC6*^LBJTEo;%C+k?ru_xvFVOE3|$PaSp5?O|XB#8;Wt-44^`_ChGWCJbZ31MJpB z=F=+*McxZoMv0k_9gzUm+=qKlmr@fr(|u|CCyp;Qj!#E!|1fs%BJ=LkcAd_}&>e1| zy!qf?7xb*m$CYR)-F1XV%Q+M;EMkycssM~rP02GtDJ9SMqu_2J1d5*&PWe{Ku-by? z|EvDLozee)lJUdt8Y$U{lwW4 zWdWSBL692V$@siyP6O))TSx(}O_ROkrUEUfP6(Lj>h3%o0X!P`jxGrhx-#gF1KE7z3Ll@ztm zRG`|Qvf!m;rtw^+o^)OWKy=C0L`Y7uSg0d7ahUa+n{;4qv@n$uP+CQ&_c*LB1Qe~+ zUeK1M0)xp*haiDjW0%5zyG9djFL5|%KksD&IB6DK5qY*F^KF&WsMp8 zQYmF$B8{~OA+%7*)`nzj8MIhxZ1vbGTg(iVVX{t7*cPIxX(`-S_=nuIqC}EYDKxQiZ41*C+|@agi{?fEa+aECzXSy`EV(2!-Vmlol@V z8)Oa)s-IO*Ld~)4>u3HLhi0shlgG>|7#f2mmnH{$8{2fNY%0&PvvxlXU?5QNG~FV1 z02Fn?YNOVd^CAZ|Yu##U-{_otKn0A0!Q)xJQh!V646}6l_eRNA=!H9&#ZDXNy1p4Y zL808GF#RLmdbTH)PJ~Ti!H-;vjNeV^zd_lv@m0! zyWeH#a`tfWPnN@{8WFd^Br@sJ{kdcJ)@sQw!XcWVp`Bi0x{*85#7ML@>WS)dCOxwJ z)2-ACwMTb#w;jx@g#t$!gJz}}Hyd*0*Jc~TIz93%g994@+E-u%OU-h6#W7gY1F?v+ z(*%6|X5o>3x~NBCe5PD$q?4m(W$QH6fNAJ}{WZi;i_(8lhtFfRHiZT25Ii=<1{ zuYrug+q$l$m^(A>_B@=)R1w76_AIhnZ)>bkZuLxb0b(K7+;>wJtVJhfGYi&Znj2Sn z@|5p`!?16xEif)P>G9gcB3?ES(!NMlQ0ZQnAIrDsZf{PQ%Cqp+F>AaEl_nfH-H7!Z zb6YrmFm#&g#|zRn6B=0seI?y#^^L#6e3H2~q02t$r&m_14>qXA#eQU#ms!D5o&GGe z8=iuiFg+U#j=$_0JDAQh2t8L;1n2>Vz1m57pm_2CcO)_S-mAjC#Zng$8&@B^$jc=%n#>C}}-dq?x{M^&l3B{5wg~E0!ss6SelPNJwG1fwnrZETYs6 zO$!_RdXfh7E9pNB%^FwWBsOwX7PZ)0&^1Gsh5aAe7+~;wf}=slN+LU1uMW?ujT5)K$L=T=|_knZ<`iW9gqeXC8^0bN@LI{{MIa^i3p z@J&}Z5M@XqgoC)g0ypCC83_5H?XP_w+rn40*vw<-4p^0}_I&3Ht{zaIE;OM-eW@(c zd|&ax^dNA8=OOq*vNzA7VYo3&W050>{Wex|J#Gl^tl9rY!K{0$;S^IY|3a9gJ0Qp6 zV!eu=_BWEIhA2*UW3;LaUrah!tcuAl(1}fe#VH0%a~yd!v(Su+jVs^OtVaPW(=iA- zvsQeDt#;$|EPqoRk{y;MO?ywX#ix?0D|v5Z}zL{#X3`|>fJzx~O;%pa&aD(R(R z-flpOZRA+70S>y}#~|0P^(H)LRtK=M6J#2ghF8N!GF~xnUWUG|3V0=j^PF}YVworZ zV}10q3a7wt0~;684EQ1=s+!{SF92;9dS6;pjLp(ufBa>dU3_mCXg{W$k~X0E$NxQZ zB{={RFG&@Epz>afB)q@GDA|aj_`rja9FoO+PF-(jlH&F$Oi~lw-Pv^VxL#s@B+GV& zT6}$Wg;CVYatQ(Rc9`J?LvoHIrmk!49^}Z-d%7AXe9qe6LEUJjJ+|jwmB2!tuup%QVwV04AVI% zEz8MNddXF9w~_ay*EjAIw_(L;wKUn0ejR^(68TVW~7-{N8401!V z(8lHvl$^^-L`_mSv&9_CjuqzDD9*eDLa=IGWlzvDce>YIRf%@@ViuM-8nfr&nydf_ z);+2PKjH(H*KPo|7y=S9Y=-@g26Mb6SCHdYd3A4i?>q3nfecUKU2g=h`(OX7Gd#Qr zA>ooFAOt~VC`>^rBNg3v1?>Q`q4b_(aHdLEpLji6HB_845+;Rb2ihRldBiYWgZ(a^%HK&f$K=~6;d|q6) zkTx2&GrYSH8D+eWRQUKFxgrN^w<;AQk-wag1nXH|eRbi1HIdh4LJGc8mI}Yf!bgN< zE6($xjn^a%Frp>N;&P(R!45<)9756ypF;=1f&R`eXQq- zjylrWtd}2FOS|Vs5qCHkOnp_qUlS=U6S4D^PRo!x4hit{ zF3Xa41a^GvMJOrmDF5X0qDX5S!!e_M#>F+(kp)Ld|*dmiAgSWbhDAA66^$~hSlr&rE4%z}r zTHhECAO#TJgSP@GfLM$OI@JSB*+0`^1?p9GWGj@L0ug*tz79N95LUJ{G4XmT!I7Q1 zI;CavN3TMJ}FoD#%m0#LpFR;zq$SsnaZefZTbj73~IFn!6P`&Ev3XI%+D>i z52-kJ7rBi~S%7=XRbC4WFH()mN*%$&r*1pTyOZxo2_GS!kB=b9mjwM~IqL2`)K*z% zz9h;oVIvXQ3F$3@T>nTUt%_ikklQ!7w>uy;){z>XNC`g7(KX?X2|jzZKfF0O^oX1u z@AG}Vhj|oLxF`5WtVVcdXJsB0s>!4wtAXa?VzVNLE)}+AZ~fgMWZYP=ENmPmWaev# zj<)JW$UpmJq~0l;qjHTViEk`elEgd9wnp$Az;Uj;Gmm*cipSY7-X@J;FKw%A^Rv)F z1u2)|u*Y7d6KPR#D8I@&4RyEN)hhpjRhqYmY{ju&*xW3-{KQD$wk1d#_qZTUiZ(w1 zu%&*Suu9f6T^UrpZ-a0o6{)1uc|t1q+T2W1X06RqDo=W`PxnvG}iRq2N29yoxgWPl1 ziWiY{ycuM8#X6+cOm8T-0w1%hVbii z-m3e5^yG!1pDfrO8pq+ z{VfmYihCSP^Sk(2ixsi`biTOaq2$oiHu7M24%7|aFTVnT@CQF^qM_CMm#%_qsIrA_Tgm)6i!j^-}E6&l05Ee0Cx5nowX$=P3mquX_+?>~R({?Xy za0coRc(QemHs7i8+sAPW#DF3l`0xX7hNG#N$uqBjFM!&7J1XXXifeGt9Gi%(?n_j%n{?ut z;qVkW>Zt25ROz9yN@!7mOx|H1u-4_8bZm?^ywwe5ErAKBWSQA@^CNIn>bn*HFiQ1V z1@pfb68E_e<#)%r^x#JFEyyHh(Nz$`a@vz2_TQW_Jgcx|5sIeGT*)$b!0T|5V4)EG z56@km;R2hMndaL=T~Qs-(@j&EB+$GrZ7cvTp~z}=8V&_aL?=Lr^v1n^dOZrvqco`V zp!gqlk8QuV%CuOGYCTm3(dtIEU!z$2r!wA^Od9vtctw|Wp?g5PBhK|Qo>j-^_w{DfzGP7%))O)U8cLHLyqh;*ay>YF2Q4%<%3I4r99CZK}D8aQJJ2tMKTEo0+}JIAJOUmm-0Nuk?G zaDIrB&ymT$0rc}+SQ>fHfF%R2_uyLZ`hrnZdU=FfzEoXA8)<>Q|Md6dj(s3~^-q+e zE!XEu&9yF$)_6rFYK<)BNG(LHw~>Gr7!2sj`ULBboBJX-&vngxtBqk{P{mxI%r~O& zrN+jAY>xx(1`QG*=wCd#qNe*hhE=nEzZ3vd3|Z-H=X!97BJ%^I&b$B zomc`6-Qiw_z=S=kG!E)r;)$UY&H?Jny{@xCl*V811y4wPL4V`XJ}<@{W_?)4xkm0> z{pMR0ycwZREOM!kQmih(Ek!C~;bz%%zCkLAh(au<=770`i>wELA_@%WrOc{0EO*!^ zaU3UWt+(gPRO2T%b)}pp2j9B}iXhtX4386>nzBYR0}@k`Rwp|s{!#s_H)|@(z8r6% z>yDs=S%?}KU%71Vx){$FHo|jEYQPuu6`J;`s)|`!{#oy3Jyy9tpuA%@*!sb&!rV}o zrWDSlY%RGS!KRY{UpgN}mjH+%hcizy^2S1hbrZfes7SDvoi_;+mNl?G1;FNT7}R~G zZq`e_D~W<7o2$&CXH_6A?gvKAG-MH#Z%Q0y+Os{FCFQ@zzWG2}L~lC7Yk_q+V1;>i zHJgc;q*njc?#iYnOafk=(5*<@FzK=JjfBqUH-4*cHN)&Tv!D}nfGhKSglvWoG!DQI zQ~;x9;if~A1nrOPRL%_wNBYOvE`i;311$fws`21yBzI4OUAwR7X*>9|fHOk!bYCbE zhiTP@>k=eGaQvDeU!AXY=j^i+-!!nPaWVd5|3G3Lk8?&_X}t3Mlfl_HM_+tdeCo6g zoo#r}(e5a`wA2PAVbDDmwtA$5L!BFN91K@-52ll6vp5ifK)2zI){Oa;*7HT}>!KQ) zkV_WHdGi#4oj998`Q6+#OvS`4$F8h49penwVfRA=9&BJ>*SiKt$^}Dmd1)}9eC=C^8IRFUGPU{a2~auGLh9&Y14|=qGwUS0fMd+#fwXc`o@FM? z{Acs3FN4dWyq#Xye~wXZ1X+0v>pNlMlRcCxUEP|@%wiM{ZfczKEonU>r4Y?Pt7dM@ z)}jqAIMH8}fP|b`7y{W-|EqDXfg63W%nC-mfIA+KtERTbbBMon>mcH9NbFsK8_LH& z;mi7P%KE@sWR=la<=S}Pa5%_(7nmikwES}!%d-s9W$3q;OpRD%f0kS>(CZy%M*aF( zDu!!phDGV`ta{V`Q8L?6vu5L#IQtv8%r(xOr+pKi>IW3u3^F((gg zl&vvHizTV6Gt+Bb?|O>ZOYm=!?5dK$TU7a%!S&3hX(p4`x0@q51Rt=`C=&Znz2akw8di6<;qy6t&}Lt&UnV;k2eZo>qdj&@oZ+x$vQHf zcnmNz%+WT}n5nL;X;Kl>)7>LMD8HUX`p*0|HcbC;VQ~y5WbLhZ!?mG=nm{wgvnmE- zWR+wS!%@@2zUlHf-=?qLEm3I1n{qIU(K^M+284iw24QFjzZhoXm0H9I4-S7g* zeZ?$02I}TT*vFC$fpNAr0fYNEj<6XxGv5JbsmI*e&mxeA>o29#vA#qd7M;A(l%P@3 zY#hze<~CGCL~z=AK%LJ`?x#(V6NK2chc+q}=!LfPwIR_LV4?*n^D7r7yPCR64s8%O z$<6R}MGd9^ggE|f%|MCSMr3>8J|J?<1MM`JmV>!+j$S%K`<>@+2akGksrS&G;T3rF zYu1gl1TpW9E#ifJ7MKk>@Roxc7lN}=X)qLXPrBv>?<+5Wh;2fd5|khT%)9I(h(|-K z(&k}-sTl@gYK;-i*Edfbkxu>?2Al+9L&?*RwJEDKcp7emQBzk}CaZ_d%xLL9z+`Yk zFds|;b=0KCadBgmgsh1n5Mj*s=bkO%sMeh}4Tx*Yx-$@mOU*s#<57hsu8w;2FR`4f zW=PF=W+;msx9%osx9FAnu5aY~g%ZpiV6PT>ZHAt5X4f`RzK*=^FsdHs42Hpk1Y|?k zs;+mw91>gvKvvg6ynB|wq?8ZQlM!+2ED~{*;TCp|US9Nyp5g>SZ&?%V^N$-Tc_+GS z)?hpbA7LYtN!E-AAuF3z9}8vP1JOWH^m;DN2mrlVEcyIS7`t*rq>O^K1;}vupX;^8 zEbtt|3xxp;!>obxVkI&Q24KNC?ruE1wu!=Nz1!jcjo`cNQhD7Uqc)rc@Xm}q}<6Zn`MHsw`RU6RJ>z2@6=3_p|5M&=`$gxy{u4^nx;&CoCE?!wi1X*i~ z)5%NboBh48zvtEvzXY7$qtmwu=Lr4@NI5ruf~WTa(u#JL2kPz$_YZT(Bw~;(2P+aR z3KAmPv0)!rPgDeLWy^Y0#JGJ_jqIKb7KI^`>qo?~kihaAa2R|3nU0^pjUl50H=|<> zd8BU4gHHk8U>mW95XD=Gf1A$RsB?iEoiv}$zoubUlQ-DOUD6|FST zg)R_RGiVew{U<(kfQcA9)b?^mQ3|~R+tcGw@C~suSKopS)JZD*aDX z(a1FFXu6*Zv&s&d_DEZCP6OjpVCwhyQ!g2$AGE`l>)*t+E+pE!Mjt4IqVS-261)@ zg{X=i5hadh6M`;)%M<`J{84a2zz(Fi`Ht4$ff9Z7O;$gX7_g>aLu-nk`pAikV3leD zRV^i5SuRHoW-nKGu^W zlx+_XG+1SuOp>M>o)9wvD_IWY14hfXQpUqzx8d-;O(x?Km1fGnO!ZiWj)BO=)t)zk zT5XlfWVe4@P6~ho#|$e@ymaxi%ga@_HeUqb*8}-OSVVu~o4z88fk-+TJk+k|nx+Hb zz2Q$6SPl(jHRno3bMjt?J?LKzuh0cbbj2s4yT^SwYhgw~J&pRCu1sP0bucMD=Z3U` zby)=&oPbbZ-GPq3>9+g$R#Jb{{RIxw8-A|=>Rq#3Gfw(%brb$$k+e04Hi5BJ8t4iO zP_M3cL^gO{r}E88!1^1*`q7Ga?CowEznZ+kx%g2aAX#i6wC;~!7Ut7rUb2Y$m2~Sp z{agIUy&K-R5~UMEXn6D2bS@y15J$QcO7w;jV?eH0L?UT{0Q%V~Gwg&8D;E-#IKhup zav6)*;0X3fz@2jJlPuy4DnhrXPvSX^Zdb}6~n%$U4SU8Y3d(33Z9$@*p zc5^;5vO)In*9I3bqKzb6YH)#hYZZt6K!wkb42Z$Jar~t?!@37gm)@BFGa$H;&(d6J zU_B4>qT7vYwUKmK#i4ID2EH<0uvr!DIU1VtBTRmxnLGOk?UAKPV3#sz4mF8+=U{zH z&SmBv)E!}jSG-~t<>ujgnbnRZyh-ixlZ&9<0gB)D_4G4K$AqvnrhJ2^h#F1OvUV8g z9c7XR!%T925of*9)$Ce}jVxH_bSAMT?nO;V5-$p*>ZI&-UU*ufSywUtz`Ls5mBq*u#bEJtCZ#CS2;Uh zF-lg3Gn<&OX4iD07sUX7ZKMtalAG0gU|qgN2IEA@4@Sf>kQZu1rBp+*{9?Jy1Lf(Db*QF;Lc8Jco%eUc%($GwVK92jH0)C2K|WNO#m{t5?P zBCI-q?3cweG4uoB3zYQo3ov~@2F{pC(`=BImyE;_zqhTebFv#*|G4`9;FdF@yT_gT z-j=li&7&ttnXU8#SWo3sKeoGbM4zTBi70;-A$Pbu?Bh^l+z`D$cet2dUSA)(u)-*) z@DY;TgvxMI_4=pcr@A3ejkCCwp8+ogOxxaol&yB5KG>~7CAzM!_(?wmdJ>^_cg#;& zny97XFMAesua;kjXW)OTZL{?Suv1SN>8@o#!|e_xMHeU*8sR(m|8H=9L`g3s&HLjH zY`xm%ePY&ld%`7gk>f4IlMR?JmJwxw@V9G;K@URfiF*GFIi` zFWg?l>h1&O`Zx&<^boH(n{FWg7c9RST6)kN?B#o$o#B4t;xnM{7>xl>*#Wi@x zMTNUlVnOJ>x+-5GgDE;?d@)_6o!FALf1i~#L77`%4ud3TC#JKqq(2qcU~y_(Mi+^{ z2ne!ZD^d_+az$(Ey=9L65sLUL*6G_K|D1FX3tx~5P>m~+f3eztmF-aZwbSqZM|HJ@ z5u2R+tsciB?R0iw;x!KuJwPtA6JOBQH7h5lKX<+3;PdI5!*;?4g-x*H@1E-Wvg`H> zQug~EE6o&C5F%x&=pbf7xg9Jr6`xM=^f@T-M(0U+ zmNW}pvEw?A28lzs<$#p-Mj(qz+ib*CY2=Vu$}mCPy|Vnn;EL_;snu=Gxflt}!-Yr(`3{Wb#?HM@b$@=jXg#(zS3}15$bU1?)}G*M^EhyP zMb_o)3PI5D#o<>3w@%=6m8GZ3Y=g8LFR|B7yB+j^Q6a@rkv0} zJ)V^OV_%B&8R zU`*k4DJoV!u=)6jwe^9esB-@3JGvUNZ*gKbB|3Wwwf_zm@X-GDZll$ox>|YdsPLA{ z5?>1U+v#)~#ffWwnb;xvn)|`FOiCu7kzE8vDsdOty>RabQN(en7DCE3`B|@%es_)) zGK^|;a+;@}i1O~#_O2Q`>gd)fAjcAYwUJ6oZ&6*^Gdy_`b<#~&mO5{ zg)+O4bgObZu1c9Qf@t}^eS-hK5X+B81*HjmJa_fgw#pO}tZ>%26@A`$u%+dLsKj|| zAzK|f?^DyELmPK@K5nWP7M1GTEk#Z6D-@RfuDqp*V)#cCy_|ZUpf{@fiEXv3Q&GXV z_r3mT;eHFzZSSO5ld^9ql2K@Smn`k@jwJJ4TAkz3%@paIT2Yzd&xBIMm1Sl7T&4Pp zEemt((+r|XMP%ySNefYVdEIM~iRPI?$ZroY)(C#AiQH#}`q4iFJPQQDtuk_oY(I2& zl9?NJ+7feTXN5Gqx1@N1VonnBn@7uw|09p|SN6On^-1=Rs#Q5Io|}uT@{6GP{U8g` zjK?}-Eix4R^RoqvNefB$b*d2OG0J{(DQcpsTXdhPn?6iv#Mx%=wGfuTqVEat@avVS zee@8W$m@4ZNqm3SztzA*G+iTSv|b0mBl$9xTcq;fpiiGx2)w{MPEH-kn6{ zkq*AyGrKyrew?#VM!9V*mKWW3lo~&ypM>GRbNkdd|HGtf-}%bc9kz<@Kf8nRYB=w= z7ZsI>a7p4yzq)fL7W4Zy=EJ~&Z&R9v-zzFtw<0_+YBKV?HEOCkeYtn)w7WkW5`PXn zXzx!y{oU0qwhwpcohZvNdf$k#SNdWckErq056W5U=3k8N8yvcO*s_+u?Qq4~;yvCA zWgNRpORH#BvxWbD^+{ z+%`WNk8s;%jvCI!y?%)f^3)0wuBsJs!tm)D2R}u$S+L{|U{!LJgWoG&dABD~rCR0@ zMN*Hx=s5juOEOjTp!1_`C$3U5%lGFje5$%!;V*cwN!jqcsP!qi>;5{93HrF4zw88% zS`a7n5=(d_A%DU~V{uCVqh{8+6~75Z$lPdTZ2*^rHYb|5j zpm;*i+`%)hO&4wJaurv~Wt~y=ox-wO8yfOm9_W{ap*KbC@%wJL9jbkGm^>Q1;HefnYFY0>l}=>186f5%u<&GzgPf&jhr?Z>z5`}7-EW6Fug5;J0FUHW_KEbg#pcn|X(}d#Lz%|udMeQw ze2%vx4<}h}{p#awe@UCay&tc2HtQG8%*mum(6AH1YrK3}S!ZD5n&`1#~&#fmNLF~ac&Yreb^Mes^gdne_->m2UI zep?}(y^rjUQ_!9`fp}gw&%L^6ckw+|b|(Tn#rH`o-*H=*^FdPx`aE1TH=p+0eJTa3 zr|h~e^v7#^Sf`P-yfe<`Ev5yh-q>w0BI$57$g|L&95TFwU#%|BN^g2p^Hek>c)u?D z1j^Z{Sm=

V7%t;&Z~LrT_8o|z*dYwfnPAbtg8QU#e>fA4naywr;DciG7;S`BNA;;$-3(|UZ*Jd zJudTWe#zK!M-!b0I_An`RrT)~!JW2qVD&tMXxUct8ZE#?kJ5}mk#etZDGYuqHM19{ zwHDVt3s~F?SJ3=T?wrCqsrtYt3G(zk`0BZ3J~#O~EzquOd49+)S(;!v6p?fSgmYj) z_wVsyJx7!k-piX(+a!4yHi@@`(^Xr+lxvR`U*b z-BS3z&~H{EGb33+OUf6EIa(P#@Of+n)EU?z`c#|&989Qlba_ki8Q*Quw9qbJbQdMu z3D}>@w?&C&F9d{Cqr};QfTzL8CP(kaNO{5n28yQS(fo*5(iE`1t$-hSIK3N^0NI|C zVu|ri7|r zL4{TYVWS^Jxd2R^?~g54-GrsLg112~1PBO#nl;o7JGh#7eeLBxdzd5@ud;RXHdy=E ze+j{c0Yx)I9@b;~Cwty#A`N@a3%4bRH z;5j^Wz1(|Lpl3n|7j>=jiv3yKmk}QlweNrF<)aU>$YU|E{0g8u6ae8YZ+kY{E6viVVEy3zm zN=#~~w;`Egd0U~TX<0#?r;HB3OzX&u30TwW);y0_$Au(5D6v1rLJ z6x~yHUvNERp$7vP+LMXRbj<*+IIT*uO2FHwG^ z7GUW;5cKZG2IuM$!2gWJ+=#V3AIbDYlGKccmr6=BSt})c=vnH+&6h^qa%Cy*4g!mJ zJcRc_EDjBhhT^fj4V~3W>dD8zDL$7yt3zVsf61n|sg++qmZs=lw0ZK6=GcpFma$7jQT%Zw|WtN;7>73m}_^F({HG?X~Apws{I@{`M{0+l%9 zs{S&Jf}%&Z%CJEp`gE>{qUwu}o=|4W5W^Mm=(((6vN%`O=cF?uAV4a{sj4m|KAdQ^ zH4M$&)GVi~9*UOb;t2h9!y7FM#2uYZgqLD6uO<>hC;1^gAR8*1RUDM$I{iWPNZ;-@|uxei*?bT*v7>l zH_%mjGDfnQs`xsxCSW8+4FR_>RYUQChX{mo=p&F?5@`OAko!$$X2WY+0CmEe2zBzX zx_1xQ}t7lcCo%<5vQPCWDAiNp6;a+20LqUnt$>bQN&bM<&osO)SHu>l!qDFl}GrK zUu9u&8lN9p+2~B+ZNpgkzMLCo?VFg3;y6L{SiKgo2jwiIpWx$&$#b(ET$ft8$q z-BIbz$M(!ZJ++MSwha(|cz8#Fd~PJLUEA!~45##Rla!7+_#1W`-3Kajr2wc}6F3p( zI8rmIy>HY;f-ntjYxEy;nvSjP7#inn65lxQdpYRDC)x=|Z2NnS+;!tTolQbWOXCc=WG?Lt4Yo%tqJ z)qW4shZ1dM)nRA@+Q(Ukbi>I|-d2e#n}ot+-MA8MqH>ID@Z3Y>W8p=V*v*Z_`*c`% zbQ5|vsVFu8U&cc{rb6D1)sKpQ%*0ep=5(mhl^3Wi@rfUU>X%`rs@PkIfQ-*Y9WfLW zAKjaG3Biw_1frOAEwQC2GcEas7pDQP0G|TlsLM#i9|@M-OIfa(yOu6| z+t8+!U5zDcle1MdL&_Fuab_CM+?pl0l_%Cta%Gc=a5`)>P`CONHwXJ*ZO%xN_QM6T zIK6VC{850=0-wjhxtk{Xm@359RR8|S&E15~r?bz7=S8Fv=?u#ad+Zx6o^~vA(J6x@ z#-|BOvxJ)6GwY5|lzSO0+6NUO86y!&wHq~Axcvj)tAs8xHt8rBbv*8P#KslU#ndEQ z?Sq|M!@%3mObd$A(;1Pu@<@i_HGQ^OS}Zl0DGYo6g} z{p670v1%_XNQHq>|DVFkAc&w={8117jr1WpDEX`P|F45ynl^KWkN3~Kuon(@YL-Ll-oog;})gHz8rcY9_1dHTBfrrk5e`>uFt*b#fhi7l9oZ@VZTJ)XAi7WX2?7-zfe$dAoW9Jvj zRvi1p1E4MK?)m)V&eslI=o~41$T{DBk^aMl&R*|D7wGp(J0E&)OE06f&R?5+*}bI< z8-|yxi{B2-k@OadNicGKX&X{lb?b`+HDDwq@_lRPhYu4H;`L$yPDM_&+4bwaAFm89 za(e>$@l5R$s&}uAUT^>oqcPlNstIf|d12S}tXAis^ndzgH!EwxcB$TtE$gfg{#&BY zlNn{Ns3bwKsP)n>98wN!GbO5bIyaCZmWQ=n?>W(m)A}rt|8~n$TSKdU@J;!^=gpZ+ zy;1m0k4Sb{sLZ~s;)|3R0Jn)-&#n>Q7x`RFmudb>qLdJX33G#N60b-?b+ZFyZ3QdI z9ua9bSWNU1m-nM}EwOfC=*iffj(FI8l5h&ZlfQO9&Z6cLMJn0mK#2Rd@wUd5%j`YS zDg1aT^<90Z_~*xzdZ9{uv9*nU%3rVEm!+Wn!lJc7=}gTKWMHqOoq~^-she}Zt58tF zHQIO3CUv{3i2t$b`T%t+emQj;@@MN=)SF90K9|z_sNufSs1VQ{F!%|pr$3JGs3IESL4Fdxrw~Wqg;uTyXm8J#@o(R%Jx8f6_hW& zsIPM7X^|gxToJcu{Vw7^PM7<);o`> zh5DTA@~)Y^qKS~yT5H9!;&kD^foI0~R7oD!_@XM!dGk;Hfv63{17Wqz9p?dxxGB z|I>~3RXy+i-HyVUshFbWQ*ccssNOQIrfW17-upH7O>|wh`ejhnw}a462qTAp3TIm%=ZC3AJ_XKZey+(G|O z#ufTFtl=*1Zc=4mt&%wr);f4pZZy#n9rx`qpz3q&u)t{BE>q zOONLrZY{CxhXFT@X^7hH*6BUt6N0ZIwQV@vz|=#?M5wXr%}m+;Z(T!l{D4ZdDw(dE z=#!P0?GRWS=)c*mg^_*nkSbR}d5rCA*QQ#epN`O%2jv}2)&#T{F}JRL?afH)_OsPQ6x{c6cWW&Sd zMX<+Oi}s16fg^N!#>vJQ3Dfw@&WTtV!tmLIETT&3N?`ay1PcS9m{tL~bo57kd%JJ!9=wZOvDF&0B24~>9s4NOmikbn&Fnb&;2X8ay1aXo}`cVsfBQ%%OP zM6*%u3U+8R`zx%0V4OvedQmfsd;?)W-0jp3j_RoBDWz9wDK z_x{(X&8k*3sKmTgi3>K#OFm>B3@XUO@k&1&x5GUl=kqE^p4kFBc?=7D79_FryW2k* zg6MY~=8^QtUs>S$aheO2qP_iI2IG^@rAkMq_wl}`@x+Z}aEGymp3Q<=j>PWCc2enAh+9R^~h zm40d5;(W?W4+qMW;@7yHOig^etDzWaMPYIuXH)ZOeUTFq0XM8q{@wtcIzS7Oa#U~D z(;uBafQ%(7J>o%s!gm)wZ0aa%`6Sj|`t;14Y*bt^f;n#>day^Sd5veFMUeCYKTHn# zTyLSP*f5phFePG50UN5xEc!4fZ->p<$BmFYWHo9MI?f8zCsHLx7i(cL)wh(t1|kBm0=I z#x7n7{n;XePc(%VR~!eME`tX#CO_O!kTqGka*!cs_-Z<_IbTro&nuj{^7L-)hsp)H z!{f!X(hm@XNYQo%esu9FmUy)V{%=Xg*N1XSe3s#c4AZrVP`spnO^yl>DwU3k1c12B zZQT`TJeuzEY`0VT*D)fNVym$i-`a4F^2%TE&bm^eeoy?zs+@pw7=XjwQY_H2O5J&R zwz6{-uT#}zW^Pf5e*0rs`CgoDMJ=itN0xT*+h*om&F}%uk{$q_G*9$jScJTYsH1H~ zIhUnZ;RywyZk4=pqmJ-c9{-rp)n1wU`~e3LHxiA)Xc3NyWWCT><&(7dkg~m08I4j% zkm3~v?Q3f@w6ri^ADnB2!>2iZJAwM4(;>#oN*v1;tM)!ku53G|#(uaET&UIQ#TIV3 zg}dpJN*KG!+9J;qPIf$HcP!B~%i0&PvY=)fdO2r~bMY3}bwka*D_Ux%If(^jKp$&YC6~fRs@qu1pCfdNZQyb$6;1`OS2d3xeu| zcCgdFCCq6t8$0AmTsK>QkFZz(r`l`gZRHD*KhUZI?N<6lZsi|XcyyHJmsZ!1Hyrat zQb4Vevo$%1@ShwHlu?Tg7$y+|hSId;Wk70rm#&cwzmbeh|m2Kalr2EwLUjYs*KtfrRABac{EQBFCSYu22Kv2QCQ)|wR=6*&@=OWNAbM)@zE zuy<@Xdep(fm06zZN;LY=@`h9c*@2iQtGLTp;^X+do;EEjuxkKPNN+31NleRzxni5( z8P(?fm6PG`{xN3SGJQWs7dDybpBHzsZDH$)EV>i#6; zS24cfkjL{7x7*ITn+G6s=QS-a5NuYrvfoe+WAD~0-QgZRo~nKzKNSAlvy5Gw;v=Ld z?1dl7V&GYR&alG2X-1SM3;)35#YdAg3yW)ka3ZZYHWLZ;b?BqBHxwI9c<))}(U*93 z+=vZaEP}h~!`dW8Ml&L9q-#f6@m^f5|1vVmN&>*uj?i1U*okWblW~X5Xd-2BWIs%h z#kh7%@nr3VYey4l%lpr030&-)eV7E>r%hk+@4*jUBGZ2Li6?xCvF|q?_v`pO-Y-r2 z{j|AAU(WVhiuC1DNSVHVA>L8w8;Roj?er-v``PEe_j+I2?dPY6^6T}xsGJ>m{GhjQ z^Xw%PZYd7%^IA*q^b9P@s0kNeYf@1WNmCGSOhZ}D4I>m760S#skeknX%WPi_5OZ+gGm z^A^O;PkTN*7jG=klMyj%#q1fY^xk)d{Qneo&_3r+0k@O^n}%zq=3dzAAD;Xwbk3`n zzW;q`;N`i6uCmFrIJ)pd{+fH~)4yu1B-v7v|3LsdNsu!fQ1I6nK1(JCi6zlji`SUgjDAC&5;B2wZ z`72Q8k3T9Fg9|vEfEgeyTd*6*QGmv$HkT+x7aRf#mDl8;ceLvWRqI;cqNwN;{4=;V z@>8N`-u}yS;f~Yv@KKfT@RrMl<^RrKUP1oy70Q=mklQK!dHq%9_G?|db`_uE+8U|{ zWN%kUo6OIAcXs7=HkRwaWxYdj$Z=ZMPma^lxA`DpY?iD7gxu2D@}87X5n56+2!os) z5#ee~6NVsIFzPxmTq5XtVEpl`?SI}gGd7(P3)B@pdTH@J0bxmult;Nl0x)dejFEDh}YA9 z=-HfwjSQ?hmk9in;KJu_uuQfVPhjub%U;@x77)7?O2zQG`Kzm-T7AJCo6bl)fLZpnKP<}OhU*iUnX9@P2`BjO51ci1m zum3{%4ywFIK?xh5h~xZ2rN5j_-`TsEudSqAa*j;TBZ)_qI88ob8IyW*TSM3k{_M%N zg~u@+WOhV$wVj*Pw*M(<&XPpC@9gm-<8JtEZ zgv&D%JKd~4GJs!jWJo&-xluZslcd@_uX~E>dI}5Vx9t0`w{$!^(J{F!70IGb@z>Y3 z9j3&5cpUW;jknZP?LX~Tt+Y%ujQ zT(CXSRheXG{OqfEfXmMiPpnFSZ+C-|sglJ237#|Y%LYAau@$XnW5RpDR1h9q4&M%G zgz~i9=QpM{m-v_ObSOs6twj@p_PeS0ln~+GGI7zJVZrmVuV6-q%l(v;+NW{1cUqVl(yBfOKwl0fC?`ZhNggi}tJWHGO|QW}$GFPVi|L*&E*zFzTtW;XY& zKAd=onTEQR3`V?(n2kHKNh^v7{#|)_otEqxJqvl5jSF9m;V&-&i(4Ec-|f{jyv95Y zXD7nuPUc;3Y;9W4)vb#_6AT{b~UIubbuN2xq28D>DEujiR&0g`^38CtYI^!U|;PUE#zw_xisbK zdqm9?6DS=LAa#RJ3s1@D;?rjas@Mm1|3;@7E}x||@FMcl%e|)*)-H+7QBd1eIsXiK zHmw?`YL(@!O808rF8%M-iCHRNy|(i0Zr!VNyq0^^PSV8L?E{v9w!xFufs&=Y9aC$Q zGuwWy&6vHyQZcqnK4)S3h38TdRmC;P2I7Wae(&JC4HXI}tH~3x!bTMHrN;}Q5@re3 za#BMPQd-U$Jx<&=IT#<&7^eeiU+`?F8!k?p%btfbeVL=FvGBp(s~26$Eq2bV6Z9IJ zjP{v?5X?Wp)VfnR^Q5Dy{>&V7+To-JS8SxkeuAv(SA>LORS%u($7RY>k7B;i4l0V*oc5 zzCPj!!mrf5dUd`68GOwX(?uQ>$r{oBnvE9S6QEOvyvenip9MLQ>lY_r`tyQUg z$3hJBTq?l=A$=@|x+an_w;C|-)e%{ta(*7oD9~#t)OpGjS__9X^TKu5);ANQagM4= zpk9_uzP2L@6q^8e%9#3CuUxZ*9rJ$jgefs1dY6}zP=Ge(T>!mO`#>6!h@Ns-5AG6t zR#tvFHQ+dlX2}1RoWu{d{|WFQc4Oy$9u=olj__pt*9X2hqb&Bn#5{4=S2ku&R8nX2U;;^RnS@;j8onYrsb^AOGAj-m1W7(rKvSZ7ACgA<&C4m8xcK{7Lsv3O{ zo&ZD~u4HbbzoW+L9^h=gi#w?{L48$mrzA3(b%ha*Q9v}~?1-0hVy$R(D7~JGRhvga zU`fN2g|o&z3B?y#qyoaeS$^E3u(uX&OhgFLE-Lnq66|!l2GkFdrFnO!2wVyrqN$wb z!c&t6^xG^6Xfchq^R^meFFIJ3qI<@NY_BX^!K@CtHj_K}qjjugR(eju$H6A%bOZel z8S6Cd>x`?+Fzr@(Wx=v7ZPC2yOxL|*>w;R8h|_$CpfbYR*OF=OV_uHa%2~F_!8=i8 zT*C3yom9B;7_mBGM1HjBfULbxzh{0VW;&MQxx{`9Ib(;_Zk`5d$ts7Ts0ma$ma^t% z>_Na(vharTb71E*D0#~h?TKy9*vxa)7ngE_G${EDHB{@O&X^hw_t4(M1K0A-WLC;h z_9;9FB~IxgNAe2Z#))14b}ZE2R-*Z1?*Y*V106@hV)I>tVPvTVx8-rfj7P9*n9Tgn zuce{X&@?}qz<5p#E!YzubPijYDt6SmHuPAP@;ow=i&J2V-C@haI3Rk2{VFbd`*Z5C zFxI7UWLgu7?g?Vc+&ZhEq`3#SG z`aofvwqblq%)_(9c!%;HlildgxWf%P>A+8LFhg6>sub@0~~T)^tCPNqGfy`b7{Pf zK7tuN6(9!TMrFtwC-;l)S!I2+~48i%X45M#rERQ=4b+7lo zt>nz-DaT>0)h(5h#fYsKK3XSc!~;xqFU37*q&6ZnAeOUNyGaTS#br#}PaBiTg@k30 z6ptvt1;RDBQF_W$8`W)5>+i2d_5^ zQ-VFra=!Wp*WpSZxLqHt@uyB{l98u z^FO{=G-K;4<78-^;q^SRIh!Ol7yRGECZ<&AlU1Y_^Bwpyr!9pq@X`#wG~di=Gw1sK z$t3p<_I_RKmpbGp3ib>5J>PF^&ib4v-`N2HECe>!4hJkO^O?UgZUF}+D6gW(aP2DZ zpu>^DJwJH|K|PoXKjXE_=S_5*%Dq=1YodHu?zMY#cMx(Xqmrl7Bh_jc(b<=N|Cec( zS3b4I%xv{u;r=Y`1MdxcT%{S_FNXL9?QmW^=Nnw(y{pV|K^*N9v)D6`e#SgF*KIui z=r71^-gs%nWwGA7?hp3jc$1$q-aks$G<$Gxs&FF8KRin`FW3GXkNI}#t?WRKbNPu! zw$(40=9V;--pIRsC}KTZT6K5YhX`&S z5wEx-TH^bec(-uHRmqZ%0v5`JE3)JHGZ6n$=tV0DqD1HNBRq?B#bVJ8L=woH2fKD6 zQ;WVH#kCRF(%z@@Z?~O!)X}2B2hE+2yRV04!K-^L|EAf{F=C`u1D&;B2YtR=1=BLwueE(%sk#oU8k?IJOwyaTdsNc;E3YUgd_GH~ zZ-yajHso_L0XI~lVj$aA7qG&!=(%|BSU8*1vexyg@9pzIWW=EjZ``dr9t{bUY@4GQ z34WBi#*)^CXMan9?LP}BN=vNT5sQLdOa9@m+jQ`m9PW#H8zVdtT$vzwT{S}^hbAP` z?#wlI)~;3wLl*NurkFTA>He*8@L@eAj%`#GI@dqn*tIm5v^K_ zkICnZGGenwg=sI?nBIDUOHfAg_D}VbEhkTAkdO-yoAUfd-rLeK?e{v{#A@71^%LnO zieuK|o;XT;Mpx?4p%Rm48Byiw^FbC+wHzE&@oizDoRo=*&RRyZ_##!Ca=L$@;}^;g zHdU!*099jY8dg)x+G-c^KSHg$?znpYZu z1FU}h!fAaOYmkr;!1m{^?`|)TIVyg_Gq-c)=m#S%V3n||T{0jbEe+-GJwsAY)LshG zdiZg{#fXN*hw^j4s3AsW)vbfLdAfUP!2mv~1gO5(gnB(ZWXVonx(Bgc# zRO9vG8OwsoYuOEJxPtoM5tSe|^I1i2!;)30ezQ{99f^aw7(riyApD7HR+9*EOlaS3 zXJI6W{~}Sn{777myn=46=sHR-;x_U&UlB)hi6IzIJ5LihU!IJ$IWNMQgaxICp5SaR zUvxl`r;>2zhl@Qr?p`B?Wx6&ixlg?W(kqOpl}98l44}rglI(E$ays?-x8iksjy~DT zHZy$KS4y$O@fg6%$M+7+7WOq{g-HCDKyujROi~K$nN)Sn{7r(!*208jl2nnmU(huz zKX-g$mlv8~+iJC})t;IMrk0INvBgO?`kqh{vWwt+Dr7|u_BQjwo4UJduYxt?P`y>; z^$K>z?=2}Gm5({EYBLn7D)D4PadcKT{A1*lHE_<9gWAyjNz`Yy$r9f^P??$=DIOUE z@07R2G*Um^p?fAX%f}CTP}HJ9^gNP_UA_;Vg-q2V!Jg%;EUEOeCJJ6~V0ceX>~v@< z+dhrQ&?lwpYQV@%HW!}aCheYyMLD_10GiM@Lnd=kOt7W+1$MZl@YhGnO?+@OE;2X2 zCE91TZucsi|0z|CqzO!#ZUC&3HzHG*$i?)jSWUk;SOzVbSr)CNFq2-GmzqM&s(H=% zg4BNns`A9~rht(6xsg_Q7E+-*VZo$C6uv;Is?x6_OLJ`Hn?cOA=5WqR;&a9M&~uWg7m2+Q#j<%x0gK`OZG!T2 z5cm2ixF8=s=Xsp%B@N2tYYDj1odrEER^tdewx!M3T(Uil_eSCv z_tOMHpjNRl$j@;N%#}*FjeEVNK{zl(hNdKcJh)A z5+69O2hOr=bR$%S!&IMJ=e+WDf~Tpg^=xznOrpn=86gbIlJry{Xq(^*)HTAO#7b>BSW8a@lxGhSk>o ziam|G>51hFwb>2PH5x3)Z~(qbw8c!SsyPnU<$-b=KWaH>qitvT>UDReEvfP|BzQg0 zUMSc{9P^kiwrI-S8R?a0^?Mo%5(R39lDJC~k)db~4|7c%rE2aPc;S-wZ}eM7RHFF? z<%Qh6cuIuCGa}_+SE6`awW+66t_(}%EMN_O8By|TVI-^Tmw$e)54q-P%~?iy?@HfiQ8K}M5>gg8ut{6tr5;33G|TzCid=`_Cr z_8*RCQ-*Ru2MQMRb6SgdI~`L>k^!xQcxbdzIP=XN*pBF>;hm)IRelfa!R?mYfB zNs4UXssxPODR5fDDk(W==ls1k)Lk{1spLjzR;9KTS1?r>xNS$6+u2G|m5Jo&^X;81 zD=XWx(j}x9>_q^Y{m#afcHOxpw;^(*ZD*aUKiCl6WMiFQ;7I-GvjiGC$ zHbvbeCDM8tQ(GUcWgGvJtcWf5sz5}$k*dp77QSTNC_$vML(0#0w=LMmO88_p!-+7p z(6_mDcOL%wbKQP9u>=?2vVfs8nZ}%ygFcPct)QEQX>Ezp!3O6+{2Z*w#}7^2(sd_e=%Yw+rWe4fIsFIizDj^$NQ#sb9@NXgFUjYNyG7J4;SP1>H zbbdq=_Gwab*HVd^V%g+wO4j{aY&3_R>if^8cG{X`pmS*ki%w;%MLl0UzDlf39S0#L z4ThgCM?n|2-92TT8pfsLXIJCu(2essUDO`7jxa_ zWZy|nqa|?GSM5)z;Y1M}Qd?JZ3oY6Uy>|xm4BT!7ka1H0#s!#{jG;M>q%@by+lZ~%YC<3BFs70Z`;p92yb4z ziH6MmK9|T_xDpMSivr&F5zUF76KMIsGJk_}ZusIEa{oWihtD*KWr+DHa1B57=Ds&t ztoyu!a?u$udUJHUt>WE>=+yR0%JS(azN~)B zc&!3?&ffoB;WIVQahcoT=gimpM}0WO`JC^4Q}GO4n)A<3Y3A!p{+q(i{Njq#Ec%WB zfo^~Q`|DMKH&I=mIPX0BtAyA8zK%1aWxZn4hkxHn7YJKkHMZ>GG({+bUzcx(3sxSS zBK;n0%7=Zg=$FQvDfj6%rvy7Gf({tK_SoFu)P)eVuc=nEPZtyaTA>U=S2 zUPP?N>p|B_0Yn)df{B~+(}z#5KHA}C0kIl&(V=1G6yM)NFc_fFLKDg}UMqc_zU3Bo zIJ?Pgd3Vk|qIy;@?E0Gb_m}7kVUL&@&O?*M-wGDY*AHw_>UIj4&f0GQ1-kt|%M6E~ z7~Wg2Kc9?R=B}<=-*UXEn;*9x8=!8aQR0XA{tJy`?WI!R&jwlx8W0&w?kKID9eDCG zLlR^LG(FDSaI%l@BbXO1+1!%GU6wn_+GlhOL&?T+SQKfq6VE20tR+waK-QHKLZ1aN z<4iJapBNgZPSGm{@igjYv=yDVKa^7g=M*3RsJf0$_%z1&E#tRWrdetpU}|&__I93m zyHgOf_?GL7Hv(0X6}(5~w9T@rTlp zwOHD~TghE(U?d~KSGRwuesj0#1*@4mmp{tsl&C~Ujf**)dy;WZ+1MgmXz9C_%0|no zct)a73FXvWO{MhiX^bGq;o~dB3@e`H_(Xs+D6eEWz-6^n;JiV_oDl$bPCz~6XndBR8^|8S@}TxY;H+9<5O!GxbP9U$=#Y)X?I*nq=(bd zBVLo8pt{*em5htu9II|EFw-IjUfa#0V|Jwjw0^^KhKGeXj=)B3K&{2)w+GHEGnV#I zSkX$`@JDA zJbd)_(&lH2pI~LON)PLkt9aJ2yf^RWs2>c)lCCU9Am4NGzllDFQ#^JLATnlN6f5~R zlaJ$RlsI@lXhDdpK#ryhOh$tJag8PRUYC-I)yj$mCqo#4xp+Gaz1WXdw!H6Xzjr8~ zx(Phs`~7x#ajjYY#rPn4oQX+Z%iEUiT(BXZzUe`P(t&wRYLY{O2ZtCHQD1m>Vuvl6 zd0O~k2v_~Cer@8(&vD+%7=2bTOAi=)aZ!^+$ zE~lzy%s{9gFr$wA(n;X>+24J+nv(QE-&Bx7@k*+uUT)f#jb?J3Q70{mbLL6M*1b~! zi{(sJEZHqLWSzt+#K2S~Nak-4G_t>@;hRO#>%^JmT^_exW7aV}X(KIv&-e&eP#SCs z56f)UiKL=?Yn?g=5b{C+(GRQ##=c-21?Z!hMvAu0s<$L}iWeDl`O@OrQFt(Z4ic?1 zj?CdHRQ=~;fm)U=P`l1ixT}YOyX0Yk^^91eR40+Ey)Ebza*-&D)jC6`^x}_Lw9cXm%SGGZrlsscdkDf2~9cRb``xWb|(f%n2Jg^{_Wz+|i;I-!rcWbSM1$0mr~ zY-P4alj?R-dtxeHealW}hl4J|-Rz>C0aW-V>Sw@f{r$#utt}|80 zof$jFWM4)kwy1&m-=byP2WC_ zXx1{KVdF7RWI?xYFC*y{%?S}}=0-ZJ)o9p?iA9!SI93EO2w2G~vBne(FGbOZV;<%? z9H4nk>DgykVE$dSSw`{4kRHQfXW@tYhOT&xGITDTob<`8pTX^0zFXyET(A zM9AXQCEL11NeTOrtVG%5S%ypKnwSp8!Qw_4>d5qrS~BmK_#c-z@Oqvub+J;eZKdey z{-cK7@c0a7 zG%e3P-q&6*gaOra+kh|sE>PyN@ppz=1sl12&F+6(naRS3E?$hDnJiWuSkDIKs42t# zzyGB0o=)WB5ylwJrUrVZ(Udq)p6L2=8>Lw?5Le`%E+~^f%qfZ-#MVIg(G}5h+_(T5 z56ke>W(q4jcMsl139>y%c=A1u*HSp^7eBF3?=@l)rN>Roh-hFxnwoL0?@f`tzSg1=$?3~kLl_eij4FXGES+=2iQ*=9qq-*} zvpBLgAN5N{;INd_3{sr(U3iEv{}LB}1zWn?gxRfo`f{QGKL0<#wvw+%G?MgNs{X%P zI^qzIhcd7TxcR+A{%hv~Wm%hL8{oN(sMSf9nK5xDIZ$|9&?NA(-;y0lFuj0tW z{GH?@|5Zog4XJS!vXa|D`faf)jFmWVhJ0@0I;8?Dejsiv(008xB z;tG!R3vwh=n#kMQoYJYIF$ste4RL$PeHN&uc2Q|-o zQHXx|Wz{_IZTYhH&pOUV`9|CR9oqnG`@9Hy zn(C$~FnLN()z-p>m)Mp!gnF4q;p+aWH}B?K+wz)#!O$T0lMaYK{Orz6BPGgZUn(>) zJ_e718chiaFKkNI(e6;F_F6V|);)Vgy=lpJuRqqrp(^AGtwyCvHwk(ts%9j^JbP)` z$kV6aQNomH%{%&iR#!}pCaMn9k`z(M3DtS9=|Vz^HVyf1+l)gqEg|)n^4pd*Dhc`C zW0)#J#TZu!rsi$Pk8dl!qRxp$2wW5zHW8eydD2#t5tJ*Z#mC4AI=%XDmXEeO$U1m$ zsZE!0XSn1WcJrwPImO>xEgV2(Q6edysgMZopU&oPu^U?M9a1oop5UwLLT*xSB{Nm$ z;1t@(PCS8wHqBWqLoay15)VZFU*Pkcmb8r%^EOG_&ngqpZ%5K{&8MI8L!B_}HCncJu3sKYGn6ffdC08XKA*NmF0X7*PzfYV$Y+f&Dc;Vw2?0G+ae^FxLZL#c{`sw9XP3n} zI|Zg=h_4&F`7;FQd|{Y6)7%ZE}^AwiOT^FHGC&K^c+MT3_Y&5$4J1j|3K zi%0)1>@&(O9$s+uP5HiyHaDsxGNA3^318{wq*b|nS(CJPeom*@rF!eVe-2th(=pQk z3Dnd=o&ufqr_qMZh_j>BoID%nh$uaT7hzPSnbT-~jXbhNk(rT6eRf8|ZXsL9W==%8 z;tQpW;Y;TPHo?#oPgJ}$ARQ943m(=(%d^FJ@vOKKQ^Ua9^mvQehg+)tm>hR9TZh9n z$-1GUy~$d5DuKVprx|Av9M9`>oE2WEQ*^oVx+F;z(lOW5EE%2)#K;2u|9{EfDMpeW z!fZrIN3+5qZG7lzEKZH4>rsm^)yFxKk8~wU?RjCBXqM8-=5sjFJRyA!w1PCmID~P~ z8#ZEMz~>qJ^i9NHSeK_Q6uo>PC%WZ?hr8NQs1+U_g4F+7ZTBE;z)31B2KZj6YdDAZ7n%D%_;{D2=mGBgNev{vWO(!0m?#pl z0g;Pig@=@|B}pb3A;McG`#_htQkIkjJGWRS-C}5APV4fihpzS13}@QtujQ;5%{8l* zbHpa6C6CohY7|1_&yO&TuvUfBN74%eq>lCv67&BbuFeFksXJ}^3ISYDXDVu)qF4n~ zsPevT)e0(VQCnP?5rHZK(<-%!kd6{)2qB6AY|GLr0j&u^AcX9#67mWpvC39BOAA>F zNHWuk3`C zp-C-@y>?tSMA)L4ArVCRm7=XIp11zA)WOMj^Sh0ROcLWUuVkHb<9^^|KPxEgOB?Jc zfRKf%SyJE|LoRcUS&F^|LCw|t0F!0qVJ|zA&;{HssrI)(<6nrP31c$aU>$Eo*qH`- z@+go;^#pgfnb2X9S@x}PE6lY@` z@j#k1f$d)ezH==Irmz6bpzLgQcQ460nWrEGCxXM@Rj|jq%ns(`Q}Yv%8M7L@qY9NI zL(AxFJ-o%!@`%Bawoe~j#TI0PIlWtPbKmUg=YMZ!ui8F4{Gy99HrFKvB&CTCf+}rt zEq@=Bm9X$n6Y|s*wyZ=XdjxhE;Y#EcK!*FvJHJy9`DevF)`8$m@`gaKZH z*W?o8$v7%`21=w5ur&-vGjP6|P{|;dXk8*=nXs{ih%Y8N1@}~`4F*FPvR2lAPZ^G9_F>c2?{EF)hWVtrw7rxNcxyx zWZrBbNX}5-yjMq|wKL5}|C5$LBsyrElnEXDnqDEKz5%JLyH}Fd3`PV>(qu==Yi&2P z^NN|0OXGJA{>%={{@~|2KL+e(U@gFJX*MBzJ(U2ly!w!PP#fwpZMU1NQn_kL89(~8 zDt%-xT1Vy_P)w*YDap>Ch-Gq-_s|*0btxO=?o!kWYJp=~E zd=dm!;^LJs15X(czm|kIpI8PvN=*8on{kq39?m=)JAY;@oskCHKF$$4bf$K|tqLGU zB5;2`6Y8yMh5R-{&Wjx17X~g`RA|H5>yN@NN05sMjnwuv9OAHd##Z#-+<7~vi9W(P zkQDMz5+J>=wxB0_lu*WV!snJ+od*7n0Cfl7fW8H@H{Y z_|8F&y--24c&^xF(+zbVr`{Y$}=oYVdgI zwRT0ZU1SDB>%eKYzZwHSH@Qnf`E8RnPc25omh`F*P2skZa(y2A{A7j*6=%+|<*J8m zA5=0AWsmiAzT_;&cr1+fc48{NN;ZVa=Do`1+WAv(m)bXu-?Ps-t9KJ??8YzxM5rjA zwuBITk^-C+;yDrx2Sgg&9Jx1cDEZ?JlY0=X^9QO=b6}vL!k1xG5xA^&z zFPA=_@$Fmi`a6r4n@JmP&n})>@aK;f`DGm^ZTMyRCrAHq)#vyTAD=gcUbi+*``lXR z^Qkw_OXXD@5BG&rPDYMr z;3_(Nraw$XS&ydu9OL1N^xy=oKjx*HB&tRuCpjf97u6XSzA)%zts@_R`O?W}%3GcD zyjZzPX-n|>+dbtgJ_L+s_d@m8AA1kiFsD48K3Tct;l!Qxm3i5%k72&}vDRXG@J9PT zIII3=piTbl5#rgB)g^d+9S;%p`g47wvgfzGjA`%8?(Pce!$iRd?;Ij+&MwBGt{LE~ z!Kg^zRya?J9>N5mYYd^a^Q2Fc5W(mQ|7_^TUAFd;1rf}z@JHJV#+L>CZm0l9I*1t| za*`J8f0*bZ@l&{S3DmAo?%@r+C057mvGKyYv>Q(@-*Jsqc#LI1&K7w4vfL+JDY9j{ z_Dv(b=n?Z2PA4w}NLF;-SENhP$<&Ho|RTJ{SFh3)#y70N5bH z4+Gmhj{3h!??>v#1~jkt!l01bRtzL|HZEu@PX|XO069*0|5=v%!)usW;vKc?-)@$2 z?;i|c69oJ9Hh3w^{dd7;k^m1N;>?`&r(@gmg@IHj5Q~R$U}0o(E;(v2i=tf4$QV?} zI}A)^yUWp3_&8Q$)x(olm<$3c{cguk=jMuta2g&On^LjtP&`rlc zB_o-E)a-HR%EmWe0OZ#Ili>Ja`?!%;V}xa$GaaBj5UFRx6g?4@*wtyouwCjJHv$Ar zk3G3uc|Ateajs=AaKG@kde{qa4(>Thj%4pK-fef;V1Y!%#otvm8RHdzrA03g3(i=Yir*On1?xd2*p#R6hSEpsjdALHy0LFlI8I_@;%Xgxrvy(UjANdjDN=;ki*I$i{vOmweWAt2&_s#JK| z)b+KnM(xralng8&0;?lfH(|*6RJ@LV65dhJcTv0V1$2&ga?L>t{7p{~OLQWAxvMq7 zT)31=)WAcJ!>IPK6_oXc=*xi5U|unX(4H?=lMAHDr7lYXFmq{urLZWUWIe2$h@pcM zM#6dfwA~=Mijx>4?3MhG1Z+ViCfdf(ZE(%8_kw|As0e~}!^iMODLi7ei)*}ZSlkFi!vVi9r>Mtw5{zKf4&shtq@|Tb(-RR8}z^&2%f~#VH7xYsyDB}!(!xE8@@+rW0 zNF?#gH|h!3P>kZhASQW~xn|F-uI7xDr3OUO+{BUM0~bT<2h6ztu-j*i)jryWh&h3|z-0ko zxek+z>W^6I=FaO9ca#bfr%Y-}LH6vt&T(IXPKerN72A`BtZ0gL0?o^kgCGi-vsvim z)HjC%F=hm5XGO>$5W>alLys!Yokq_I_MrD=-cgFGNaA9n;WaEcP7oKHs?IZc`HZ>k zGb&|yki;)?QlXye9dC~%-mj*%{Alsq+O5p{?p9-8%5W_6l9)Qo&u~-NoLF+ zXWenr36GdcC}t&wgxK_QQuE|=IW|QberTq__d6MqlCF_1ieluOl&PxJ1bTASf$!uG z@|@3I0x&AgWoH!~5`e#ZrXQ{A$3M-`>(DyE0|F!u_$eW8&2Bo`lA1F>k#6{O_3=8|6)`Ez$B+$0of|fXe9a%Z; z{AUcsaOZ#>5x?}aORNJQiEP6Dm4gEa!TG_)q738CE-4wB=V4dHK)&a2K6E$-;qVQm z+UhjIKZUI}+aWOt8>rz}kc%O?C7PBhr2~qt_f%H=bafAZj?()AZ&EMDmQ-$vUd?2VnlQr9td2 z4x}-&sk2~q9<0X(I0_ScDyI@DY^vHi)Pi4I8VNg^i(#xbsP-6AkQB2;PC(S5bu~0 zoYPe8Rgq@r>qI)jQn)l`eCH#fMALq1JRyic9Jww2z&0C&)R{^1G?oke4iRCuQo}zp zyRbhYomZf20bg4GIP_Q z&bV$;l&pmU)fIe;y10x&lwmT&8%yJH-EWuR63xD#|FiHxVm(b|_uxb3bt8VEZc=tV`y`E%!fQppk0*R|O4pk@q5o-P z75HJtR_#e^0dOwi?v+5u{ND>!5O85Wv1^kdZAU-k(!1vrQNfifqgqI%fa)bG_pn9W z>z1m1njLj;{H_wKrRL*!y`u{A7G)VqDOBzO`5Y`M>F@{x;81ODvV0O#e|W3ZrKhD} z=ZS(zwBuwVE{+CQQ1M2`MeQ=^-x33cE`ZofC_8REBl<;E?vmhK5ncO~x8qT>5)`ll%&aUiYHFtLfq9Z)W?Q1e6db~u29#ammd0xdG% zXtRA_<2{|+@&joRmHlB$3kZi-VeL0EPAG^Y;Dc&o1QYkd`s{gi_mIqBIRZ59JJ{xh}@&D;@ETg#w&?pn0~xlldJghLokv{6_sf z8v78(=omIahz7>;%sezvKuoHz70w{6zwkslx&E|14-pB8L^P0PcqgF2(+1uQeT;`* z$-B==DD2nAgQ744*aoupDL2erfQpD&X+#T2BEN>0B%OfU`P$v%;Ff2hV*QK1w|=XK zp;Uc#-1l?@8EME?Abdx7K$m!fxz%979`_NZjCjufs6g5lQB>04X{?r!1cxmv+)9t8 zBtu~^u}4*S(l1ihpN3sc%68&s$Q?^zIS*{Oe5zyD*0Df_4Q{Ql_OVOhw4oPe-F4f2 zop8sJTtlOa1NGnzApn*u+pvB5RR8fd-KW3TtVSsluZ<4e-lm^y}u+F!#m5 zzZP;qkhH_xhcDdvAN}$B|5tzX`QuUVKamz~UH~@b?@b*k4|_7pCLt% zg8#4nxEY2>7$g_`;MO0v`Y#|YSm5>3Q_vqbY*^q4%A@xN7a??dEdG-82N);4SDy3< z44fwgF4%n0+k+If*E_C%fd?^Dk~X}!>A7LbleXErAlTvaR|hHKl2>oE-Am#Vqb9K@ zKe8>4o+WX4zI)6KR=*<6nwBxYzt5~;?CRg>`AW@?n}T=&FnrCQ3|k=!Qs_2c*u3Rj zwOiABtv)F9LCCKg=e9DJyx|i)we5#3?7GFTYmLgFZi!tSNKPq@$z=~?an@AS{{*hY&#|3gCiv0#k}Br zS?MqSpG0q_4*lTy+6}047uA}hT*NMUjR%K9&!DQp!zb6}Y0IpRBMVEcBb<_FK*>8k zvHp5G_tklDBsr2Y+G;IuM(t|&NAUfx+a8}Du4!D0h_Ed^zjl78F{3`sc>7-(VbBcQ z)FoQiuo)5i*ARdc=-vr^^iL(k;qltth-?I!Sp{sgmb5MlTGo)-8Pb6kK%=0w&EB78 zAp)NB4}B|wW?z6O1d=ZGns!P+ijp3C0v5UC_JZhO>X3pkm%sT4a4l3yFqB3_Si1T+em4$AB{VODp&3inXu;(~`kvNx&t#k?4t2WBSE$zHz) zDqWOt8z$J@El$K;fFqsX+kL4*^G~_YQq5N*5wUn<6T*W>22jH`7GN^X?#E@3niCJf_j`C_HjI=hcN@D@6P%TC)Gp!6fq2b|-<~}G z85N-7!K!x#5;=QYFmy>$CFhQM0Qc77zZHHvC|dXDZC&CML)4c=Du8hW)T}r@W!SX_It2n2YCy66VIZ=Cg-#W-l`w@esy_8bAXuq7wDwXO%4R;z&XEumAi733(rfpEjqKokW(!|iT7HbsaQ zFoDkd?at_d<03H2Bk>wHvz(#2EP*#!(spCkU4so_#<2{>85nz^{+hYZp|>X4xRKOZ zxJpu=7~kj+xL%tp;-_L>0`L+|AiE_Gv4%cZKbrw^lW17K0U{q&sYHihxA?UIupA9? z4rGk9m5;!GOZ-A&7p->aY!->tU172uE(83-tf1R}LW{{$jRW&w2>`0qw@#|^V) zM=SBf8l%99)h(lPu9IbltSVTV==l(xp_%#j8%ykCQsBd8OZ4yu(7!ors2IeM0|pLG zVuhyN*`I~%{NQKtdrI)AvKp_@AuRzm%zk+xAMX-ViSxerj8%KrslfQB$j>z(%$|g! zea3CMCl(~fz`+M&RQXg4&*v6F*u8*`Q|ZeCXoNMJDAy2OA`XWC@@7McDFIeKHtu?x z`cToyxqXSh{go5JH?!px8x$1k(cea=JH}S)dcg zX{|0=!MB1qy(^)=`^c}bLO>fhqSnR}dMiAwp|z$jp}(Ua`x$OzAFLxdJ6ef1J`31o zaL}twR-fI|oI(^;By;wQGQr&p@m79F(s3`?T|-p--$n3Fx0@Kz^(W{nV~1qJ-Gj zYJInmaRxVH8j%vL6kvVLuhPZ?Atw<)6hzUc=Ba3ARis;`NWui5UgrV1PfK9d;8!QZ zEiMm!VGk0+`KuL?>c;&;RQu>i z7?|GU%YS%apE=>mtLT_ie6{N<{c(`0TR;DPd>$qxxE|aHhTB7oORN@<<=jIcB8i1O^?aVo(WWE-lSIO5@3X|i zR*N>Tv*65O7cAu^3h4|Su9St}nlpj1)`WhOtaoX(lcjbUXdH7kBz~Cuw2QZ?WQ}zA zi}7{I&xe14)l;Ip>LXONK~T#?mLknM&@# z?Cy?I1C13Zv!kD#YHGXLjedPX1{ZTXJ}reQd|7}At9R2?*ij?1%lu6^O#d(}q9TH~ z4R@4rs2ViF&D%zavNB9!9m;nUXIxo87n3U8Mp!Dc%)ow|#&L`q zr4~dIt*H8lD>LC(MPm^~6*`Vf8rf%I*kK+b14m9En!r=%Xy{q9LS>U<8PHyW9+-lZ z1?wmgp$9(!__3W8Q)a?e40ALeqI7h1L5L%?MKM5SQ@J{5L?-?`h4^#kmFyfx@aO7d zUVs(FB-wnH$GAwThjZDR@b3l0p$z`@LtBDC=bAUbe$QO=Qy#2)D3DbiZ2TmY!&%9) zfq9tKbd&B>zWDB>)=&_ZCK~?EE(gxXbp2eOB^{M;A|u-hv`{Z;!g!P{&hGE9-3H>1 z!y{!ISs=YAP{|2!Hn7}GPtJZ57~3I`%A3+1nQq<;b9JF_@BHRmAf-_t?mwA9g9Rx$ zH-$irLTw}KsN!GkPv8chhFGl)kSQ7J7hOrs4{>o*RZyJO(zJ6B9yax3+tb*o989=5 zR1;u4-B=`ty|SqH0<-p_x$|Y1$x@rJyOs=;)6)4Wom%9r&@2bwo`?l1Wd^-@^`1*W z&<0Zo@Lk%O78#H+o7e|?(sg_@<*+LyU4EOM%y^Uli&I`qsokR0Y1^zPORRbej0aK* z0aamM8QOPM_I_N}i-FyG%#(F(X@VHp)%KI}#u(%rBw|!>7Ayv9csaB?2X*>QK*~EK z=lqWn>REjm!`OL{5DVf*;4x@vufXAPSczl2tnKJL1N+kL2!+ZLqCVn3=4|nm{_^v~ zeQOSZbA@4se5}R&IKb*?L&UZM?x&gX8Fehu)P~l-a?EjdH#Jp&{kK-q%{_Q&7!}LmSh|)x>#;Qw z+#qZANDZyiXJDx0g(n45<*dId+_W3W5<<7YkWp3G5_=gQ?Eork#uTpcx-#p$+0InO zjoIN!EbvVvm;G%_U+Iy;nY-+=!g0kll*rzvaA8eFV`tO5#3)3%p_HkFfO6@ntVW&~ zy%`624%kw~5J6mQm1l@t4}8MmNu~B7GgD=TpcHJC4#qu#9YfN8JgkY}GNr*Cq<2428%eZA zE`WJ$3CwpJV9*1M&C-_^kyd;Badzv%P2NPE5HTTK2axqeAbeV9D?4+0LUJa zNt%zmyaTk}EFys&J>}(E{{3H|H*##n+RuMky*7URA2%*p?pplnAC~~ab*uBD@9U(M zhkODZD-y!JH&aN*%I&MZ^@+(_=FJ9;&SUdhC+JyIw>&(?HU@aj>)p_MUS1Mk7lY!Xm|w+?UvY}R`pXu;(7f`^k6Sn03Xl#3=KW>ak-|Q(`p+m>@CZWzS8o{e;gUk?6~{Pf4# z;agYVLS*$@v_Un$z1W!DS;o=Ej$#Oj!a>U}W+Mwy4tF)IYdC=j{A-$hkG%2h3r43^ zx{~$mrL7~soW%qKvk@%yt-qnQle1;dOus4lH^Q7-q*{2opSc#s7w`c|iLrG8cRH%w^h#|Hr-5z+ipnS2GhT(%7nGDc9(QAVtx z1fn8ASWu@?|6kMhQ17E*D=3MYqi3J{L5b2zPSRuuD&{(NLiP%*hONyht6p^Ty*LmPZPN8%E;{9t=~7Yb+;Qm6*lZ*F4P{ z)2;3jUx4aLb7WNHdA#SFm*@-NSY z2p9`8N5QvA&Cfi{51$mghYG9)_J1dj!0l;@)t0T_*S#^c>I=(V!~+)cqP}{}`viOt z_l6HOAr}2G^f!r-n^46knM3z;CMv=JkODH@=18njMxbECC*cLBIG6C31(9LQl=*G} z00>Y@*!h6WG{P9;j+M0+NKOSostgfl5YYkM6K-OHSbZ4Olx;9fXHcaBMVn3lv_Lqy z7Zoa@FlqpTv_pgC;(n|?p40Zj#d}>MbmuN`gqm1w!?r!Br*_zIbIgK@uBy;Fr$VxB z6h*tZ7png2qzPwrV#lC0EKJGIFCnA-N%FZdfZ~ka0 z>Zd^heioi3sZaif0H_SMp{W3o_4<}`mKPHKY`~|9x{ZSMo*P0n z8&5+D4Z0SSI53rAC7x-+JSlIgD!k`zlR=5eGj+VjAwBI~&|S6_yyiA;I4=&oR)~m7 zU*=wNr?)}xS>%|7b0BMe)j`(!%pPoB~pGI#GQ- zQ2>DzmvAR8l^MNM10^mk#ajLyjfSLf&^)(qEy0T93Brt+R~!H|oA0C8k4}`ZAzjYC;}jufR(R zRTYAFi#`TR;$C8E1c!|amGNoUY#`$DY#UG;F6R@i-QDGk{u{n2nI5ZDYc@}Uyzb{aVN zAc*w_>%G$*tnmpH>I=|XH@^_Bh9d9zMlfV&A!2ezpPBFqLxIpf%K8)&CK~AtW+cH| zE7I)im&03;LYRd$Pq^T5OPU4$XZX-zyLPVQhBa24;%F{)!Mae?onGv0cFldYX#@|N zs@f8k4byztk3GC!Ihz=kNzI`Mnd6_i+{u?MP6hJa(F>+EY8Y~KIt+glLPmwGqUta+ z`bBPH)8>md?D7!2bpAx=jcEzoS4|*+6ZL_3ZH|`kjDl^G(77C(>*ZlJzi*F_`WEe* zj~sJQ1U@+YMt@!Dce)6JS+|@+n+;?*+;<7IK5i_xDNn6`G6c7?u@(tue{T!3e^SA` zaz^GXke+$wUQftb5jYc3IIkL8It2d-unM%h9{FjuM04Hxrs z${)rJC?8f}4?#o!ivkZ!nm0ZF$4R)ZZSf$*s1N}`{T+lKHS15yC0k6tvuSH&Iq*`+ z5SyGmVx^ed@)goJ5Q}k9QgpE$R6};Yqxo3rM}XfG!;Qf4bWDIBD3IHILk46ChzC`l9YB6&`pl}^(H%%;ihfDgsv zmE+=~SK`%jrbRVyXJ08IwB)G^-<|>Va)K%s<(RS8xa4s~hR%QsMJM5nYbt$h8WWtP zu@3PjP$bU%iQf-}8!21?!cd6j%%YnreoKn=#DR< z!0el(nqhf40I1E_mjp!OL0tIgc;R#Xi^_#&91$23tG1~b<1aX;sF-jH7u*8v-1xjB zG$Fm@j(*y(u6c5!W(Mqyw2|h#P`LkesUC@FL0B#48FPgr&#c^Cl!16=GlcZ4Wj zZ9(f}VVhzuf1ffBwgqsI3tJM7xZwR#b1zYV1;pJS7di_<<{(m2xg9jur1YXC+=Mc_ zOHuGQt<~gwMfuDN2xY=^a9_nxVdw~R@vat3nEKdNHt>*Q5kGmUN&^J<`V-*Cs@FS} zsK_WEHq%?6d`Ljg0_QC*#N@0GxKsGUL9-gnh+t!PDwzVHs7KD)(EE~Px0Oo>ETPe> zWZmvz#axY10G=}iB8-OuQ{g3?w?IUuN)_z=A#@@9K@-3FD3~fl#6LkV1Q|OEHf;(_ zlr*ZqJ>h;L7%u)!@bf>0eh&Wm9~4CSm@ZoVsj-QfmPGzKGhiGMO_2+tSip@F>id=N z(^^ViI$tsG2v?(mS;L4<2AID=acg(g0vBObR+Rc1Mpp=SA@zhc+|-dj$n|@t*;uu| z8JdqiN(n3g%ULZaddCww+)Rg|C^60inUXqJhxs*T+JW0}qARH2f6y&K>^#EAu> zX1I`*XwRYt{0D*a1*n#jFoO2|e=*7>p8u|x)cn61CJ8jmrJjDhM2hkZ=}!wHPA-V@ zKJc#R2G1iQ-b?>i#pEH7FgxHkYyWqIa_+$YjZiM~T;!g4U)l2Ifg2VA0o1F1I$nh&0i(mE=LCm={f2eB6FBd98{A;#uDh#Nh z1d``hF(W_yYx`fHc)s6W{^7~jHwS*ue*TATNrnppP@b7i;-#-8ZD3vY@jj58u{MpA znlt&@U*BEu_@i>KJI0X9tCM_#WMtCJvbB;^{d&l-UAnxGzG}Cc{$~&u^`dmrzc<(d z&kRxKn~(OIl~ML6tI$^KQ4-ZxY)!}<^=dq0UsAp(DLZCiX)MuYD|#Kdc@L?N$VNOl zUE)o_@BVV`pDBp+tu1|0hVd3!y3aPqc48?Co;E!nA<=ERV|uOtIN zEiW8*OVq*4)x0EBrYcz7>wRFbaQ)7Dd|Pqr@( zp|3)SL(Sd-p&M5Y$vv>+7%*w$5$l+l7<9pgL-1vexaQ&HZ#BA6EfpPiW*k(<3tR-) zN|5C4wqx~Kpu=qYxvK#Y-VIFRKEMXqtz)Bm5KDFw=ADy(lC)JivH_W~tosd2bobg_ zv0HNcfO0pXV#rIwO^+agp3M^W^;&29wM%jEcWA){Zb|oa_kG9)!Cxg--HizX1uxw!@B_}O&i1;##i`T&}s`2*-u9rI02{g(l`v>x|C)L;V(f1Ewhoj zMCPl4nawv-elHjlMe}03Qox{Zp_vy5PH7-)6W;APrq^Jb5K6;5gb>BgHdIA8 zmChGuS)6m6@rS4&E4lD(TTUe2Ff0y!fK_Cl#;XVL_fIq1&UE~F+^5Wf(XK-N7@9cE zIG0ZdF;$S30WuCe+}u3#0+(qfkXQ&@8|{V8Q@~oJIiQ%r_S})<$ZJv;Eulvrw!3Cq z$2zZ--?+Sk_IF(O{D1N&EH64J$!=B!`KyREBF-Ml2zMp8JcYNB9hDebXVjY6=eX)z zOkmZlvtiF}0(V>>-$!#i2H=b7L2J=-m33I*c>Vxfm}$6xgIBBFi+F*25UJJ^Yo;_r z5U1n+B`q#6p8;>0$X%7DeXNF^?eD*fz#`G=tA{}zqNw4QrxBo(MCN0`sd5jXs(s7? z=uxlo+LGB;WXI))lr?i(dUm$rJI*&1u*O>fLpY*fvP4r|f`0w_eoW9aSok(y=HTv& zO_pvo;MGS%hree?U*{+fGjhf`!L9H*6Oj5G?;pmi-eME>T0{VlU_<#|Be~1BG(!ir z#iqJwJ_&w2GW!|_>>df^sz|x+@He2znJon$571hFyFdY>vQ3f6htP3$V#oE70^7CO=mOeru}>jG+8 zs~(5>A!fqwv+}gC4o^pgoNoQM;n^S?NEwM}19{{dHP~#2^!?8V$fA+< zxDiw!e~8tASa1WD__!cVS@<@6%zz7WQt|uzY_!&jRgXWD1}EdC;|eyspLlf(Ar(v_ zwMwKq9`0aITjghExuwrhHt?h`7wYQd&5dxlT|oo&~~k7h6uOe02lZ<#CGl2uo2 zgRewf;=d&K;e4M2F-#s8Fag)jiF^+y%S;GDnM3NV=GGT&y$aBN!gT9gRoWx-(}*A? zA#3=_Abh~)@@8%;_8<$sA|QpPr4UQ0;w$M;Yoc2rb3=pBYC|Fz7{ZCWVg2x&9mW#( zUR+f8ah98uiwOJp47EsKVx85tjxfo6r#tF9f6+6>o-H+l%5=uk>?$=6m3wKq7_d1W z{M}gg+>rg?yN^pTp~6!1%29fxWl5X z*dRBx-<~r;$)$|BgtY?HjJEt)CwqUgUu2xT>@m+#5nxE=T$fLwza~JzlW?2nF^dpW ziKW}-T&aQeSGAT9VYE>$I4D86LyQM_E$ps5wdQdyz`$kT1|qm^ss~@~Wx#;?0DF0g z%=vGZ3MK?OQa$>~9REw6{YCqgqAV)FWA7gZ?uXuCsJb>h zhY1awJhk);FqlB~Wx&A>lqr-y3ZcIgS61DR>3WQ>E@o5UF_A)cjf{xwWs<~^HV3pf z2*XDT-&UI+awEf1aiPM9{=w9_t}=~*SF;*369{uT4X?I}nM*9qYxd+s%4AB(DWGFR zX({t#dXAZ$F-4TIWfux0n?D!1Q{I|yIYcoJpsx1A{cgx;XuIB=Sz@KjF(E|=#YeMB z`4=vM+d8iCP7o=FL8PHYSLs0q(t>K-)Ugbw zb}V3x*-=3+)y}d;sN4K{6R2Njw(I<3@?fR^fO8%Lj z3;?Ns7``Uc?^3(L?KHfBQ)-tEv|XDTJ?v7uITrAAYyp{6YbgAf-)C6FV0AZWf5n9{ zPV6fQBLWA<1}{OC6Usfq(XLlO)>{~#&fX(eKV+YWY;wU8*;GNT2^Xl-BGCJlcp4?o zR7k4W&IeZP@OUov>mYI;ilvYS2*eVFkYxNmhukuxzDkJ7Ey(>i8BqW#4b?U8 zY;~7Fflq6^H``YR{R2itCXIL4HRe7rn%l1NU@zyAE3WEcVL%jx4DGmz)pF4W?#~<9 zFOs_1;fxe+BXejxucdIwZMemapEcYx!b4Imy{t~@uZmO~?d;?{ta*D+!SEcgef!|~ zk5s3qb|DSq=fCI&G&|#H;PkTV5*n}7lUig^_RsOy=FL#pXJ zdoSQMut0tflB!Po%vCHqYovX6`}DJLb6rnL^B^kN$0>Xzp#?VbLJrGn=|)2#wNkYk z_FPK%ju@4^K~!jkcrLAOVUE^!Be~-*Xz*h1cHr>*~nBg2`Hv-)Qfu zJ&eEn5||52mHE}Lb6tNtabdyH`_6a$tgkCcD?jrIv~Nr7^(HDGYaQ`_)Ocl&k&gZI zx2U(gj{g9o-NDU&DfrPNyjrt)`GQf>*C%|B8-K7x=>#jPV^{2PoSHxNG<^Mgj`iC_ ze!1a+FwfoIbb}WFjgRVD>*aU!tBRDLNTRNJdKP%UoA&h5>Rb6f%$2!+zr~m(ZKx;+ zpilLZ4n#AeypPBV85B~ntRQ{X`_quz_#xl5qRXVXW&VoXKPLltQRbEwKlTdBAFKB~k$p1CrPb%m{-#aOJ?^2p4(-)K+2~}6eWut7T0sLfPGhB` zqosEF%+^HKQc6qf?D1C91=MR2Dm2)^-)1fP${!JLiPdhoi!2^94lQg%ydI^2&vpIn z?}++Je6dCl<{Nr@gy}hlH>`$jIx1~XtmXdcuvDf`bxKW{czw>w9|&v zHE*}HIrJ(_@X4mQPV);CW3&3Kpx;N30FWL6=#@PB)urumMg9~ig?Q~$NOOInB_)_Xlcv#E@8%$7+ z3T2KW;_wdnf#Fl&E>^%Da963*s4jZUjR#~h3*ld&arO))vYw36yXwV3<-byK{z)H8 z7B>R0@(bx)Ly@58&c0V(4P~DXT3Z9tTN4_)PrLj`D!Y-Nmw zj~LMZPRPLOmGJtjMu?NAWUCdid-9hDNgdLy+A%*U`aUwZToi*6ThzDe_ z(1P(B0jM_ExIYCb@WN!mV^@Xqd*PJ)a2$|4H@bef!$|C>9zhDoV#Jz* z(b`NizF;4`Yw`Fl$k$}kshkf+iIa@(4Gtqsv(ESzi!+VJPUzPkp-@p_NGMuUseCYU z4B;6%qf2FZC(K!qyeGP`w_7@_j1FbzJH)?x| z-cCzpN3?fIeny0*Brpe4nQxmy$=rRZo%vIZVhfFRu&3kJnUSh}>0wwkkx^4K7qdFA zsbhdU3{UxF;>l8EmCtGYVn^4V4pSE_<`8b@wreSJI1J|WVZ5<7TcL~$$UrdDC1m8r zneqDQ;~r3mNMKFduAQlLW(jkE+XFw_x^HnlZ~N1C?hrr``9&Klc{32}<_I|x!&F>%~DKrQ1}H)^d?krELmLF8)EdA4myiWBt^vbzMW>x@&}eaX6t z+8kJ$(@l2eaPx$mnPu78)xglKgU12Y+$5f7H)SG7+kJYqF6KiKG3OtNmgF~0>iQ4HAGs*{Z#4x_Zhp&F&61?q5@38j7YLIzSD`t_RL1~ z9!|3l8>#Gb@H`d_SSMGFs7#8?_zIM91+O=--%1PP?Cme8N%oE+!(hyi;eVh@8{Z|0 zNO3N=n;m&c24f!`ua>Mv+y#%r29pMSP;J-Lc|*bZ;3Zvh5hzyLM9pK7E(7EgydjhV zp)2BybQ1W%mN`ry$O3+_HooBTb_;vskhCt+JOytqndw8HgmI8Ug*$aqkx$4Fxrxb{ ze}FYq>eqATO@*&?&%%*+w2vN@hc#@k6n}s9zt&UfcM!1e4KXaDz1xOX&kz!d18L9@Uf{U`^5A%aOb;6;IntnXr^B3`*UlXG zFwVNaWJrTSu7yqr@LXF=PSNm0@qLVg$LghG*ft<<4t`#z`g)6P;NAmflK9%1^#)f7 z^{Ge6yz}{2tBU?)8;Z-t7i&-B&E`;7si-e*XP1Pg+hB#6pnK@cT#!!`SV8@?4#YB$ z3T7w%*_l7BD?B!R?OmF)Ex$#9UYHX2?#eS)g>!IKLY}MRb5H_L8KD3m8UJ2za?Ngf z7AD=Uh0bHmcLEJmSh|n!nA9!=*B3jVrX)Zj#QnpDf77jh0+JwWsYCbV3J(OBF_;$z zB=)R%Q$d?nsBw*9h{Q-^?@>p%o_A!LQPGaC3C|U0f7&o-kHg7mQ4}UwK_4Re z&Z8=o*xmy?8xd4j9!*3fpAS!cPGKVgq_N#Tc62DcftLvjr8z z`k#iXm|9UXRGGU^{Z(%#ivZCxQ2xF-Q;-xX(_W@pTJU<{54$YM1%ESV7x);PbuN)) zXlF6D?VVKK01O6`{g}CQ&p^rJTXhg2HUU8jEJLQ@hf_qEkqdmTd}1Ww z?6$GoE^HBl0-B3iQS@rB@UTk-5zZ3{Kx0u2G~H@ums*8pQ^C>`W^Ip}44X+~B_3#X zAaQn6mlWkGTP}Z{uYd13i?$cAsPW}8;ZJjw3}ZK9pGj?7?U>APflqLI5VM)NIiGt~wG z&qzH{xEy7tWZQ@vZ;{UZjG(pWgWF>43G7@r_j zaL4EH`m4i@S5v}ika%I|sLic)vxyFP|3r4&e>$=87+(TO;fjj0I-fGwmhqZ8HSs{) z*oM~%maWZzoRb2AbiiIgA-IJuTo#OY0Qjvp$NJ!=!XH^SS zv^1YbBzzPe0QeG7hPmBKKZ$rdO{gS0x#{ai~+2C4-bI$R7^6IRyX)P%#+UwIp-ad(Aq~fhpUjOAFl?k8=E!u0@T3`RYze>E{ zSRNqLz~Q5D;p*Lg*i+~2;V=Ab(kt@BniDDfz?&*ADez`=RLH7dSb^$5>X`J<2PLle zzuM@rc)_{fa;#BW32CFx8y`8(8@G~<_yqPkET^%0`TDccmq0_|B@X>;AXS=^ zV~$!c_lLHXe;T@6_9ch$d8KOXM>t`HGzY5DtqBlX#nxR2=6s?S=6-lB#7MwyA#z6)f& zFV~3>*=>IwCba3duSywP_1VK~6_2p`o%Y47GUQ?LLpj>;T}gX9Bnbyg3!6&X^^hb) z8nzCWwhLCQ&BK|(!LoKJeuiqY`Uvo|Rg`Pu0&^K=to{r!WNAj7%c|=9((Anhmv1@^ zxU{@t5#S8lZqS9rR<#9}w3d5}+q#9=3*2iQ0${HPmEkJg-W6 zwpi57rX|KrYNE}pRUcBwU83TlPa1GYm_9`9!^wV3#z_4lQxZ@+rDAF&^1fKEG)W)^ zA(4ZDkH9h-vD$u#3fk%+Hd4!TNLA2Q9{_Lh5QH^FV(Ip?;PM8#3f18(+Bnb%?+Ye_ zRXkl$@Ytkm9Rr(lC1_;~hH%qEtR~UU&=Sr-H5Z0mrG=?(>iz~r8wL}S5w8p~ zB$kkH7KZr5C1i0{34u9wOS?f$BkQ7#gSuqFRs9jLA`8lMqY<$g9CsW@wL$Q_7xo9o zI}1RsVt^qJv>ykEJ*v)PH{Be-XX{VHFXn@tRSN)FfhLdvBLf(3H~$~f-aW3#`+ono z5WrT{t@AK9tgV7pu)0sz)+s8*dFW7KMGjL0wzkwM1wK`QBBu@mXbaj|0=7*^Cxj4k zs!GT>Bx#jX;m&=pnnMLiHfy91LRvYbkkj{ir`vv?|9^kf$ME4aggf{Be!Z^idR}Fa z-shcoB9W27Nu0O)&bsyShwnXXHJuiY&eFkGi3B&|>@b2~GwaDh}pT0Wmd; zV+fXI#1k~O^tzG>$+NE5-IA*N+`4kxR%yg>YRY0j-TPW9_@nwHYOqg8i#jC^amS)E0LDALg>?LC)I zP%y}Rkz z2VamVr%G=0uyo(tc%irNTro&7b_e0bqLLunX}on0?nLq%>Ao}mCQ9Ob*f+XM^X?Nr zXy4Z2=uk)r=j@Wpe|b0|B1;Tqg;%BoPba7TO-%=GZb|jpV-9tz8L2eY0HY|?Jh)%% zJ2Wu@>&(&+XX|Er?uu>tr0(*TnKj3Td#bk)VQ^OWYtcYkUa53Lk<$chO&uvo#y7?J zZ%Zl6_UVwKjU;IRe|0iFAo03SCKm8t&N8iQJJ&{iIFLGwoa!VTTp0+s(-o^bNTUO9 zm%VXoMsM=yBj(c#9C|ZLd0SzpwGVRs#1QkGoO#1d_9w9PwURk?#&Oz1NlM82+xFXB z0$2v{XJ$O`wlZV(Q2VlUH+Xwq@j~!vR)Qy`FEv#bf(jR?`QKlSe)%cu^nVXQ*YKE3WxzqK%QNN{h`u z9r=V4q?R($!yi!!`vjFdn+B51ON?Zuu(i@Io~qv+Yht9=>yI;TnCZn`ebtXT4C1#LS&&sov2E7}ugRe^cs|^Qwo!?RV(`0~V_|giCK%yYf{89E6aL89gIP6R;~rC;OCYK)~p2jZyQPk&Sgy<=Y)pBd@N9 zGt0=6lN1M?1HABTy_#NVowYv|D+tlbPy)fLSUoa#R<4!0?lO&{R#Q9EHZrejym#~n zqZvhGRC=NMWnWoTRhhZK%6#P-C#0Qj_8Ad&s&eh>V`J+}WwT7EXl($y65m=C3Slb<+JW&6t_g)<@dL&$4J2&g8`^6*1Zy<+`9#tx`hkaxfNp2GR zfINB0W}}C{+LpBJfa4S0&7>9EjI+ot>q5^XBF6?rA`zFQ>TGUkkw)L6O2$3XhcAkx zq{ZWJ6_nWZ-NhpAvn9osKxgMhm&)|6Ja?Y3A#=v)HP6)t*$&cvrKa;u47Dxg;5S`n z{FoymXpvi^Tc<$|p^g##;Brh*(j@7cFXnBnm3bUIUujTT*9+>swNB%lcK4WDDAT68b#%TW_ z(z_?@D$ z!;!7CchxQ!D(o}amA%j0V6wdPs(bt6aIKD!1#g$lTbK)yPR*2Cl(ZrW+%ie5&jo)= z5Fcf5=T$7qB$cFj-RyZiNcA~j*Tr9Sr8@Eu|2+V|?94-^+2`LLXz7D3PRU#{4v*ef zTPP6UTS`j1rGd6lw<4+|39JV+Lyc^1`1Wt1=O^*NGAZH$#_a&27_p~;qg(0_)cMU9 zl8PbVlRy5qsJYyRsc;dd!Xd;kAA6o8GGG4Xvq)lM0`yxDOrn{){r!GQl+93*%UpNa z=UQbwz5emQ=-X4Q@Sr`7zFcNZ(1oZ+CxR{nRmB7Zgamlb1`fd&edxPDZ|2gqaILOg zay_?Y`HuHl!8>A>t||WN`z3xc>wf})LcXCuBeI9=I0F*t^kczM@e6lv?eYG{loDs# zrI8-nD_&XF;=SxIAg z_&@Jo-4N*5e$-V;1-}%tbnTM;-h}y(C+CA>l7sBR;3#{-ib}zf9nP`2O_yduSFgit zcs2fOm{|E8p{EWnuS{R`*|(4Ry@GMDFoqRyK=XLl@|e~ql=GK6!dXwNLTgmpSWg>N z8%U5-y^XaW8kRWgiRr-0;jJMfERGndR6*Z;C4d^HYcr_8@rXP6=O0pjvT7tbuVQZ*!cADZ zM`JoKW?-S@BnY|8&YDkPV-?kNf{lTDI$@=P`gW=NXy7HFr(#edno|2s>=Kc^W*l_G zkSzD(CySTC*t~#j9-#lfOnx0wawhBv*u^`mZiR!~1wZ%+!fmNZiNPan> zVGbB(WT?%{9i{|G6rxH|W=&YW zLpc*DOxRFhB!i9q#dcfyI$PqNIX&`I^7y^3k-W-vAiAXLeL>D2fzC3sI)U3A+9XA}&`t!`8RSG%0{sgHMm(=*bkq>>L~jSI7DbLr%f6t*! z)jAFow4(9`dvE7xarMuSsPo}rl)c4VMSsnu6m~E$>40%#l3Xl8iZ_iExL56w8ka{o z5Zyc+k`7D?+C8d%8xOmMvlx0&SdnoH0sAy(K-XiE+o|*k z+|JMg2{O6Zhn*-3K3T%sIW}Cs@g!n`3R?|Lh9p~k{G%K?sJ)H5D|W=<9g_~yl5~&$ zX^)csra2j>^!cs{(;Gd5m<}J~#WXaTO_0dtcXNE^xk%k?$8|j5& z3cOMpB{5OLQhbsV)Nc42Y%WxMYK5h46*nV&68x+>=3)AyHaW6JylBBAD#g;<$HOO@ zi|iiVTVu9MUb%wy>#b>cM@(fFS9cuXUwgsr4xDDkFoJ4JggMMxW z-I$y`f;Sb1-S)#(C`>VS=Z4Q*oEt#^TV<;9+)FPUZ558xul05|MyV0eEaht#IO)&w zw;O=9nXr6sRMziQINi#McrPR;dUDlfV0k5)s7&T(Dp_Y%CavIAhkCEe_T@W!{w$}N zt8u=UMv1$Yib}Qs(9^ME_epC{^)V439!hRmOok!ZhUN)<8j9iETW`XA>ayUYO){e&S9WElsjP`Tzt>no2}ryS?#szZ?4QAdAVC(I z@Nw&Ld!hd^o7Z~zKh2OzcJNuAVI@Bw)$=Q(#J;%>d<&Ddq14zyLeZ2sj<3IERAbMT z>YYVsvyJ^llE_syKV0RR&OcKBQ(G79KXd$__m_JqNzXUjwUKubR*0jUXPo>DYmWGz z_di?5I~JSt!S7L+m#Oav`Qiq9ueq4WJ`>u8DZ8pwu2kg`N=o7`r?#PpY^kv)be4zo zOpg}=h0Oo4uT;z@Dh;Ul!mT6LvGoHx6+Z}GY8SWBk^!GlQ3JrHb)UBd+cP6p3!Q~l z!>!aY!yNgIQ?SlDBgJTd?0wym-N}tkZm0eh8AUaDd7LkJ((-+`dE8xyX36f%LNpAI z(|%@Qmhm^It8<)Mfyk!Km>ty$Mz1s3!*X1VQbyMGz@;CeXBi7MVY@@JGu^+oa8paVJiE|gj4BlZ@EUUW#J*6z1>bEg9pL5l z%dvNvrG<$Axa4|pq}`%2{Z*WOm}$wYY6~J#J0z?VPSZ4={olvJo7inm{W* zo471WUu$C@hWcw~8f|yBw(AQk7AXi@JExniRCm?RDbsd$9K{F4t!5;*tGzRN53o~l zpfWkq7zsR!D6k%o^q{b^7=OUV4P|%_`;F>>iyw6yv(#tEH+yM`9&e8|DwC2I=P{o+ zN8q&Xtu6t?Z?-aUu&)Z;gAyb`SGk+Ii*T$RA^!g;_bqUK1#pL;D6_uI;-X|a9I31& z5&D~UN+yH`l#0yz+W^M-dEEx??$M+7{O~)1s~kZ0j;4FK3!H6NcE1Lr(VOUu;Ah&q z{b%!MxyM@~oS)wNhLR}Ex{pqcmhV-nal;#|vzd&h-p@+ShWf~Dfc-k|_I$T-OV1Ak zUF0ArZEmzpg(8`b#cO>?MEDOijAR-UBA~|XSZQb(yzmlS`9wLLSTIf2r#_Z;#r>nz zE<{m~7)H7>9lf)q*|5Ntrj(!JA3#!}j*{`g?J4zo6fZgfk&nMib;+k_VS28m^#iZk z)|Q`!cgJEHIX|O8V*NVN&}y$aj$&!5ZPd4;5rjk9D{H>LZKD5XV#KrL&5P(O1JoyV zJD5AA!)?)HF7j~ROZ?+<;j~}Y@Y#~1?Lz}WOLi;*kYw6kI z?mxQRe&FPDu>M%LT)n6WOEO|MBj^l%*N{ z+Kp%oamo9@)!GKJl96DQ3mdlO#^L!j52Zkr`Ie*F1}p4Wp!MvT%SCkKoE(t3bUyC3 zRQG5OMcyW;qD@RB!fp@qrHsxN1(U0?5i z4<#XUj*7jpdYpQoJTwkNwm-gw&a+npCezyd*bG%mgtc``4Sl{YnP8S&J>u!5YdR_U zf7m5JD6}G3t%uK7XFUq%SOgcv-Z3f7!7r@aX}jX=OaWV7hFOb67yyn>k|1i3cnBNNLUUJKz}SE`ePCDH~PKS7n0?Bni9j#r@s2w3aNO*UpsUM7n$Si zFQgJ)a>~_^J>I633mTpaMT*Vu>5Z%vK^u;G8aD-m9&(jejs?Xf2XaZgP9&5T$6oYKtyNDgZuNw>-Gjxg;uh$K=O9EGvrXLvBhY;S1=4W!DCxMY#;Jx_6eaq#? zdMMGgXFvSql`f5@Vb-?wG!ta}$h?!L{1Zudam%NlF8&KQ zxVZ{m@rDDuJk&6b(4yMfhj&oJ$SoF<)1d3|v6;ndvuY**SC3K%0|hgyk7aQtBgv+} z>ZUXvB*+}urW2_zwJSV7pUn@Mq#)*6YmSQg8!)mhc)h6%uNLfVyk4mU2acrqfT~&QQw7N?!<&HqLUYew4!11Z zVMf|Vw4p%$aLoJd!27@A-#Qof!XUWN^6bQei}G`9eues?o*b=AoB+bUszNggOEYv< znKW59m+LT8egV}f_Xz(fr<=Po@i;F@>b~;w+iPjj@TXs`dl2xmLGAUV`n0o$v47&e z$s=3#MPWxm1kHQD*Gg>se}pB|i=~^FYh3ji`gTTsx%f~`nGID|76k{2O9PLVXCT&@ zqQdFDc0dSVsASy%pE0Q|R!30g2bczcK}sUpV(Z{7_f0t{>YP<)&06=mI!&ksBc)+& z=vJSvo|8YEs5}M$={Z5Q*KU7~k(0(wwPWD?Lo5d)qO_VU&MjLPB~3)h;r2MNN-WfZ z$pNgn3Jo!n82>qvO&YB4i`2mF^S9UbHuJVl{#go{jI)zD8+aj|k&FdZZ3W>L5pW!; z@Fvd>^_@$XhrBlb93|&%C>uXpitlO1y>U#D`C>pnm4;h+KFbtw&g^$}n410u_hVG{ z$i=yIn^t5^G|m~x={K1ZFjME6(zweP#%ny^Drjs1+9@IYe*wp^k{98yK19kqtjNA) zumd%&wiT&qOhb4nEg_chUSZxaH=0NR*iLpN1czy=F6+~l?=H464S?!wb0_h3$A*=X zFYR~Wue8-Z!$}G&N=Ivz*br8l=&Oe7tX#y&BJVLfRuktpZpSVYx~|BscNZ9C-~gCE z-kkFgr{XxR|0telDr#G98ZDfMcZ+?+WOUG?o^|zYrV_rcB;8o+PGax1n!#H?qJFCo zZKg>u>(zBNtJ|b*b#a&bCkm`~@XSC^xKT>Xpo|pY-EAf5J?BI#c4T5EPlS9XmU3PL zS+k=J8D`(knpC>(b7dA%VxsKJv!nXTq=q>e;1|byj@mBIIWE?;^d2ZrGl?%aDcPB^Pm+;XbxVx4z7mDnao2un5hig<|%p z#Bse#3|b;uK>VzOU0$#h#|EgLqZm~-$}1P@?GO4D<2UR}4;;7jD-eqL?S1X&8k~v~ zXWQiB?U{@uqoQFO&hW*Bnp~;5HE&A>hLs^j>;w9Df+<=(SfZ&M+X~+k zYP3&WU;0gN{~8`^A;XehuM9q$!rS}T1t*y895dyd10(#a?WyG&>)Oy3e!$A1aN`kM^dYD+QoD{w#f?sjfLTZMG?-QbHjp_Oz_u9~jH zs-5OIcUd@v`fxbXu>;HkoyGtaaD+55n4&~br7NljD=3|FpR3}t>6X7>-CR`-0Z!v$k+H*#qBDg9y7`!v1=&h4_ z%3OsP*_^XhR3R2Jf)2B#FQOE=41v31&uJrxfKaJ#(3SS<+N;S zf`ab(GH;F%!MT^}taxTy@v_~Rxf90{z%U=CuUdD=!$}2b?u%V>l*q@}HW|M7uLuOQ zW*QO-E%l?LH7NH$}vaNg@W#cOJJj44087iG}?<_F6> zbAz`#JVkk4zNL^er)aHARwLsn5w2i6XUV<@nh);LgP5jA<_|Tl6fJo+Gm@FWu%Sv` zvDcWyj}+pz=}4&-sz`pq#5C?A`3Zwo+OFgc(uKSWb!kz*)#z?%PWr-l=*hw$Hv=G@ z_4a(N`5rmS#eh;Ex&c_tm#TIs%wcN?dYz$ch@^6Fw@fQb;yQ#7+M;kFg~q- z$$PH@(zj-pQo4?o@&>!RAHbal!Omf7J%F(OC6kf^oi`*$+s6+t#chPVx7vnuK1oh+ zqwNWzkRL(u9&JM>246tvfNwT*$iqs-KA)7SkA1Yby%>5?>3l+*Un%?s*kx9{oj+bZ zm+9n2pGG14B!RebG3;*^_pQAA`A;3!a1;Hfy*0iS11)F+X(KrwN6fgeF&Jh4G-^w~ zJV_tc#^1dqqvH8g&-LD2Csow#x{fYJex_Es(a}44(wtW_Et2$24B92X+9 z+|!(matJwrYlGo_VrKa^1ufHWCMam`ITd+wNr|VImYvk#@!o<8$$VS{o#@rc=3#}P zag?9RRv72T&&tVz1Kv)Wl9AB!q^oN(JsE{8((8;USJPIREMq9?_FS3i{T8=Ymhh(P zwN55KwGbGM=cJm^ZqewCOKk^y80{XKV6?sbXdk`2w<2G>JW8%=%|9R{>s6*PJ9Yep z_7ePUKdxKZg^8kLyPf)jey9wWiKnZ9(y<5dWAunr;ff?V;28}5!&;#^Ro(qIjiw>^ zcGWj5U#656fc<)dAD-rZXsV_m!p+f*^Fx(ypM~dT<6zIZVOnY%rWzyk!(CZ0k*~FD z&Z{DEwc@s8X08IA%@B0$2m1v51Zi!(mn%}~w5qc$&*xg_c&Fo!4r}6-h~D z3BGiE4_l@S`;8?xw2W|O&$^?CcUa!WJC`lbE)oOc7n6)iT6x>UR`Xa}QH!4V$tX$b zSegNu>NNH#;i;x5_b5CQ=IK9ZT(&DOm#;%7<=|%y;LmKR1ClyQ! z>~}Vl7U$3sn{h*#97~3hLfuVOPQheh6rgH*U6WD&9T&|Q7dK;EG!bR;e~2>q*aEmy zp-uWhgZ#HLxx}YT5_rz)%TOa1Jhx!w3#@g4BA{YpHcYdAwKs78LJWkD1OcZ4_rDSp z6v8rcklxt2cojVz*C2AK&k7u!qhVHx%XXe=O8ro?RX>gHZFG$uQ?woS$`N z#~ts5_QM?`3p(|G_H@0mk%jWh@cGbPQr4cJU+Gwhue#NBCj*LoDB7@p`Eg$+%H1h~cYy)Vhd%mE{Pfcg25viISWm~#oUyr%vZ8ft zX<&@;;XgW9my9+W4&5AGZE(mW#~ZYG)wrSiur)ZO-kQaVT_KPE=RX$z5BR4CN_agP zVdq=2If|h51s*eo$wL7x*(&!F@LS2p{49S=;P{Pf;AF9-=`$g-If7yE8^NnTT?^ZgzOS-l_W-xOSF`Qz7<0 z>?s9Oex{yk0SZ6eJ6rKg?0#2r4w4De`@A#0`_bL8O4R`SbEd-_aMovaT?DJEI%ux< z^$v)VMPb~|q-MiA-*DB}weku6AD$aT?3!6Rw(EV}t5mWrQvHLV@HF*!@!XZ&|5_(# z8vN|wMeVh$LasIu@uH4_2VW}~dBkW>RaZ(up(Ko>NcdggA-n4Rjgg8Gm115)4o!-Y z(HrWg^2qnmHWHhq)C=?od6A@C+o9o%?uxP8mAhxiCd#dT%T2b}jvjUrq^kL0)RQ3R zD=v-fX7hD(EgC6mxFG>L2X6l18wSHU9heM}0b0-lx>;0GGFw?PKz(IOP2sI*AHY;s zXn4uF_1=4!{&4=(3fyWbCIRK60$Pf+ZyKp5fo0{cy<(6q9TJ0C%;09$%kI=K2~!Xr z9wjs2xNLWz4+2bpaU3&$+?S!&dwd@jqgqM)SoPfe$7elDd#BPD74G``cq>}UNDSzwbU)(ZPkD2PTd-=Jk4 z3?&t-or5*jv_w=8x12;k)?;|uW8=MPBteV$iD~Z}xDRi|f6)K`NPabGe;Jw6TWi<; z4d;0?F=)#pN{;Y%qvF&^e%gZg!VE@MsQ)o6ov-V#;fe0!-1NS?ji4jpbm~leL6hhM z&ajl=1sA~G8wlwEpzj$e=_fq#C{{BVfgX*4i z7j6jbrxLgOB$l=c@BED`FTbgKW7O*(A4I2x!zvRIFW9!{B zmDT%4-c)OT4Dr3EGoPl=60xsW5`!^H4^mq~%RFseQ)wA})x69R@U8N6U-)8fo$#Yp z-Upf!-R|9Ggpi^cQs!slU7asX8W&#O=xn^oy|-|_Q&Kb7g{i1ziu#b|LHiy+=Zx3D zG>am}0-yz6+;q!>`x>J2)iO=9ZSPIt<&F`DaN+ba>FpIe3VAps!_%JCx?2Eu zxdH~^Ne5yAXG_|0_|b?4s%5j~pC87l-9bxZ6cy%9^4ijm&wtv}d)-yo_B#u#DoTuY z+oQbSnsXGXyw0}zm(!ATYbIUgd#2E3BN@BF`2{37#ULfwm20Z>gpnE<@KXtnx%_f6 z97Y=Em;96!JCbeOzkoe_1R2-uQTpc<>kB$eoY#h|uoPn7kqk)>ZejNS&dk46CWnSR zGgjv}o-NnkDi*omCnn{W>U7QUtH$t|7cCS`;mlt=y9E35?IJV!b_7&VDp@QJH&ILrb9MYu} zA%;o&L~Ep@iI!{^m;dZTxWfmi=BfOW){1s=_ev&q`tj@K!Qq^qvb*)qjjLeJq^X9% z(TYV#Ga_wPrH0KFNAy)Ck-co?Qh5oEWAflIu(zXd6%LZ~;~Byxu`&(0h_~9I`I@EB zMQ8iY4Jk>7B-HPuu~Wh7@aIE5MV zrfVNIUQf&vH|ml!+U8`^#PR3+*?-=@hC8$6-d{a5?|8T#_xMNJm0iHFsihZ%14)b^ zT*HL9N*eF^mdElizKPkIsp-z}1=bh=$}M)}lm{2bZYXf5x+^Sq_P(j4TTkdottm=E z$5qPwcyB%<3|rCRlk=Z=I_3p#N2Lq zRK7Uf)>@&lG8KzDA8^xBw|CaRISnyMxhsys*6pdyIZR_geY7SWhY!^lCX5uaWmPym zKVrAv81$XhTR+?^g>?_^?L<*nfgBbGm`ln5*#7KZo<729ID}Fw!Bqva!iib9XUNXL zi=9<*Y6fmF*oGmO7p7Lbk_#&}NA+GeA3g{u*stazx$;aagZQKrku&3=a3^=~U79t{ zzyf1G-=qiJB{mNqyDqNM&7*&Cci^FUri8O@W2BUU23I$Q212sk?CI~q-`Fz2G#W^I zkgMC_QGMX_%|Ix%1cNFhnPy^P}A&qE_sQz$yKM) zQU5dmfeIGo3J|sndxWAatU&N?q5*`^;BCrS)$+abLy*D9N_Z#UsIap6q5AD|U)~pQ z?#TFFFYwZh_d2k|_BkNR7n#Fns$B|xnM0JY-;0qPNg!OY23VTav+OUD@6H}A(4#Tq zE+wAresVjHg3UFv<`xI_6&p*rJ7-YW)xJI1^nTr^!(OBgGIJ{SYBX&ie^bq0*3M0) z*7ve+(3i1@2q9E(`lU`4f~}+24w3T6G_Ax+-bW|)!hpn*uRDpO)K>FaVheIg8e*OU zsLlp!X8K!2GtNs*y!a}>-3lijv&JJ|X;9|>*dKOPolj|-ydLh3_98}vbd;iWN*BYc zlIopSTU%aAR|q@t=yAT$?%=iM=X;2gNZXj4LCZ3&^*bF&ZY+zk2kfvQP1)YqY+>^G znZ?0tU@q-M!rO<~C(9#BB912^D#eEuSo-u%N-XlF*L&E>>Vz{NY2E@q%+8IzExa@* zn^aZ4ZEW%6jpN>#F9np&L#bbFr~Oeugx!E7-P*?AndV4QR0_vcpZ|2}+8ea2|I8XY zCAq`j{L2ny`KBBrTmjo7>1D@ZF1xO6s8KCYn0TzSLV zuK%MyNmG*Q%Ya{DIt|0$@vr|YX8ua`?SIA0|C6SKZizIdAA7+{$eGLb_^m^5(wC-O z9|qLxo_NTZfzhFUtQG!gQdR)#@OzH}cHCxtvL;9r^a-o#_TsP?Q6Kp&tLnY)1AZ01 z`0qr05(WfXXHX#7YF~0_^$#5h%c7!K9M<>ii#(gdzYBS~_ z+hHarE&gNAWyiiNn~(MD)WK)4VYm57?*-59u?1fZA9gVh<5{bM!W%s`+gZDoqbg;r zESKn*0WEZJVV+v#b2+xELhXL{+-g1Wo-Vtw;v-tn*03D-DL#~o=qydw~c+juJY5$ zufJlg?=82LI|Qu#sq9H46s421tg4anPq8b`V~Zeg&SX8&vUIJPEdjAwW~%xer8MLD z@sgDP)8mfc!w#i7` zQ_WxfP<`Sft&ZuJeYT!!`rh*1hpVV-^s{$1_MOn>7=IrQK5TN6eqRf(U$pva6(tVg z9;BLzAs8;VKKJCWE$m_$BI>er$Cai{l9()M{2u7%dIee8lh$FoPYyEZTC+VMy2i=dXU1fh?izt7;|?@d%Iop%5?C4o;Jwx7S)ul z(E5!bkhX2$`X$>^X3kP-9668Arto_8;%{oM798;9E$;+IcWQaX@XR8zc(|vumXXIV zUE^M_+-7DZ;+3TPq=V>2aa~!$4F&V=if6d%OD9jlP$b>!QlA{Qc&(t@%#!1K^_;k^ zQr&vdHQoWI-83;Cl{%O(C+=9Md)zaID=)tj%5-&o)_?7R_Vcf`;@SFRMkjyS7@lOT zQq=I}T3jY(2uFFb?OM@v zV6+cz*^-)zZU_Nqpm%{j3CVxp?+ z4PML(gCjZWY)f+NqC{-;#&Ut-&tk5{)Gka#3Cif5z1~~}*)d~pmoJ9J%TfMCyjAZV zXKsJ_3CaZRQYvXAkCKMNdBLOR?2-A}CrUlsW#fb9zs?x2malIcnn8_o_=l1;Z5#M# z?>qo^1$rYcIQ!0tH!0%;fF0TD{v=r9fPOVKzGC-~u2->S4Pgq|kx|mEFIDKfh12Mg z@L@O5GESgEhMSD^15$|`CwHRLriO+#u4jUO`c zgb()(i0?@@iP7cht-75!zidZ#4li2x)G?Va&)$7bM3Q8~>MYp6OJ~R^kL%VTC~BL8 zMKuY#GH+7VoY(DPzddHF+Ni2}ybkSIcp^&D@L5cU8t(uO-a9Hp!*N6k^&8;Hg*x{v zdvE7;{6w&@y|(al*qdMxb(aU+;6*3X$iR%&M{{OrRLk|0O$S|eYTk;$ zwg>e9x0kN6Yu+l#lsJ6>GM$rIe(& z<*l-G6t`Q6w{bGdjI>oRlE82~ZR)}<9DSh}yZ^ZJ{pt*Sr#i@$FJj{26$)x7x$ zcJQ0XW%Fk%4|)f5KZ#8rUwMAGUp}f|mw{TZ4Bp=@rQ(WxMX2_a-{3`J+>de5IcE$# zg=12tNdb^?G9^D7XvDVO-Xm0?7)M6#^p0+}fh@_Tv?R4<*=}D+ANqP=j7T4@T`Nf3 zd5?IsO3d9A!o4m#5k7hUu_j}!B{%5d`i5=5*DFYpn58xHd{1ktXc(Et3~qd#eTQ~o zZWAK{g0kWm2UFMhG2>oy9{0_8^ra@$mN!Y&uc{Q!T3lVZ#n8DjRqmhwj=C5h#^AN{ zKrf`uFO?ISp1f3cc#3uTHm3@w15z-ug;$1Jcl*E1o^>gqMKe?5FeBgXWlQ7Y7N$EO zn2QtMID%V7B^O^AOB=UwbTNRNcSc|(Dj_Q0uh|$Wp zL<%kJE>9f~E6wcetyT~z@wx7Es`7nmeNme`PnjQqs%3K_w&T~k%O8oWy_09<&@Mo? zaGGwu@ebWAyTN=MhnugohJL`D!dv*%fJQ6yc9e*zubM;FV))*oFjbDhdM(YM8b_z- zCr%Z(yEk@L1RLf9F6ZgP@e#1PT+cKvSu@Drp6MIFnAOUqXlrTxP(jw`5GL`wAeE!i z4P{R3V^UO6W-zr-`ZU_H)hg(yq<@O0nevrNus$Jai_&0Irf^@(T2GDB)%80kI*d0ElU@?)FpkSu+*jk%jK|JxCWI(bKT zGmrL=q0rV_RfkHy4>>EMJU%&@8|~TzOebi3bXG zPdRD!-jl^yS_ycrOv7}xY@>$Bns#bm7SMc_IDrJ2(bzLm!ZggCS+PTpHDWtX+UA^^ z*Z3ww)d0q+78nIi`+UZpZ*Z&ZlBCj)0v9PxW{x(#tTM!|PAt46RNlVyp1kfC_DS1B zK<=1nWs9mZn1=J%9&vo&?=YngQ}Ux3^Q+fWFs$ZJyGOn|r`|+fecIIYOQ|TRTm5b8~=;H;Q+T|{1?Yd!R zeH5~ZvR$3b`glGq;}7+gZyq6BB29htX|kzRx_Z+y^p(;_Bgr)cPo&Of5EWIM@#h>Z z#AM6J4P(`=`IB}-cPfk+^Ctf8mU}pzI3o*@80q~Z4CvBAPStzH&Kox)3)sBK$cj%* zmM@)K#FsuktkM6@cGgKr?`W!S7Pm*)dAk#m`pT`?SC$}J5UCl|zLB5T#oHyP+LF+C zs4v9jmqw?hfx=hV_l#hASEaRzd zwb#>;pR^1!KdEEh(8Pkm+}XOdO|Ci(Cc-$1Kd>`ZhWiZ3is+4<5575N-Fqr!zssSj zKA8*%(Hs~bQoigCXm4otO5Zh78<36_v%4duc45Av=CO7eG76_=>tC32uosq?d;0;F z?xY@2QgLcw>uE58ThAZJg_5a4+F>ZaK(Ns2W+b_JJ=8#t?trCyX|Abf&rvdiKD_GT zp;$EzFEFL&oY)5aykq6wv*~^Beo`*JVTeE-ZQ0orl#mcWIV~NQ@Arz8HB%9)y>sK6 z|0`u)!?5@V42$;{Jht)25%gW3kV(YLcQGhF=I6KM72lK?zrZhWT__Tje!sar^VqKg z_Wu?5*ubaPB72$0if()qloM37F)$!RANV6@=R0tAB>Mvb*NT^}#qoAW)c4XAtDd^q z?6>S#XvUG zd*N;(%=xcQtO(20G>_E!xi8Ew^Ai)rcx|;I^r{w@Ex|4HcCU8%7t$l=b;gd`K(}7j88vB_gtCUs!CjbR0I-It0 zn?vpnx{c6fxda#fh{DZETVIq@A%jxuljLX};_$Ts3>G^4CgTc$mE4;dL* z2_{dX8~1p(w7}NQD zTgkB!=3Mm7%N-SJUe%oMYZcU!`RD2I(cUx>-QWCk6%+CI-$?HBegpOHF8|nphh07P zcN|T}PnuUXF^v-=KNh&ul>x%|Eh7>W_siU7Y}FC30~wo zs_9OtY9b*|H9eqmr6b})Smhp-YgdkH8tof7aoucr5XUUrbX5B9O}84MwUrE9(grHJF?W9D4g^Et>tSEI>E2DM4zEe=KSLnfNxCT*5X|K6U_1FdyQNt-Kixvn2s&XQ@ zyGcOJhFr${Qi-(cv8n$;%^QVa@_?OSBgY+}Q97nWOVdB0n+@yBepLQ+x6&1F6?ifu zvHjiW8ZS(*{KDz_yUF#r0R$lpY9bsh2A4X&+T~Ubys(^b&G`q5U5rG#mb-I{g}Y3s z&b#+wep5Fv`?MrO_l)qgsk|8LMLAr2o9|XzzvTY*Y!=r~ncr883w%gjGKf|8R;h@b zy3rStLVZf2w1wdKE|&Oms%h5BwWem>u9js7paQ3HHk=n(q~25d4Me3IpH0Uf6D zQzzj-Z8;eU4n<3ypY^%kb7-4t`7sSjNk@k+dG#|Tm7gE2@_I{?nDi2HYfSO+e(^D; zVfORW-#pN6V;EV?5vo+Y5`{dpZ9UVHKyfgNsVm# z6xGD*^`5Xo{wZYUTdq@|y~cgR4g#(Cb}c2;+^F0;#KAi0d3N(0eFBzq>2b7Pp7Mx( z^mCg$bY7ucD{&vuHaHVW-d2JNv48Jz30nb&@$CSC&tR|HnFw?|JagIl4gX#sRH(Uz zLSq_fp~RUS@q`(@77V09-ghr1CU63jv@}<(uUV+)DI!yM!DN;1sl7#Gve9FjEkTE; z9`9@i*>hCv)q4OWJ1~dsaTlldGA%%uu%U|yeC z3is@7GB8>d`P?-VCArufPz~OeT-1({djg!^K1VkqneL9Pq86z#|M2`FKN*>2+=lLR z9cSEmiBA5@7CosO9QfbdO&AP2yWO~3-arg#dkPBNdS)wsaX78DqH}v3EtRaRSUu>) z{$0*!Ubn!WhUS|$;6K*%a_-@Rl_U9+;v2Io7e&gKNQiY3Mf5gwjUs3uk;f2>hPH8J zo~$@vbsqPbkemJ%nfvAuDREk%?Y6k(B5>5gtKrgWmy9s0>}<1o_>wC%|1Mo_DT2f~5r=lfE7#OylCDeRE)Y6BfNu zp9Z&m9FY`gB+$VVk9Zt zFixby@M>M<>KXBXqM$`#=}(p6$Qz+BU9?7cxzUE>HLW~}?EW#6-h4Y|9k4eaIusWbW>k1QEOp4qjP2Hk>7ck}Wnvr!5hUm`>>v{2!Ug zlJpM5(Gs&NKhMm)1j8lhNofXGD(v2!ol5TJ?BW6oUpmk)_?j20TJ>N=C#NJRoah>j z6nn<>{M#28-79yJi9?~Qz76i~=8ciG)ZP;rk)jC1T_<~s_B;W5TYK2YJlx;LERC2M zmMQ4IDvc`(mf2jm`~~+Mkp!i~4mXAqdvX&kPTWwWY5mY`B~7D{kL3wD1#l^+?}Di^ z8HVK4_CpsvMo;+{CyR~V)FaAB>GR!7^V8^nc^9@hN~THbR4FQElgWQKx*5OnJ>~#cB0&doICC zRf54lss_qW&9qNn^tzqOygK5pOP?!S)YmIVLua2HXoM|wU?xvG+A5A>HzOW2u1Rxy zCV$?J)tWrjqIz9(wotQd-TYrZ~}#b2SqbG;BoThbLZ-w#w^8C$ELb|Dy!4gR zNj0*`31Ry%r(e8N%nkjSSzP{m4kOJS;J%_R9_=Z*X8H1Ly2TvRF;Thij-q>g`uA6M zU&LrSr!3!R%AaFoW1Ccnlx(=J&Ie&iHs#&IJ6hb-nU<6oZI~3xfnWLyJlVyDtdC%KpE3vlpVL1GnjK{xfcp zd-wDB@4~PjvX%rc5(I8+^#2WOmm(;}|LxYG%zzhf{)Za-#C}v-I`RLs z{9m1OPvrj(os+<1(-K*GFw8y|P<0ZxpfuKH@>~;sAE=taG#eQ3CsDARz{guzOA4{7 zZTgP&`R(OVsl<39^b0Mx94UT|-_c-a^(Jp9OU4M~DLLy8$n)O0{WjJud3Da_+@hPB zi@@279@`hGNBx8Hy+hx4FRTf9FMdJa`v=??pABWL=s^W$)s8>2BKHP`{%|N=82Hj* zR*EpNdC6VBU@(N}hd;+C`#$|K`chm0H{yeYb&GR9c2<2BnY*;1Blx>J!8`I3=9g8E z2b&KBN0~({ik={8PX5>Hs@8DhLsto5?)!Fb)04R?DmkC#`V-yrwqNH3RsenVy{qs3 zys<*bJ1so>6>D9u;F91Y0qg11#t)zzDw{rHWxUkrCk?oibGUI)M{tNqzNT^Us+=jw zv*ZiG0?zUsYO{1B56kPE?GsrUV;)vmx${uPHF2Du*xXavl~qcHKjdOM=Eo-889U1V zCej{E>+Txy*&eiv7&t#!NnE9-QxIi;UMnh=Q46-9cX7Sw({-Poc*@dp^%iE_JL}u2 zAkVPzty~%9KgsQvtZuHNWFc26t;pMCelH@K5vdjLZ|(bSeb=fyt*9M|zOHbqFX5?f z{cTLZWpg-^U*P4bUyCeWyy_FUt?-F#)4XO_4l9J}L~O{B8LMaUbm%{uH40><-^KgD_f`5|^vb#jsZjV!|})`$aINk;Vy)9skuOnr)}7U@omugE~3 z01)OAmA#l>B)~XR$B-a8P8?l}MQWl7t=NktJPe^>Ws^$%O&n2VLy}GT;=SHUWlx!E z-&~8G+`Fq?m1+RbUi>nX%L< zh&)w+L6&rsr3*r9iP%a=D})HyszNdXNxHBJ_tKFp6(pHyMG7&b1wtCKediTtp6`9% zsC3{|I+j)$LPLPr~Gz40Iq?@bIcb-qrhGYvbv1+i~ zmF>;^bQ{ftO-<9B@kv&a$!wG=sf)SC=?1H3n)2!oL&|8UKId0`P~FbHcY^&Oyq_)0 z(>>e9($XYh!Qpo1V6u^}FWRFpk%&nit6)y@F^;XmiX%!8b(eVuq@bs>Sb3g%LFgmI zqAy?vPK@lCrANtjaj>hH80vI6?U^UtVO)bxDBOKMaTJCn(&z5-dZ02xW7B=UI>nQd zn2Cl2EX~x^6iTA#xR2 z>SVUTAzj(48cPL_NZhWTQdQLGgDz$<8%Net*Mtj}673`Dbye+d6`nsABrdX|;eEj+ zvT|5DTS)d=hWdnb71zf$N+=Fn!-an-&Tl-w4gSHs0poJqkGPtL{w?cCqd5Yf`6n|Y&Hj0O5LCNB+imOBV_>sAADF+J=j^yi06lAWQRm+kXzUv zRxp&wKPR{Y^Lu{#iAH5jZ;Bas^6cG?{L;6rXI7oV7S{z$Zex7w1&7&$zDsqy67X6<92TI2m9=dNKn z{31zt`cFNUX8EaP4@5s}J4#fvOwe0$J0Yj@!r3-{04c;GusxxlOa+k-Y=24h0R3cy zm&CM1;DHv5z_2`<^3t{Q@Up7Fy`Y(yinQ)(3B4{P4E zC#%sXfT*bR$j%J3Y$|fH?+*8YLQQ{Eo#ne=#a1_4XAmyhES_#Z;fuC|2{Bi+l1sFb z_lVVITL1OweBqYihwaZ7w(fGIV|9%ohcg}5X@U5H%bf4)3|wZjiIUvt`lB@hn#(j= zWEry$ce5rvEnyg8f8iy=YZAdW9vJ;>=ldVa5SVH-^%k+2qsU0DBIJIOVA!FxNCCkm zG!J~!?lJFgtIW?QN-lr*9fJmO)dzM}S9$7@y>r}%ny442U}>lVz!B6>1pgG94>Xt9 zls=(D+1zzI8&&ZjZ$Y`_@{ric6;71R$R28LP}B03CB>yj8T z(TZp4es4PtMl^e`_6X)zZLZlkNVAhfM6bkDiFtU9};jX_;vU1hDzpJwsZPztu zHPViXL!(F$k(R89CFi@cm)J>qEBXNP}DzX^^JA0lf{zMN_+%%>&No25HR{*!dL&uxgbc9joyeb(!|5G?4@qQgy?YL`@oHgDClb=oo7-YOV=;j96zX< zaQddIc`{t_1CLC5lCAFl4F~K#HwqJ)oe(L9@Qzunv!&Q&nzBe}M7-W>f^FN{R}-_= zdC6umdHS=GVS{Z{cz?9V=M+bZO=Vy(JMQ17-M%G+1q~@DzVyXy5hOLXUQcZcui#Mq{&hgT@{B00pjb&viV+2f-2*O6UrQ>hX zJwVI4q3(>Wa3tCXJN`C``3Mg1MvO(gcHWH~?>&TVCHlg=+HEi7 zgRT8w=zX&Va>3(t%`_uEeXHZ^hm6>*$7F_}Sj2LolJ`j8&d0l#pvgE%7!oz_DD{{+ zQlTwtl2;bA&~@=MT5^g|5)mfRpNTY zkCPs4s|tYX8Y?vt=Oj!u!bsmt)ozQIhw*AMP?u3u4v{!w%=MmtTp}E6Sv5 z)fc(n>sDl8Qg$e*WS5mVR^pC#mRj6&%^@`%IYCSHWLncl9~>)4V})`ARHLF7lY`k) zzFj=Ecym(icHSOxGIyQ#%D}2~0m9QZ$kc5ef}JkXr(rHRmAcN|-Tr7|CuO8sOnlw? zZ|>kZTlO*X>{@yREoS0jrty}!UHfdI2mQ=|qHO$-hL#SkF?GHNqk&V=^=y(;5Vgw8 zN(+eKAiArBugb8v+Qo&6?vD((;PTld>459q3^Z}Ff$rjyrm?VdPAF?niBaAd^_{)a z69wJ@{`PcUg{#TxHhgmmiH>G5bQ?$HkbdPOS3`eA_>U|I?UFB1@_h_6tMta7{Fc!h zyNBQZWc(LeqM(|lO?e%Qm8~~v*(rU^cahM>Xdz9@7PG7{C5=AT$Gnqt92VwYI%y(> z7K{usIa>!G(YDQp`s0I_VtCYQni56|7T!@0(elwnJK==^n_l~pd=1%E5H&sM^*%My z255-MuDauVM6$WUDOV4)o03wj#jxxjmc9;db7&gAg*+jx z_$5#z8j{I`M$Vh83W-L)iR~*Tm!^al6lPvXx2J>y!$|h&(COP6F{`zdo*HP}?e+M| z$RtYAmY{Q_647_1*OsWapRp*Z_s*Ie@66p`TsHNG5xgySi(!A$M3v*s<}$EB-fd|6 zr6xrwfj6dfmks0%X)A``R~gT@XEBeH`((A#nj(i(^F}{Wdbek^v)!rV*isY2f*JQk zuMMOs^B?{#b)5}n&pgsiJ|ITSm;AB0oaMi4+8{rg+${#Mf(@Z-U-=Uc!u7>F>aG7IJ_4{#2>AcHXQjPyNYLRa1V^pYvo0VltK0 zvVb*5IB`qdqH29$?m^DpZfC`3mWzM+l1N-X`E<6=gSq?S+t9f3IZflxQ!bfFEMGOV zfFHjgS`$*161vJJ4(*8S!1D6acjCn7mVUg(9#UK<%U^Us{o*^*rC;p#Z;9)>nYghj z;@FKpHov~N*?*Vv5NFwMTYg{Na)7feN4|12aQBenKr5#_x8+rH;6IX^4~%lkjl#!x z^Ohpt=fC9(H=EZt@02dw)H)=M7+tuzb*QrxfnuIqO!js1)9nMc5 zRwTT2<@}dWC_!)8=%Y_|R9dKlNIN|X;?-j-Z~r>@i|OGXdKXcW%g^b;dyx^5DjV8( z>lfPpCGD$)X+5(0SYXQE2>nF6Pqu&I`xes&Ge_CRJXL>e_1l-)rxhwsnmP@B#oZ)? z0XBzeD3Vlo``_1r8pHI;-p=vFoXHxlOfnlTWhoiTd?PN?0($ z__awcZ~Hl1686^*KR)(@WK|!6Lo~15u35C-HcSPWS>s2#v>x$Gtk7|J&UL_mme#mx z``qT|e;akl(VU!X*j?zs?5&%|?NGKSaJRJtK#1CH$o#Z)(xk9Tq$FqOKb?w6Fm#z* zL}BS)w2;p+fFK8+Icyl0K0mcG&;2M9LoZobB15<98hg2?h$uetJI;^lPI8Hh(_QIB z4OzU^4JZ*#RjVE%V-=z>1{$Ju$0t^IGon4ZTIwJ$W=Dn@(eTU!KkZHfTf>0oF=<$fzv7B(Mz0&aw{@>{!$n@ti)*`Yu;jeX7SjfO#|&2 z`o@sWPE^kEO)I%@5s2@#?sUmWbr=-R4D!-C0|$b{93*3P23TT z`)|6cbHMfEyZOI6?4!Fcab?kgGlN=l_DX|CQ& z8-b35^#fPgIO&@!9b@*g<#(E((LM=4-&9kj*M!SBJHOj%zFG6ygsjueevxzbVOaIj zKYuZeytZZC5b)i?7E4;Ya2gxVon~Xr&dST%Ug2t&5$vC}bmu(*Vd&Gs*u%mX8#mMS z(AW@siWTZQ3oR=gn;$wLyOERAoSPrT*yLamL2k(-90RB^(S7=)qKKnNB(W5X#Hriu z@S=!xXo(sVMNm9x^Fdj`-F*my>qo*t9(6h|!*kv{t$3vo;J!Fz?VHu!7udwqPqe!< zlg*_^z+b6Wz|QCsV@Cn2K)(yyQ(a;bEwLJzI+B`)B$=g|SY6&=;NJt#pN!v$S|5eg znXzXM4|JV3NmmxML5*p`-B)&&^l+{F{lwUK@+!SeUWMVCIHBIv2EXH0Q$x8&9ObS0 z{sdF!kuscnklo4$sBZ^y6;y*}@D+oly|?! zVt!b*?&I1tO{=0dW`9o0ji2?)CNztRWrT(}QWBslL@p2Y;qXmHGM(R9oD#&-Xil{3 z4_4K{jHvLHKBF&z`Vor1x4Shk)Zh1>hj2rZ$Yg%_hmzPDY zI9R&VIz~Rwhg-5T&D}31!x1L$t9~|;u-M#PBKvVcjGd6E$=4rc5J)s+PWqDByM6e} z;)Tx3mmHnvtw}52&26Eii6=?8dXm2Lmela_r1|7InSz$ZH|=zR)OJ3SN7U@(B7puv zm7;UR>(pgm<)AwhxNfCR<3^iDB}lpE&+a{_jnSd^?1#cqG@1o_@=zZ1%ow3&4=Zyt z6D4&j^?HL(Qf=ffxgp!F{{)~gjB@qthPIpa_aaFeK_SeQsKVhAbnE#so07x1x)fwu z#*(z&QZdH5i7i^(Ds9P|eWk8N5L1Q4O1h@w{FJcLD;p;Qa-XRBMplM`X)r(Znl56M ztZ4r0o)l{1*u$E?3_)zwhAS^FOS{XQj`H4ElOlh~ST=+nTOeyMTMlwgqKwu>)GGIZ zCOV)LY!Z+e5^S>`OYxdJq_=M?4%Q#0zqg_f+YbPQ&pDru7X74`e-25e<33>_zA$>T z{`J@*W6QeyhR-3EYi;vQ7jccYj{I2m<%p{ERK<68_o1r!xqQK|?G7m&5O3{~{%Hj* zStb8x`A?7;8e0s9#Kv--C$G88IzD|l=1uMLhzO`VD5?5pb6Hk)+Q<+58}xgFNTG=rU9YD^9W)rIb|jdq(7P)_Xk-gE5d{VDHhg_=G8>iF<5&X zGv${f^8{(5Mb6?~+AMlX)y_&=YL!a!&641)Jod^^Q5(wtP56WT|7Yai4{D?C+$|Lk zF!gKgMvWUi#!2X}?L>c_)m=$OxjFo(VFN)Hw`WDVmmbc+M~MU?ks0pL4o50+z?|9b zG9~f1OOb49x21HSKRaY_*mGzpu39hZqo%v}|CPb#ha{@Wk#2nO^DV}3>P#);Z(eV_ zU~}T$?SdYbcW#sSW=;JOA40p0=>ErxeC*;5ov2+?G(E`HOUdBL0|AYqqxhh7Q8auo=pZ}nz#kziIn}G6nZUsQWsC`y_llnH5n_m;tvXxu1+{#{5 znJQ@T@^9pcOP;c@p_+(j!KOyQC!!$uzwdG%S-dF`d6nj=XC9zSHzRJ1D4B%D&f>MH zzDWRnV4+WjTYM^=r**+pUlX&=fKq>)WyHOCjDH(62wW!)I~?a*^~sKkE+sema&(Ge z8L|2&R8S+P;+VSD0^%RCVvNF{AOGJK-{>D3 z8#p&G^;Q3afk!U}CIn`1mVNP`U8COw8chSf#pwUJYfNnYBk@$)$dAE~TlP2_&{^|$ z5b5k=v!7h>Kf%-D?6W4+I5)54#ho zl{1|8>No*EP6aRh$IpT5XXY=DMFdG)0H(O1zq)D(`JanV<}5WNJo6U=CLUNShWsl2 z`DBts()d%z>8TB0XV2ic`qBEb#g-bKea0_&?hHb+uZ~}E^@B%-fH7}T`t0$5LcJsR z^|AGnSE^@gUc6dY?)@a(@3E(5)jb?{*1S;92@QH-qo-zvloJya_LN(Y$Pa{=HgcVd zU+Ct<{gbqyjfdZPgaIo33tG@2j_CE7`^QFu+=;=l+35>|k!Cr%sO+R}{$>~vC(I#S zE@?!C-?hECdg)d7$}Dq8nTPZ3>yPBKigkf=-dN-MI<&lFxiD~TUH4kf^A|cpTlT-o zS=QF>fPd~}m!E}`rgG?ESL~=0*`yn%CCwq5Jx_qe zX9H(IoQ(*}tj-c3j`5HcpTR?dVok1anJlct2q`VD-V-@pZf{gi!=jbfKVR_Sg5eKt z)KQJco@*;RO>q)=_cn@6x*bE-o`yG;2&rptgbIv<9{OHc>L={AQyu3sJ4W;3I*e^} z!--Bh-1_SlP7Kp9*hx>H4czSxLg(2~z&t6!nv`t1sD|2y-r&`PbpGh#-#by2iNZ?M z*vK4gjJQ^m{qooFSW7_>!vM16EM(<6pF@om^AG@Cgs+LPLxLLxhb6(tdZye&ykV>G zrXKDsFJS#_PxpxTFpUqxD^t^j&QrzdyX7T=Lt&Ndlq^0x4UK!10%Zwl1{GR@z5oniOue!8tl9t;b=#b-1 z@}j27tBT66-K^vvQerAz6Q-Q$kyPGS&7P#CC%Qg5n^CinJ5uw8s1Q`yZCxl#?jStxz?=*Y~t^XkK*J5J)gD3&w1ZWRI<{s>LX98q;E7BZ=m{hb`N;F5u zv@R#Q$9t%hol(bn#n>jU&8Ol~s~umSgEvyUbXzE{>5F>6VV~8+zZ1d%jpg z)fGQr|1pQbM%o_g{2 zL|?wP{>webDRG-`KHdE{sZSzBH_8v3;9>~^*@xXa>i|B=8hCY52`)!nKsDxfrHn)K zm^j97g_hA(|VHj4(k?mi9l?!Vl5pry6z} zus}e1L+%JaO=Sh=A-+hRVWb7%SZ>O1KO;cVi-l%2#&OV{lBKu3cy%li?%~+%h0xdw zT^w)qRH#MtmAGH;PMojOOIw>C4-WQyX7M_}-nVKqg-TuWJTM90ir2G2ejabGU3N zzr07LTh0RypQjy=PnPT)*gstaDYtNe z#3WPgm*Q5g5S)vHk3`8VVKBN8PgnhUKokZy7eN&fm9d?nSGB4L^$ z%@D73os$$QWTiwe?6vX>H$_M?1gylD3ptH=RrlhZ#*Pb-L#xi&6AUknqN}>sx~jlR z6x*#k92!(5iKAJ0Y9u+31P3RWT@pE>#Eczx*7Eq%4rFP%li{)%WE!Td#q**eI3FJA zX_4DYB2t|C`3|LP^k8zri!m0vxhkp!;w0iOR99 zu3ivc1ogIj(RNgm<^iS6E+A3m$YT`&ahK=T*KRwq{#Ih9_8Tctz}qm4TARGf1#dLJ z$X)5OTOe*FHVJ#t#oH89SD3(-US}JrXQ{+>c!J!be2+GJy1C3bT{>rt&Z^yEP6tQ- zF2-s%YqqeayF+DI(e=R^#oNlgSL;yx@m9Ma>LS`TSxd=rD5}(w`z{}gq%2sb>ioih z_F@y-i*q=#k-8{rJg_P+Iji%09=b^sN^%@U@r8rXyTZDeg>oWE2sG2C0tG$VTfFl`L|UnntKVMOkS#h9uS9td9Q_Gp^LBzOnu)g-RIW?L7rW>FTk9RkFv@7&~Kz4LPwmQEnG;3 zxoO_dg*T}9g5&&!Ef5UvSF-hD6U9yE9tR+pTxxrqr8`E{1#}~iI;mtYd>TE%k1Sjl zS89XC-L5J>b5Czor3xO#Y+&KmX~I0)9v}SqmM2R8nkeF6Ejuw4?=Z z>VScaR#>)f&v0#lg8e8~Q!_Vlt%m&s9L+j$Lhy13UnSUdp4AZA8e4Zn9(r^}I(*<1 zfsxAvkrPC_u2AHph+nw{OwRc!G4>(Gvbj!PVf&1)zZukiBid7m+9O4oLu{l76=`_r zYCiLTs*6gQt|%5-_$wIT-i9ZrYiE+DYtkHB(KEVM)V^d&(JmVws7Z^q9j3&iFR@qe zb_ZJQve)cMblr6Kd=uuMFw4}X5=9yq@2AKV$W1?a2eaTADJ4FHenB>^xY*mc^=<|b z*)|`efq$+SM_mTUy*8f)xbu8OYRZT2?(4W^gK*GWw3ZSZh}$sT*)=y;K{w#=JN2Sp z(K2eo_dnEM&&KR7J&h4by~>(|*pbW8Wy|UrTRt))CsS&+SX*7Cp}iWxwrXT5CFBi7 zy?_}!{femIQavWYZn`-qla}yU>1$#9CW8movDI-(p#P>e% z9&?l(QMxa4-|r~4k7tKh$CI67>dOW+J0aNm*PQPc=UmUQo%qO&#!=e8)0N!xB5r$d8JKTSqlWH5sz=hI>R#7nu!9#S!x2 zC8w%ssj^qTjAS%6v26WXU2W|<(_JDHGzgEvAiMEw4ux#jR5`fjGYEllGQ!cl7kW~f ztJ1Qli|BgEGL2{|#BiDDeM(ZOQ+XAR@pN(V=|fHO)YJ+uQk?lQLLwk_=i@F3T(Y~C z6&G|+nJ@~-EYzLC1-G}AX)@tLGAWS_!S7y&~&(^Y-F92zdhc=hRShS2`z%{{0TNZBGobS zeM{ovP3|22dD7F6)SKSomx>&nT86(=uDirc=@;Hsk^zFo-O(Oa00FElMFsV>4{Vpz zUGi4;i2@Cb39UDTNrPjV=ge5ja9hUxH3)Skf#33c+?DLZuJiH;jcPn$_}zwN7ipxa zz{VzbO{Jse$;Jq0>8>eb$?6OK+AuzL4QvZ|hgbf+M_gEl_Ku)<#)u2j=YQnP^7jk$ z`Y(HbcEA(v*-zBZdNOcP2xOU~oK=B)fA0#~8x%KZ31`;aW&T(FW(Uj;2-xBG5nPeW zXRUbL|1;78Mm!cMte@h%9=PoHa7c1u7EZ1X`Z#b0`aLOUw=n2E!B0V1_4DTj8lFP} zr7n=yh*ZYdi2&F9pI;A{_w<2<$%{9;n|}`UhHR2mau#h$SiISL$QtZ-XHEc=0z3Uf z^W@QY)mnsT#f|T9pmvbg`YkEgzZ14x(ufNz7aaRRuG=!ZsZQ3b7Up5zB!4J19C|MfxibQKeA>sK}EPq#;1Q7=tJJNJ^ad7CJBH$Hg*?L7XRbA4jLeN3E6iQx+2))#v~Q043M)HsDInf(sq`xxO+y%_<@Z<3 zw(~k(O6vzPP)RY2-&9mj<1;I7wSR-{VyhZaNq>Frn^*5)NkICFr{CSjc1cF(Pn)3P zIj-I7VeWmXeY!`sX^EJU-wGA7O*8R}iis8}j(%s8fFDP#Xrm?1(@ApVATmu7SO_|b z`^|y8WJ=zM4IQFuM(4|iC^=bpVee%Q+0-_KMEhqBXQXzV7vi@fL8w+}y#a$F8?C~X z=e$J6mdiE>PZppAaB!m^_LpvgFV0r!&BaD4--cYJVdzMi1`Qi9jP@ZaI4g%3=@hS0Ba3mn>4vxdq%r~%`<=&Q~3lPBrVAbK2y zMY3IpEH(wz-OPECo72ms-*Ac`g*OZAQAOUu?kM*IcHSx7S_$ zyEJwDv^wc{&DIg7`_DPUJ(8DHx@UT%>HiFQdyu}qvb#Ei*XzrZq;x{{;^T`mbB4F}8$sKZM`Y=r zP0XXOIZgLUpmmY<(hWBlsj{!CeUHBDMWopGU!ofH0hQ<@!3PZ02qLSe<~@zr3tt*# zahUMV!r$BTsV^dRp?{H+0fD*_8!q_C;` z>+gu_w~ret9Bv&(>?!V?#Fnzt@dL0Wx^FdqxP`ff48kpF)dW*d7;>L$9nw@6;LSyx$(OojlV2+XB#50`orLsQ~5M7Dl&_n>qHuFn7&>B^WPW#g#$I3vpG$ zTBwEC(X#Nqq`ISYLr8jK)f{uH8w_1UPJmqU%t*~vxOpi5YF4U5DkWI0a_sbm(8`L| zkt9t<#(SuH)@3c;sT`Z2Wc?$q$`>*eCDk1zZ$&V24OlfdrC1!xUFwVN5W-r^0_zD5 z1YZFTMAPEWTeKM}>2>zMFj4RV6>%#*oNEW|RRghfE_i|I`IM}1rtF@r z(lLZ=?Bq4cp1c-H-r{3Nj0jc;OdZGUN=!0V@EgOOm$zTP&zE990TKz<`+#{&EN$NV zfd3}Xt3vod%RBSpCE68f#q*XXJl9t_satkOg-A`pUB7de=aRbU#|{~*CdKWv)Q0fK zOqKLe5x+F6HcIIW^BHa~JCs3wBarN;L(fKco_ z8(sXRz~C4s5gNV?5cBo$2cEz2jh*h~ELL(C`2(ujH#PEook#8KZ{#^2RF87zfL=4L z!#;DMbZ2@lKK$aN)RTJVz&bAdq^4qv$QD$Ih{EaaUrf~qncoBU8Dxz4?!}wNJI~wZ zw-E3}RC^{r47TbBG$aIg0UwqdGp$FP^j$78rtafo#Uclw^9}-cXN&{`3*Fcl!+$zb zKnEa!5*~rt)$tz7@a<`C&hU*4&2Wz!M5$`hl@&U1rP4Lut+^Irr8e$sA|OuCbA9jBjHa&&iB}#=Dj$@gbol_$m%_uN zGvkFB5PmiYt}3Xg;wfNq)dR(+JcVPt0Ouf_qlSj6+0JyaXQt-T!&C%xOAb>T-ut2a zD>s>+k5f$yh8W!A{5SbyQv97^`R)5ZM7y3t_04>%YsH4|$Ou&@pJ0>vtn?dlB~LX- zGzGMT9VrbQB8-cqj!Gk=K8v-31`d@U`zGi42|t_5DIoYDqV8P*`+7XiS-po1xzRjmgk}! zbLZbLO0##lt9!(BZA@FUvP{>isBd3WRI^3uxl#7~{*>A+Qs`|mRJDqhrkjFoad(UN zXpGIdZS9PdJEme+8o-!z_X?uM<5$wZ&0+@lwP{K+FQuLtma@XBvH45MhBS-6efS{| zth~_FF#~aE;#E{=I}S=WXaH6LAq`54iK=70$A`w{cS=mDxaQ<1t(6USPs_)m1dMsI zJu^2aS^udtyt3|^ForK=lAT-w8 zqv$UV$~Ux&eYfo5W&GH^e%Ow~?s%Yh*gaP8brBTBshNt4%7a>vobWTp<1uTgR{SNK zaIkCnD^jl@sxls(O@lkv^Pu|{;;XjuOLw|uMA{6GUPZpRG}yxASh3tWkRX(<>0>_2 zqa}`izuif!V6bXt*fvit#rm1dR*V?@;J%hBShQVsB&?uN(;TDhEe)2nysUw{9eQ5O zBc0kEE+4ZgVkoNs)^Sw9KNi1jx+P`n2gj=BB9CSJ8f8W9=s@wv8I9|MnWE&X`KG&{ zKCy65$3Np!=yc~a(Wf^5RWwP7?78~pvPS6WC3lQ9XKzjN-%RonJpzOMtfe+au%1fJ zV_GZ|bX_jOJYs_?$w~?%n1M{}7_;Yx6Bn_PHXCuJBuAo@R}CK%+*xFto2R(4q&)dbP-AH(Y#32EtwWq zpHEDnuZdtaJwCxlA3MohJEXaw^R{h~jt(8X5S#6|snhNd1kT-jc7h~^gXfup- zT0R&>o6=E*Oy8xKSbV0Nj&rJYXrdF9sO83`+HVfPtfGuYSqeV!IiXJg0adVY0M462 zXirq8;pTJ`hDnwQ1_1HA`??LR{%?4ETf1z4FPwHewt6vhc&l)$x%>LjHu(~t`LU^& zX~}?FRPBWDLO&vJw-Ob(v-mloZRrDNb58aCC8^nXm7LP9;v{9*yE8>BC6%uHz?--? zfJ-=9G93szXrhI2m;7}0>5BA`sqP3fA>Jd@Hdjg{zFyrocDdH8?t9QLuJQcHZJN9s zO>$EI@V!*o0+#fVy!*F@XAb{IL)wI;p%=ZMnXC!7;?F~}CWHym@1nn7fdAZC0byXT z{wh3hao{p3XEi5A7#I<_H7s!ctYt57<^~o%=D#>7;Nbs8QrZC2@xynq_%?;BLuYYj z%?ix;?{}~%e8hSE?Q_cz6hhj|i_Y0kps)0MnG@>W7x;pQ^Fm}`*d2G!fuOx{t^fg| z8x5SAC5{*7Z=U;m8%HP%-k$ZuUH@fa3wTT3d^7u782bFeg5D45wLSat4s@g|UVPWrk*@sxbCV0_?&GYO6ZQgxhObU>z6wnH zC@AQ=-yE6>+)*_#FEB6`>h-w5d1;NDN^)#9|Ga2faH!`m0gKLM&s!>jdP_7OcI4uU zl_A?b*Er#wHn@on{>P~IOOUU0xj zYgjnD<>dWpKTq@|M#k2r1ADtq%ewx^{V&pnULX7?SuK9!-MH%dn+8BPKcO0;?1ml6 z9`Q%Nxk@!0Tq=-hRi{~wGGf74&b^|QrvK@`SC)p?nk;v*|NoNw+w$)QwCX1PYgSB5 zjH-7?1G-}$C4h;MG@v`y!<7(FK1f}w3VvE9vQ&g$nq!{VqNXXCdC^YwKJC{)1!79W zUwLkPu=6}($;OHdOe2&GS@zEJP2pT8E<&=8iv@}?v-HcT_}$(_-w?{qHjIORdU&kt ztKQPD>r8W+qHj_!FdWO)Z+gTlzY2Kph5ftXuY-aq*>hLEiDiSVXA|kia~nDj4N-xG z4iYSKjmEyDDf^Q4Rm~5-$ezj@TJ+Bb_Id%Gk|jD^(80^3uiv1ByucVEbNwN+C<@t$ zSE%dcol>wu{k%}r7I}~G?b167H!GzPQN%6sptB_NUblSbv9o&^2`b$2lY7d(GVk&Z zu-B`~UC4e#6vs%(T{X>K_tDz&FRm%7HaET4BgPi%4=YN4M$4zD2@!Y{Ou(V)kQ)mA z8+H}bwCaa}ztz4U5hW=5dAjV?gRP<8X5{L2XVLP;8v3*L*&}$x(UU+J=&YXTL%=HI?iEIfmn9&(Iy0$x~Z#&nqIzTVj5ds(WqgtD~vYB zm7Q{Yd4AHg5p?`h_Mv~O_6E#2@yiP1=BSTU`9TSTGwYDfOXljs3Ep$b)(hUT)_ct# zI;&)(6xEok?)amUg)nxbT)`krsl|p5RaAl+`N8x$yu5Y$19!{S>j^?#UDD<* zo_mlyV?m_8X-~)(YMzRKk)J&Tl0kzTS*ip}wz1^>;>WXxm?^$cN$>Ud* z7!b*#F@b5`E%`$Vd`SPd6qmY0~^J@MM90WhDRyopyTm@Sm8vXGuGJ zxo6`5-Bh2jASO210&Rl(g3AlFn1vj!sVEeY5-ut{PABl#o`%EJ#t(j=erG_TpIA#> zllAe_GUC(T310l>@&~D?%FcW;^u85;dFL>*h+!d)@#JTk*%n(q>M0krqH?GSRg6SS zFvx^W+QwYX}q}0ZO*861SeIZ#=l! zX{0qTpT?qBZpK`@rB+cbp5SlWGfXF0Z}4N7Jjp8#N!MRc{A-SoWwgZ5+g%))O8`QG z7%2F*LrZkd;8A>#@=R(nl$Vj&Vd&QCQd`ep%S#8ZhX5GahS(8x^I$h`t2^cX_iNX(LX0X~r<-#I$N~lxf5F(I&DcIM zzWobTx5w7B8TXr<2=G5VIevdv&X&>Wx%MVqb4id$ zuu;x?^4qH2IYsJHV~c+gv>VkC@U~kk{|i0LRxh#96tB+r>8^=yd5JY4^sqa{Ru97& zl$uD?PQcj4=UXm&JI;(jByOpPnz9~Yh6fuy+k~iE9V+9|6x^wFINjQAC?f~0_ZxXp zQSJw}ly@GVEUld7E=ehJ`9rDAmHphY$jc1f@ zjo4)x%0rapnhXrIlx4YN)AxVsD;yEg_Oo8BH_`H$Crjq8<4KnFsdx9LsnQ13af5^O z<0DXGXDHbvBJKR;Ez)#BA69<8%YeQ*NiG9?zZE6HK9JbP#di;4uTYXwR=`Xh}eIrljfszdC`nIxC6Ajo+j%IW=NvK9?)5 z^79sW~#sv;z8eRHW($%uyy%Y;SZhVM`DYGSg@H#-qJ z@u{Xy^2U8eJYv6)zD^i)5ufLA-yX2?nKh#eo(7HPBuTI&=ROHiu8E1{>z}PoB$sFB zd8c9Jxnu-Y+Q;HIn|#v;>2UM(Sn_tP01JHfmdtb6C{{Ek#l@4N-QL#!MH|H9Ug|>| zRQn}57)ewVeJy^Jb5((1hwEP>o=bw5+|~JPY1y3SYU`*1lKGcXC9Tt9vBXt`|L$YD)z~s_kU!MSDn4WOJ_j7Boia3Ar;i!U>{f!UK5s zQ@Tsncnx}&ZxRtle}Qgv#v-aWz8q!uuXU@vRA?f8))1K?n{xHt*KSQh!@zZRl&-O= zB!%SS#DX@b6^nIC)nr@?8Rd&0UP?+$n3UT_U32FqD9c6$YqyW3f!fL#-#>#Fmpj@^ z3*#KP3k~R=KOxv&J<%!XRa$@Y`oy@X#Y~hij^GU4lkj7h!c7DvU ziFXK4SW9<*d?xtmEdl(^3m`8awZ8m&+oI_BL!98-P2mNaYd?h*z$O+y<^S^U$m1U^ zh1=_|yqXg2OxWS&M*FE`~{| z2bT(47Zq;>Oy=anzg1J8J-nEA+>&p}U^>sM0$($ZXSD?Jij)>h;DFKU;w@NSRL#~Q zqcR8U=#c1qgZ9g&c}sB<94)WDqLP$3WTce3U75QJxQgX(btAFt?!Z5GSc$a z5w=vGi3X>EeN62Vh|;J4&p?kHIO#XTEFrgRD)yx6YZNns80R}0{wx1rXUQd6K3N|* zo!9W+&PVP@yXcVNupn{|Cf9?k*x2ybzCr5he`M;ChA&kf4&=Z(lbVtw?Qj`}riGtl z9-%W`q9w8A4#Uv%56iv^|KeV9g?9*x7W2MxPpa3*9Ag+xti7w}8nq!-@?-Kr2y#orIUKkkK@xgOW2L!=udOU*`Owg z`^RkF<=?%6!Tks$@<@w!=5Xc8oOzewhM0Xv26Vr9x+zht{lK$Jv_t$1ED_L@+mtlJ%# z0YYf)7QvWOkTy;3&%RuwDc z5n>4R9N2`Ng!Qf|{WLB1^?hK3VlIs^g}HHNAfX4U{>&Ae$ZJaX!ckV~07nkOUTfK1 znUS;%@yw1;UH{_smW z6wr$jA5xNomi8`BgeQ+^^1Flb$eT}a*jRD-=Vy5VfM$2 zg0JL9p(d4%;tE56I!TZg0nehw-V*7%>OP{WIH=o@bN(CXSRQ8E{56uI^R-BSo% z1oMR;nhEnI3rvx$0@OoJThowas*~fJS158^6EJ3Rxbj$4MP&JeFb8-mqL&MbF!-sgpNMB`#5p9ht3`RzQ z3I9J52FB707eWYIXN$yKI{QDSr@`*?B0I7dHLFU9>B(zPV(Nx{5(5mXOop$sc;yc8 z?`YW_TzuxDw&J&KdgBjk+gwu?&v~m!5nDtOo>4wU*&HRmQ4WwvM1pl`B%kJ(y*hcgqdaBt=0vOEzoi>! zF(F;skr*Ki_St1evjsY3+qjv9mi#!8R%{J1Pa`T zZ0QfIBr5|W65wgQjI#7>;bH7TwaZL=%Tru6K5<6`{K$Cxf2RNwX|MQx0MVd=HF0dy zVY*wKz2Ip}ae(OvRt;>^NIY~7gfY=|ertA0^WLU2t4d5sx|f8Qmhbm1g|VeQ>g(OX z5)_aSYq9Q|0%&(V=|u3?TIsS%%TC2!5xixBA0qc|ZG`eBe~X?^zg$So%&Ydfk4f>mYBe?y+M+C|IE@<^h2jcA@P#doJ$;y5cu4+6%D2V{Wk-6 zRpBtJi&KViXOJcWg%@UK{U`I{2TM;???3T@x8)L8Rd@zP%BtC;9`y6DOiLOWmHG|A z_7)Yp@%~5;W(*B%v_VwS6} zHnDO$S&DdTBk$y~-&^cxm&rcc1_5e9SIJ4IVU5dE6JyaMCETZ#^(rpbD1N88@$RZh zL#wR_7;EAGI`WFY(!^fynmqWBKAM!xJ8ob^nws!jXrL24wOiz^ib`q35Yy90N&1o~ zQKVN<+ON|KVpgw}g)7@X8bUu zq460-c#!n&^5zuL!{$?ihU4#7cwG%2yw90~OH_`RP!>+yc*cflxs}}fnfC=sm;Ms- zz6#K(w2u8lV>iBd?+V@`=vgwGvkD2env?(wH<_%wKM%*l8{Fhh}%i{VhwDy}nc8E{%A0#CI+*Le1Q#H2E4$VHpeNmJWr2gLN#o{P@aw`FVlRX>M8ejeK7MIe8U`U~ zXE(q%DbeP27dsCHFNL-$-?ngbiy$R&6rL;mjppFO&8g0=^BS=(?3~?c++CdzUXuD~ z91CPZPmFPRZBnytP!uemYSFH`N4lr8lWM z^fr4X-*8DK!jhl!=9PT7Kf(3>;b}-*#3?Ns`+tU2V1h(T*yyK6Ie1 zv(A2z7y;LUoOyn~;jCO~oBh;B zvtD^@_OhTQ%cnT&Kbn=m35pB+!5JoJ?}`-5N0ZFbCm?WKR7S@i78{OQC+ zAH#mKIpQ_6lFx>md++zBzWePJ?UJiw=&;_K)B1-M{zq4ar9{k{^Xl?L$-h1N`o$yb zQ&%O@cQ`9!le4fcIC`v^6O(XPAGjkU*@8q3@u9m&)R;0qf9*(c>QgVba_SR<*SXIg zG8cyIQJ#1jbHiM7;h(3VHeQn%8ox5nJLSLm`pn*dsI%7KgUP!ch5zs?5sy~+S$`a# zmvCmc*M{t8kMq{-iUWEykFQ(iA2u7jV*_9F|4uiJ)2!d|p5~`k{p;h6q=}Stt-3Wv zEze{d$8vSA!x7dP(J(^=QrcZG6yDhM8v)fgytGF9kDAw%WP;#jv?63*s#$sr>AnVWR zER?S*m?53xZbzHoA*7Y%|McP}umOw#=zC=fAnCn%)zeV0@~e*Z z*IL#Oe|iTX6cTRRPY2o*+SACVwVD3g?)f{|ooLJ^mdI!fq+V?+68wDu)0k1+T}mB3 z{sAlRsFD^Azk3(pjKCNx)2N_@D%b=w?;lG;wB8}aS!3mx9kN-&elCh%dKestDDtSY zG(S0_#^-9oP7GWM@s^Ii2~OswI|jr2vwryrF7#VQSvj z2CBU?cbxL?W3hhOu_1z2rRe@EBUrcxQttruOU4fiaSwU1_j>wLLBH`rBG#kNw`V2s zZr&`O#%UP7w&9Cvu+mixd^Z{kNR0QCIQ7u$2p)$IYOTf!2$qVs~P!=os z?;J#%QPj^|hk8gY1zB~cS0*mRVF!qqT8Ydb!dk{-6=`&CR2lA6^Pl8lupkWUzCH5g z>wmi6N#A583DeZOktQlA_xb6vW5YFzEZ!GjI!M#K>TJIp^YqYPKj_&C@y0~0!jOuf zsR<@@!c!A@gDE@p{}J{kP)*+H+rMIfwyx8(8 z&Uw%Ood29Nb0%FBlPAyfz3=O~J{QSCsf7^)OWskSi@W~>dLq}QQ8$B9aI;()On7$9 z$z;s^Klm7*(LE8W;mR?gH-9SI=hsv0=Y#CIawcl$Lz19dPSx4&iY1v)OKt ze2myD60nO?g*x*@cGASGntwjJIJ7P8D9x{ypFlF()0hkW|6lmO^M0girnQ`XO;k-r z93_Y&lG05sjb;B5f4q-3W3t%$V9@b1kp^U-t8b|J1fvh|d@Fe-WLNJymA*siDJ38W zdr}(>Y)Q;Gv}YOVCwWjg8iSaG736UUA_%k?k3gFbge9L zB5y)ktf{Kr$86I1$0!XYEdVuzaoe9^Dv;iAB+8%RTa~s7QKX#Ehg~I%d(^*%lbUxm zkz~&zzr2)^b;6}vn9D;EnBdokZOD(2biP|pl3RHy{y0f)4R_`zhT4pzI4i8kNVef- zS>;h1LxAh7=dbMhk^6NW;0I0 zlk8Pij;cZ9N(zMDyR!Jn1jvF9P1hJPkM01ATC&sKZH?tbm#G?567EgbGrn zEhs)1V@yVuJ`-X*|HodVg4lIiO^_9II^Fwv58Hb{W_p36^gAY zu^nJmY2p0s7=?LzI_?+;RSkA?yR760=$tY`bxU}9cFCgpJ;DI9T2$ZERi(?Sesxe) zyCo_X%eF=SmSMWqM6q8rk`LL;4U()-dE$fE-5n*`hpM*0qs>V(^~X`Su1O?XOu}nc z{+WCd3$3X1aw)B7Im>Nze2xWui>bMN-D$Z-enZreE zWK;47Urood&(&`ZRLxnEVN4$~d}tx>V(W_?`Ea4jQ)I&1^hqu?@S72eGtxBrO?V># zkc#i2AJ|y&cCZ-ESt;23@LlHEO%*fItvgyyx2c30EQL^JS3J~k5Kdn7`*B&yyoAd( zrm65;`sS!BZX*uV7sYB}&W%#noT42DTJ~?;W9`j}GqQhuYk70M^IsdBsMJPi9-A->dJ$)UGT(sGGwRA##*nQ(MlM?I~h`K}>V5y?iESY!ia3*kwpM^SQ?lxYIK+oJ?H6!4upUJ?TZz zn`pkr}c#$(Dh?Wct;FS)9d(QgA${(&O_xDQ^Fivg(8KJyi00XU5=h zI*6NW$&!|EUC!nlW^RjW-UO1=8MD&cEosWpZ_A5#LV@}Sf?3OLTPr6ge6LzX2hR_) z_tigI>TieR7aD>E`y}CTiBB6wV+o<740HC4KJt%^>nJisY$~$K0eIHF?u9q)Zq;d0 z?J1%gE|kJBJl*PqhbKx`e+DIZ z1QcDpr8T-X)H;E0(P=klpJnQb48CuxMoS5(E1%4zCw+R~ireA|Ts^JAhrQ9vJa%v= zF^6!Hsu~49TdP&V@khy}Uq*?bupb}oEELYtPZ`aU!$O#0W)o>Y{Z?m6ElK(CMmqw+UkpB$2$v55`S|bu0!Q}>3MMq*RUde z6w9!qvs8_ZWC*Zb$Re)%ReMAR_C6Megfzf&dNi@41$&r~^PbgecR`@BHLv9~S!ZBN zG$qvC&8){DgtbtZXV2RN*H0al;KFk%CQn@_$}{u!ADJyWXd7y}Y`Gl&^lN}ZbR{3zI%Vcff^jTYvTZ3e#bYBp@QWw%udVSACW-+^ylx!SLtIC_L9N5b&Or|GJmbsJb1&P0PpD)D6~O zbwL&S9& z=lg>1V&4}~uK0|nTyfVt5*>WPJ7m@L`@Y!=N`1VS_4$->VKlBcFJ2w6P_S^_ac`g1 zOE)kZaF_*^bi>!b?nG4Z$g=3=dlC-)u>AQA%Yt#KC9K=en)m9(KKHI47|Uv12j=?( z)Hn~`9PoYp4aYX`-9DM=3{OX5K;WCddj8~tZ6~MS2*3Ee@7!VQ);k*xE_V91&n=3L zV6Zcr?K>Qc_Do%$3*B{mjaK(Akp=x~4!pJkvf$}8JjCUKZSt*8Y-KS1XawP-~#MSZf}w!t}c~UDM|il9Ikl$T*P4wD=stA-y8)DMo2@4s*=AEDpCK z{+XvhcR6NET#x?|_nK<{3YGdY=%fL^d%aU@K*7#A+vPJkpxwQa-Xj!^Wk$@ zEum|8mL-1G58gsop5}(*aYN_(ynx^0PQVUeH7Y??tJphez<38~M)?Zz$tPoRxOY17 zXgCHtL2Ahtr)bBTR&*;y6Zri@njhuJazezKGRyOS`$?CW#X<|#!*1qQy5X5tL-wb? zKRNJ196b@uUhbmQ3(lvzs-@!?VHK(dpy_V;a}x|jOq;r4bF#ef(P{%{g&|S&^!D(M zS_Rdh^?5&=`}=V0oW)z@5x9uXkGp-7_#5cYS>G>J$m*+crhWQ<`8~ zeb~x9F(%F3EzW=}T_uFVh{xQ78$@^#m`B16I^5>sb>>H?*~W^Ir_7|D$Th%5R4?Mc5Mds+L~R&6ba!fwJkM>RYV?>-uLFXxClC(APAuO_!zm z$rkkRr%v{T9eWbB|I@_X9|O9(L0vbU$JsyKRiT4zX95+99AHma=o%o9D|&@)ZfS$_ z{$pr!a2Y#_!Vn zY(t9)IVczD5R=n2`UoZ-Ax!({bXn}AmTi>Sru82)6^9~T=sQy!Q9n+u|XJ)82 zf)^&vrkD45m&(fKTgfpYo2s37v{Ya(X&EK!R1Y5$9gwSb8B6DH;gDNrSK%-)@NPID zhlHpc@bvmUJfZB*-6%VQ2M&`|4Y*0cHk7f#bIQaDuXLqa%!?`aW-_ahE2w@xll$@h z6_8|7Y2TLKfgAc*%Db`Ga7B{_j7^TZ-YMSd8%$IY;d%p=X0QwY*rdqlkF5?#Mt?d% zI7g})$vqygNEOBeV2g|V$H}mV!%TzLKOTy2#LE3K9#}nHOVtN!jfyi&50o2?_Sl-+ z5#VhYgKaB@T(^pjf=J7E#_<6gIe-6r+-~g{lqCo^2hxI>^<7`es^8+w(Ep67V9#ex zHkbB&He3BDERNQ}GaOzua0rD-l<2|0bHd*lK7fNt1eJ#SK(S+_iH@tJL~}^ z5G!-WoDA}=D$g)o9?KgT;l#$(eulT(vsAgXh1hhpD#5rW)TYa*I|`m*KGY|)VWj*ka8rBka*HNKcP1niyup2iPZCUK-~6Mdu4s@`u79%vPoK4j*z@E zK@*k=c;S||ON-uwlh2CM`gG5ki{pPpE6>|3#;HNg=Oz+K=S?#qvB9#75n4k0TNFQr zzhajdDUk~Jx2>FgnbGPKHZ3Ag>M{3N`F(H8tZuVWqCYw!uUywZzUd>r=Jka}Gg-(N zehc-yDx&U3jb&O_XF`@v?HxW6aQM@19La&C(>|n~{r#y14E_ zpitaaYqeU4E7xdwc-f_#MM;Lb#%AnxgY+nyR@Eoyfc0o$nQv!i;VXx)*0cq`fPDt^ zMA0`KndrPp82SQB_^%2oj|+h`B|VXT>Y~SAE&!lVK-PbxG_P@asIh3#>G~C~DWU`N zk+7@fM3M}1XQ??6j|xdgLDlIfjEHe`Ls(i?I%p&#M}_fvj0{Qug;F%FS{q+pbdC~N zc#j7{!*Kxb!EY}UMnEPXR_%&NE~~MO%bJU6V=~46a9ydJGd=KJhAz5rjgZ(QbGM7v zD!c#UkaoQ~t4P0x4@E*1hnd++HnhTT0Q$&&mn~+!323O+kpvX=6q?Hz!E(hzl{#Wtvu|g^l7eH^uPz@j&?auXZMIe z*L>@{_Sp?kBPt-R8cT&DXD0Ar*J$47svjYiN{k;gfEpNNMFMMLtKwvGccsjz{va%^ zvx1v~dj%K4dP1m$6Ay&iT}l;CjyrzBuq4wYpdO*AFc`*X9K_4tn$T>_kx+a&b0aHL z;wg~tD99N$%0tZ9m=m+Q4%$U&SJo5 zN$=z|X(60|^hg$xBqBya5KNE|mcXBL_$qu8LyAL((?gUS2z*KbZ9`CYSaXN?=ip#we;>mA$Z&T=~Q}H85*a4XY zV9zNnG{W?{W_$XuI(EqU{k?S9HpM#(ALvagfkf19(%+#@h~@J1S(E-6myGgNB+XFv zn+NzVOG`IJR;!a{Dqc>JtO^z#?#Ll0MyJZ}2#178Dy9>Ec4Z5W>xnD726TVj z`BTabRG3c1>)yz9mD}6dC-r*Q$00u=Fq$x{4WFA)Sv4?W^JcnBhs+gsq6})m@icWU zhlSB2ssSq>n)>@75`;JfB6M4@ncUY=AQZkbI3Z5=;wcTTNGv1wroYs#>@L-bq7FG& zY3zJ%VvdwxrFlosvZBa6GLK($E(S}1@zZz)$;#YwN&lY7ZJdy(MEW|mIqM@*srQ7a>CufSPZQp>NqEXM z&E01tEDMO09)~mPvN_MjwqhAERKKyP4SwBh*~a(aYpOY3|GJlGH_cmYY0?KYE0$T#$t2SLJEzWJEa4Q_u9<*;3 zV{uZ!U8$Q$6|{}e*Cj^v-)Hg^hwQW9PRBN9n8~*q-0AJ<0Q2CQPG1=+B#sRFrlCF{ zYsxCk2hIyrY!iNODf`Dgr$B{MOVvWr6j(6PrqcBBo#l)u zC*Hpit7;oC!_f^=oU1)p}HXI?*+s^ln zZOYQ&w-qP8)BreRxNxaw2yBM$_h!G2X6HENir}$MAqYQnDQDcjf39`9y#jcz4m!xf2 zM*Dc_7e9Y!(nquHnSVJ~Js4kp40oL?&SMO}f3$8WD*GXzDC}j*$$R}VMfmZo1M}XV z?+yvN&RFL3@4Dc#so53Z<^B3~TfkFcN&8QGUrJ}Z*~;LB5SfxuaPxmG|MtBC`|Df9 z&#zd#$ieegE?vr86pz7nNj!r)*Y|U8;wP<(f>WYapf&CM{eAVzbIhf!f9ftB?5H?1Fy`X)G(G)U zsY>K8I;U=Qw;4ZdJWTTkOaCG*dUU4rV)l2Pb(i-CMV96xJ;}VXzs{Tq- z{gq{VHlRT`UR+1;*3B)OC$Ynq&vnorzh%O@-Nu-M0xy(;go7Ejpa#UVo*3ur~#_w!z-#-2J$Xqf|ayQ^k-J{~M zl4%AX(?I$tfwPjhq`H1uxpl?d&e4WX9&Fokl)iORebXo?qq;*>0oqE-sx!bY_=H%g zhE)1y3JMk5RCVNvgKE|Pv*$GmJeFEUy>3OYwN2eXGA5>hFB%?g$a0dwl0;4jpCz$R z62HHdi5)2Hy8K6%-A<5AM*9&flE9FKPXkTbr#rb%##yTA#=(G*52nlA+ErG1n+h7@Fb+6{0G?LN<%vI{5Kz zwn`v+KVhgSQJfiG?^|jVpY?3z#R^)uw86-}6z6fN3$~K%zE!wBrgc-68MkR!s7>)o z*SlyRZEYiIs8ZY1)aZEe`XOo4jay%?(%kpbqvm1saQS>=Oa>j6m#t=4cJ+w2uUE%z z`Ft_TAKxMqt7=z&7FFM177VP*5iA&32a>&zq!MR!;Vy@Y)_}~ig(cbwo5c$-yrKn8 ztfvHX-euCFiT2I`W#m#n`2WlVbdHA-tbTFe>s?s6M}w2|(G7_2bQXxUJCsparrRwC z-Av2_#7!JU`YJT3`A(=BXk5cF4B2i2+K5f4Mw$>}9a?wA<(^at+Z_cGinH7pRZZV^ zQac}2Q;#(|3)g=~*V_a8RbFzeh-a&%nYlRY_FjH*JMiXN$wgmW-l&|x=MLZ9kSdPn zbM>C7UFvfHzy1*nG>7S%7kvgi6?)_x-cSvC!(xAraU>j>EB}Ya?t*aMCYBuo$oUEM zyBLt~ zaty#Gndo5VaL21#oEUZKo12NhjvYJ-oB_Y(w?XEdp2Lv{?J5HzgqZ1G!6))B`6k!d zbgD;C9dZ^y#0iJ$pZXTsch2fmo;MAwg#r3j5gGKhlR=S1&W?>GN4SeZYh~sRxIa2clCa<|+oaW`6{3vXX5+L&P$7TxG z0d(sY^T9%ihj28-7rJKI)B>3c=E5D{DGTR=D=T(Tb@Ay66;0hv4?=JcB#cCf-xpJp zg`w6cEs~kx*qVV)FnoDYAd%L~FKMZqmVGvC~z`A&e317XJfsCFATHQ0eznrf?JXB z{GjTR4d4d!ul0GC$p}pX7j8B>(Ljt&R!K+up~=90yc-s#N1jy$JH!C(&6PLNU6@0# zKQer;fc*qjlFrIPUYs^FM3wZJrh z?4^C+?&b+~ZH`ApkY@H{b&ot=;Zg3!e355{CQ8v|Y{z*wnWv+g0l3B@1uTwfAb+sJ z7hE6hwvOMS8_ry=&vmH&9-aKKhl_&FnrrH|T-Q#+<#e3Jg_s63kYKn?Dv>U^`J}`_ zok%cf8ipx9LS=u2oL%OTvkOiyTJ=WT4w?-Iw~6qH+Dgf^dQVB(P&w}gji6y*{KCp$ z4N=}qaBbVcV+H^JLo15O%`)EKr}5>Uz&9B>;gr`d&)|oDg#b=6WyNw+4&}uHB`(Nu zbMSA2!G;HFp~t~p_?X*8u~(!em`g%sQ%?4uKKMA;KUtrJ)(k^&Xpqi?HAmpE`et^e zZCL$LpfRJ^#{~LTE^Y=OpyC#JliT~?+Y~e1Ey;^oxkoA6$HSrdTq8{IxgD3d#0l$u z_^l)$AG8zPWrl$$fFuE=t8i?f*eLN-fp-?1AG_?HJ59c0qz_dM!~!O$5tH~XocFf~SP;knV7hpX@L??0xz$KD@wgKBVX>+JZ(Yb__>6ik_^-5jb1PW7x179BV=v^fXvr)h2L4yNnZ1#-eItM=!2^M`G zs&9m<)%w_K|B7JDS-4Ntqx<$aECV}f_A67C*y??OfxuX2)Xt8W9fb#uj^ZRw%TG`l zlyG}wVGj}cu(lZk^_C=4v6Rey^b~n^lS~1~RI}=u<6K-`n+c@>FIuGSXQE*)Ms=d* z%h?h5K7b0w9=GJr%8ZghC5lx<@I_>@W~)~QS=IG&x`8fwB5>vBf1LeRz7yw&z>(&2 zOk9X3Bdn>nGgh!PYqQ~eIc+WuJqGVY{UmjxUHz09S6284DeO|8AnWFS-%>0SMu#qi>`JST+&Sd{&;6M=CpLVdBbNF(&${+&NRnDEDsfk{uZZhghau;SoUkEvHeg(wq4Uy+_^Y%jd6plwzvLoSt2hV5>hvfD; zOPUt96Qk>522nEq)3^AMemU9;F`WW@10r%7QMqD z(FD)D?^`-=+Q<8PpHHd(58Ia9c&t8sk^dnE<5S*}4Z-QlgM)uW844C+?|A!gG%I(l zFjx3}1Cx8*d`3;x@9u3X6743@kp8LAxQ6)sdB3`Na$a_g`&8^0V_Baoe$FT0Ye%MG z+&A(yXL6cPW<7(wWYFu_+I1(Cg%6VYr@g#}Z#}o32&=9*1@=ChS0GAq?Id*>d_TGgwhwN=Z+h4ME*bY4-rn@d?0wY|(ZI&v(LQeR z@{Nc!-mmBpee^W?k>2pHtQ!bx(*%gdwk>^qh~iawIMI*WiH;Z#U4NYsOrY^~y4Y>#rK3Y?R35saR?n9U6~7Y)u0 z6@77!XY`udTh{vy=M{-NRm~vGi?>@xZ@sCm?4e^-^{v}yR9?B&RO2`64W&}{o=lzN zr(!gJJ>mpG1shS<{@7g5-hJtw)O&cOqjOryuwnrO5!NhYh|hOiB2HDOxZN{1zwV7jV+d2db5JcD%T?Er!!&z;;@Fba+d7IpzDo2#5k=({G#cTYg_T-7 zL7_ly6JrlYj@IIr+NV4;IZvd~5rx}p6=20WjVIdJS-=Fjs}Wco^X(n4@u#?#CT1snBS&2LWO!T*qsoc+=zH z-;=|1!xgtEJJ7tKLgQ#1_n3cOV-xqv`NnGMmZ-hZw+}%O9E>%zwK#TfYW0e$Ih+k* zs<_2KwbbeGmQlr_+jVK^5WS~(JC?eZbxo+Cu5u^}w4quKai$h7jOj($fn>?L)>&19 z+(VqdscrO+Hx?~Ci^l3HSx0_UV<4MJvU^kmPlN3{o*t1vBS=72wabCk0dlt$q{txo z)Nf_sBkNcV%|+&z0XIttykI6-sn~i~e%5@B&P-H$kGJ2>OoowbQnL43S|FCIzjmhK z=e9SgK5SZ*Gd@E#o)}mwR0udHGk^wB?#*WJ%BomFiC#9^IlGgT%5UQ1%6~kCRX&Hf zeszH(%4Q`Ccs_GyE}kxWbGt(_POvP)CC{a#zobNHWMo!)cy|1d+b!kfgLvM8%{;1v z%N*|Eu1ka#+zOf42)%MniL-e0CxXPOX-7d{mE`5zMn{j}%K+GE|JlsM5&L{H+D*)p z)hn!)7oWbWd593Q_t3}tPa{fXb5=_&#CS`oZ$=JwTKNvnZXBlg7vWjPyVZ-Qdi@fA z(JR!iMk#)MG~B+?o+bnkMEIjeHo{Nak-t~JkwrXUdFjCa#!b$8_Xk4Hcp3f)_ZAlA6 zXNLU53n`uNR8Tcl!*H6p^UB|{Il2nuj@4mHHYA{XyFtJXnL%7+h@e$LgOf=PpC=`h zgsn=jC)N8{Lfn{~ic5t~`sj6+eLwjq@ zo`dyX4t=ZM?yNpBKuSilb^aE@2Mr8_4q2^U<)j1&8&$_Jd^iowVJXP3Cw33)hGYt0 z=?1K7Fh`vwuR1Szpw;E4SdHXD%yxE|G#hd}j%o0nStQg2l!O#3DaqcbQd_R9@Gts{ z7L6O7g+L3Id+WKF$nU7@?~{@E+kcgICV-v1B?;fXDcQ(Z2FayGx*s*`v1wp7$~i4q z)e79Z5ref;N6e^0XQk&Aw)VodDJHFn6py_W7*0x{!5D{f7?ztTYIvQ{2!}koV7EMQ zDI6*LVTZV?`5JK^FXS>Xa$D zrNu)<&u(_xOG1U)-JFnP*oaPakH)tlCXMAn zm4+2YsvJ45EAP?s197Kd;r3`5uAGs_E#2TLzF>#g(_5iD3af+S>NjL5q$&|nARFb~ zg;8_p@+6lT@3PHoHjOs-&6#%PSq5y@xS~Z|1LCBXBzB05pr_+hX=2>z%Kdjni*1v$?MZ z(yE^_wbByX>9*?q4>Zr2SQFf2Ct`FQQG7>1T*qD6_>3$q!qst4oQIM_M_gwCF%_la z9~dWXNpZ#Nf)X~ea5Hs-vy^Z2xYXS0r(z_vwwFr znys(&in(zGMsK=4P^r3xZiXH~GEbR4`}wgqNhu8b=;ll<5}+r+w`Z#W{IaoHcuJ=Bn~kLdN3GSnjbsiDruY(=W5NNdC!`Z#?B@Jq z<(&lst()@Axs({Wz+46V81QLann))U7EovdE!c8rqYQiCij7#*xWjOlz+iq($uxRf zVJGLvO7l}VfHjM(Dq*6Gs^`rK4Zpt!qUUKS;$d;xKTHi3EowX#-F)(%X!>3ftkG8L zgapv;6g5jCmcx+jXID!kb}YuiCEW$eF!*#*n-ACb2e4SFz<;`qywpx2gjEOzJDky= zEU?n!_W*wlI&sm$INlkwL3I}}G0F4WOp?r>9jjK=$tJd6%osl8UMFVy2C1su$bpQo zWn#q9pT|Bibx+NwW2t)n*}z&~EWspA=<2HYLC+Teft-NzV&Bp&7~^HVE}mfNKa5=} z3S=UV&bJJIu|8&zzmt5iF?f!xQdyf19CKB#M6=Q_D<(?VyeTIyxFcWFx`}1R(#A-@ zk!e}DB}%sA4c7lm*X#b((1bg~s=b>MgLI*I%XdvzYo*5BG1NRI-e-Arz&$1B73M^v z=@Expr!%&p*zLi7vaDGiHeiRn3=AE56;+-uXBDENOXs0s(%$*R}|9btsB?%W;Jr+D&g^^VY z^~V351OG!ywUV48`R`Bvuh@v3;{tD=Uj;1K=(C=~*y^)BkrAKbyZ3RQ3k!0+jz<3y zo1FJ9LXM;O_ig{JHu7!}wekNCkK@rB1AL%20*|xI_k~w{4oz?H-ZSrPpGR`!F$f#y zi1&e2GY!6_jQ6iB@V!KK zW050l+tMoHi{3mHkTv$I|IYTg@8_@ZdW2H)Nb~DZrP}$cuKso2M>k$`{|diZoqgr% zbf0a_F7`6-BiEgkGe^8H@)@t!I99Y=@bQ>xH!L50w8B2;z$H(RvfteN{CXfU>IWusjHb6(gC zrS7OmIKNTFl>-;FLzv6iKH>fTFgGV=BhB@IwQu41)Yf?^W_fUwsoXS1*3LIZ97h7O zqEZE0+Q=rf-;}#%@XnLSV6xI^e%NJ)j+Nb27@>uazFOVrc`A*lGKagI&ZHjRHygiB zI`f0%%t&7{Qa8D(+RVLQGRwYe_x2v5v>DYZrx`=1K6!4>Ukq3DL1<}T)2wdPt}L|N zp!w4sg^#*qZ>XgG8`UDFx@OELB$qmoT{M5NIax;f6AL$&L-%^*T_yxv07%)m!hll= zOT^?hn+kPj;7k57f2NWYpJSCqm^lIY7OTg?M>m#xj&LPkHg_l+)AU+fjGdG|qOdZl zv8zh7hzilN0) z!FDHS|C{CU1{M2(lrhpIdFPJwFB~Rj5AN?dlQQoM<0wnyu)-1Uf`S_*Y5rO!Z9aU| zQwTWBD1wUHCi0uHI>HVam8m~vrvnoF&eoIUHSAF0Waf-rh_PFA1-O{7u5!v zo2WOOBWQ{W&^`V#>tDZhJkUH5s}@VSZ(URUNrI5i5G$GTp8H*oC_stWh_o^E8 zE&2}$#ip-CRp(IH;}z;p@nA4fazfF8tz=iA`UID#HV^wKjcJ=?luMCG6I-WK=K3RZud}QA@lg&F@E4`IiR?088 z+jf-Cw;1C-c&U@x_DI@sIVe~iWvr%!LhAPx1$mFvJ{Kg_rSjH2lrUDaw#`~}AQI6@ z!RAO+ZK9O19nl}0S$X7MTjISfSo*BFELDDF$!eVzS|HFZ{3Tn^_!z2GKP5r4k(S(m zQ#GAQ(tZSJ%W$Dou%seGcfoO(W$kETvl-JsUDcNiYO)dN z>vQDmQ<0}?Q?-9(pmL^u*K^CD-T_s#nf-Jw_WQof!RkMYDcAQyZVW;cTx!nfqEO6> zArs2`-l0Tjs0^lWR;bo+$j^)F87Xz#`mjAEebp`trlh@MP6z-{jY@gP zyHTEOB<>LAj(3H?8f3#ir4Y?d&g)BpnEbQ;-EhbK@F?7R9?A(-$92)o&8$3WQ8x7M z=mGyJM^pTFMF+U}p@hdWs3e2B_Kxx!w@5BKdsX>wW?m#MY*@VdEbLMv%9$!-JsV5% zJ^Weu%yGGjFYHH*8w8~+XTUE9dO-Bky7GgZ06bx&pFsAV^=Fcv#%|S)xXEwT!*{TQfLU{hH7YBvvSZvF)U1P`5;3Uyf_Gm3 z5txet@eC3@n9l4{bq!sme(z_R3B6nhwRO1svn)IH&vqg#5hwPlV4`^NHs#n2+86WE z6N?v~a_&%)k24RL1V;l&jQ}OVx#GrdT6?z_J;@-*5ikLu@6`2>D|pVwQ;q3~BmekH z1$Uh4mMv&vq$k}3O!3_8gOOnlMv~bFmdMemUvtNsAl95kCkJNoVRdcG*3JW?9d)pF z2|NvoIJO9vt~1hp_a;y#ZldFk;)!1m1V>ahY_MotV}hJIq9w1p3rrCQ9afEtvyVS; z*=BiV%#P$C3c~FSOvCn$}M>C<~WHo$nDq*BBb@VHrmY7g)wKRX!TC zT4;(_HI5dCa^_~>EHqHHXR|AE2C1`SILevOIW5%bU~P>Rw$LA~sO4!-t~Ee%jiTwZ$8%^}b)w0aIqLRsbvc3o{ae9pzs zj%;bUlfURgskz-JG+z_#6}bM6)X)bOZ|!6aS$4?Nq8yzp=y*9wLBdD|Ma;F8{dn`R@L&4VRP{C z+uR}DOJ_63BfQ%t+33KUMLR zuVtr{i#ePZ^lj*7XX&Ls|FqNT7zc!@+SjZ}oDfcL^U+`|-r7JL&P0Y`#2^ff!~7r# z#*cN3fPASFfgqjiN2;Mli8c&qJ&{$!ElkxgF;oXrekI+ifM%%0X=^Mq*fIw}hZnntPg6L6kz$wjQ zKk`$bC0^n3nREi5Ca<>;y+qql&=|ExtAwpO>VVB+>Uh_7ELSSy?sEethc3_JbGqF} ziwrW*S<%|{SnkO65H*OtvhL+>gan(a1B^DS%9fklu?pZ@bMeAeYf~z&B-hPar|uE# zlk)9*bl7fX-2WAA7ZM?qM$xAKx67viO{3SCrOfnPrEJLXXuu;bqvR>vGC_>M%G) zVDVZ%EKN+wO{Txl?AFe9)4k2bV!h)QA|kaBOQ=@#qLk z+1^!gL95<(QOwyb$Abh?YfhqixsAlxp?`Wj*B!;Ym}ld4dFULyfZn=cdV-@6RPS#- z_?ai;+*Oz^I(8yfNtT(A$om1%5@p+YHwVTMT?ZgGTUP3BRn9p6I5S1fJ4^Iy8aG3s zq&NoCd597QpL0=|AxLWj^#8Twe{DGKkw!;KV4lW8cu;XDMX9);w{!RLCc6snM?1TB zB`jW-)LjZ_1z9XG8>9TZ{e z@y#|?c(o33^W9P7IyatXg1$Cvw-gTcK3U;o7R8+hJ-e+LABW)9Ct<(hZrv`H6k9lI zQN?*xy=A0!R^vjyO$>2g)9>vy+AxC)RSIhwEs^KV2%h)&t{t7n(2UE=GZ!s9M_3Q= znx!}FXisq$FNC=u?D!J}Vq)S#oFPlV}k8o)d6LR9P;GEEN25V2D=zR)}x#}(V@s0VvaHT`t zQ4-U#&=dXean1cd)7~Qw(*|kCUp&{xe)G$9~xQ5pGREWCChD;0U~E z-ufZ5frLl%@oh@Wk38UZItLzZ|LDjyZy)d0h^P6XAI#0W^Fx4J+Cjv|W~EQS8&2uI zao^W(&B@nld~d!BuW5ktg9GpU>4E%X41HJa&>ByNIiij)K_>W*tmF1*D}$RmwrEe# zMcav&^o#aORrT+Bj0No2aZ2{1dz<>0iKXC@L=M|Qa@+dsBzKi ziH@^T@Z!1FMJ(SRlUCFkv5}P^ar%b^WW}Tv%Saoc#JLU}37t{pRV2eVaN;{t<(osF zJ~?*SYmPKJS=(p{Vnn&dpf8smRn=8s=M5U^o=qR!?fT}S2dOGN+wr&lfpwp#7K|IT z*Bm#x++uc5!_zm}nE1Fa(lwSgJ(|_&y4AhhmPgA~oHMl>&BDD~c{`GMkH`8PFxM?3 ze33Jg#Z#u5$nZom)(+pU=fH z7bTI?sXhF^Zv5)jp1;}ljPy2wrJ)8GrCPMS!>@`W#53L>?Y@4M?kHsyfJyR|z+osyTOYNyD(C zi#Y1TNgw1M^A42vtPI9295X;i{>9vv5aruk9|a+T3@u#9rS*bq<=+>U$K)vS8HkTW zKIWE{dx3sf__=j#z}=>&#f15>bE`PZ za}?iM>&HzF$Rr9%Fv;29;D0N@@S(1S7EG&zlhQGhQ=(0kPLCeR=>ea0Ryt=*lpG|D zin1z61dc*C=$j~pw96t|h*h>D-_xkr9Kqd;U2IxsKFDA{gORtipS3i;)XpR!(CE6q zM;vmb%jsJ$M3vcesfC~Oj_4c-9vG(HD@p@!O52^T5Kg&B9z@d%~H(Eh zcRBhh4Bf@LIo~oo({nuUJ1+zINqATW$g7TG9e+izScnxoS#A^cazobK5GBU=Ceab2 zFPMaYIWO;%A26NCB0~i;&jG$ zx-Nye9PbVlKEKz}rVE?eX{fZ!(ttQL_N8wh{RY{aq{|w)T=b;;GJhlo)u%ooK#}ND@oq9{f%I-2Vd86@)xnfr?PMTsu2FbfTuqAif(h1<8$U43;AjepI zE(i=^g~w(mRf}*IVd6wzIHBoO>X7~t&vN!h5FV-m5rtGofr(Oi8}**3D#K!&tqz{D zy2iBR?A~4+IunJ*#dK$3JC!DvNn|6Umw7YQAyRsUJQ2)E%wMFo5=+pqrK^?YpUKEm z9&{A6J?@bRUkK9p#f!<8v2erj5p9)eTI#QBc%Is2P8yoVFr>s~*X5vQ`4Z5UEW zyGZR#=H6WO>-@_$Pl!dVv(06@c{eq4m)+aH>lKyHDuX73cf5we_z-jRzzMT~012pj|MbVVAoJKyc4M7?6*=R133 z-Rm@p$r7Kd#Lw0pYEU{lsyuaya3}ToKQv3PqGdib3P=XCwc~Q4o@`}g5H2BQDG;#K zfv#jv!FXgDuk>oeo<3O5zmKV|%Tvn>%{r(p9yhg|Ej4#(~Uf(P?#C@7MqK;OQ+=?z$m0lI3l$PWUPt6m{*h#aX&ht+N zS4(us@J#ipZqmtW{)hmGl8oy8xJ!SmRqsUP$d9*lgHe_iWTSSVz;rdP-)z{l@<50p z=oQM3*_w0tE^iI9HGN}N%rGUW#~m;b%=Gaom=a>fO8Tv2OOGV@oK@XmAq_q(ybC$N zb*G6@Ef80|f}ba@UY;|0|3c!*9A@^%`_$@(dozj_rp>5oIF1A^Oul_a5#7{ICjpd^ zAl%vYQ8s;6Z0!8(Y&uFv`Q)8b18*awSIiRsA0f>DOmwKt*0gTSIo*c8>oEX!6fmm} zIz%vk1+q}gFl8VBSG1a-v16DZf5dp3tl5-z!w?EHs~pCJsd)N{+$`FCPUT}9e9&WN z1yX{~0yzJ;MvcZ$SH5r!KwYfb3rp;yENabAlL?hsPcm;jyV|aURVNf z_etq5b?Lc^BSHOLZaLVj7}9?H4iFA*h<-~P)nFMO`ARrk$jDB-@(FJa|G-Jzx($#P zk36IrjQ6i3K>Kr!VQ#agRn8?TyDJ{yQ?R!e`wZJS8#l2OpLjU9ATOF6o$^FTzyzBn z6po(1`0OM(F(o=W8!l|hvJmB*ymqnkM~-5f0DG^z?@Z1w+D73yZFb=~nMUgd6JU`9 zNpE8ere#CrWMC%wQ@67N?r|RW=vry+@5}>c&1)cK3HGKNI5HQ~b4Oykids@HQ={#f zqj#vJ{yZwR1yfN)*{7^~>eLh?St=kiU~Yc(_%FN&lgh6@_A&x0SQnGVJ-{3(*j1Hj zL~cQ=UHuUf>z>u!Z9#uH(tDhJ6PS`bbw;k)s`u;9P}0##F|4J5y}%X=1ysZR+zigi z&Dm2$eiY}(g*+-u2$3;*z}?oTy*LLGLtb{Zjg{{((;-!vLZVQN94~a(}!PhxX1fZ$ZnTrh|{6^;TSI&#|c>zU9xD4{-7hqjVkvcJ4pMckR(J1J_=_BWue@#j z4$kN`_$tSw>i#%>M}0NMr1G|BqbnIG1?KJ)HYt`5oj7X-{yKM7nl`dWB^>Fju1cG5 zelJ(kasw^di#Ln8S6Ll$beL9}Vir9Qm2H1sq%6dKIZ<}hKM61QO5p#98$vz60wUU|YUQao8ct>dF2$(Tm*Q*fcNhJpQNp2^7t z7s?%0=E$@Tv-4%!EshN5EFj7#yH2nUssy7l&hlYvB8KQDRsF1Suq2e19mJK9=>JF+ff`$i!-Bk8OXF;x~P8JW#+{O~)oBwHMJch929A-p2ET_L)K*Yvf_^;#t zOK@C;`U#&UJ{uu8`UY0|9A*SYELd}#ag)TkFW~%d#j$1M?KKPb zt;N`h3J$NesO3P8@ws`+z*l{mFnX4I7(JHx8|N(vczphLuU{QztU3=CsG_Tynd$xWR&1Ep+ z!dC51;05F;xM;Wt+w+I?V+$B>&V{CtuA76lsnZut0qb)QxHWIg-#85J+c;<*#;A2o zZ_Vrfy_W6S1fcN znu;2#FS9l7w$e>=t`Av9s~Gy^tLJ`8iFIvi4cg*2J@d(}hkk_6x~b*;6{_o>Sg(Ad zWjw!KcQA9{iR6Lb?(Wycng&?AtpkT~D0{52w5R!LK3gUBzjb6qp}XI<^$GrVhU`<# zKd7czfzKoy6N07f^3u}m70SYwBg7> zheZxz;L%XG-lMg^9V;fKt8n*zIeqEwcT)?(_1@`Kz5LIr*ieE5X`9#ieL1YE!@Xib z>%EWnm#O%vqeDfK<(-_q$Qy~ z1%x{kLnR7bBV3&x`#thKBt&7=Jfs7x>?dbAm^`o1+7U*XthV<$Ci9v*njjk1^Mq1`}b$zCwr?Pu9 zaw~83Q1ZAWrp{u!QSjQ{K}PZ&gm5_fX12!BQbKe^z$XI*@*60vg}<+(a@8g|GNts3 z3E&@!$iPu@R}_s3(W+H?z}s_D`0px@phJ{G8Ob`(McVUKabX7R{6bR63Y;G9$cvh( zd}B{aA-D~4ad)|2w6nTMci748L&USceAXmHqeo6fIP&<;!3s~OJ50RVY{oD4RDbF4 z_{Wj&;XjQ=xE?N9M9`ZnFur$HZwnz;)QD@yY#u;JZ!ZbYluslG=La@zY%M`ksFvVx zmmeLTE}YvXUL2-$w&q7)eVC;W{S7yK#VgUgd#0Hj^eN5N6`zSA*hcz1NC7|Q~E*Ym{2kVQf+&zpmYQyTfF?)AlWAfqq?_r@J zyixdQS;3DMr)KhS5+lQcr^G4H$U90W2HL_j9)3$lDc@81qd8HLtX~wtX)Wag@bH_% zFHV1JC}Mvs@b|eb$-`;bhG(@E3?yPpN`OAL^Qm0m@3C$Osry{iz*XqG;S|Ckk(`Em zbEgz)@>F9k-#3#(%PZOvz=MbISE zt!QfL9YV$-e0;J2M;WwuNksA#*c9ZXPZs6G$GtW$T0=JXFm)cXWjCf0mD(b#Q5_>B zUz`O})4JU{P+p|)OHLc84`-MMou~1`%Ek9JU&opkmj;JAe~w3ZMJ_Meot^^~5UXcX zlBQuBms%reD955EC4&Po1OtlGV>*?2pd|Rz@t1@(HBhp>9@A-SerU8f%#^Dvf9k#s z(Rk~Wu*#`vKYtW}3FX-DkLv_Gs>Zc%@ENYkT2b?WIU}05QmLEztw~ieN2>$R+k%y!*7|AWdk#me_8jGth*5!)#oz5> z&n9!|@7-Cv#e){vKxaCs?i}x48Jn1^DjH(Z`+(r&XwSgsS-tH~&7@H6GwrvU_qXN& z%-F^J-2@6KS>bGA`S5eA3DbG-W`cClZo9Mo;1ThPB>ZjXIV<(w!enEOqZHy;h{c?? zd?IyXoi884m=}$OiRN(GOsusZ9UR9DGtruYv-;q3rLL*L2j5p{9jX@&4P2~?Z{Y{^ zI8X?GHl+l;2WVF}H=cX&&xh}$$Bxq0 zJN|uA;Dxb4n1SR;{QPj;5!u1T2AIiW43)6KM5Mzuuo*{PCrmX79*Ra;oqV#CgZHmZ zUU)W24#Vd#b}qMd-Hu@Zhuq{3NM+Oq_cO1cK@TI%`Ck5ZwVe^!E$3Y#Ut0Osrs9BDa*xt+rX=bxx>F?(j*YV1IQi5nSs6zLHlKb^!dVl24l?5E`yFjY+@l~m_6 z)ZO8_6CMi8(8D5<)Wtf5v*i7&RI_pT_QTFidd#!xn?<{C=QOi*M9^qU@X z^s?6mpQEmOx@kLZM?<6<0vFN=Rp~;Sr@Q0j|1pa+vEMQWgN+phwhY>ab z15xn#n6h|ih9@ncuHw0wST|TJ^5$C4wac-;@@*Pnr77quy{SH%+sA1rGBq(UXBqzTS(_u+vPQ|i>Lh&{#JgSbx+->YL}DBz z72hEY2DoZ~!D+;n!|1_X$6YzCMuTDoY#lka$Kn$6@4EM37}_srxzd0eXAGtzxF(_QG>nRK|d*HUH2(x?!}pgsOmmt!7_h`1k~5dH%+?@d+WM znXdDEZjmJC_N~2$AdVd1qstz3)lJnNQKsfK_n7vW(H~Ul$-P4o*#XX8J_rZC4J=W-^k%DK^J(iFD_a-agz;3#M z|59AFkHAEwgqp~*8~2A=&C&n6_t9?EUfv{HvJ;*ZMJ)XPRf)uoRT%6HiC>$kdhlak z*T_*a)|6Spn*XOMo zd)nXcGv@;3(4w%AVDEWND3bgBSO52bK;GnB$GtnUxOHfQA~-GRa)fWiy!P-oS9egM z)qK!T`LoaSI}n11{~iWbb82BzH$2EuvvRk}ce}^l8(Z9Q@SwNex@zZ-fC}AlzdG0V zv3l2Jh+ojB_Jfgz*@vQ>QRTMT`w2p4^??VMerb8&_ZSd5-f}cZdXWannFR+b9P)*A zTl;*4-#e^P5?@~8qW8IG2%N#TMck->6e15^G@MiwQK&tUGAx9PY2Nid;MYQn^y;~IFdiA-2MJe zw%ku2o6>b@KcnQ(bH>hfHixbIyz0M6-15fpb%xMWlydAoGe7Z@`!6%pBk@4$e1x#2 z@^E0~!|vC%js2_5eEh+-#HIzi(^*wgm!gEKN9_}vdJ4qASb8WP>4#C`YJN5@gYv8t^u6XCbYbJa6#JQZwx3kcBk9> zStU@MgdidQgj&ZiUiyJf*#<_utf`-?S)IWDLl09M>#U}H6wmdS0$VD1J&1Nb%T8LW zjT6-U_o4|$_Uh;*naGkueKxB7Zs1wjsToFm%)g97^GFC&7bKiu5lBX{o-U=&Rq^_N z_TQuR2abqAHBz?~9s+UaLTJkwva7exn2T3s3I6fHLBA%QoKj2u~;p*>CiUm6;jDwuz0t4gR;d`xxF-YP%p;xBj1&>lusm99q zP6${Lg@agftdAgCBw!)dSNGYV`OtS%-c0rqF;nc2)WkzW~yEG&6D z2Q-3hcO(k~6G={#A6Y?2?BH)o^r*?cTBL{}s2|Kcr=!ngae!Ok9d)O4gzFWUa;!kg}&4FE%(+Q#37QK+ZkVzB-wFKmXXFXBd5G185phLvu3 za-|VO*~y)B-e69oXVRZHFtU1bf+C&XpNx0Bd7H2|)CEt)-s`wxNnG+uY)bcY^6lWD zF1Ksb))wmP_zn^@>ZKG?W0bc(PW90!w!Deh?kJdn<0#pANE7ZA?Y1adU?)VqUzckC zu_O>oAV_9sF`on0hgjt@MGpI!JUfQ)In=}nTfgHF9AfgQB@s{)u$ZT=g=<(~E7I0+ zO`cD6!P^m<^4D`3SjFwC{d0!L;6HRbT?N|kW>(?A0PG40p%k2kH>LGpSLuJc+6uoS@}sr~&I#eismN4H^$2ZKL@sK8txmezRECLbyKk z@476MWXPjZwdbdLR4cSSqGxqV(~+MOOmajC{7CV6TqRw>*Mcs?x53J82eG;DC3^xJ zhF7e6Y*t6zPaj8ax6p6@z{mzM#Qf$PZj#fo3@sxXwhCv*VnH^}-+JsG{iM{v)Z9;K zskf_9Idc^D1cIc7GJk9Vj(=|@EZq{zY0VS%@OSj!Nn-0|KQj%&YM9lBVuk+Jcfrv_ z@JgMoyK}{%cP5N@HF#8Xi7ENSV%cAAn=XF+l(gWD z8)Z%08BLiyE;h3|a?Q5xr!vO+u9!zD`DCMfEqXKJyRy4snYR@CX~^1#C|QguL%Cp? zsr0m6vrw7aTy-Oz7>6~qIferSq6d`C&r=fPFrH-cgcloQQ;ObJaAOp>>6h-h>=9Tf*qlO-3;+VrXsb4rIFOb#6rv*OlDdTG}VUBhAWQJEkKLYRbQMo%t%YXe(%lwS zy6*0}yc`a7O(Svi-!c-GipNbz?4z2pxj@*x{73T9bJOzC?`a58t#u(@JW>rz*rJ{L+7sK-XK7f?nW|MLu!);L0~ z?TJi+Y+im&dl07pzD0fk@!)G#c?T9&J$kO&gJnDm7Z*w`_9nhhl9oWYCIDBMfeL{a6 zo_&_dd58JD6N2X6PVuSHCzqPPIQ9cfuOp;EyRG$C@UDqzS__iE-gpC22sc26s6Huh z*5@Cz3$|lNk~+Zm=LX-F-^5E)JT<$F*se8H?IAHl$P8L(Tg(#RbqX(EO+cO)j0TVW zZVeZ+`Guc3eZwpvh6mVi_TIG!&-` zm}d9TXzS9RSW?mDEZQ}ZmVkTxobJD7D%+|MI4~w?)0!cNADY(X&H!x&$WS1N)+de- z9s?eU4$noE8t&=p2eECo+AGIZaci<;Rpnn=oAqm8jw5Y{9RcqhEiDDauWTWtVOD3-q{72m8})brrJGA%x#zR1#y)o53yVXqeRS#T2l#CrIE zk5p>km|#B*H)XQdhBQUX)i}InX(j3hpGJFD%Tz7@H1?wyLaSh}&IUxMCLBIEPThVk z_@{(qt=bfgV$duw#-gswAbC$Rrc=k$q}V8$;KR@ZF=P(sd>Yxn)HOTR$Bv=fB0U$ufl#BGo5QjA!*T)1Kc)#uFk)A-&b0250UT1jOt^c1mWCkS4X-pmNSz3CW^eJmlm@Nx zzF*0vy}!<1Huq0hSbgg2Z>seAalUdB#)^nvD&`$uaokqpw<0haRav&RnOD670`@+a zCt18R9NmepN3YEz=SPdkXO{!(qN(r4<|XdC>{E0#|yet-Q3!L*jl znRjvTPldjNm@#i%e|rA!&imdH%}caAZJ+N@EqrZ(+cmX_x7;RQ5H>Hu?v2TE)#wuL z$17gJa0%b+q5@mZ%%XxjfgP%k-LnA5^||A{sb-^;5k6A;`0s1xoDwapGNV;;lW^g7 z|2>m$g--iMs_Pa40V#Y0xSp)o+JzVVON_OTG%X0|Ew^YE$Ot%uBb>8$#nB9N8yY1e z`Uv1D&GlGQ_`Tku8>+Q=S}x8IRo=gx>q^G^{&7gs#afhAM9neuAFC<}G-oW`bz*IhR2hS|K_-EJb(uXCkCEaU3Km*P*scFxDuiL-C zX5TKHjok+9P|8C?yB$qEMc<}l`C>yM#2s@fzAkRP13IMZCN0wsQvSndIu&7Szmra1 zT>rO$F8KzyhY0s33f3q^{|6jFr*gTlg!+<_v4&SOGDuY;v*Z-}{u$Zkl+vCxQFJYA zkQ*7ws-kbrHb4o3cRNbe%toJ_NIYD7*p4S~HsOv9o-bgDeN`030Qan3v%XjU&kXYwo z2vQ;EIa<8BzGIK-;DV>)v)I~ko$DO2_HM&U3*lO8{lUXRlwlx^QBHP)$oK&}ZbR>+ zhl+s-N4&?8n_O3e5zGc6g8bZQ(Y>aJ=3;F~S-#HXr&Tx@1+V?0#YFqu9qg3-4Iq?X zzdrmWhCy_QE3;d_;~QTSv(yh|yi*l;r^&B&Kc&XS&ob`(PN0r+j{Hj%&D)^0-Pthv zDAxVj)c%m{;~eH{6)ipLyQ>!-Wxq>m#0w6{Hi==|W3|763>CW+D|M{W$$9~M?R{%A zqmeq`d!BnRt;CXzHTW5bW?Y$kKHL9d8E1}9^2j_J%-#W?4;$D)8iJ#YYfZl3bxjw3!{BZSNltCnvWw- zQBuox!=A(>$WCYEtCHGQ%E#%?TkwEjq%5Y4?d<1r|88|jn5PC4Jo0}7o#=hemISe zcpHTyJI7-0dxP1tvm%yU#)Q1N&oE+lf;wJ|pB{yhqMN+1@#WULR}RMTvTX$AJ_U8> zJLAekr>jBH*u~#@x&mUIn!g3%D&4;9PW;=MQO$|;(T)<~;d!fYetrVyYUw!`_;LZD z(3A7aXp1ujCKTI&w!)#%g+`oTNJ*#1=!-|JGE={D z#)R}87tygHik7Cc8O_u5@h)A<+v}U#1sbx$u4H;L*^bf8ltKx}*eJ6oMnvAkq$?f< zkp?VW%%Q?q^i5~Amkz}4aRb4euf%I8u~(fTKT>E?UvZ2{orZ5Sz$TGM$=Om-=5Y0s zQ}*D|KJ9+qm(DSHVo!NjZ?B`E5iy;_z8Fdo>@Jv-a|);t-8sf5N6RQ%82O#v!rc}( zP?E7TbX^0pZ#;pPH(Hrm!_e#x)g5K!WV6j|W(7FtMPm`~$ca6+m@*MvAg+82-?Yv7 zus+?kcsFd3C@kScHk0EFa*(RrzEn@0h?Eqc!BIij^M2q*MgU4;Hk$_SY|3#t zezp--Pl8~(ITz*deSBKZpTI#iheYqP+wwVB{oGKzV8F5G)IQ~EGrF@L{9TBrrkvfJ zG1zi4fgoORc|y|`y@Df|<@}n$Xge#MX)!)EjXkJc4pyrN@zULPM7oBnB*6*J;Exq| zQZm86{#Iq{E}PM#UotJhnI5ac@xO=vR5ru`v*pH*KfcG*TDH2&>fqJaIqNe%V$UY_ z6fNhtb7|3#ZM5XpdjYG4;ku8>YEUSf<%RR22Iv44$8zQLSs3aX4TXR0ubK(TnZE|@ zgKNkTg*aBu;+&KA`S7$k0;5}M;jem}|@b*u&@RIKL<$fI5fdYBd zNk)U3F5@O*4f$dbAmZ}T-onx8emW`KT)L!O)4}g@eHJQ0gnyKgciR>Q$jc{VJVs)` z&1_2}a9XwE>RyO{u@T_`A{Dq*WPoz=@=PMUm7p^khhBE;5+LgVjB{47&)|qn!K#XT zxWwhIP;YYvONC5&gP^Lz8xFPp(F(dViE!zbD_0H(v=GvD|K*b$ruHDI0 z1Rp~rgWjy`p-*tR*vM=mSFT;pKW%J%$G|u}giK^k5v8@X=UWWxvU4yd6H>~I)n4_w zDq)Y?ioX;0Soi;QnJcdL42^}k^^SA`RT)6qC%%s)IByPg_GGv)gG@8E(Yg=E-HwK$ zGT%8&Lvbn>E5|`J#*YKMjh6e`ezo>Y90CH0SNOfuiG4#01FQnyOSIhg2Q&u#>A#Df>jP9WMyrCL*A|k=wn}bhKc(&efpG z$f^Ka2FG|4x?SW>CSB9_g-XtkJm;b|hwI#Ig?~xEU`qnhBGF`6mX1y>5Kou?dTc=; zY|dNkXhgg_wBn&=p#JcGIvdk@k;49hRf)o&i^CieX}~gM=rBpzY1{MRB{n(}c#)$$ zB`)AR9=29ZJnUfOW8Rs^V#DS!WiztG=IN48Lb!uv@;)1oKDvJySKbyxHkV_dx1rrM z;S~E$AK~^{XXtAKx9Q@&9GWXg#)>YE(ONW)w%D1D+m={7&x!dpi;;R*CGPh8_@T92 zLh*6nM5II}f2xU-c_E9H@?XXSQB!pGph#UPh4C7zHi+bDm2(nP%4bQErMG_flW7lk zym~z+1I4>l8YuM|T4dB-(3##eWKut2NvfRbUMcOua;8r}%k|c9I6%R2yh(){m8>@2ykJ>BZegGd?SvvQP05BF(3Gdl;6XRkXQZlkx$!YU*NBpX zs5~u;6_c}ZM?svhJ{wmR9ukf!15hr48Y(y|IxDy_)m#^0W=lSnpm)-VoP{jh*k8+x zT4d_ti46Qa=23W3XKJVUI&_vCn_-_Y$G4S$RlF6!<7qZ!NKIW#$fQyP!{d~6!q8eE zjsjI^l$Ig%#|01Qns_fl3Zw0h8qhXTnpd7u?daZy&aG1 zJk^u^UE&|r33|e<2Apnctc3)(@6j?*L%~`O9tg(M%?*lZ-Fv)fX!AXBl+t{&VBx+P z=Hw=Tz^0k^a*=mSXKSBzuNa^+D##AnQok3QEky=#6z8aR3{YPT5l%=@=5WaWFmsk+ zH2fn*LvOEHPZPuHa$jE(iv))CF^|l+z(Zlh9QdjiU@=}xG3z}xJ9O35tli7~4oqJ1 z4I@C-N6-63elRgR*DDE>ocaEjxaF4lbLOq8^YL9~To@8Nyl6K#IZHx*zpdU64Aw2f zTZ4ZIO8WEDfAbB>1~SNed2E*{;qc4nz5N2vR4N6Z*a`Sb>CC0ej#>VJSp4O1x8>a_ z^7`ni`_-p0^tp!iZ}AU$#Tk|0zfR=4|BB;)^v>+4g&6go4T^HT|7*d6=y^m=ypG1n zI(y8~sfAwVc?Y)j`SRYj70$?)l-kqg-4`v%R(wrVMA41+e|Yl4<)0jzw<}}+zskdw zNdx)Ory_goj_y5Of?t!VR~v+U7$dGnyGNAiyn<q&WYitjGP zH{|%MT=S~$_gCu=9$L7}Stp!RKQB@*YHW^_pxH)<5ZS3EobT)yV?^m8~w2E z%lLl~m9VaJ-LFSmcqx<(cn;tA#{QSMb=IPy1B`e4!A|8Lt{_=(lhxpr{aKyF z&wHcu;yUM_rtUAYX3<|-s<0bh-1!a^V^J%U;7lZ9iLIUjCp5EULnNcd091xe1jj zv}*Z~Z^>q=UO2lfkr?S)FI~_qn55JwWnUv-6aglyxPHl>o(?rD0NQMN+Ko`Ss*w z8;AH&{JLyS@wy5_P+_cmm)n&a%c`29yvd`&#A&@WGl_&dhgD|c>zsiPt66?Tm%F;7 z9&nWKTdkK_Wz+qe?^nE0sT=)ax>ytvQPCbfYK)Th3gY*kG9DO046us7d%G%7+Wmy^ zChse2hHR$fRSoZyhG7-ut&KW9eNBD3rB#zcFK%eBKi4RG)6sqtmYt$ID0%KM$_YTb zt~HOKK{~E9VI=Hz-haU3_dLWik3N=~IJ*f1Rldhi(b+J~M zMrx@kxjNa1A3;TnHFiyTYu}1a35VM9%#WJK?N~3sClagXy{Th5r4RM1lak?|<((5g z$3CLk^rUOE{#E!>r7|W^lk-{wwf<(?_&Brf?k9{CH;xuyT=*&}?5*c715HDW`sZf2 zYnFt$K)BZ;!)jFtn#S zPaXASs%XXGA-ICA1?P?l5vh{q&>pUp*;M{ZRx?xUa+d${`_AjhICoXFTI?GP``uS5 zZ^S=j=?Mj^%`HVQ$5;)59bNL(Sep-Qp4xu2y~0c}*Ws)RCY>C}HQ>hXZ7mowE>t1! znlLW}HMY9}IH;YpE!`p?Q1eJnCaL8J0(Pfeq?@E7RIJEd1OYt|H=&Cu+dpFk72q>LW7cNEsxaFym?^+m0e z_XOcN3?r@VUR6Ozw1i41Vrvf$sLpK*<^QR=_sgHxjiIn5M}_+~`b;+9^wy?3QQk(v zRkThGOzmM^X&s41D4hT;w0nI%}d~vwM)rBKgUS!k^(_xkg-;0Ld zj{sZZS~v;wS78PV%LUeu_*YrX{4iVRfaJU;TXC8+D6HXXJ@I4!J;F(`BT*!#%0TpJ z%M%$&$%rN!KnSMKlqp!IJPWJhQTn>&46DG-M7Wu)o9eAE8f?ZOO@zqCP&3$?36MCs zZ3|9;Wq70gF5zWowbc_P6bmVAco)s zq=#@RK_Grxld$G;nsmP(w%m%-8Hj6|`z_v4LcLppMsBI4#BH;UJKB2<556!{R9(h& zRef&mEGpqC2DPPI$jOLoIxh(`K$VJ=@ak?Mj%vq%}mG`bU=;*yfwJ=uFd?1i~(!l zeTcc_!V2~{nv~E$ttcOrNS%deS)tJ}mYgiuVJTDR!c34R=n_8%pLJYmAs^aFNEIPD z-zZp~Wr9Qf(rU$|wV^xktg)Y$BxRwp&CoTCVVE$epuq{8-f={yuiYT-2ApNfe-*^Q zR*BKkl5W%xcrM;{(-nX9v^v6AkOM#z>UTh(h|l6h>cB*4)4l9QcMLzzI-HsfBuaRW z4d1U?|1DcZ8NkSxaXVuusbUIb3U;XD&Q{LVIf=Y40_t{&2~+GSEg4e_UWwS!DZ>rl z`cvyK9HMO_4>;-8cDFJgM?SgIOa-@meRl%1OI6bB~WySAy z#G+%x9F4s}FElGyAk$Rs`R=i)QiP~6W~Sn0;e;Tjv+}~H`GWANq3tkt@Ye{kjD~#` zypw#}I3+r!w-^7eis5bFgy(5J55j~beoS;K14hdS*sgj z(_DDS;xl8={Mp{n9(jgcBgo|fTQymWa;Y^_S*`CSEZW&;5p31PU~}1DICmSlgo3SZ z`v(1YnTnyIBjidFwYB~6I|MHj+WoCwvX(pS*>n`oweE!vl2dT5qTgsrH4Y1&Hdc9O zSf|zLnQ7&Ix-z$2lHMi8l)25~_}PhPE9Ue~8I`OE(BtAP<3Q0|=QuB68y{g~Q{nDS z#3Vg*lZsE$erUPD-^S!NoF#|+nEHLmN-85Jr>iWBN>X&IRNmaZ#3D{9gcv)bHEjj_ zSU`N@AX3^qk5i^LeoGpPnQ#msh+?jetlrW}+z_J^n}BnfLf8HG@=)`DAbRHGh%ae* zNUW>+q;|aexqW;=Qq`E6xgK1F$In(gKqnFVR52YTp*p%oU~RMBHP60erJmR~P{MZ6 zn?jMD4K!VO<{Xw4Vo#o*z4jLI2E&eRI}&hIM_tRg;F0GTDZtn>`rfuYY1+#Wrb)LB z*ujh2$yfxeNLDlffA+CkGiHF@htp_Zo@^LhWozOojSvj=6<80EmGl0_ zepg|@K+^~#XZd}lL!o9yet)LIuIiyPwptBz?F^Q+(;}?0H2nSjNsOF`ge zfsWXW1WMN6x@+Y;6lm}&lSN-`(ODb=opGit%OcSY_K4oXOHx8;Z{{^9>r-O3=fdEX99adxYfd5oA5M{ zva;YbY&k3kqr3s?!AC7*sszO(t%df<)?0l|28`{YK5 zKW5T7ulhcQ!FBydaKn1N^vWZ%R?c4j0dz{Qqfh(#9UAgU@H?;yJRiO9hab$I9pz`- z1ZXSeTL#^7{;K%_V}}=qE%pNyaDIUO`dEN}?UTuice-kP=KamMJSm4j5yZa)<#oTH zON8H|>1Rt=zCJ!IangHU+M(Cd_Bc$-&HU{}vNy-{wY#0e<=#5MteC80Rem`cW2J8M z{F?nBaLOaoiN`nE(8-dGq-5j*eZo`To6o!EvRp<>0&s)6mjS_RbGOy0E3iyp&7mu0hMz zJ^RJ#Lq9xub$N|+NwKo@EAOv9eZxn`3u1m)CHhHI(efp)sYZA88BJ=k@9HXz2I51D zTIicZYtLWszZ5H6*yQ_RMoSt=L>=h30v9a4KrX5&zO}@>cuP~C{8{mu%+#^b+J*e~ zvc<0`;)3!}A8;c%dbiu%+*Sr5$7x}2fRU=lUb+1+3R;a1Sq17|rXog+R~#?CFA-cf zn!JZYf4bF1%g5p=uUO20>l*hheCTrp{UYn0`sO~hr;hShp1r>-!?u)*P1bjRsG+Vu z9VoEUwYm)po1PtbLcYQGwBYL)OtGd`c|+U9oYqRS$WSZdFam}>c-q*~xS~_eti9*D`168+Q$Kps;(OG!>_OUZ z=p5~vHfa2#d2$h#&v-RYbV@pxU#G+~-5+E#wK*w+w{N^(agq_g#nPW|W9#-&H(**1 zqd!NJq?!>^Kf50(FIv0#rhB2EM0TW+Yv^c^}2HC4cUy_iDwzVh$bBbqa9M3ATX37PhMzqU4Jf z{*`T&|LU7M9CSKyY~YzLR$WdhlimR7di`Jv^UQHYYtAUM(vi+PaL2!FKrWwV1MiTm zonWg6kHQ9?H7^WMw-v=S0UI1aDWYwG$I18K+;+hTMJrAgnhKz_KO+VG@nT0i2v3b#I!g!Oza>Kqc2TJxk-`xTqt zhYcE-)a3lAg@HPBYLE$>cwd1c(XyWrDFG+W#>v2=S*`D0=v$Xf0`0kdzpb0?=kYkO zV|fVhVUDKYobkf8`wxJILLgN}j@er1m)2ZI-c~N{XT+XYd>><#?rVGE(&7rrPboRj z|32BJ_VC`^JC$X8<90znsPi5z4gRP=2nFsoKpq?>0Kk;8>5A>sOvgB}CgPNhq!4MP zgCLd(4|IQfK`Pmf2EPX5^jUE+0&B3AZq}cdBH*D%kJSU$UPPNy~(icFj?xZ zX(q*8^%JfeAMZGc=7{Z#&^eEn!>pmAjOZeH94ehG@cQoj8I*UPH&{F%+BJuhi#l}&kfv~r^!W#v2Rom0XnSP zTJh;0o%^YErx;)5wqzD!;Y&j;4(EMTmAe?Gj*ZRYt=qFU#ZtYaO4c2ieX3x0l@veQ z5j;Tp=|v$^m6gXE7|nRPbHOy5(Zykt)Qmbc3jv8(@|VsgH9P5=aulf`b)r^HFg^yY(ev^})&v=Q}=xUZ!-o|U=2wZ95=B*6Sg&mom& zKW*u_qY~|G4i1I9R=r3bqI_rWU#QKOeyYEf0e@nwixHV6DE~fts#8WAS0%XWGyeKC zJ;=Xdcxr;fQx7)DSXo*_YawZaFu7ZXXRayZU1_%3NEBA2$7R+Bwl2kC@4>B(zhS7z3>95YRy918l0)tEW@{r`j=;% zqx>DJy;xM~Itut`4a=}*@pq8ANfW2wtS-S(7_EXy%QF7pd)DCk*>wYAri{K1zADq_e?s z6xDj2#6Jt~*uV%Nh_Hf;Whp^LBuY~n@WdoAI#PHxQJ#HE0uPd@FHW64K+FkN=0^Tt z=jogo!H%S4{+|+%pR^P$cMMefY5I95x(FUNG{O)_T-oMrS(GBjz+Rfs3>>||yr&_= zY?k+(V1>;?%x=pr7p%x>Oj{Z@rLErqiDO?H>By7v^ID*%=Mvwj9387!S?ziFT;RPW z3y=4pnA}yD?%>l3>!8elMTyixGN-!M8;uk7&-bc3rQ6;7)fnZb#vJaUG(kz3b7G)_ zbrJ(HbOaOFOvMWIj6uvm9~%H?V>RRu-ipcI@)k zgJJmP?<0#~ZMUWiI9X*7WR@66sAfm;+syF(xfUy{xGO$$*%ntm2k+0;cfxUMw&Kxf zF%=EOt17+iW5C2s$2`1a-`tjDB7)8|?9Zmm2c7aw<~rt%6MHEkiSQ<-gDp2geT3G) zAO|S>KJvVBuWT1*{m174%pX1tLW9}dc#LK#yC47K*RN^zdA8(x*FF=K5Wo`e533#d9qH_r!07+h9B+op-V+d>aB8-7A|X zgwwgEQ^cWl$_P-93If- zmTbx!M4qXWKelb=HXvY1k|?_8SiJIx*`1P2So$uzuwts9KXprctG9*^(pu#Wy1k-c zE;j@NVvKXTI#SdizuAJWn_k1V3roHw6J&e7(FM>Te_f#~Ij*}+xcONVD0@+Of8hp5 z^8^7{X_P3pO**Z6!)Qwk)0esXDqD-9@D{u>GX`3GWQ3adj+HQTC`;U~P52o(j7%~? z|MLVd(i*JeZJ*AVZe40YVjrw1MgPU7Gk~VI<@d5Xl8r@`6y3ZM{ym5R?J_&Qy1 z)^a%Iavz-8cBx#Iagb9!%Vxt0vcHb0<3{izaS-UDuMcNu=5kt zPXc(?pPKCb88&db>VJMfh4=!&2j%W8C2XZ?9H}Aql^XA zIo3tV22AyPw;AJ5u=F1u_Bq1=LGkjmp`PgqopW?DJyrodH8G_KZ(D2ujz#>>U^f?o z9hnjRy&y08kV=lnd{;i|Gi&b3m1LO1Ecb!eQZzbxuY7vicf;?dWiA+nK2xcZ6ESk)CVwTELq=+MxTGun3A2g$9wTlqJ!R& zt>i=$HJ0;xk$y&cc~)H?#(*5|<(($4n_K_s{`?BjAg|R{`3L>v5Q^{k2aA29esYSw zpPaovAH&>J{rrDUzhoQe*l{!{O{IFs(As5%`K@| z7F&$YC(Mf?E?|5ZUY9M6Zz~!K%DixwQIN0FTu(@LJ8bD_YsP0~YsqR-5Oy~8^ zKkz8w(}ADdWqjnJzZ;A1yDVVqLVoHJP#^wEag(TvnfG$up^F)oPl_R#*Xyz9R?~d{ z@B3NFVcWuGeQobZkxjhaQ9=-#@!c9wT2gTk6RpYCPPgR)v^mCQDTy52^mQX?O%wSq z{B2t`r2h<;>840vim1jjp-)5)T>mEfFjn#eUtXDoCrT*F5`Q^)N zXN81+{o2_9@8>9GIIN|NUPrpa`Y4~AnNIPE<)2{6>weXmF*&p0_tiM*eKhzzC^cv3 znj~FMSiwyw|2LQ#zW<(nMy$q9fm&v>|D|}m*02v}YgF?ChDjUW;w?4|sE0rpNj*nP zCF?TH;4KPXXc?YnB=x%-5?jNF*CZ;t$XOcGn}pLaSp4;PQPxNA1V-E*@wb&Pu$frJ zw4&V4k`?l4q=CP~wYjCWid80^>iX*aNmjd+FNmnHZDi(H2l~s0#~JwvUZYYUSfl8_ z;u;l1mKP{Fr|7!Yw#<)MA^pYRB>dd6R~*bmCo+|!6@yhG>x5!g2*80U?D9c!^6jOB z$AHI09BgY&5LAJniWpEjEEF<3q-`-lSd;KFFb$;KkL;Cu+Y1kt&bYa~wBkZWsl&=C zxFtH&?;V}QtYauHlQBknO?1kCSwnsG`!8k;Kb5>ZPAgLcCpJb<;`l1|k-?t+DEotFVj0An_Qer?wv}fAfQP}m)YazKEg$EaGitnwmVX3zd zw$i#>NV_R;C>|!022=MN+JPV)o^Q1F8rquipz;uW!TJfQAW_SX!YJV$x9c}=3tW4c z>#g?UZ+fcAyDiv>yIQFCQ&4nzlk&ei+KI3kT0FvIn>K~=lX6bc3d?aylrvMfTYfqY z{BFe>rr}NIs;XC}cp)v7QD!khHBML@;PVju(Ju_(pnENL(H3hjE3|j7I0_Njavt^; zJ1Mp-gYi=c9UavtR%Z7kpKv94j1bt`tx6fchz)W4(;WBe1>{NZb3{|6N~J8rcX?ViHc zTgR08BoAo3gg5AGShZG>peQVx4PfOEwJAa?K_`gr0$D~=*NEM>&w{VR^^11mP>oZ5 zO_cEY#xbOEOe&%`Cb#9QcDof)IV+zKB3|R!PsP<<8@x-l(I9m8>nW~D0_YFA6>i%r z6*Ja`7xoco7KX#gN@~XP!*oZBu%#$CV;VqIF1qEs&K`%+V-7rmsI@00*O6y5mpRM;Uu-quy)w+u@~wi_qCo~TRCNExkurd3*}74k zgP-ICYBdcS^XWv3V2ADoyBxcZTG#<)q3+6#{D$`1(^^V=h!9b9;$Ta`T&oA>bB!w? zB@p}_$?$SZ`U~F9BCfy0=iB-?Mf0YPMfo{RhVE}A`fQyOALm0=-fnewYg*HhQd~Uj z4P7W4mLGB z=rn;UnKo`*8LNBvi(3I#*xfZx zGWB`=!a&qEZo!b3BOxkDTfR}-y$Jhc#Mb0kFi1hIfG_R>SujYQlyp)|exp4`%u! zBfYQCgt(`UDfG9sv=3xxN9h`?Mb;Q1t!$bg`^L7qO^L4R9jFf7;>>N&YmQyC8=jd+ z^}a=~Oi6?CENZGIb|@l>WWW%Hx2J)ylEu`B;sAcvyIAp7ybko~hFgt?2+!vsD?Ct| z+6U#{atf1T6_bB9ce=LbsPl5pC~!p0@Vrg*JKi00loTB6OXo*E2kwy|Xrq-9@oCZ2 zaJZ89Tsj7timleZ%UoEEy4s3TbVqf`(vJN5N2}h#nS2dVq;9j?WN?)dJyvDvT92k^ zo5w;OLP_~Rt5}(uyP5RlXw=%w9MS|C6J~JsSLY4JtmDQbDelVU&=NdgfpX64RcGI7 zO7u+owDM9lPJ^Of!6u4*nT1?wsc8?T4q1iX1vw_4b2c@1$*W ztK?2j@h-j{9Fx5>ZTbDoreKqyYCJgyrs`VWUO1_HbwvYA!Sxmx;;dB7!D!+#2tjW& zK49dS9@m;Do-XZpV3;pI3^lK}IwCoK4Oj08*CFvNK!LPL5W&G~z?MY@MNaFxqD1{n zP72DTe_M_{6@3Qjy_pVroDrQUr`I)8((cUa6KG>-+T`x7rK@x|)kT{!T#Q64uU4H` zQ(|4XQZ`u0h^ub5x=BYL=CRf(faDU z)4FpBy0)EamLD21K-0?c%I2*eV>P0qmfBfEq$)9;m6&niP~WAI5??UBCMYLdr*~$K zUrD21BJ z$Sutm!THfPHA;P@vyImm?2XN3ulKYEYvTos*gfW;Yx>=l2yV0QXC-D9KdP~^pM>aW zfxuMx7X!S(&Ng#5vGqq#*ZE7s(3>gX1KNMg)5t8%FsrNn%GInZBtw}Srvb^}K_WuL z4Z6huI%TLCsc|NTNREaFU-wdrF;a>no?_AZ1k=d&s@GiFiZOy|-q3G8R#ge^=<`ry#W zM2Wz=Lw8GspxTHkdJmpW|y>hmG^jDRdg ziEnfISGgtAfT&lmvOfFOELqRZ^pjn0Z`Kv~s3~EU`RadkL)*V~!`T=I|C4i^^Vy;K zv%EL>dq3r~VU~|K(Fo`IKfc#L)OYDVuNVBEz2g%zd(Sc7r9Pp@W+%E%5K6xMlOJ|B4dyJtP&``B8iZ;)#CI^X?UKb)Dp z-{*qwJHhe(!dZ~i*7-aJa_bLs=LQM=q2*IzA^L%;0+D|Eax;o(>CFLRokq$CbX#>&5GbZ63wwzb?fQHKX`wb^2euJPhNUD zq^EiCjkV3@`>k*CTfQCQ@RwYoX3yNFE`8N;g2P`yE#kUf>=YkgttxnSS?z7*v4Gm3 zFPa%4H*M477X5C;z-xbF@Q$ioM#+Bay39)Uw-zNslBLD|d#fiy$LoC~88M1E@ESDu zUcw^-6`LjF@)c_qENiAIv3Y?w&GiWBjm&@_YlVf_sO#F^IlsBZtzbm3%VspUy$isC zNyUmn`rvfzHoN>^%)d4CuX$D8+6$0&V!hZYTk`F81J5wMJfB~cmG988A@2| zA892FlG>CUze`ss#SQ8oDXfr~d$p`|bN4O8@+3W!)OfTl57j4ARxNcMb_Oe+(Ar*B zTrs&g#nhfBE}5~oa_H-tf^RDJmV5UZGb!;j>m7ZnQ~KAnpFIC1D@mg2#zxA&om3n5 z$V0fi-(`$;NBQQ@Ysv2G^ryGUZ}_h>iub240=Wg z%32uVJ^t3N28xaK!?hKz2Yl4OOhGaTc*hZ@Khm0~Gw3>!Gu__$t|llOAXDfpV4?zK zdZ#HvkdWPoHMbP_W>a5QYi@Y7BVC^^i1-Z-@o6C4;nWW@qVM=Ss@v=lS%Rbd@^5rz z>!&FXjl0BAi;s+U?`*u;rW|e8?Dm~ANc$^Lm^je&l|wi#UXaN2UC|5ZFGS<~XHHQ8 znW4O`P_+*FN8(;c3c+3y!$un$HT~kmCoL^F z75O>^{~uH59@q4Je}Cob6tx#GvxQ<6yjI!Pixm{qdTCK%6M-QDTdg`ppj87yE}_cB zwu-GK(AI=lB|z>~38_F5Yq=FZmsNAAK*(l|jB8pjq>$V1e9~>--yiKmw{^wjb9ujB z=bY#1FCXsh6o06FK{HB0Y*DBp490R}Hh*gubuIcRDXJSMuc}CqvY)&alS?)W57InW z>qs_nT`(WHo!0lC=wY*R&Q~-oiaMU$!5(hgyFUcS9<6!}v+aYjRLB%B7Qbs-lcoLr zaEzB4%>QKJiL(I3BGaMACLGv1xLu^FSSh9D5>NG4ejek-X{o0|xx2&m_+PQT72h_m zH&8xGr&-f9fj2iTTA8JNA!n@byhT#u*TT|XOd9- z?x3|@!&n$hq|nnoSX5#9& z`X)n~>8FmHlBu#J{5;f`*e+a1rr{Rz*OkvQF~02qolIT{bUjV-#_WPl-|rv-q!jb~5#b z2gEIN@;p>Je3>!?XDo2bZd?2N+~Bmr^wtmJ0oF}pXbbpU0b#cg^>v=34mw0-7;j8j zM7=x~9$-~l5qz!x4L_*}(J83`&3yQ74SCdTs^Q3*oYbnJ!AV+3KOlBba=ytyl|<=n zWy8C@In{=3YGoDjx;ZYBViLmAPNTlKxB@Uv63R-0r&JK*O&Qt+8 zL;k~MpoMx&z9O`57EJGS%RPogJuS>k(N8G^SJ}s%dUs+HcgetBs+Q$atm~$Q?9qX? zD)CKH5R>?Te@cFf`WL09gbqrG#o8NnIPHkylJIq7^<{9C`E;vJhMD^LksgpqEBnCQ zK$DslGWKda=cl?S3pZs*Rx{`v)0MV-!>hNIlGPUQ#f-+u)*@jSDL&3YJ=?I!GGq7Q zl2=AYi0XV#*RJb{^)PYl0aatQG@RV5A%$G3Mq%%iiLjT2YPf<0tC7K~TP)C*( z>ysaFBSwdBH5A9-!WP~ngsIFKj5!n;28uhn+qu1F#ijsY_P!j_czrs?L z0H+l`DtLcJG`e`X4OjI&NcXvk-b^#*MC?UMh z0WiBsS$nlt2+wQ}Av7u6`9o(CQTlM04k=_;44S4s+x#-kfAIOT#d3aBBY#=JZIG(R zgC^}&XW|}fccM;4c!2pq#S?fIRZ&;n6eSEZRhF1h^>TpIS|n#8VyU!HjK*XrdHiFj z=Rxf_c$#oX7Oyf2Plx8l=h4E^1FzghpKFmOHuP+wf_Y3!X`#&%o^`gdwW3Q7O%7d~ zW&Dz#1zp$8ag2gMlqX`15i=r^>6s6(&!y9CY;VY36oT7t}ZYsixL7g3RJ3O z5MN)?xp!kj%UQ{UBr|@`9BYRDn}LMlht343%=@-G;!S$PH^TkIL(jSbH8KXVc1o0G zDSP+6?4l#wL>?O;5~e7srf`54l}K@ehWBe8J}4MzD4tB|B}E(MrEe7Q@hBPTZos0KA96QZhg zBY0G)#qSR5jK0_rzdgE#@=A1lb@~Q(85>K5ytRCE0yY$nx+gn@Y{`qQzr-XFBBj{a zcb=6?3kOH2FS#AAv_f{9RYjJ^%cQ(0dH_wD+IgXPGp2~KM?+5i>QrK28upY?qx-O6 zwNqCGF$^T(enwr+AXyIvw0pxBkG7e{)73s?zPB49inJh=HhdXWi zVr^zM{lZI>7v6LtUc_#?#%3>hjwe%AM`1!13f9;jt>BfsVG!NqMeR0g4vmxPT}tYZ zR7Ks)#y2FD&|k(eAd1bj$ip3IJIe{iUV;$mnGSi+;7@;9mj>cbFEGiYvn1aL$@aivZ7gBLPO}$kk!=RnEn~rhG>&+dWYIT*k2Gq_r1J5aOyv%WM5U zjloV^_^&(9uwFfN}i$uEhT!qJy(0Hohm6j$?_93QCL$TMb#y|)%teI4Ge&Ady2eT5kOppnQiv3qTmWo zS);et%=zb+&hTcYv_+o8pU+Ap{s0a2iPz1*@Uqf7$mjghAH2Li2=x)kRy%w}JU^Y! zba<1~hg)m+<<`uK_Tj6a1Z)bjt(&)L(TB!>H>(zutbY@O-SdLw8}Ehw@r8YZf$L%x zTr>^7^vv#;s+;CUU0#*-yQkjte&yNVh^Hr4e~fW%$ol&bW}JKNC7+;Ap9Gf8_~V-h zJFYo0?X&f*iLkgCoC^Q-fA!hLn6g8D_WvMa?Vn~`^7DPR$+t&4FYwjz(7A!LmPGsd zKDCb3G|#g|Yp~3lvmi?Lp-;fe(ZOkH4DzuKbshU&xo!I1`z0y-#`5c9+o!6wxI@lP zBUI;fp7vVz)zD7&FlcS;s1e@bH+2q~rGDo6MqP!MO-aqLn>uTMA0ppbN{d&~zle>5 zPtKEH9{;1(z&h3O7wZ-6PR%Lfd46Z@DdVY_2l>xmIUaP)x~RCSUh#WMkU{dfL~R%E zWm>R;;Ai+OB?WL%ULpAkpTm?O3C)jZoU=20I7EH){AEmIUqgVLsLzEWfam*x1u)D= zSHuJ-S+BI6y|*Ar=OLj|0_n>9Y2hdpckv%>D@w+)m?Z`{()S>$=o(A!+-I10^z}UW zDQ%pteI#{BpWOQD*gMg0x4M%3=OhyaF2GxUUU|DPm#AzcF0(3$L<>Rs8GH3FxLAww z@!SbL9Q-+5BjiuD)|fN2cM61y%MQ{K96w>0w%shafWra#-Y6#RA37C|YqA z-hTK(+KTcDwol>JEI`qm8^Jnx{6&XRL)J?ZFS*@H_#fCdGBlU>OmlbV^{LC9H~3K< ztGb16lUD(^{>H%PAL2D-w>ph6owYCUU%P)PDUc5WVD|v^h`!!MUQKN8kQCp%w;suI z@+!(sGN^iwA^iRQXZ#FtgkWf1a1V7Ag^x=n@S8=Odg!b7rWb6?4C4fOs3pZO?#F7z zx?s0)D5S)$s*dz1S)-i4s&-DfP_q*$xagLhfe?x^PFH3ae{W4*Pgl z;X^9VY@%ndaw*|=#4E7_^tHjlWNId>T9-f*Pr3^xsrs9Spac!J*e;272dkQ_>l!_K zh*+DOPF{uP9D~kH;vp7|4SpZ=w-zbeC!8CIf7yn?`Ad{^eGcBth28B%!iC%9 zQXH`v7w&6jhX5|zNuoYZzQZBzY0Gbcnmas7)LVfH5*>N0?h=d^zs&o|8oPI~$vRZ= zG=>w2;Yx+S+C?^gmg{;fhEw<<@mhxa-0Z2=)|RpbpH_^hEPJScf+?rUZX;|~v$1?= z9Xj&#!Q-U#QE7~_-&kHy#g9_ml&!VtOMD-+X7xr}bMOZs+g4-$t8xYa$9AQc6x;U) ztkO`|75C>af%8(DfQ>xZ4hai4ru90>cx6K{7H+S)EO?co@9 zO&L^JSYmgFSV?iDE{kpb6@Dk`9mOKqR`N}bu)<3e0lT23;-CBo@QrLByL8i{m}xdXGv zYnFTSg|LP&Jah}pVz9TTeY~>S1zjaI69A<4Up)5?)gYg!-U3KaRV=6zL_H4X z!FU!_MbDRLxLbKlT!cZ%qdP_+ePoM48!%(CEnxa7^JSCOTkie02(+;EY?C$xH|vR9 zXLl*&Y+Kqq3d%s7n{#{HV>5(OG|Kxp`mO_<-pPuCHmNp(KuDZc7{MeI#S2HoPkg z%Q2nL>a3R#q6uiqQ|s9^K1${3#4hS;+5nk~2X|g;(V&wOO^lKWxoNE*49;EFOW`z% zA2^I!qTn57M=y-x@HaXSEZUfuVUFe84@y#U-`9C^#D!FSp>xCS##VMLRs%W7v~c(d z`?iN$ojp|i-mv7(`p13$%)%SpI7*9DY+c1Hwi&`Nx0dXiVW1z@2_`CDuW4PSgm{nT&9 z!R-E`P4NKf$p1^C?sz{+1OG`Q@KOlX!s@> zLx#*E#U~)eGwryz_}%@}{a#_s+^7>&oscr$D71VQ6@+UeK7oIDXyQW2+G@(%HEwx6 z`EWyt0znwj$)-hRS>gdx1uaS}WOo$t+dt@~Z{=YpgSEF8^KKI!skRlf}xdj^a6Z+pj2#Q;=$Hp3qPN<4`yE_v*eWs{T7|P)|-j z_t5P%&QaO|@ekj|>N$G__zR&UW04bA>m&}WVK@w%RD^1Mnj+9I! z;rYe5*^{Y5^2svaFa|hn6I?Dls{gIo$=#TY8iJv$C@c-BYu2Xt?a)JLiHa(D&wdbs z^6>Fy{+4W}&Pbzj{88l^u&I^2tzZ023%hi3oRGoO}pzdFX!J`g$b1xc` zig#En`Q#0b>K%=#ZAP|>$c#*`y_!dTJlao7Vsoi$qn>s?`bIx}Ej{JeTV|#Hln^rB8I^1Najt*dktXY+>ehm!P@)k@cw2j>N_Uu%W|8C) z&kEMuqF$swVNH*s0il|znV?iAsB>x4D(?0cez7XGt1D~`V^Fr&n#3p?fSUymH!2@>cT-v+h*h_GQmj%Ro7LyNdKHK3QSc@+Ui3@RN zP9=<;KTeiyT^mL{JX#%DH8#$_xG#^A2qx)Yq6=IlJDMrb{c_>K>E&&t6VxjUOT5!AlfJQ=#lO>OgGd?868GsX6 zQ^{JXe6pdG&MExozkWt1sD-REi$`l`X_1$h8h1|h*cZ%2njQmMX``Wc6 z&0*Ttl$mQrDM&~&w&5@6|5x$1nX}~y$k#H{uAR6R_W=2i(~G05o_8szQNZ{q;V5#7 zLOyaO?7_stecv`LrR6LS6TP*_1_i)fm`BS12!_eG;c^5b=*~5o;<+b}l9$uefGF=z zef+bSa{W82z-&5FNbBD;!u@#+<&icHJtb(=&iaM>&g~vh?GLw>j8|{Tt5QjnJ>-@= z>^`oqcasta^N>e*BP*N#Mjq49*!rB|*l_jRHW-pnw3TS-I49T_!ZzXK;TOj5beQs} z>tel(lXkiPLe0owbr<*)Dky`zn#i_PSV11?*oJyz;Hp8n>F>guJBz-MQ z_2?fSNOi^AhG{2|NurRmu10?N8c3!BU__i5+HdMShY>xj-=J4Gp<4*BC$J^rZ3G9j z&ZI9rDZN#DAX09er9S!}0nv8@1VlH!q&bXw_y_zV;J>NEdasve`g#exmt%PIdv3<^ z8t+KIm#SvG?em8i@5Oihw=yk`%m_OBJVwwk{F8J4zrdlt|DwMkaLAZceU|=T`Nqd6 z-NU#e>&tJd)lA7lo@=NxRwI`GVb-MD_k6a?_k7t9)8ezeP_V1m z|3cb!u3+vG@!;4?LxNeqq@L8xyE(Yu6u)?z?f8kA&fqky&pNwk@uoaZhEEv3kP$`p zdmnL%Hr&nw>vhnH_s#cSB>}=qQ{sP*&K-FI$Ia%MiqiRr8_Bzf&#}*${sXb6y;Go~ ze|!GDt{?ZnF#g^u-BL5*-;{nxd$uoptdI7NFYOeEWPQy2>`fG61YNt*7fuIhz2u{mM5ZM3!F&9C<ne5<)d`sSS$C3FdCnW(EDyTia#VelF|Q?qVTRum%oTLOQ^cZf*O@^3tQZpqUyeI1B%nLi11) z+1PiVLk^p*z89qPmcVE(@V%Tx!|8xN>UG3*=|UolVL`0OWlZSu(EKJ7AoSH_N zqEfKw+hWmPUptd=tn!kLHI{zEMN_&55Y8O%31UsH>TX1w>f`4->m$C1EIj{*U(63z z!35t-_`7;LbgY+b1LRTKcly?6+m;2%Fo{)@^)8$CE$402?bYtdZYCuoweOzJq=K{R z_HbZ?O(Z1DW54dxG&w-A+$}v!;47oXSH;%^rCpE>2Or!(%7Cu0_sf^>&Z_(+gSGPz zEJq+V+u+=?;G@n#pEPe@`IO1nGE&x3(Y{@iHu;$MtCd#_zPV*Bzw0>KBxrgC5`C)N z<+Vi*IA0{MoBdic{Y!jfo2`-Z)$;l;3Qd&cG8n9s{;hi+2IWD5r>EAhkKn)lfI8Ac zwm&9R1E_~=zPM%`T+qH3vL@QtqJU*4T1-n9MyVT#YYLB3N5Yy8dK*R(+m$x0<}*cG2X?uwwp8#f_g{46sA|7tn#`PV#wr;2j*(A?OsPu!CkC8T^4B^A`Af>m z&91+7oD0jiPbe&7M9E6~SQXlD|xasEC_W zl%vYjOW$au!VS&zmpFwS$!Fnh)dL)ijcK{)B5E&FVajf7GR*3bbcSAYF=&!{%T`TG zK{c`5ZMX7E!ONC)@=(R5K7+J@bH@unx>>;%4dk>hRK+3mI)BlmU zn-VWt#(p&8u=d3PnW~{Qfdg+Ke6!c4=nS*1ag{B3)WYbjv{)*k)IdJ4T=7^|(C@g> z<+DS>jbI&k;q4SVcWd4K7ybsb9wd=g&iZRj37!L%)Po+kFveIm3KtnlKOuP{#5k?e zeLE?*FeSqFOL9``$R1M`f0H0Ic|i_(6O}|lb3`IFBd=3_gV?b6;c$tADf*O9byjym z!>PfM&p_}O-t3J00q*b~Q@Jjyp-d@eVvGG_e~n zA~aj#8f0c_3u**@;I9uu4|d`M;&>gbA@~_rCTi|z8cNe#5gOhLxy9QLXZX+gLf1yi zcPCeWeH(osaaA0$+`Zocd2*Bz$nX1eEY$Z>SmLo&8HK^v3Dl&R zF-2CkWMNBTw9qxG!j#Z_9m2^T^JC%Th6r8G=kgQCmopgMOH)lv0OH>lyRt<}-nQLH zAF0(Xgk*%MQ{Qq79^j!F>?TpmO37A~HLn?_rB7hkZ^8}9!8vWDeTjaGH{f;rHb)oC%2Xe6R^9~t4_qM$j?y_$a(F9u`#uJOFF~aNCh`)cgT6G zcn_p~TAbkVjA~oMtsb$E79ur>Z1UMTA5wMZ%w+m2SYuV(kRBc}WscB1>O9S9tT3H% zmjvddz#53MZf#Y`?^%QWgfu)Q*Z5r)B4-*}0S(4lW1(erw`fhT98Gt7JM#PaK^6wD zGg<5>U~Z!R){7#>KyGf(0aSt?6wYU~mX5X;MHK9E7-86!uPp!?I0MJ(GX+&%#yr?R z^00KUnH^{A!tzKTfSzOkS1-1IJp3PWwLnt#!alyBmxZ7Ch|&03r&tcVxM*=P2r3b2 zN&Ib6hw8-mDDREfA((bNW=kX!bFxEA`YZ40|46u%k7NVfACK zjOB+yYYT(rI^=8Vip3`nq|>SxZAd6Gg(B4mmdUA%BJ^M%_ncn7PUesiuUAStbhtXuFwAi6@qc=&Y_uhceTWyITF^rBt++uqPir{J9dw zSvtWj8l|Nth}Wg3h}l*Rf1hrut97xg;6e44m2Nz_jv6nH^%4?xftq}FRCC}|2ADWu zu^ktas2sqRLpAPiRV^CUq^C|k<-(mjP1&gDP9lQpq5~O4gZfl;@oVw?9-b2FSzy_kSrW+A^v7eqJ3SCqB)1S zA;z1qyK`uf!0v1;O-r2mYzwVhYC@z=(9`fO+mu_jqwY*|SwMn|{6dhKEDyztO_)!n znt5Awkr8e6a=h2uzGADY7a3wuf`t{x0S3oRU5A*7XAmW^>{}4!+3*6fZXJ5{cDkWd zKD2AfBPI{+aHOM?n1d&KbAQQ6nR7_zhKuYCd4;c9jwexzL#v2zSB&UJaZh#;EfJ;% zt&25o&~T3%@J)+P89#C#EeR-Cqf{O0xNDH9s2Wxw`g539IS2~t?X=qALLp>&*oAG( zA#^u@+>1A^#cX=7s=b7hyfUAqlDuR|VA?=Cij}EZ_&t!U;{AKQ;_=jW*;N+r{h~`# z!%ieeJ?sJQ*0E8t&QjRia9aNWKlwN42hM+|efdde)Z^kB|$lWkg5`!Zc?^z}*MtGDH&*|@m@e6up*34&pmp&2t1o&=U?(ehp6W{F?|M}jR z_WN^o`T3T7FnjKV|1(eKFWWKh%N_K)@R3gd9|XDr^f6wWo+oE?>-)ZoHVp+Pee~0U zKD;F3!=737jB|11Gq2D1@(Z!^7JTHtFI?xtXVQo(H|7?321c*D zt3rZa`&A}22JHINFM%(=^~PI1^#$KM0(Kah7F>)CJTx7!seJmS#^^=)@lQc2eXjn8 zPnMUic%{!TH)c_>aot}GpZvw?eZu>l+{&!kCl-Zd_-8G7>-Z|4pc6i;GXkYP%l)<* zGG?Y{u66pvP5W~chh6(0Mx*;8I%_6Ydc*r4;-w{h$Hh=Ih6|ETzmO!&mR9c}i&HPR?P2onUGysF zXF5Q+GHu%_ZL~3?Q}$+P zU`74pPU1#Tr8Ho>-qMyPV|S9&yz8fND0#uj#dU`}h16v!Xu-CRjXpyfu5 zDqORztJdu%x`tPtg-{_gGDV5mZRg~v+uVsKaF4*Ie;R)tsmtg7E;rkAi)@ByrbWa$ zX}Ij0uA;kF06LQ!$yG;5FEz4$f4)rI`f2M|<}PtADS8bC`E2eY7b-1JXX%a}i{Ku~ z$>$^AU7c2f&aSf z8~c+s{UwLh3dYDt4(IJE ztT*wG;?%?Dyp6bt4B;N}liX4bT0&E79<_xbGG6>+hvT(Lec3k$wC z)Qa#dl|n@dMwu95#q??%B(o?%1jG2nc9eRj8~UV}VU97;DbO4am)Uvx#hY~E6}giD zXaL4CB&8&Hv#{-qkB1&8Ti%;ou9cq7D!1xsdAKoVK_a$%sF^SRmhV0<>!)wpn24&U zb+3AnITP)BoR;sq2&T+_16bEylpva!mM>>^`s?3_1-|s-z~Sw zFYnGHYoWn@kVhX~9Y)qxc~*#W6@Hb*a5@N_GTS}?7h}lcY{~5NL}EHh6EMF__mVdf z2BC2u&ny0x~Qq3aWoE>Cowo%6H%-}@lY9d;`= z|6LbMDu0*9yFBb3X}#O%Kc|t(HBFU1J76N}qYQkV!C6iDpV`EA|6v?w~wJ@l8K(lUMwuD;$2TaJlonUh(#Y}Tm{6SAry#&(%pdJ^Xn zS)7t7u$$C>7Q-UKY9pSJloX&_&fV? zd1KjW#aB6r67BNCfGV~S^~MJ!JL}{CV4TK&9lND#mi8N*ESmZ_K4(-0J8Hfx%Luh$%;pSz*n! zDe(IX5YSU3mjk1kP;%$E6?X<|AA~KAVq=oxwSis?BgSm1UMy=d(|Ujsn{hnUXOAtp z9hQdd3ULk1jzS)JP1Q5`w>1o!gRIG{B0sRX7;h-~rP9RTcHG0}=s1g3KACj)O14d< zzf(oEUyO1xb#_kP+40o=KjJ5IGHlh`_p@>fz@%|UrtF!6!U$g!Mo_Z+D-|F(jz?+6fm#|kz49z>y6ch5VwEX^+2u~msyM_Fc^W!t z(P}h7skGm^o1R>nG6K_*C^D8lh_{z~)7)2SAFlKoqq~YeqzgDA^pTtd*+;IPeALB% z)R!}T0!@F~7jNGhSzG?w3 zO(&TvY?SwY;xvQ@P<90?bHU)h9%h&%#6up1u1qDhvTq|mE{Me%%$hYhp*&Ug0MP0b z<~qw^yIiOs+!ULWP%(=E4i0;T6eG^Z%_`ke!~?62hfV(z8yxZa2rzuf zv;j_mt9q$%Si)&dwN!^|0HV&iz;Sm~uN1W~T294ynqa=fLOQ%K`=%0U0ecHgbqk76%l-8l7+IHT*%)&E1l&T$w+XH{5zIUI0v2AwvcpDt9;c z8t!K0U_^ooR&v18CpzBnmo6Dq1L^6xA3xjDMu}6KTkdGkxY3`Ms;nGzIRDL36wR?Q z=X`Ez&Y+`qGR6o|rHf1+PsPSLifZ6hZ_Po`V`NT$O&4n5;g`N{6)9UzOfoqKaYuK4 zGH>g^Y3vfz+B?y&t~PnZuSS-h0baSeXYU=RuXECvfLJPj%UTxhRvH;kbzf@HyCd9l zUGmCV?lbm*hb=u>UVnJ=9S9E1^>uWT)JKmA+O&0 z4mZsjZUQYCz)$6IOt`1f&uUXMMV=2dg#$L?y1~_Ilo$2_q+Iz*l~VID&G;#8C94a~ zt(LSQV7kx54=s#JXYQ2#viH)FG=P?%XwtpX=4^uV9nU_S2nQO3XH&rL9_|Mhb{g|h z4}q+;n?1xJ|4f#eYlbz6HBnXc$|+UDek#XRH0Q6&%9r=vanE}cMaq~3?k@F{@#wIWDT=5G=Mb-_2u&3)0=ss_sAeuly}LDCOhm`w zQHQ=$=K5^-)N((R9gmh_e!2&yFyeJ41(eiDS~7Kyf%FQl6&x=Bc?ThJ1sm@XH}-Z_ zw$|Cld8#q?ZLDOH&Be3gW$AM6VIv1!G{?lHJB(GN@K-8t`+e9@KgrG_CHyEkH(XJ@ zhsC&rvall4E!=2GXfLkITiX!BTNpEaAmn@j_;<>fSK6$3?H8n2DOYX&_fW!K-vYD# zTT24+SQ*Ze8Du+Fhrs zlKWMT5tQEXT$-~SiOFdC^7Ch5Q_6+b-HT%FrUho)*s30pWp zU1vKVs7}-+dl0>gtY>tAY2Y>G#Dua7P=jQN`_K3*Ew4=$hvv(r+Hd2B;W6__-eq%~ ztF0NiijtEBw_E!6t73hJEG#(pI{qsHs{Rv1oc|wy>C2exp8BtpxC&CD9}`5J@wDI4 zP`@C7-z=ZSET84~ychT!e9kZZ|KO$m&=U9IAAS1&*Akzr_$LD|wf;e4g=J{mE};uJ(Fe>$59lmd`6*OE3TI6X2KV8y&vS-+!~u zrNt9M|3CSDaDAp6T z&s^h!yr?Iz29{S>Y4u6IK=Qw9i*#PXhJ^*`@RL&Rcwc-l!&ElluDf=FWu0 z|Eyb_FT&vXeB}?{pK4ydpg8Tm?WOs<^Q143Zm*(1rcC32SN_3s8!xZ<@@#GK&5)AZ zvX7)bLG0*?k_z}}1s7(Neq{BzkX~{MmfAJ>Z#x5)9KY}}Gd1QXB`(|;FV67M;=x^T zFa}5a%@)-O#hqyFYqwwZR{5BBO}Q+mCx00#Z2ZD&&%^!_Sv|ZLuEC*;PZ1Z&hx)y` z>6@yGnS=JOMkSZ>9`5QC|1PxR-{l|w^C4%nmuUFiD&2ZBEgjlG%r`+v-j2VZ|KGvi zWOVV0$ulnarVr3^Y`-teKS92D z&u>@q#f4pzTMYIPgsafTZ6s?YsC|s3rMFwpCCq0Kz|tK0s&K)^X#&V*z#M_d zs9S)NIc9HSc1DMBtyd_qo?-ZvF0)|ymRQ8>vXeKnallJxSn-%#9n+;J&9i>haFc<=DB zFRkjj@u%R=lMSB_*>utRTmC|D z6Ghg>k5b;pBRZx1+84)x0Oae}#UZ9tvLi<}!QYaYN(CY#n=q*|tjWW1zp^G7R&jVT z*Vr$3apngDvhXyQ5PN*$^p_s`Hznrp#%FDBJ1bWEN_P*;Kvgj5Pr@dlP`7 zaU*Rgj+u2*(f_FsTl(F-FiXicgKf%P!*7xOE5$`#KORd-F`O%j+6F&uzs8l|p@r05 zW^$6!3FbHLWv8YWY|F%u$Xm1nDj8#zfl~QOiwzn?Gww;9z2v5rA z+xmAxlAA`=u_4~xR`J3U^yK+9ZgqBFYU3)Vil;bKaFa-}<*6>$#h~mXk3J|K;cinc zdJ|rn8aknDis}AK%fENb|sV9GQ)*I}o32{?6RpDLbNDp0Spe9oBKDOk>ZTu_c!U zNG0lwiR!juTl?K^CAEMxBvHBYMZnTmFAg8*Fe*^?oGeA1;yU`ebbfFeqdQVkCK&{P z^10N7+X?{5g)dlQlA|+$*?3r(dI0R~@KojfU;RMI9a?b7-GsFoTUp`Nq?u4YlXlbV zDaX0&0mmRuh8@;T`lR({*>A$}|L-@|)Bo{l@JsmNas3}6k-Amfr#gfzF_5VleB2(5 z732D8-%E|Oqym!42Aa=spZQxe<%kM_gEkD(a{<7X3FKOEH_4W^+FfCNeND(o7QYo51F!w z8gXhvr~t#&C|#6&v>`{ySh%y%!{@;-abD!b1tvKwwcmD;!)z01ref*ihXxT|7!`+_ zaPr(Nk3obsvG7uD-TqAve$Cvqo-^PA5UGLvrNkH9aChAOx?!z#tcCD(Fo#}M!{0xp zPqBJj{ZZ}&ZsdSm$v>QJhJFkK!tny;fG;~iTtgu@XHph9o_#?WN^?@Cq&tNL%09-_ zv6jD)G0VwmiL^3= zQkHlnrpM9(Td%Tofc|Xj+Cl=)zeQzfg|s-_-EqN*xL;R$`3XurDs8JgHQKRVgPH7_ zXUxXZ)HD&6p>r>skcm$grgf3B= zBCqsPH%sw=1AKZ&W2?&fwCiR^g)DkNk?NE|*Gf#H>c+a2rRgkufZe*K2WMXXl;+E7X*RTCJQ1#vaWfkrFd2k;FkrI{>^L?Ghgl|uRq|u&Owof+j_JO?>z^7ZT}H{ zgmeDQg!bA@OytAlVC>=AsN^ARKhNIqsnj5KW zTFJI}ZuE|)3!=+*!XK+BM5x>7QKpa$&$_g{5YwPl(IpNJa}@C?FFB&7l9b8PAbSsX zC9DkI0lC!eh|@G2bdLd-9^J2fK|S$ASXsP7H%6hV^+|4VT@S_WKg`{`ayKQnt67$Q z`fxJn%}UP}8y4Bj2+kn^Q&5IMDW3TWu{S{B%Waf|Y&~wppe=8#J{MlcZWQCIV*@em zmU|kUn2WFMK?>5h8{Dw@h#hS;c_2#Z#i1PS5}h!TmC24zFuhqP(Y_L6 z6qdWi;m!KiltFi{TFKwGMu}z$2mw;c{qmk`ppTuLl5nLF8OYDy{^=e}7zvV_%D z8Zi6_x1(tM(a&en@EjAjNLM&qntx1XP)9PPrYdRm&hEoRBM+>==qVD7ci1@s8>r;G zW1F6<&@YMtWm+0;#gQ)o1v3nufskN;#aX(eM1F7OS&;Q`mNq{ej&HgM9tOay)*-zc z*a#W&M!v({gsibK)#)1NZ^dTe*6mx5zw5Q;@^&1vlb<>*q_-LlleDbr+tMKiv<0Fj zMVOTc6f<3Od!l+Prq{G-CwJ?_BrQ1OrZ+h89%9}&S=L{iRK-Ldx}sBrX`sHzL*Nyu z-jar0ydXX0wKfIQGKAAPnk?Og!;Ut+phF`zT$+miUvLtW$y^H4$us|JZk+L}`slsX zGg(4!dFvM6z3Ms$>oKst zr~1{vdVEh)s83z3{hiDA=k1EW7eEF)3Yz8|JhkZUn1y9&!nsigph7NZ?5o#)`1II{ z7005^Or7|}xuA@9{{13PFC+Kgl~y^OwjHrLIBlSp0%b1!9SdRq!{_f=y}^)j9wXRPB^ z?08RmrTh^P_vve>p}db43+EVpb8m6Kb+p`}9y7M5q?L9GLOOmp^~+7}qc*%f4y`f- zACDva;;)!CMBb;o_?_bSjHg~G`gZ3J-LfEl2GA`BjpuWkY2o;VUd5#!$&15r)fb;& z)>2>mR#{F-F>7^~e|sBDJ1|fhFWr5uVi@Zz(HBE)=ORoMLzR^Yn@Vogg?u!fx4XvM zOvw-InPs(A*Ua=Rq-C@mhG}+I>9-O5iu4anyBODW9{m+u(^gw$S*!knJD&6KE=|?l zQ;@!bd|GmA<#*A!#Lh-y8|!gP8}bjnDH)Va*p0_TF!!eZtv=McOUH@phjB_hL|!As z5hxiwgB3L;X3o(zPK_VHreY3+na1`K-n^Ur%9u&ud(I>i0_=m=jg}qNZc19bDG{NQ z=#bnT=w+j557sre6+r|wXuyFrX5~hWK!$s8{-f_Nxzh}V{B5c3d|Otz%OzvPL+&Qa znY_wj3;G={cTHWr`&aW9^V&#pBX>4%AAZ_IO8YO~m$XX1%gkQcDGU-IuUARc4IQ<$ ze{k$R{FJnGT-Is$oRmpqioOJynmJX=8>D~08XEBTb>Iv(`VDL*q@K0E@{P&QNwEjX z-LQ>woL#*IscRV%3+ER$_S3Qo@C%#17%sbmHj?3cQ?xAC!1NFFYK@<1?BWPswvB4R4)- zR(-Sm!latKZbO=~y`t_GDZQUe2{uBL%_gM`sssf^>6iz`2#FPjY8NW4or|ON>J#gk zz6?EqO2ZAeCqc?#ev3W>B+hIG<|t$>pE72&os;UpJM*YL7a;%S5_9|8|9T&PWL1~r zvmTV^`MPkH*5b^Lf#5(TF~v|Kb!N!YeI-|2?R)z#{`FKgH~MCK!eliCti|<{%&~zKMk>bib#!)gyX1))r=GUD^ZyCyyx{m|Fz zczQ=^T?o&8V9#Qwvzq)WnzJCqWbY^uqUs~fLVh)vQZXwnEx~cMv66d=-+6;1H*b3N~32bZzj)CMxi;L}G<)u$QVa_L&fnl-)*6 zVh##ZtY@r7U-lTiO8Lkb!>^8H_cy-JR&@%0e9`fFouf$B{>aI_0@+C9bbRqdNjZ3K zC+|oc$f4VQ)`nElQYD^T2YKlB943SE0pFk~X_QH=s)toCl|x^X#$#5cWSkz}(Xhj9 ze-`XxtaK=C1SBG>>B(S*n*AZUxCz}dSQ8U_i5jV=gAON(m;y}!{0?eUTS=^R zua^ka4F?u-GIPQucZ%hrV+i;3b8(*&I4=?PEritAq~g!SnXt?V>Kd%0V@r7UarBQ& z5`xG)#loZf2w-4jPM9)A$=9`v=V<;COGmMaZogn?nzt55B$IgIn{p@j{f3~!07y$u z4~3SbYs?Ltg;7Jl8hORdy3l-d`n6^1FaX%$CET~ek(OM0Ey9?=c6$QHq&QWsn^I4f zos5!Ra*svA)a)Wk!f8T~Q&@3#P1a{y`zdJ;RokDEHL|LTBE?-vr8~`XCk```72S=g z-G|%HZ47HXn$=j5gr`$`EvFY2RQ%A){5&_XX|y3{a(cc*2M>wf(I(u#aFdd^MHBbm zR5Z4SQc!E)>&lVunv$bemx%WK z;p3Ll_t0@JI+F%J+YWa#+lp111vQ${3|)>nWuqU$$XD-+fGa~q%5;w(s*IT16Hcyhp)iWc`H@(6KR0Edzq`(?{>R;65qdRkKV^e|_iY4>M#mM61Ietp(C+oW7^%p;tt|Na;!Ww48txMEA{K7cW zFwxWFKZm<7Gbf`Yh~0!BV<9WMWXDvV98zOtS#3+@P!C*)qy1E7lntA*uJ)2H>AYpK z(XxPU)Q;NsA~qO&u+YNY%3_TC5g_yeyL$%bAt?hb?kG+Wt~e|5xSvorvJWH(&n_5R zk?N9TTEi^OnA9c)wwpwqCBj5_L9^0~b2lF_99EPWr%@7-uA;9CO%0`C?p#{br8%_Q z%+aljpjPlI^YEcV8sctochL)@@oCQn0xhi>m3(C* z8QQNz3hlp@d~rk z0!=?L4-EM@EYmj++LfQ~)A#?T0UG>Kh0SHRDdnVId!|7;(#fKqV|9q<(RG{)pG->8xsk;j1-7GE@OiS6#`Lb$Cw z_l}#E6bOq=Ow|xrG3dkH;r@01&!#0xxZls%OHQ5~Q1tXts5n+c!lAN6!mQvGd>m&eMEJURQYb zcigS~7%=LSsq4cYGC4d0x=6i3e^-UBBYc;Xi>FE-e*^Ese^%f~)y?i_cc7pAm zoznp*vKmfE;%-CTcwmO+9bPQXX<68utxXBd)!{=x=|;Y@U!8FvvM9$p4cet&kz7G1WaAeb%M{etHDEcgCDQPLNpB;2Yq7ykdEr{!_RT9^VIdwxlI0s+;pe;AtLTaU z*l<`r~^Ow{p+bJ(ZWsZ*Z2e+K!ZFUU~2wo zNN)728tdMf^A1T@d^8lj$Jgn6V%g&>D$pGN{=>Ii7glVa@llHVH>aLKtK(D7V~HR7 zED|t~)^dA-LGQm$?$7sTw8@#DBzd&S@4X(ZsCePHuPquJAiui!eLm*{Dt1j5L`r>0 z{k$PfK$JReahYPS>3G3{{9V5+$QMX%XcwJjW=p}fAH%2Szx8fu zzg(((YWW}j9MW{Bg?LM)($GFZ>8h0imCk2-T8r$MI?Cy@eT`za)Bp0C*0hwl!P1Uh zsM8s4O=HasRA}EnuyA96wCy193c52HKGucX6bvotjQ7DH9nL@BkCYt!?p(&lKMG^L zWOZIaU&mc?vvN+4U3A0#Z11Cmo_dNt>hR}u+{!|8#?RTRrL9NZ~S;du#u40 zuF{3>p?_n$Zz~WjQB6R!Wtks)YBu*y&?h(iDsfu1^5P!PlcP-qzo2%MuL3IeSPlrdHVI54!x zP&FY|2nd-J2q{BIs|*(QV38!1A)K}%We90yh=GLMcjM`A{qA4)p0#vUj>`qf&feem zd7tO=l=bwKl;1q9XJ@)BPm5gBbo`l{$s?}@As zOfM1R`dBlYz$g$e^#SfMdwV_l0O88>JF39dxX77CQnFw3psTzk0i{5d&Y!+e911m($Odi~B zbAD0;=7D7jp7|++OrA<}df8qx`1f2ctGL`%usyL9?h3s6y9ZxCyizza{y`VYsYeVa zMDchtz3*6cGYv-22cVpWqt%Nss+ryCLr!b%jn?e%8xMghtM0~4GT3i8_@R~ix}trM zz3GkH2Jp%kX`~YnjOQXX#1;js9GzLUPG0<24pNIqaJaejw_#_rlO^l#tD>-PV7HFOHcIlihz&4NHZJ`Kgm28;W9%ONY30F`-tn{9ef zb|V@br!n$`X2M!X^b})fL@7vQXy?Z*t@zr8f-4acgF=Dup+eZiVz2Qf*fZQyb1X#ia z>c993H9t2vCg-rIc@nmpoUI%+dN(MtZ2G)C$`!)xgwk0pXecdN3VT+$h*zzP7it6v z3eikvWcwynh}nM4fKVfc(|4w*J%=gX)1)0JcRvrwa4AGl$6y~E6b?N!g=%ePI`t>j zV9rY|?29@5dFrI0(W3hu*uzV}Pbq^^j*FqRog)}n#3-ZG!*qp^apMn+;~*mo^Lldq zC~#n1_?af~rKHo{d2hH5b5$KzTXD|Cc+Kkg3A|BF=-tpw9z4>yaYSkafU4-E1b*Jv z@7&syAX&-jNCG@8LD*pAg@a)SRp2B(6U9q_6D4GV7)zaE)ejSS;o^Q5p#g$Q-q zXQ7LKPRO3I8E`f_^_w(T054Lo4Eub%k?%cB-2K}2$ZpRLTrt9>oBas;nB5$CKp-dU<6@#D=9mDw)5n*SplDlhROVNpa7DF{n2fFVQDCG8{LP(G&2!l8DNm=5MstbMvp zXTq4WeF32lhQ9u}ny0~ALPV%u3w+TzX=><}A`^m}c;(PM_(}O#(a;oeOiacq7l>|D zkl6tFqfAFRSn=hkIgFZ4tg7H=cW%KelL(~(S|11Eb1s!kqm$k5OvfmAB=c0FVd|yr zB|v*s%)uP6WYNYEjs!Othk?ew4Wv8)Bj$}p_9&$u;YAkbBzKlN zYjaj!=qjaWO|)++E_YF4D4%E_Uk54kVNlVxi%mB3h#a5rJdN;6@ZgZo*&|}@0e9~a zEf|?;@aesfQJaa^Fkyoq3m%V%=B}o9_1*xSAohAP08U)0_Rz-E+3ghxBE_RP=OvA- z7`%h8PzcJywhO5iQ7zN2pZRKFgl0v^ZVeAWB%~g{*^`KV9nC8D;A5htZX*1CooG=? zloduH_raSFHIMsdlN)dEi$i!pwQz4~L@R=`5bk&oynXTf+hxFZr-)D<~H7uL&@V0_O7n9+U8j!ab zw7`78lz@4g@q3Z?#hY4mmmfGTR6k2^GQc z$XCed&Z3h<10=D^0n_#{$W??)tAw&ZXzk!F>9mdRPzS>qSkh_b)Qe$>vLd+#Vh%#%I>kKk;qEnDtc&N^swJ8x|6uMIt)Z1p8Ct%|M0OpOp^Q zY`Poc1!N|mLl1Vduv*G7DwEj#ue7QCs$UuGWV1vBsRf3kq>R>gjfB}hrZnXo4#$Y-TmOhusD&K(^lQ5T8K*rx_z@YLooz4?UKez(tXotg|Pj7 zO8s~4ZZZtOO|%1Xl+lKMCoT1qz;NZ9AU91Zq3^0rZ(;aL1@{Ms{}ykqhnoR({2rc8 zSrnvfv*$X3K}2x^eJtbdfqIX7vu#{kaBhPfBq#1CM2EG>W>Fh#RL$K&;yZd66!;un z9J9@+l_Qmb^W;<>bPB1PZ8Kt_5%MIUtlOZ_t697R7?u+qtrC?s%?0jCU^9c|BD<#r zLRgocV6PlV(CzK<<3F#I06Hu{fE8=8>{qwX62>x}`U*Vxj6#5Bk-TGzJq?^WxD%^rYVq70e4S%6Vhv>~dEc&r8JlQYKlG|m61*tHK-&e>my79GgB#{dc=RK)8IXQgnstg`-(itvMAUC?hmp+-aPJFyGKb zll1q!pq8Qhq6;T-4$Lw=^dIg)bAUmfKy3&tOdC=0lzes-p98i}LA723A^4X}(ScVz zT&Wv8W|LZ*49Tk9O$tG!-c0RL6@b2Ln3;_VW$u%P_Pg;xFjv9OFm*b6K*KFm^ZJ{u zzQULU6}1HC#uv^zwLTcYeo3*>e-w^aDetvwC!iLw()#v(gh`1()Bncu&LH2!MGbEs zeeKwfa)a+64|gCu1zH8+x0u{5c0$t8#xf>=JQmO@3F#LI1`zG&P(vbKWf_li(+RF* zNA@-`Am~9<3$#m>4s>Kk+GHdxz~U^(Q#C8^r0x^Pr-Is3(+3m+O?j>eOo&6T@yD7x z&q*RX|1r9qK{w?u6-Cf|01t2w1Q^?M&Ux)HO`+bJs;5EdIWFI;L1idh`x*+UF13)y?>_t~i!Cs1H^1K)X^-lRUooW!}pzI&WDHS$&rYng6{HZBx!f$_!(YRm4bL$6EHIclPS52R2W z_Gc{P&2dg(9V6_)I8!oiz7Kp%Jy2)|XoSju8j!LfRQ`s*g9BehTx|27=@+Ru&XJ5=_;ou^x6qnJ? zYNnKs`Rb;eXuHu(9Gu%rfCJ1qFF-2{ZnVK#*dMxvvp`IQ`Eit3s{mET8H$?a(7*Kp zT(t3B)M|fQ3Q@=0G7GlEM9EWma6+oI9OZx*0H#l9T-Si60eOG9JkL{3T)4_&mY^|$ zviRl-P(IlFMuuyE2==^+enCrGYC{gE4&`1f$Cy()M}J9W9NgB^d5s7#5rvRY^&rG5 z27|jkRuM3_)@f<2KZO1zt?G1QodU6tNDEvA{yguyj)Bv&3 z;ss%2N^kRt_o0EaBY+bb$c1@INo+rfhzntOagE>?yn{Y~iyE@_&HdR=!og}W?&i|{ zK{@PV_amgJV1~y4-!&1tzX^BlPDCFH6gVx_QKlZ_YRWxI`!);b--x|uVi1mitEM~0 zW89Iwh+KuWwX{M87w3euy>qJmTs+l^9n^9OjiobN7+~rF^Ao4qndnwa=HK3y1j|2! zlQb>?5k6krPFQ{;;$bby1s5eWX;3-6Ck&GKaHxd%6>m0p#>VwhLDQYQ#rZ`Pw@XxO zMlqBU8?^W+`80X-#NPC0dlx~B-icHVhawM0+v*USH(bNp_gCM|TPjSOf=eG-)Cnq<5c%$oaJaE_RO?7QHV&^ZKX5>7aSd=<8>mEn+a zZs`Y?xD-m8hiTUmgaCY)lH(kJ_^9VKGnE8=u&w!>36P);Uvw4?8?Fm3xE|738Kx!69 zPUC*M+NIEGmh@>&pE?0uT1FlTsjgIh4l zh4%GFgK%scTD*WV71(2X3Rbg#c6FnC3Nfy_mgGG!EXe}o9`JeK0_TJiWs3rw*}JqO zLOIERd6|bpWiW?mgDueUVY$K%2rkWq;!|agLkX#33C{LDtc?I51x%~$SG`40tqRsg zPT)PNY##OpK~b(x5LTnTx&_y9gr*c3jSLk0Dyb&BWC@3=3hjX}L_Grg9D3#!PCUY(v=gjWp79-|Rrif8dej#z$Bs z+lhO9srX0#-Az&9t#LI{Xj()8%j-t}At+_$#PSGuqsqK9dy*zf4CZ57#O;|ilO7A= zK}as3>@gVhrDdhPB|vwX6TBLX0I{qFBJ!vHs4Pl?IGs-_b-CO{@#<%dDDQ{NzpJqA z5z*JHqb9|hVe=R=SAfKZyqx-QG)p`BJ1j4ujn8x%d>-3n z$r6T#IF3SZ)P@UkMX+mT1-5nMfJ|{wj#r3cTLdW~#7o?k>qG&)Vu>>iw^Upht7@N8 zE!7&p+e3KO2fC>8aPrlm#NthYa02EAHoh^S9w@OYskHedI(`n&6 z9Eq|qv{sWSJvPw|NG2JVoZyt-nc7)0#s+Wbzeixhb{AV6lr@n@qj$n+D(Ngk{= ziOW|=cOR~?49#M-Qv(>bl&M&Fj&T5(9?PO#3*aQCf-SuU;N7**^Fy6w!KNVuG+_;l z)FW`&4l5(?uK1hwiDODRqQ?{B>^Keev#b)4S4InI>U)ZP; ztCOM7xGfC&7(eHgp70f#5Q3*y)Rs)BS7mV0eH@6a$r(BZsJTb;~=J6rtEkYhJyzNqzLU7?n z*=30Oo)GzSf`QE*xdkcKVQ1c`puTuHv=V_Nr{xywE~Zn!LHddT#AUsqQJ5g>szkBc zti?Z@yQ?SL`V{KX>I8szNwR4CwtDK}uoTcQJn>8d0gN;l*=-aRXn5(;&o`K$S{gpl>h+TSm|l73L7Ch>d2;|z8DRIfg5iQw~d#?tiK zlTm4VnM^`n=vP}U(Af`HeHCVORO+M8A^%^LkYZ|!>km6&)nJZn@lp)pJX`T2GB;~7&A!sQ>L(Fdh>JR*lT zLC#=F77c5l7xbQP$&-CU`Kpi(dB+v{gie42P~%{n%|MB_CNa5%=`j<1P8eO7H7;AcBWh zOjLG6e&>So!Yh|6P@7)TrvuqYH&zGn%M_Ho9?@1jQ3CY=sVjjY ztLn~0XkiT^Qtox>LgOi(UG+bXcFsqa(roShDh-(yZY;tHsNg!q9XRrElD}vz@QMy1 zU#GmI=+%L8&7Ep&zn%$)0~jB8N>H#B_J4Fkq2n!O!FXIaW*AY5*S)kGgWdcP#$nDo zAj?CT8%lSgx*;lz=Wk7$!YUc6nM3mUJ|7J*Sy>rKRXp-IeU#(fk@p7qcoM1dfoUA$ z#toxY^9DB>!Gf0Cz?d;8tudbzi%1>$`S~*%AW^VP8y=8AQbwFB?x6AZ4AWN1R5V z)!cPIaesPpq}K2)%ATVgycmP8XzNBE#0-agj2I{rpK;GvJw(|rv1)0i@9)i~D!5;E z3y$=RqL#q^49ODOrh#R6q0K23t|6HF-iS8%69RZT%|juIt`7MhQTq~%l)1M3eGlra z-nMDVd)anZCuD62et>v{+ex+`Kgxp}c_D+qf!NQ&kQLsZGXX}TS;11FINa(%=7SXa zPZxp8VYFF^U*0I7(%=!2Dt3CfTel6?@Is z!x2o51j#7D?Qt!IM2XSfzn%W}07aE7YF1q^BcXX|q(FrYuk= zDHcVePPd-bsJ}0R#~JMvT1m*#$X@9kgjCz38S_m_{q7)G$mejD42Fa#IYCxLnI#>0 zW!>;38CXKT-T?X=hH?g~mkiAnF!L}a7W>2lc~7?6cNUb9Q)@+;?N@}QTQXr~kHZ~$ zBFn!0Dub{x=BY9x0#NO5=@+gP;Wx&{R-6_}t1&;d~ECw$XW#)Xjj}T7bm;@Uc z^=veC1^)ynvN9xc5^U#!>$A;s4Us;YaQXpeY)kIpKnE+9T5lu5YRx$;ZiB;*f2r_v zQQ>%TLsEbHzul6~Vl21}%dziYkbX(;IM2hdJ>BprqNL*wpoHA=t%am?7xzLe6 z>TP*ycByhi`lB+nBVV!9{Mi1rz>x@BEkFP%0*uP|pU^H(&$%d`oYd8f7q0{yEL&Kt z5Bc)DZjMtJ$CaXuU4#Xl8mZRMfjrvm6pnGhCN_0J>=OkpF&>UorVlS-Y#47&5@YI) zRu=Gwn1l!pCxRAuWBNJfZY&4}`T-^xUNWCi=u`zysu=!nXtsuX6T%Uu5&M|jFGIri zc8JK?^I*KvDnW}L1BF7WjBG60rzucL(-DpW_$5P{myMU@Y-HWgOFRpDV>k)Zf4mxr zjD(MtWUi~jp4E80FB*9~&Das1+>pDV$OH5D7RZCLL*&_q1==~B-FrSWv<0?5@WAIO zJ9BAxLQ<9!&?JccB#f?bA}L#H7olBcbmJ9HBFt(COy8->$j)mmZ!k8T`N678>3b@a zrf0%AgAFyV;8kWm@aFjGM!`N(nT~Ttg8S4%LlVi;iAKR%-^gy(C5$6}IZ_EFnd~4y z{7gAt>ih2i&VgzX0&T(KTonF$0j*FBU=aO9E1UKUH;*EF!A;33Ayze=*}Y>X*6`*m zRXOHRCRa$@&xz?ocMh)1{rUjrb7Njl(cas+-sc{K6cvUP*= z7q0S%EoiB3twQs<{?dHDsu}pTQHlZ}#AN3FBnR`aqxRJ>4D0id$d)W%)lAVe(lSg3 zZ)RGE?3NO?&E44*$~nwVmOmaf$K6G&FDGFzCD!Cf4OI6Kwt0S{g1S;@WWBVq8EDU* z=(V0qB*-h~hat2^S>W{T^e-u`n>|lh=ac)|Ym;D47>_^nCR#?aFN#}cIFp{o;xOrIJo(6)%Ja{?+ zYizFai1I(1R2H!w7usaZ_w#SZ^8Tq9f+gF0Uwo=mQV=T-1aRCEIvtWx7+;e-52361 zjM}p0qB=ZhdVsb~sA#>i(t4v)-p_E2GZK3+b*3y=*M@+_3BZK|k+DRiTCQN1pY0Jc zJR-VLOUiI5W)5j^=>UBjXA3OH%{1F5DxOwu7n|-^z|Gc` z2uv>`6%#?bn&9aom)ky=is{%iW(JV0B1p|eRYAz(E?P2sx ztQ5>Tiz=Tg>9lQ{RG0@!fI(Ud7EEy#?M$09ylng&Ps(C9=+rOqXgQ!0;EbIfo^v`J zOBj_AOGenB1LVnC0U*yHwS6sDY6NMbQ76Nwq|pa#!mTel4-< z8mBiN9_TPGxcnb`rCZlkVZNFhtKg?Vb1xVXfU^81lpiU80!U6Uh#V8mtqnOcsS2R3 zxa6ob9a8-`9v(H4Gc$mH>!blKkr2xn&e93OBoD9S5)?2?-42yP70OyuH#q*sg^Zhe zEIABd*|ZP{FB|soyv7ZZy%{W6gGth9S4*N!7zByoO0yUHEr<9V0EZ`a{mO_*6c}>; zoW-jxVfpHaq~xnB>#?dKIWatylieUBqAPb1jXt{^%?Nj3HRc4Cz^&w~&BZ@*CK(d- z9GyP}6R-~hU*oWVFH=i8-)2o9@tNsB8Er)?k_o;OT%m*GAUv=de0s+>oa{#|0sjMH z)B^o#u_NuB7zF}qB(#$zw%r=?wY>_?RU#-0(soTo(}Fk;Hty6fmD^_vwG>bU>2a`* zRRYSNF^v=fofXq_bo!qN&1t1`Fb>8?=oA&!kVDbZuNaAs;}5eCwmepgv`o`!XJ;p1 z81{?dl1C@IO6Sr!pG{~=cDz~aDqoOOjr@Z#Tt+C)03N_teoLBOdrsgu^tzS10~w7- zO7m}fbD;Q02w9tnquL1>R^c>MOO*@@k}1)$3B_z7oFVXvATIb?iOZFhkXfi6nud8b zLGZ&L=xA)5w2JdLUYC+y+BJh<21Ajc@~Lx|hln~XG^_hih(+k!nituk|!W&Ym)ksCyYztDg1nQ;Qu+(@( z|19W$zP8z5p9U7Y%L|MJnQazm9zo&x4P~ve4N0|UqF`v&nC)dPjg8xNpxniH8Qj!A z)LHg1vEE$2bv3LtVL-H6P0(9)jpsHmVR@{)bT$%Lp5ng@*66LT)Y+!Ldu#QB8LdGKgg{-W?yvkt37H9@-yyK(*F=N9%j1{wM zBEyV2?)@kJ<5Ikm%DQmv@tKd;-TX8!FE~HqQsMr7eXG2W&*Z!I>booQKT0len;_i? zn_G;bHh7!ZNbeQ44pQrYDw@WhSs>!U*<6}+D0eSiyChQQa2tSc9T(5XWj^kK1T71Z~pr26w)GI`H;FDnqP)tzLi&uqOi_iLJI#>rRNLC&W60r=x`HgymF z*cM?8v-8?GDUiA>M%UlD|xsA|0y09zOo5$dp#`OdlnYN0j{ntnrNhkA!pDUtEY@8@ zo~f|v`8w2~7{EN8c1>Z3M1b^G?`%IitU?U40#K5ei&VCK_ZHQ?H29kc@+k3xtroLk7%{T)~HY|rlfa%?F%g%A~;Zi zRu~m^w)Ika`F<@hAhBnxvqjxqk4_kmFrH+gHPNuE6w%J|{=tWVGqhpMNdYOJQLfBqTx`! zD0qiYFP09f+ZLuH;!i zQr$CaXZcVmI|Wg}R_VsRL|H!fWBlO=R-u>!gJBc(caUQ>l94l!h9%&vVPN!qc(cjo zJ;3KM;VHZFgxCz9(37)@|0ZnT@x)>o0#F^4ZDKIw!PpxP`{e=ZmYTrhx?%xjJY2;Y zq9t=Er}G-LnAFY#CGir6K%TI3miHzi`b@{sXKZAzo$L?99MpOLWc7G$tQ2`qh0o8| zi@gI=pVdx^H%)@-dO>_o3#=Oj0ozV(yO2sjVU*|90eLO7bXPp|pW>!;iD99Fb6F7p z-(o3N@d6A%#hVyF(i(#0Weq`TkbfqHRP@>=2;K6JOHU9jYJ9EsJdRO?k0)6jT2hrcH)e6J90JWHshAxq$3J z5IS=XUmUK&S!}FgsC(o{+hxBPj5XKg(hn(SAyF3q#FeH?;6sC>FPLkR#w>b6V2!wt zy>j$2(EHMnwVdt58WF1@Ab#Skz9+S_utkLC!EF)wDk2gx532*IfN?Mre0;N+iAD2P z!vs(rXV&30lf|e5j7D;-MM#-ItCKXrv@=sXu$oZu#)aYB!?967Q3gE7X~pD#Qx6KC zfA^QKs{Y@z+&GGettfIa)d{HxJ8(#;dl@+o5^V&bYGjY;ZkvD zFQ5^YO0W^$(EJHgf_r+MDR+Q+{PKDDV`~|QD5}Jlu;2aRjj~dwy?6+iWUz&aS zIf^QGy=ang_Ogh2By;=?pXUd;w*K-Tx@SWHw?B!1Uj=Nz9C|{nMe)YIy9@N<61gaIg$kQ&Tx!Vy#zdwbx~QDkHXRj z*!*yBg-Aa1!APZAiX7vVBqkLbB#pkhClVs`7cWPiDO_OG2?}SNXUWj2VAvRiG-Fqc zV&P1g3iPGS5|}m@**J41p>%Sht8`|e<1G&>t9_@;Bi!zVu16z+_UsTsGDwEThORzQ z6mhr5D+PYgq!OQF#FvmWDDmyt%%~$;u@a!yy`V>>XH*49fBfc(W!v;spc*cA$!W zmG@7l9{jm)V$l%Vbu~clUd#du38AryhP$r%L9$^Y3no-4+~BA1f@|}1Oi#d~!wr)# zTQe+&0Mg+Sjn%NSM1`E*VacPE$I?x=A&FZnoHV&KNNvHHf0zQ0dNzAabg5dYpPGEaun!UF{IS zY~J$>mNp!9v+r^q_(XjKSx?~lR^ynx+fplwc$5Zi|kLDN*cWAzX1PR;iEy zY(xb~5gg;ZXf|Fa$Tf;nR6Y&rM;qP1{QQn)1@cd8+36@InKGW(0zW*F&Qa2@4zo_W z)dmBAClRz}FgO8shsvnETm{lX1E9V0puGYdrD>3Em5M5xW(PX8YM7IGli0&Rk>EP4 zoxrM++W1I5Ja`=(ThLeo0haWxe=DS~pC{vu6H4kzZy=v52=nPZhfR0&UJ;7`vJ-qQq!Jp#p_ohtzGMJ*E8y?F z^YZqRxxGSPnSnSYxGoUINxqpxV-k%#Ku2rDi;miSUdRBIc>TFy@!*(*%1WMO6uE5& zGph=#Q)ouU8!>C992F?z87|5?oZCw%(J%5u5{Ad?wn4ZSG33xGtgJF@*u^*Bbsu#!E5Wp!YbSoVE%ZM1&6s9(&UeEhN5~37SjrON`4(ve-Xc zE^vn*C`Qr!koz(Se2B3&;%4a}P7NgbQGV@Tv!QUQG~DSH6JajQIhgaTrvKtRZM=n<8FRMdtVtMTwKl1By~3)SQ*sU2SIkZzXBQ z($Ud}VC1s({~!FEzrCRE(N<6QCTYitW!9d$F0=aHhxPTn*N_ev1Vf+42L>ks48E(J zHViW;f{Ac%rS2QU8w~hWx!lGeuYhFW?5|%)JUFWVTD7int;Z_s0t3>)VB^gcLY|nl zOAXXDP3ztA)WRd;7lo<*1oJVNa#NFe%%7A6AIz;VV z;x8V>Pwd9eMBuCBzE8o@D` zsd}4l89=Hp(J#Ji^r_H*78|y(IB2v#1)Znnl^DBC9U+Fo_FuPLvnhP>uQ+$;HeM&I)u&2F$%F|Y3# zQT7K>v{U#PKSck~c2Rufd{a&x zp}}dVIx=SyGXOXOuZKnp5lMA3AYFTKR%@SXTnn?Ky1(Lab(z6%V-XEMxi0|Kgoymp1r?tOCk0yq!LRBNNu4$`U!veE(w9;<{?!4}&nxx4jAsG__`lFqm>j1Vsf| zemDo#q!4&(?`_UkYlm^R5(tu_WS|X+x`B55a3&fFjKgtGo?X*#ecN8*hEhJNR}-5u z_z74yYawxR+xo%BsGhdg$t0YtQ#x$bw?jV>3SHItH$hiR|3i4KC*DmDm8N`&L}iQ;8LR-gQ%3K2rT3)~DW z|FI(+TOvN|nD*+dG&Bfj^jYt3Z5p>~RvN8q! z39J9xj}UV33oE_pENb+V{m|-HrOI zdlyY#>#x0ONLE`v3^w$u)~BVdCKA-!sIQ@`2yP26HkDY`f6&yRuQ1k03oqU?ZytaQCw&|opQN)I*kMymA1G~M0e%E&wg>>}>{|N?pNrY^B;7Ek= zI+o{6LURuMJrcryk%=f1?9-t1?FqVMJnEelLdfN~C z03$P3)T6#~=I@aQ`ESvhWTbjF8q;sF50Mp=J!2oXVt}hVUUDo-fmd~-4}uq~m@?)) zKS}lN?QZ4k!2mC)YP<;1)g{aRHJ+gA#WYdAiqr^A>VVS^X%>>K9wx&W={|B{B-Bj;}{2-nWDC(HF9}7)laG(lFl*Q2;@~%=#zoJk+Vs` zNKjinrXK?P)&XRnFO*qxfI4#K1%#b%Y$>qiYcMvw?+&x=S5;@)Hi{%7r5vs8mS>+9 zG`AvElhOq{H;h%*-t{w93kmK#2&fZyZ@d<2yH2!UCDb>fk=Jxz3y1!7@rKamIr_ni z$$idQ#KOsWZZXOACA`4ANW!Z<)sZI7c|mwQT{EGvWBN~kTtrgS8}I-4CzQmeIRPc4 zs#t#^_EK9!DO$V0$z5Lhj9riHTl;&ua04t$?d`D|jfe)7HqSWgH@XZ)DsbKir@SeN z0m@h%&h&4}KgQ-j;pSHp|FwmoP`+>;J}hh z4~LbrI5_*MV~|WYXb$1Z%FPm^R5(}+oQsee2Db-U5x|grGd6(Lm4O8TrpwG!>fupG z*bJ0Vyz7w1!XbuL8GOAdCXr?Ux(2)re3-w(h?-Nt!l0FN#A{1|nS?Mw=a5rst)t+4 zNt1LzH3cQ;&WP6E?In@p*Pv>wYJZu_NRhxXN);pR72%O1R-%^B$B{y;Lb#HAkch zK;Dp!?`q0QZ_kEQ0kFCuUQCEXgp%Bz&2XHB_2`n|0+%umdbPmb;(xEKczy`lZy4Pc zZY>BUV-(R-!HZtccTJgmcJdVNJdz^urG(;b4(YTrQs`87qV#Q?9pUGcwW#Ut0jbPS zx)9b}vRVo~3p6+p0HFpP^2E^Fp3#qUgKJGJhYLR{1FJ2Rw9lr~uhWCT#;O(EfC0br@%B~)H<|$z7{QAHasG5p?9~&4ZeK+ zj0y8-EF1=o5}SV=(!r(QQ&;hROuJ26c5-{E^AG7O?|=5_A=~JpB&EncWZg+pH92nW zg}v_Sp9Z8}&o3RDISqA!2GyH&b3b2UWbT&`*?TS;XbD} zh;%f2YTwD&a{Ixy2VU=4Z@IesUq!}k8#78iTpguc{a7!(aH2nPb;0p-`U_stC#D&ey0!`<%A)H*Ng-B*G(kNCe&3sx5C8S9ppoICP`p_yv^ ze?@{kj`FM0Ez77k67xAHzkF`?Tkz`}M{dup|K!d4Plt`X_8P3K^`Lxt>5c=dNAFYI zjbk}$vvRFvWkvBr|iElOL>hX-a-?4zAn4UNH7y}f##4F`%Wl0LTL zAA0`Pv{`4uWS&E{%=WHV=!(OEPoqAJ=cl#WSoCiA#Y@jc|MjZ9y)yS~-nooyvxg3p zKbAXkcKqt_bupFh@ayv|U$dJDj6er%uzjwVz0peg`e$LgW+T2ncg4aXooO3u`=WA> z(}s?sZB%O!xh7#%%ki)O+r`4llS;bz%%on?DW9`|KcX&F<>PtJ$#^J-+HbX?%KsM zEw#KRW-lJQp||z1k>zYL+3IYOfQ&zRrztA@q0zzN=?n4fBVGF(ujwH;aj_*gQRmSl2r!Lch=q(cs~`9jrH|Tc&+v| zI-^_r;qJG02kTMqSFrclc)n84Yfr8IqxbZ~3L11xi%f6tI6Wb}Ph%dO67HERJ-Tr? zWmS2?#uELLi)JrOEQ?Mb-Ead>h zMatJny(?cDUG;W#KK$8}g9vVLWlWx2W9x3+8t=|9zBKeWHqlUc@9vy$6)+idy#*jxDylW!^1 zl!2NpRtAzuR?*sz9L)j_lFc0~AMq*P2ih#JMb`FDnrW{e|GkT!$j{zP!j2c)xErwf ztJU2`)`iRJT(6UjvJa_k$m^_)*X;|sCMf1+S+8aD{|iT`EPqZ%)5oHGa#?+-1WUtoyl{1J$VjAlN(k(<8Qas@jX99Wc zu{~+|HpPP2-5X0+e@5O_s<+#OLSA*16q>zbf74Efx#XPd=l4c4N!1s=un7-z-}${~ zQnT7&F6m59(VkFJs9i>>`A+?lPg*;kUs1hU=(V#xi&%L%B;_3=r`iuL7PsX)$&Gn$ zMnAk%WSjjZvOm6P>)h^uPk#({nhx_EaI`CW&&%);IsC&X*8TSzW7y*tdtLrxdLh;( zHn$}7>7Qa==!RJv^F)s6kDg!McAq<( z{Z(??-{;B7?VHwYCne`2-hD@9N3zc5onSg5d)&)vJXJwP6)Uj>y4=Dh$NGaCoRDz8 z@A((5pSnWL`toTf#AWt}KeBNem3_A<2o?YI`70YE`_&%IJ%z_BZ{I5;7noX! zq~13zRA&@%Gbhg5qDC3QBR9X3eJMzNZtya|;jirp=Gz=S%#Ur`b0rzyr)$ePH8`-I zpY7dQ@5=KsqI4{hvKt0p-4-dS>*lyZ8&k5E$B*e>9(O5P^KbL>4f&yKek8G4WE=s% zpb{^2+*4dz`cS%>lGdaYsl0iF^6`Q42_GQb@fjw65J zu;bO0>^rWhK$}py~B*EOapzaZIw^FZhmE}JU4dA?4iw`%fE6Q)axSiy>#9<`uIKi&xF13 zkGTr_pLO~lTAnW6D>;6`pY&;9(7DMOv)lU)?Voe>I+oe^ljZmJs}5KlFq{e#n%y~a z$4l?+@|Q*FH*}WktRz1T)iM2k@s8=6kCqWNw|-uRhu zTM#eihNFc(M`kQmKk(l1bos%^YkI}zhmDU9Hu&ex{@3h5GV;04dUs=`*YcJNBi?p3 zW5+xy)0H(2pV^aUz1HfitY}EhS6y6vyLeZ9j*gp+!+^tnFXKPgz4`jM#}T^)7qi>z zpD*uWD2ns~%yUWqm9;pmkoRiz;~hSF@d;V~)4R!Ij@zxS{IZCel@=LaU5lIElvt(| z>09i-+uLx0_4ZSePN~Jku#}6-g44{96~;3&tt4H?Na+O*rO>j+ChH9?=p0Gk&g{H> z)dZ7r!^ZTc!)b#dSCYYB9J33KXUPflFLWGstjYbCmvK$jZX>U)hD}cE9YpddyYpt> z?2q*_sao$;fIKIyxa#mxQTi{ANv4NOH$8vv&oeqF*u(FUR`&ZG_@Veiy3UOT#|?Gs zOb)0xvo0!^V|+Q2@PG>d+r=*O@bM-#N3B`D|o|qBz7Cgw0p0`ovQTG z{bx#7(oK|syW+g8s`Xl*nd@xbL;c>*dB2T~dB~1eULL~r_3NEHtQswTUd{AA<9a~i zu*1!3Wz4F0$2%9#WmL+Zlhzdlo$-#muwlU~y=0qStjQ{aq*tW%;hX~#S>=^$H<-LP zVr zoBd`VJhkmRn++~r_HJLf{;_t=rbBk^o6lwmodB!X>d@F0S-d>EGW}jbZ^N$tnvHgn zk8CYb#+D*dw0&-a{yD01_ShJ|8K=@cG78FxX;Ey!!u;9M$rZ zl3gxmU+w$ZIK$!m{NrQZmpPM$q>nm62A`eJ4XQDg?8yD>;{uCm|L^$b+k3a#S)^Z0 ztRU?QG3%5R_y7EZ-P{j7KBnK8$^7wDPv14GX0|-vldy59HTnpnt&feq;_FGt72mA1 zaX_YY3cU^(hLf0fACdNsi9PLYfzXp>$||*0t~cnju`gP;C4##9kLUXyTiF(+2Yl2a zBio!mddJ3o#fo-67nij29~kdG*F&AmVsL!TpL(r6OFr16ca1%KkaXaXwZ*z?XV2Rf z=l)P*LOJ@FUXr)-^&>Z<4k7z!7&He4%6va--W)O+;pUuF5Eqe&8{ByZOv z#^yHm`@9UkOkHWFbI$9h-QFWmoA$4GoAR=)x4rC3-*1llso%ihJK8bZUHK|^lFv;m zZ=c5N310rLe`XiQk+0hLT8;j6j&vfx>S(HMeBr&)KlOZqi_J+-%U{?eZ}qeEi#}bR zB;gcuVdkx5#U+J(_UXuR&z|M0W@cz)er5Ehp3_JCxx0gVS_GmOg4bW$aZHwZ&IQ?c zoeNB{vMBM55{`#C+Bu7V{fHFo_E+g$&JOBr^;MpJj2Ir zWF#odDxg*n)Bq~0t(z$dBA{TIWp{`uvqeUc5i84qP?3PGgjgU%$g+|U86j2~3dxfe zLZ~2Mt%!_}mKA}7yw^j2zxVzBzrFq7Q8-$SH}`Wt_qEQ`5SM!lDH_^~3A=c5&R}0@ z@E?Z{mX?mQf_~SI$I(Af8} z(lYU0{{gF1mF2Ma8Ya@}%d={$9fUydoZ5~r*kS%fKlC)7(7}AUz&(?HKAF|!;#Xd? z@qD~ZndSHU_T^eizHU40`lw(}TD|4sbzTuc(~q^Y->p{%oC zVlMv04iU$(n>uXoxc#&4U9L%SY(2LpcxL~$dvcHM!<(zL%q|RFf!h#CIl_ai7o` zITh9Yx}V})v_rg>hTKaJzQ&|R_9uCoUJKDb9`<92>V%3G%cEr{=KDPxsj)O0>${Jv z{nNKL)nR@ab@~#M{%^;-jGvA;B0(&pFqICPQiYy*_S=l+;R(y46p!tGhM$}0h8SSB zKRqtkla*soF*SXP#WZ)t;J6mY46OAx#c#Ze_j=D>>eI%S+@xCl8SCrME=u}GmF4&e z<_G=fTL*Po>TLH$9=f=3yS53dT!_WBXpz_MqSalSbkAiSxp97-Bv2CFiiqd+_uXS1D!|#^C|G~)EvUN=86ICWg>(yfw>lb+g!q8$|d46;p?xBJ@@BOLH77Kd&buXy&M zh;aK*iBV3?$Ew~=zUpD8ZsRuoDQpiOU0?R(sq3aP%f$8A8f#YaPY2DuDhZJo%sIDs z>3*vg*sFW#pw=g)jTbup-K+bRS?ymteT8`!&NuEjg(<^td|@Rg;9i!ge2Dkiaracv ze3OTkokrze$M>wCKeGPOL`&>kUb4Q(ZcOFlnl0K^i#RRjgV~+di}zk`WRMShFzV=2 zKjUl2z-_K3R$1R5)Gm#%Z>#59eP43(iQ~+DQtSiWH}Re5ZQd|rM&yj!)+!qR#d6ax z2W-E$B7GX`aLnUe{;79v{tVW-988sB3%O(m-Rf-_hG#t#(t7HbnoffU3r^J`MrX`7 zmaLCHDBV_xQynnS7S$HJ2YGta9Zz_;shMszrz;*{9(>^%;+S8*ZPni+ZpJ=!zK{^_ z@q4|g!HW=E;r7@P%S4-$TLwF*6BEaRY+lqHJ8rhQW-dRBgIo9A!abLT>-0wt%-dq8 z&wK1oP_JLTX<_)&FAIM&Gu-^y&bPA>PfKcv<*)Y{_rEOccyz++lV4rw@2OFH$NoOa zx>~m1*m4N>YT~qC><`pUpYBc^ZAj3e&sw|se{eji`E8p)x??J(o2C9V|A6NcU0s4L zOMHiyEc`jt(yPS$ky@J9M>tH*pRQk7f8JQhOaAN~-@~xLa54$wcRx-y@6F@r+9z-C zI3U(9wBl*Abp0RA^e6X!B+Hxf#e7y;c(?hJ4jJM6*9bY?%zL+f$od0!{eEs)8Wo6a zYKJarP1#yp)S55-?yrNNai0$OKkA{J+WccyleNB^$K{Vd%~93-n0<_Qv-)vV%69A0 zRSUxWb4jh*W=zeQ;HZR?y#sD#zJ{IV zvKN*Y*_$;mf?m485EQDcUet1Sm|}$YHug8Fm8Hio7+a?to)kYLbZ`Wd!!ItX}VUc<^9|?O*o_oiq-9CR%vH z%?-f$iuJ2XT%Vb<9eheu2h}KN#cd|4o$nxRc_}0gZ=~V1G0Dlt_N#;(TEw3P#`@xO zjT5%}=FN6J9y~kzcfZ-LUhm7UeY&ES(qFWumgGF@aPjgZpk5Wem)={xNTk@5`oa}5&&=q3xg;#Oj1uCSsO}zeQ1>2t zlUB4@%%ta+?tJsjHnn9JZE%>Hyu8}!y?e$>TaWhX;Vh4;1PALrs@zqhHtlfkR;ALm zOrM@Csh@QnV$qHmZt69fJsut|Z8qo)y>|PyW?rC~uMPLI4aQ@)$HCzJd(Zxx^Ta^d zs}|Kh8{%_Cm;Kqgv%hv8-=92pdJi9`#!T3_KlTIbP>=BDz4RTLEbY*fakx)r%|=-Z z2G&(-1Qu^7s4I+pwRmx4fgP+CS#oKUQ~KsPoZ8=N8ZUO7-Ktyr$B~lZzwA>#P}rG^ zjmf*Izq~lRK}EGx-O5^+xtu8IGE@7^!~S%fx}D7K@bvnF2T$n9k8s%WeJXD1uX}f; zx+c!5P>PL(-aVmb?wwd&_t?N;>34%nik@X7agKG~McI896J}}XHlb~(yYZZ!peOt_ zcHN!FL!~$!(eXr&-DA4Zo5yW#^*_d{g$ef18}GK}Pu;=fv#uRt9{U8VVK)3*Z0n>K z`IKb>ocUGzSib6?U@#5dpmet#hB;5HAYFj)s9YJ+__OWK8(DH5VC;7z|V>%ws zvPw&nE4F#51z*^JHy~YNk-VRs+3H%-!q-)NMaOM^X7IwrpN-W%Wk9mb-&Or26<2O% z3(Ez=iOM(w6=9*kcaQGnxFQ+E_#FCSDwq5sV_CZw(~Gf=Y(_Lw&T|K zaO=(Lv}!-9Zs@=Kd{kbh#9=;o^c~$@?rQC&a@O$IM>{i%23@e57$GMSDvZ~$JL%29E8j-Z|Ozq6P`$8`uQjkF+*?98zq3@y~|ytd!k7Luw5d4Zk-*esWP^ zsqMrXeX-{ovi3$?Dx35uuCK;Gc%Gt8VPSXdjM_3Z?-zPfJ0#OnZ?lEz%^#d&uqKmV zR9>JEbT>Ms>u=e#lhH7r6)*oZx}sOCEhy0n&@!UUX6(W4&a}N@Z8W_(Zeq7s7t1yx ztQX{(+%4cI#kb~VLwq^tx zOTEm0w)v*yRPZ5{Qt^AU5{f3s^d7nNT zbN{?3*^HFmuJtbq({OLcna_VazFWNirU5I~`M$^B*Ni@PJGD<|ignQ6`c`g;q}02_ z(2EsTabVT3FbO+<*x1c01iPSnx<2l<+4kqYslQlCj=Xa_Pu?17Eh*EAR%>Ooi(I#U zY{&d}BS(!^vY0S_!sF0G{jFy@YMHh7u@2vF`4hL>NVmHm_kNS^W*xj!h)ej+ht(w? z{Ticl#RR8$;$;7N-OWZ@)J%W6d-YYF*``VRFJ2v6PY1^yp>5o3>+Tm;hot*|tN*FE z(E2Cer{}(N=^Y-7AK@}jZEUfQdE<*2#%P8llQD%!R+|@s5W@1e&TK|O=uE7VFl^n`p!*o zyR(yZO)$(y*i#9M9nXp9ir-;8Y!|)kzY=@(?l>DCaMzR9E)K*DhD1};!+PG|DIUOJ zPhTq^@Yl}s-g3%ohppC|T7LGISnsnP?cyzm>~hz6>^4c<*on`j z7sci7C0ghDex1H}AN%X99j_7E$(SC2*iS?s`C%fkSyHSVv z$Fb9s7j4cPX+#!tf5QeOFU-?yv@70u*d(#E@x?=du4P{aIi6j&*m`?+`kil`cR%}C zGkW*yU#}W|_08R&W4As8nxFLexW_2pcmJ$oSJJNeR{rnUV2rW8r_(y~>VyKzi$_jd z_`J{+Y%h6x)dd?OG}-%Nd)o9Bn)V5{ktscPkh$W54J$Xcigo{yXcf146K>b?r(rL= zr5df*Jx}c`yR`jC#Frm7gs8pi+I{Sirp|Oy1&$_GPw*Oic;VYks`;04zYN)H9C(ww zTCiTMpIxHXqpmTgj@uEYVtmmk`QYrfF+m~kmoqtE%^H6_mdcP94~=i58|vvt3cuOW za^(zJ`&h*uwzH1q_c&c*!oR@=A;jDqY*g;(l!s|*cK#9H#!Dea6kQ`*wR{&&CN}zv z@n=2nQm^?sg{XJvemnBS)D@aHSn9`f;xC-kiSD^qbTiPov)HfM^Hj}FQFF=Z3+uj~ z_(wgb<)Yz6vYSEN)rMS^#==A1Hb2&Kj^D?|j2!%hJ)Lc{HNx52{(eH&%*d&W1yF z(Zv?ZyDqRkYduLVF=|bb5u1w z$MlGa_iMLvdJjy5IGlLh^3?ddl3im)bev^M*knlD&F#s$x!u@1Hj})+E;=o1<0lNa zYMb;11U&7TCp3(2KUX?%G>v6cGSR%@4JRf2cWim)gLgL#i+V3=M!27kN;p?~U<3B2 z^KRS)viYa3U*0htv)qRZ{l+OEl>GH;>^N>vy;JZr_55x^?S8<$Xy>@bi-i!uil!`mx%3%JbF3?r7(J ze?R1+f&65zXGD_WuOa$!v%KP}PfavSuK8Ped;9d1?7C!r?H1$r>ScrRLH9$epZb<) zv!e<%6*XRkj+w{F&kQD8+K#hqk6Uao)O@L)Q)o^pgc4lzU`T<7{tvO*?GvV5*;;1X zlC4<3%<0z!7;BPsUmEUz8|HO$t`t5*)W_5vpI;kN>#&_QpUMqQ`-ydHJC^1-Zlc9h z_3}BJlIVN>ot~rC%1OpU>w}sn)^pFV3bzHG+@!HeJpE(@sWOmIA4{g-Y%EjUa;7G#!l3Q z(#lLjt}jmJQ5NXd``NysoQeyaii1|f+)T`O$u?;mttpDWr3FcC zy=BQZ{Hp|Wxwh%H%12S|zqi;Nbn;Ayx4L0rY5iiy!4pGlvO2a^7I46}q}EyO?19fx zId-+|s-4(2-qw$UL_vFPZV???-p-e)50AL24@jO|dmdEU=({Irhn272tB9j9t|P3c zpC5G3PwjQh4Q%Z2JVqTn6xpnL!R;Sb`^U!S-kzQqUhnpUQ1pipQTS&)`pY`wP~^x| zmXI=>IQE>UYyjMUt*|zGMY2tS4sY8}32H>y0$LMFKu9chvXrvUzeR-O=%7%bU=p}+ zG_-96$WG89>YNQNJ;WW6Fpmt7=)CX1SXMa;ZOYj_d>UZr>S1Igf$OFOL@&@V{`0uY z@w~=6;odC#C>{I=*)2pD;L*vadRSJ8LuCun(RZYMYmFI;73XJ-7b6RzU$VT$8DOiB zDMti!dtM`R7^tiCR$V}pn=@)?6?Oz zmH{{r$u+ylh*>zTAsfounX!$A4WmNm{z$@P$mxBUdzxlTU7xU}i{O`{RY1~K113y^?JD)DRdyLe-i%W+|gpKO;$<@uML3IYcODub(uWJr}`}kDb1se`LRKX03xuX=DP*3p>^3y5Ui1W%c_L zc}cS+Up<^LLJh7VdZMiUo5 zK&RHA@YhmzWj$|=#Zb^nNf9sg1AQ6xzKqZ{ums5K(fsERc9UmpPduD^tFbYk|0{I# z)(!J1L}dL^%s5~C%E?Z~rx<^@B+y`pCr6(%Z<`r0o^)M88~#Z_B5#nHXB5ZBzkn{jPWnkf{iWLWyakMBBXlEUixUM^VvGpJoYD4KVU>r@wiNgx z0>;=C>Jd6-DX6RudQOSB%+*-Gj;qV&>3HbXhdC@|$f`O8JjbyxXb$#kC21OZe68-g z)r;l4M$++FAb6~F6CHcTiJQl>zj^+kq(}JVY}Z&f^KU`@%Sy*V9v@4N5emLgu9BiA zd!AFxjmdz$h9Q8}q;Vl??Bj2a>P#P-Wu5SiN2sANMBRCnG}L~x6x!HFk?NP7wHfF* zLd7x3f?i!{SI!i;8T-X_D}Y5oR}<6MIC2=JVMr>S*@v650cNcL&TY#O^kw5)v!Pph z6y6w2uR_2zwg_vXt8+9cdvN?T9~VuJoSy%fj@7ajXrOJrjeI+p=&Y+BB}GNb;Dz%w zj`-MO0e3M<61rQUj zE0+jJ@lkXtd9C+U+7^JUj{5|O?;eAp@AlWNU;szEdIfc$V9~6D;o72s-E4r6mFAxe zXog`B@A39Lif=!8q%GA>SoRMLsK8h%6A)bOw@U$ShmgC`X)j0(7m!7O zTa*A3fpAxOMuxfH1tOo$;jB)~!T2Jl8(xr#Mn0A*Ly{|q=Z6`y0KE*Xe7wrdb(`yN z6VSR_&-acXVI#!s)WG&~r$~gBA*i7OFg!zO3>32=RK!dtfgHUnZ(sP9<6J(2?uWyJ z41-MWJKWk2L#GL-&n8veo&YXHJls3>!J;a%bCae5YTN>*%2Zcl>;-f#I3%Y=TVG5g zfl}KGmeHLwX&ba5eH%Y7%btafEk5+OP_1>ZXnv@ zM})?90WiMV2X-hJ?MdS^6IrJQ5!oOa29)^{bs7dj zOk!;n*H4~03IpC_Ye=y4MvpPum4~ShaYE`lK8>9SbWnL(YVq^g{F7ez)VB&?zA1EMU0b)t^emIx2<(rROSPUhNFs*o;(*4*Wp#*Umo81Chb3K=oVHO3NE$Os60 z+t<_}z-9rzj6B4Ov;@^i0e5)>VU`QWgC5O%+@1B3c%1o&72p%mhO~mdZ)o)fE7Eg>nx)g}tU!C?fK{OIO4JJQQ@i{=lA;_CMp<0`UMAj5@Wj zidRmGmZY-WCw>#JRHY5ILmAOTN4mX~+|!$Vt+uNIN9}-Ca7!4PWy<+=^0XNt=Cb`= zm(~8=i*UbZ58qm{XA0@btMuI?g6J_M4tR*v0U#ivQ+Z%Ia{3RU2Ig_2O8HZe1k0KY zkH+A^iE9#>jSg&B;Ab*cDz5r3!;k<%l{Z^tN+lIn`=($&4uUK%xj8Xi%I(ZaWr7Y0 z1*i=-7b{WojSSr5q%?%mza)4)Tj7#TXyq;0^H}*|0f1c^6p04X^W7-TsJjjPY%x9b z*Dq-YR;Cdu8|Q0LCu^gG8Q)x=!DBBCm<>Vf)MZhZHD((&9t`r)QgqzM(1JLkXA6@cgU<-RSGd}|D(|K~Mkp(Cgv#pJgdh4{xblH@p}Yke|B(fl9xeyK ze9S*fMM-8w6*?nNWJLY2`egQF`z0$D&gX*{`87D_}@2&O{P+7O!f zAP;4oOJ#(wo!H=XsRtN8QVw#1W>~Qi3ZT(qs6v_?PQO)4_!s{`#Y9Ut9P8oZas^x3 z`u2I`Ld&SrsK`AWV1ikLGusknQ9vcLpCWBJ$M;LA7XtZNf*4<9wiOJAB1X&*edl2* z(QqIe)DaL4PE7Myb1*`_B0;KBn!(Wm;J7}xbI-^~SDgs%@<}N~s7dI@fa#d43-v|v zjKCxRQJYmtAp3C?VkndUdn!^*A6o4(S}OW1_1!SohjI1A=wd$W#du;bY*O2cb@vPW zpCD{4dy)vhf$9G_6M5m}V_-C|+pD$~f2pj+WD9DhuIp4mYP$|wq5>2y)sOcqs+(_` zSKpzscm3XV8?dIgHQY7T_h3z{{?+)hMlE>_+FU}$p1~HA=CJ=HV@0LvI!0P%{u(82 zvpP8wdNKamCOiX&)d&A*UlHk;7-#?)!@!}X&n$hwe;qEp*_s@PyDICGYG0o+%cJ_a zD)HqpUtd$XL|IJ^GF0EJyqw!F6T45{$5=7pJcm6^`EaJYPyGQ(*)mT* z{?V7iW0yT=t5j8Y?9st^syC)BYx@M#Htt6aBPeItmStk%R%@Wa6Rzz<`^`^ADf=}R>H z{b}yM@K<%$DRcFHjF6&UZ=a>@-nE?9&N46v(VbM*8y18ZeN(UPkbol3%KoIb|FJW! zjEYOLUC=M!+;#iz;lm0wRs-!$=)QZMk2oyu5jLB`X;U{2XBykE?<>^Z&2!&2G`>}L z&2C`-2_t_&tbk?pLYQN((=~~mqgjIIIHr%~fU3vodqIm-j|Nxfco@}wz;Xi|ji3V$ z#EbgF!Utr~hh{LQD}TB*rFu)bsyrm5CKj$Px1}MsqFcAOfhd&p{J~#lA}xmpJ6^pJ z>_7wE{ylqxg!Md;cS{(5L)LX|-6cL|1>g0Yxr_Ca-$_2lm=rIPO!mCGl?+3p-R-u$ zokB+2H%Xqutr+)2`bob8-InShJ5`#H-i8;#y|;L2l80iN zR#T-A0k@N_lqXwmDH;2UyVH;d(on?)CfshUYI4(+D zY7spP+;S8`5QaB6x1}99o}kA8?SM4!K$8q6TL)lP4Gq13A0W#p*c}U(wiK9IUo-qF zGAhhomi{bEW3(%VAi?*uvw`#DD66rjoiOYf&#w(HCq|XGHQYUJVO%vTsH^2yw|!VF z_|(#}VqpQuhsD*DOA`iO3K-4(b{;FIKoLqIn=6Fx+f$ zSY8`dM{V@E-t0q7&5Njv-hHWA)}Av!hTI2TNFx+3O;U$YhJKM8VoloY8pf>q$6}!d zds!}|P7_abJXE_O>|~EtMtjS|dZ!RJz|5 z2Sp&^tF@6jK5Z=UaH|4Uw?t1CR9P}}ZhU|3RdPaJ&H6i4z_!eoiZXD>%9(7W_^8Pwj#Ryh-hZIU(ZbEbGgE z9+>Me;boW?zH~)fAlemCCSXbm0?P8;(w2d(PC#xDz>ZWZI0lBCs>M}+LIbKK0{D+> z@N3jOGf4!4A^=OmGum?|)^7O-%?2gMW%H~4gK^QyBh6uewLORJ;|7DO5ZKx&Amb4a zSyj1w4V=r%?koFHpM@gL`0MA>-iiK66}e}j=~g)@-48HQ z{mqLcm%v7D)U4o`Z!l8bqTsvCNrhFE!!%1WYVcvk1VlhB0A0t@S^BJ4V-BTSqzP#C z=IN7g!|4U6&+{=NlBvhYymp0trcpvw8w`C#JFmk4cetaP6>+}9!A z$Xb>&Q9F*wDCahQLXReclyyeiBS;w;Nkz>edL}#+Gs&;-t21y&03D=g!aR=wk6kz2 z>{@gGX~?|^s>@@C6Y%|kKp*zMr3{eN{xU8iL(YATcm%{(aFj64+*%D_k2lCdz{E~? zH!_Uy@8Q!T#=Dw0caNRt_R{XUJo}rUlo1R^P^*xV%xlcgY94w0CUzRvzw<58g{!FS zUwAisYlT~&6(mN^WyHd`edjw;B0%osp&cSH=Na(?ouh5E3YWQhgQM_-k5abuM(Q6Q zmLk;gUO>jhBh_5HHDnw(NI;6khTPYH+fo|*j%j=Qvv_onIFa6?E|RJIOD{$5B@yLX z;lnFJT1(2XR=_=ix-!-t7~gOIfNNo?LS{ACGoPy&P-I_elxb%gLfoBqLbnmXAy9D$;&?IMP z}BUEfU^Rb^T#3u`#JYT>}rQyEAX()Lb~oRWD(bVW-x%!Ee^YFA|iZU~TD z7!KTMDU!-dgj_$Du)>~3jwIL8EYWr46%5SC`LtQ|Q_9=%1VMFVTR&voX9RVq&}RkI zwU<+HnY;0?@FW?1ii*HpwN(5^ft=oN2Xkn}NKS17ecP8h&A{o7RDlFA@2) z^6pZ^CmTkd=PIMcgaV_5)t&;k#DP^#G9U}bDk2niU=tWd8C{6U;1KP-Sq9=?-f?xW zR7ekx&HE}=7)^wNPfPKmHEJAZBn|3WX(BG&@F)PP7(wt(7+oL_O<82Naat36;Yz*| zYg=1INlafE;nSSL0bH^g<5R$!Ql_>6=`chFX^}Qt2-%}c8RR*EYQPvAsJn@{@E3r5 zKqHsS$_lG={zBVaWB#b}<#YP4qFv=MH~&cRU``QHgH5o98GY36;v@WJ1^xmVNn!SW6$V9Mwxx(WD`8?~Btd`CEyE=}5*b9G@>MeaA+}o;!_3 zRrnH@A5}z&36NZE%y;Vn`W`q3-k!Y!M~e-wY&I3r6(Nu<791iCb`^$9=3dZ&6dnh4GcgQp^1M-j55G<-b{0!XR|EF-10qBMQ}?}vOA7-Lxk=-FBYxCZ zsxt|2J~K--+uDGW(ZZ)7@1Dm5q?25Dk2u#n7e>Yw>$pUNIYk>^V`$_@krblqhJ3fd z|Dk19he!e7iH~Kt0|#<%q+n`EkW$xLZWVzB&am&r@xp3JpP@rQi-6W=61En^x93Fi z4)GX(b@raZIyNj0I4$-s7X3;IUs*sMA4~UoWKyp{K$lqDY zYKvBtu(@SJjS*a+Akr_r6g+5JmQ+N|l_ON{v}kAMI4fVaJjAC)kc8Fvx^Ch11fuYM z56>FULuM&-NK1~!DoOvaj~4QQndK!3>kvk{m>)=xld!BHcBQ5>v+>Q{<9 zAWq6S`8@$k&uO4H_Y?zjdNI#!C=DL&iPnx>8S~vMNmrQ|7Ith7QVhrj*~DOL92XE| zoUUBEQL4Vz3JQBfar|@;Sp_3Uh>U(fO$Q-B%PIiKD&tA8BY)j=_5qk7?e(JBe{k2tR+Y+ zc@gv66sr*I2fggdqs9r`qFcX*xb+koyQ*NmgkRR}lr+@pv5j5S3sNl-6iW$Fj83T8W>5zaP-2S zHkLOqO)wRgzeOX;y4KfdL6zG2-b|hH^YU9?PhB&LjwiE5`-=9segBpMijG6fi{^ z_Plc)r%{l0M^0*I34pW*yRM#VFZI;hHgJ#Se5f@IASaVU9r#uO+de@5I4CMISHe58 zenMFAx(#xcq;W4KT}b|5Gzs4BG$EUOS`{71kO4MrtsVvdXWOplwK#6+ z=C|84bodO$o&nR;)+hL`MFFOwg?DMdb2REXwQ%_RCndnvLbXc#W#aIyi3C3(wTy4r zDAc*jC&vkPP%thsfqpAu5|yO^;+f3|-z2DBZ`&xuFDvaO5%4pD`zqa0@DNZZ^@HG? z2CkbFr9B>KLcf&Nxysds)l4yd@<`pKi>j(0OtGqi@4{B2?r zhu^r2afkbTt>e^5-2;87h8FC8G9 zfp`^7l;e4YUyKI=QiWM|#_yzD$rU{MFI&(5B7P3}xcvF!xu;J*{CMoIcgVx0Vg6-g3&yo5Y|xZ9Z8AYh4w(oufH>@fNOmc}P50!!H=rE>3}_`C;5XYetVCt7%GER1KZX=q*xa0r`=8xNtQq^5xHl|CYVzBUS(k zig-e6%(g_ZN<2}|C3K5Oq}cM6Mbh!PuBBHuxfQMDyi3AIV})wu*Tk~CJeG}C7<*{8^aD@p*OKpLl1*@Qk0w@}ps#?r0?*5D9Z$Xmyis`J z1%VUYamu;8ZmeNSSg2fp%XseUEXlP@$gqw@_*_1{T!|oR3(=$#^wHrP$()K4Y({ta zO30M|@AT5xl(dM76O;Dnn_^MU-v{@|m70$y>H{FE4y~z&kxCR_L#O-0+9wwLcF~fx z9?*QNF;MWPilEhoH910o4{5E_W=IM|!cPGfSA>Iy=r2d0q7PLusl z*#~ICM$o554S=jK8Wgh1FLSp*w_Rspx#4j}2#P`$J*D>^ZbCz@0gKEmICq(1s9Ldw z^c2q`4xqzUAf$$BTKiR}VbM|~bWXKEz6hkFS`si%r34Z~W(9S@aQ$``9!}?zSG_0A z0>^(DdRujQg_TbRUlYAAwL*+4Cvm#Xm}r3TGemy=*Vk=jzpE zQ!8d#4UaMg3*)8&$Q^!t7U@}u?Ky^x{xJ&R#qi|1z z;&0IrQAte4Xi}Q$^E##oMItWjpVl&wf3U7vDL!iE!A{C+AP>XwHRQ*#c z{ZM{@awORo8tvfw+5HxvIVhBqgWQ$^*Z>apPE1eXmVO=S1O_iW5GzUe;nmds)x7T{ zy{}Z2B)I+Vo8#9KD;#7a0>+Lv908E6hwac5F{@y>Ex}euix;16vITe0@RG207V#K` zmZHJ76uc~zM*&anuWiOdjFk2L`o|R!1VjqmpNc@1x1GWSx>E7k_DBuU3`%K3 z(3xSD*|sQtmIU32t0UxBE&h}7>9L7jxp2d-ZyQ3;5VTNEO+@dtkbOY-l&tY~#Xer8 zOWz36+9c#tM)Bi(83eBA5ye=tCv`jJx+&^si)-!2xY2tdc}eKZm(mbUYujotR85iR z#35Fi9eN@O$q}dp0Lb-RJ=$6arLZQ*soyAAI`&v9GH`|tN+<)i=lN3|#Mzc@33Lm5 zGbmgY3UPbx1j>$$;H1IZN!kCOG_XCl52$L(5|T?Rw7aw=b<^Gn>hMZ_ZP+65_#Dy2 z<|W^5Do$9tFjPoq^G1-mEv8KNwbT*O&Y3}xXFybi3w{|$?B|dQX;SW3aHnVxiI0^* zzIH;+{bqmy+ml=u?t5k{p6~e2p<_D?2}8 z0b;GkyO3J?edH$E45A>hMbe6M6niS=m0v{JPKg59Ozav-ft1QMF7b30%AJOjKuEH~ z(;?#r0dycL6pnAd$^~clB7`GkRELdJ<5TJ##G}v%1>EVG7~XtM<|H(vLLOdRSG2^) zSjkW7ts`hqp|z#uRc-0I75njS8Yhs==hvl0lt0_Y4jpP!{vna-=W$ zkZz%5M!z~5vvwFgpC%Ws1J@3~CBZgw)b9L?U2sp`@5! z4FcC4Z&dWuhn;%MOq*+YGN9p2WAk{nMMEG-YSRCtOr6fGoV+ak>2(_rqJ4| zqNa+`SE^w)uGX#Fh{bs*)Nxn~y87v&73}F6m7D6mcEjqV&*d7VYtR&1qqg21r$)zU z?A+i3MM2YD8?-_f*8O=~MR&dKH|oab^XiL#sMu<1Us_go@tD&)qLN&L#p+kg66^86ARTV?W66=#Z5`aV4QEgF`!+zZ>oyBE(j3)J?EWN@ElENDA)ELG+cjI1Yv z4DmsxFV#%VjH+%wfA{Bhf^o&502^Lst7oUsan|~?ex2$&QvtP5K<3jfbys%^seE#c zy6G8kdShI`vZ)?*2%%1CTpw!BXR8;d^6SWYM$6$)ZqTknfuu&01FP5x{o|7$1*il1 z@F9sR1OwDGcnHli8G{{HK%2tgg$rxz64I&Xr-x4=H~)V3Bq#ZdhJs$GTwNI!)o&b=CAYQzg0x3xMGMx?2oak(DLnoAM{jYT zGw*y%<w0zbawvJaet7cEkJ!NqDUS-_kJO|BL5j-`dYxB?=|PkbqB@;Hcms(u#ISr4LxUn zYW||Z>BBKEA#+AR$3vEWbgBRJq=2s(9{QYl&bUIr7!ua|I)AXue=qGnJvDUYOcC-Z zHdOFnoAAfT+{aK`g3KjYY(|hrYl_ha;>#FOB%fIarIR7#!34CM!3)9gXfsH715k$P z5c<;q^;mns{4y>xVE|!Hx-Tgg3w~q28jsG3%j5_jQvBgYr%26x>Xao<3=jiMYO4J_T8)FlxWDeh^G>X)|rUneEro+JveZe?O;k1)2Oh3F&L=G%j~^(y%~= zsLe+$ec1ZAmVwSlwDth8uXO_<7w#CVtp+L;d zP3l`|&k>)|NaLcmS%NBDx7u@@vig++LqdAfe-~>S?=ZhMP0ZJr1QhG9Zwc#);l-2+ zH-&#?2$n6xl4o-*Z}RKtQ%MMB0h*-;D;o#QSJI$+r<8T(^jYAuU~SpWXhK;kQOQ6p5tSer zgJ?hzSdbLE02JGJK20)M9H&4_8hCq>CQqPF-^aY7^~vu31(0w|O~1Y0J33O~vpn0F zKRCK_WkZL^)C+=!ZjtF=TBGPxJvxN7bW4@q+VRvsn{+f5>P1{^akjAI1qAUcK)KF9 zenCYfXh;a1T9{jZK^6W%t2Shs=(bEA=ynTw| zC2{U0auCQWv*7Eg@IqyzGP`2i%ePE>qCjP3==q~@0b@K4p+VbNophOA_>1TG!&K)b zy_aKizkxobKhQ+WO8icihXh1k6peKiR z<*y_gI`qT$oBs1&*AQY*2{E)_&Olis5*ni^UI_pVF3?Vaw2Cq-H1AncYiBOENXZyP z=n4HGN}qCCDVcmzX%NJiWrdAoAoMYr;6ds_2CG1dhkzwYv}??Ms_<#wD5!9jGdps| zV4se577mD!nkG0<#V>_bXbv9EgoKv2a@LlkjFHy3f%G;-#uPE9bqgrN(SV;%CthAV zL?OtHOw5D=5rg2g01gf4wNqx>&!|(tBaxWMr-M#N4)59A?C44Z-V9wpzcf@}%)HF3 z`1}pOg(szza!YDU0=zJ~>3rT)5C0Dv{P^oP2k`^D(*+M3`A?D~Dm+^HF{d*sq9w~` zgp8NSjWI<5#xq0kkZL8Uj_Poya|9MWutIpJ76CaET}oSo$wtWG&8W3x5nwv5 zwfn*kH^DR=9zFaOsio(Zk|P}!&`xQvPB{@>l`vI+M%8&qK`|*sa(t+e2}ug2f(S)R zp6^)aS4~iEc=}ersD#p0V};WY@!bo2v#?Jq6fug- z5a@%7?btZKOWHs>9`Qoa&Hg#r>C-$<2l(qhO(ODi$Fyd)Ww z1v%nURiy$j&*{(yD&szlY7lA!lAzo>SAnyEreo|%IAWV9a&MZej0#bVRJz!LLn)

8Y&{NzX$A3ML3De|1M%s3`P7^DB{zw z2n6L4)NUFjT>|`)jAG@{9?t>#677)>VzezQwoN8H@1Yd{+O&XvP5}%N5Ha0N$k))i zGK*mon39D7rW905RQ8pS*H5XIAcnha3(3HjC?gdQ7o=4@Tn_3o0hh6iFJ0dyyZDE+ zE@soO@M!&t%%!Bk_NcObECXMA2?UpB^Qp#P3VY?W!0A@EqKi~t0n7PR*Aqc^*PG_T zt~dwo(odycMlkKq=F*n|h2_xZ&H{2j-Xlwl=2QLNB$O_T|0LzQ>7ba2hvRUn5}7Rd zDo{?opn46;bqe&TKqVmI8~Me?$v>UoHa);fEXe@FJNlCi6OQigOQ4yEI480*9Z@L2YA?EJauX4~Ge~dXuP<<5xWxDFT(w zYuM~rJN5d0vl_%ZKrjvThPw9O1T2K7*h;lU&?JcdS4UtTHf+4Irc*JzsS-RUA~&V; zpoK`7QQt5M-{|?ZHjSa&fZyYZwi4AALK$LC=7DeIQsn#pj0PKRIYGZ3dm?tsoLl)zW6Ce;@ z={ZfZ3DdqIo%!>_ST!PqAQ3LZ4A(<)VXStv8%y*|jr~V@$@m|ABqZAjW`Epg23R-o zpelwJo8u*Vo-tyMn(BPXJrCcn|l z*$(@HBuQT>$*gU)4fTcgc9%7;aA(5CLCB%_amKwBlK8$zrl$So845<5B}(PF#5EcO zg-s*L0uo>y*|fKiL1mH1p46Oyjf29!y-yv*kBRn5ml)8c++))An^K;BUT}wDe0mu8vJ{dNUS-3A_j_@P}Vu3LUX@+4A+-M-b^2y+f{l+n)%MO=csX72l@U!S&CG$PZDU`xOl8*U8 zt{|QR*{{tFd+arpQ|IjQ2O1YbO;hH94H$ymW-hruROf8!)zm{)`|#UJ{|D&0HXzhxXSLuoL)wB#I{kaP(a{n#T zpaQrl?6B+m{q$JJfBawj>HitP4W8+Ohw^C!5}MTiW2nsiDce(aEB}AO0KSGWfS-1F zigvz=Y$c-tJP(8$R6OBf(z9l%?>4-dI`s3XsVX>dUIHWKZIn=BH3&6;s+_ee99jWu z93B<=7JH05lJ>^*8;+mux;H_?)sQ#rUN7}#%%n;udOSNFm*AbCdl4zXK%PUJMONCp$b?N~Zl$Z5TNER^Uq>?)M6U{NG??D))JgGX>W3~SCqS&gZzv*In@|A(=6 zfouA{_x~$$*J|hN#VYDLTTi{Qwd=Zpm38yRMX^ALT(i?kX+>mJLyC~3Y5*??o8?kl zLUt@kN&@5*feaGzJ!kVW<@TEpGKBCwTfvkBk`;0(-q8*0lYL(ednRn!`=9tcr$ZmqgD)wMWqo7Xr#=bX$j671o=Mv!@AN3 zhrPomyd!?kNZ&PQi6?}cW8wN6HeMu~L<@^M^pt`@=RF}35*4p_817g=JRGW6{S#60 zYL&p*TzflN1ZC@+n$VF=PA^w@Igin4DDAhwxaQA^Evbs?6YhL@<0k^=4sUo?2X{1X z91~46?{8Y%1;n}s+Msl&6fy#nX!q$yNGmS66J>St7==1eXVn<|zyl5DKAj-)WXRv1 z-3~v1zOsD5Fxz)rf9stdZs%7bsAH4sGMW9JG2Q-ImPYXqa}ayO5VI${ajHnGBhCO6 zS*LY?jAYzvaZ;uiM4koQMw?Y3Vly-I#wZ?M=B$xMlt@W1{M^YBoRxN#TOgGZdbi@f zyrNXVX{AAlmKrE5OmbdN*X#9`}2~ zT3g4i{lJk{@imI`+)X9?b!;MG+RW4 z-xt!G1p`iV#d$!3#cj@Y6C|7tb$VItnI&aZftS~FlBl2Q9RAd*X|w%XB3I$Epo91} zf+AqcL6qvSC}v>ePQs%Q+(EeT8r6%$U+MO5Gk3u3&?ehB)CEr)l=ET_iH5L-r>gJj zYyUtAMqJq(F?Y1@Gn7u4FgiImCR<7iy`76fB_|T zaS`^lG*F1Pp+S+?b1PN+Re9q!uj2FPoWuA^Yi+oqWsQsVJiUyns;J=KTC+%)U=s* z7M#$fP7B0d;)7;FdN_N02Bj1I{O#f?prHh}a>WZ1&#N5;zX<>-9~OUh-l=j5NC^i6b>FEE3XQH;^{FVaOn-5(+lyz;8{gU2)) z&9=wZoa&ajYqFE0yv0w-m4Fo;RdQOHO>wl!p%IWQ6Q(8Q2>NgS+ffePBO za`(~z`*=*&(wzF;i<%8<&zau&dZQ`!iF3;XwmwU2$#tID6-Z!WeR<=i`jpkL6T8B? zdArLje?mUek+{LKW0`O|bG_#UO86(V3r_RG8PBdzaykXof~pXnGLbUmy@yls!i-90 z9Gd(C*D)Ak$Go@&mirsR@ro=BT2CLgKhXj;p$qkbr`pS<9+h%AWb@=7t{=bWocPZj zvi&q6o0_%b^7HJcRcl0#lNIG9wmty2$CW{z7Nh!5<8nUxL$`YQR7ZIi_@1l$J%2m- z3Gu;9+0EPQgqX9s*d4^V;}Hs9Pb4uAZnKy4H3{V$Lo)K_t#mXyFTM(d9WqPF_t}GI z2#iIX_BKubOzNvRkBfPTofZ9FrfR>yK5Q>T;~*#20WbH6I^?GI1AT0ga!qLa79zpo zg2fKy#@)BrX{ZV4y4hKl z$%7QQ3q)58-SjsytA)h_^Mv7CShi!-fjbAKg;cpAm zv(fQv>O}celL&47wEvFjnxS#EA}&Jtp-4UP3#9waXq3vF0YqHS2{1=3$YyiaGN0Nrq# zJ@S;%MHo$=u+j6CLCKEz^t!?XJr6e)4ke zNrqz{YAW!+lL(SX8SV8nX>};!Mk6g@_29u@h{`jf8DC6FfGL^j%A+UbIa&ghx9~&h zOwFC;=uW`emon}5D@)I)+qI8$- zn6(=lhm$-TA>lR-r`fUlQFkXU#_(v=+iZ_EhR7?-KGV(qkU?G!XOfNZ)l6KJFXA>B z#+Uw6Za#qt*^AH9e=T83K`IUShcDwV|I3^lj2^Z}P&976KSujGz@#sj#}*Bo3Z=H2B1Yu~f3JugZ@5Ni>B<}Z=J zf-Qreh`CsuwJ&CAmG{+x6x+9Mhp5@SE>ETh*m|k5&=Aerx+B#9LRi_L)zscLX?h4Z(3A z<3-7A0}9}w-b~f~JXU|ss#66rAL5ghI^Xaj>YYoSdN|)m%-+o6)9ir_SGwfXp)qP- zNp63j>7<%HvPp5`?%V8bh!S3>GR)t0b!6N%d4C~#sk=-WIJJnAIiRX1fKlkLiBdD6Vj%>AlCKuSB*i_ zDA?f9JJ~#e@1)K6UDx69t5TaUT)PJ|XR#_|!AakEf1z(-x*INC4~Y%kP47uJ^wOKE zP_qY1-8ogroM6Y@-H^h4R`L zz)r!0n=0F6y2-|4{|);CwA(l4JDG!az2)F3sTY+YvJHH~6WYQuvmgH~w|Trn0|V7* z%T^3nzQ0U^|KJUeBe@mM;GK8G3zen1?#2F!Q)Au`4fmj6Vl||`>^}B)M`p@4(8ca> ztt)1&cTCRlT6e5WydwN<=CRtImfC+SbbocTsJbi+D(&6v}Df{z$&L zmP+=0ee`dWU%a|$gUfn6CA%WaAFXW+8Mf47_{M9H)paYM6LZ9Do#Mk7zj5Erg(Sa2 z@U`^^gQ_!;HrzM}8r2De^!Wl+2wS5LfjR1v8(;atvovRQ&HNK}-ys%h;_eWl&-k+A zcH<9wE59eTG@x^lO0is@sPrd-gI7N=Z~UrItzN_UclsU|O0&-&*?}ruz?%)=aPy7( z1W`oUl+TJrmCvb^LAm~-8l>eAAK06Oq!_GBj(5CRT*RglzfGRLWk$t0EcsRBL_>!DR*#_7-B%XgKo_;$nsC& z)H?$AIi5f4{i^r8I13htlNl_JF;1yXl=1E$T;E6LWK$1W&S8h$2O)h_FJhy#b#3N? z@ryPB4$QBfx%2T^zbwL#7d>$i%?AU)eu8@wj;K@%F3j|WeUmQJ7jwj2^8P@mDXqV$ zCdP2<9WRaYqp)uKEW@jz5**z-dj4pgCC<%5-q&t2Nw$w)0wAHiT;JV|ipp#3FYLaK z@{`sYBu{kXvxQk>ud$Bu4u^#Gg!%aLcCkm|GP#m{8F*iU=9@Z7r*yDA^v4g)kjEpJ zoL`iETJukBM1~`KGmh*pzHP&xIs5dbJgDz|Y>G zfgHr36UD zi*i=?jlcTFy9d`BgH(m;=Dn^n%0O%Uy6Z#cGtUmsv z51~`!{5CkHF+jAFO>K>^Cb-AT<^7=swvo+@Q2coExALm;X~~kUO&#dB<9Ygn4VQ*$ z8}FFOb9ARF2tn5ao31~A#{r&bhA6qe>5={dvAYjF0JW+>mjs1souzOb_(IO0)5TqN z3FC1nBk7#?g|?OLx52Q^#;m~HoL48b=Hf>K@m~U?7i;#d;dJL&KU0DRo|&qs?d{nj zNoSd651tfbci-(sWzn3d&iZHdoCxEHtBu2sLDjsFJAYAW#pYa#zstN5?;5wbqOs&7Jr>u4g9V6Sg=s^dIVJMI`;|30c z?x@N;%YMV%bfJWK4=g`3-z(PQ?TZ;~Yk{#)#JE3Wq78tH+L)9Uy>TlEV0&ZWqH|X9 z;n&8!Qv>agi(B16-JK}kEQ^>WffvSI7sZmy1k_l`h|RIn8-l<{;yv=YZ5(d7o4zsm zCR_t{viko_q#~tDRJrgjJ4sBwm~W1)VVtvM-uq07gMM`Hlqikiy+|70mv>wgGtN`h zdkm__wT5PQxYhasn+;C|IP#Z4Kh8KtB>?KK4DnhZF9R(YB`@1&U1-IKCflfwM&ixV z)A3u|JpKb|7Ou){Rra+|> zBJXzn{cRj|obeB`8Amzs!BP2Ro*7!!eKszN=GuCd2G~cAPzRx`8t;hf*ra><@;JCR zkb-kTyBd?iNX;@S6t;DWZj8t?PrEN9O&H&rb=>SMkI5o)A-<6_*W`4p3v0E-ieDDVxsyE4`qw+?xf~mhVc&^^;$R&4_Y7b=r!mb8gp-QS7rUJ#2ICv z)|Ad3@Zypz<|yfXYM%y;F*#IhmlhVt)2tN@1q7KeCn)SJ*DWF;HcGgf$m#|=E%G++ z$3_||B1!*qLY`y4X)T=m#$e2i$C3Co^}mhBn`618-?ilt%YPEMzuqJRFyKLQ$5M1G$aIn7}KtsUskgdYNge|BD z2v*92#lbf)BgaI*k`c3Nchr(Z60j!o!TOcNs+-d*Uyt6lu=bpJ?ZfE}TMr|{^8A`p z!Y!*{Y(BhWnRLy%`t1wPX6S#ItX1YM-Vvq#5P*9`~v)G#@nvn`vmrL!fXz z@`gLGsCh&mmJSYtTRtiKS@(|ii>wH{K5ZoV=s?=|OZGpQuIo}_Kz;z$bLfYBbF-Y2R)TCLGjg6$Kn`>u=S*J+hB)`<(3Ae5f6}}0sli8 z?1t+fBk*w#JVKgn@f~HNpJF!JbR9H&CwW;LEF}W3_-3OGp4;WD)VaC7N;@k`f-y;&q1l zMQ%l=oKvuqvWoC-=lTigk!u0->fkuD&1Q1>WYs=w?LCb1K2iKvyrbrc>d9*c`gR`#~;kK$BeJi!DFvbtzPf9Nnz0j<-iYf)4m z_2!*5pz)Qf{)j|!W&*BmE(u7(u`D5|@m&u_J1?4!>j=pd9c#c1JzOf9`s-`+)w8G6 z^_1%@dK4v8QWRl&p~Q#33u=~Z;{vGoeIXMfG6Ql1ilmYsW;majOI z+2*QhIO@xrn|WqHnp;sSwP%A90gp1Q$K&FT#z384f|l^caS3tS9cn@Fg{m-ARWw)} zMmBbYbXDILF~pee;+~l`zOW<~K|AB`up*7qFG_T%t+Cdqf)ox6cw}*7eGQ6&gM0uU zHp@Sc%aGO7u-s-qMCT5kc@j5^l&Ly-RySEu+HIAicVstvK)lqrUAfc+;ApkYS$ZJu zPUv5^n_bmHA9K8j&1_b^g4$$hZfCi#+ex|v$FrG~1-&Xb68dlI7tffQ1m3~r1Fou4 zyWX=xDC%gJ0%5j)yH7qmYn~YYWh8HbNp|%PghmOWzKffHicO_>+WXBLS=4~3RdtM? z1|*zcgMCJVE0<2SUgB!N4sgw!K6RL7tZWtp2amrmh>4b>(#>2@r7$P4zn}}v2bBgc zJ!<@$+JxXBPmH!vv7PbOU5`N)Q0JJF=bRGXVUy4pCPvIrZ_tANIO;uqHiL<`y6)y? z9S0i~PXuSnK-YcXcIiT^ zbqv>6?&*|lC15w7$u|6X_Vo_7#e8rKV{2lYI+$;szO}bUwqY1feT5(9yEnczO6mqW zW%EK9HH_u!%_t2OFvLG&flxDoT5!_Z{yRqf|3Nlh^1Ru(7&VCfvGBYpAwT~-IvvFR zr(YtTmfF=gIsZA=&TpZVyMlJCA|iSG6|XIo$-dPWkzUgr@k^gURdGsn?*co+Y8z3eG+MBin6kwfM}TwNZ`I!W3UVCIMl}8%#y4bH_wEw_NR2A1`>xJcd$gGEz9@^BLRLGP6%~5I8P^<|l`V3vFz8O) zP;qCu^DGY3j626Qr16h)WRW}kn+9*buan`;wbu!=p>9aD)oo%ww0?C+Ixm0td%8#% zQl#cw2zcY~RJq?(-yHdJf~!DS^05}Fwd%Ip?}$8ONCWtZ6Man?wT=b;4C5oSrTE#LHQ>=nR)2YP9;ui^GP zjGnyoU}=7II*pK=p;<@!-C=}-5H}_t&Hb^s&5g{IG z?koD#HJV*gZ%CGTBby?5rm`wOda^^Dh5^^_C5qFyFkEHn1E~)R7w2&-XvmOD9pq1h zMExB#}{_ic2U=sNPrt+nq8nDQf%1u&!93YN4&HLp#67dq$qA@YrIz6SjdZ{&F zS-@t;zXxm%2x!{3Yt8bY$G#Id8nWufy|05>_A6d$G*~+7&Acwx$t)^|sDHgi7tg#o zRa-4~x&}M8oaKXpd(R}DqqlGUF?WT(u!E3_@t?_IG}ApPk;~7ZWN}s5DuBqFzL2kdbcBbk%FPX-s-Yiu{`54t1bRbmyc5G?19+X|n^2>gK zR=D;p*;0N{)o-8I$rKi0ktfu7pG}>TMJP>F{ikSCA2HU>+K&VK|Fx{K1NrWE=j5Ic zoxhEMSE!j~TIf3z`H1-uumdSsbrrt_E5x+_zGs$ADayd`C29Dy%K#t|?@p2UtN=OO zf*EzqHg@1l4eIW`$^MEANRt{Pgq@wGx`%`$9c?{!eZ!~Oq8{og>F3N-Cws1*x9NJB zXB;J#H2oHx3(by2ebLH!_t6qtNBPv^P4eBpmPhC$4wsrI{O6FZ#gNUpp1=G%p!Eg( z`}991>@Nd$EDH>{_E==_i`CGb%Bs~j8FwGX0N-RG&B%# zU3=?^p$#SkNy5MjgRFrEtH9a1)2&)2`b7V=KtYM=Hz61Q=2z{zyEFHgUhWHIBRqU{ zP-{ODCTVe-wD3QFGTDoPCd>+8RG`L*)&0!*_jLQLE^iQ)$VroQEW`ILmts9*=$IAlWV?oLvjao za274J!Ir7Mxnc}hEQ`l}368Frc|~+aXQ>$OD|lIba`$Hn;$^hpa`i3?e!TSr5{&W8 zflN_hOV2ipA2{P+a|$zCP?y-^e*}Am8aCN@G!2G!wBj~cL&M{w_k@=KW(*fmN{$l! zpCkMJz{LbiI;?c-nD{1;fx^r+EPt!3buERBe~aG$<6nuWfDl(b%cew}p7@WE_CGl& zZ1*hOb}!@3yB-7g$i*?8g^30C_gi<);KSh|#6Jh-J>_w$|6 zRYVDUM}dsSDKxVjKvzfb94i_@Owo0SO=?A8C=1goXF<7Yj^RT;6qp#YJ!e4Ty}aOa zehYwmz zUKmrr@PT!fkkrnsgFPl5f=dRRD!1PqVJBwZ)#{$6Q=isaZ_~C)>|T$rqw^{>gymog zFT19}39y6@PVFEofbs3S3>7fN*JoD9$ zUKu1`>#4runKnra*c9rCs#0=H9ir3B6S4)_W=HdYCY7IeYf@J+GAE3sGs$D4)q4q7 z^TcJ5xr<3F>06c6QTltovAQrrRM#J_Fc@~QnY!97Qlh3|j$B~VCX_2q(fLevn%qKm+C zF@v09(&if0&5;ci7Z(TUqhH^Z&Rty2qbgSuOk0aUj))8_*Fx;v9e#r8^zZE;u%d{Jq2zPrzz^b{MnEud&UB)x#j zZ0WuAdaIQ;R(7xn5WKqDH!PX~>cN2P)`=Fw8anMM!V*7h&6XXUUIcJ+6t$!E zMVnlfr&Edk71nMDQpw=56N8#NA2)`ZyN^ek&W~FEI5nZaoXE4$Y0XTUC)M&XoR%C2 zOVq{+sH3EO;DN`Wsb{fBQY_AmUp-|lppQo5i06J`|NjB^PrHly+`2$kz=^=5AG0Lr zHG@>?MECB}n|&*X#4ckp!DgvLpr)>{0BDds*?Cmy8##4SNU(LPCWd27$r>|EwlqhQjqL!-xSXZm4l((WoU62kA7pBmjqn4UlBVvTszTyh|3$3~ z&=%8)R1E_08_E{URmJqM7x*Go;PDsPWL$>m1RYp=+kQhQKfU{%$`yb$mYD78l^Jy2 zoeGUf?P(=;NIbrkzL#;diY%P}A^G~PW8AJ6a%=KSY&<*VUTG)$0Rw&k?WQEJ0!g6b zZt#6;dqu@HXPtcSnsxNClh1S>6(;eVj{hkYVzT22Cm_AnK z01UeX1)MGUt#N`n_iC4OSN_cY=FKjS{7kbj_YA5ciHXZP=SwUvO&zXn-Q5_LrNG&_ zQ-8%$N2j_HjJ=kr_A5$FbdT(qItZ(c;1V$^-Adf=$(aPLL|};n{wYkhP>J`SBs+yC%#(}I3RT!bs?qJgj8h_YzQ}!i_Ta{r>5&Pz- z?0Zf3sYKG!VO%S3ajzDRGU-j?&MSgC<;v+fI_)=4`OdUosna>=#xOIVJFeoHM9(L_ zCkSvzWScuQR7>2jXOvE#o~ihWFss(P+A&9^CFM5KNEKb_75lO)PA?`UQXaG))Xh{l ztVQ^dXm(oC+t|$d2bV{FS|^P}B$%+qxVDM=!+IJ%^0ZYwGo@Ikl=SwmLd*J5wchq8 zAweZ}u+dUfvha(^{J%Wg7}@0=NjSwrM_XRmW>(@^)xC&vE}QT1E(a0n})aQ`C2rk^IWbzBV8d*Nq6T z`&Mau#QDUr;TIMLWCx>Ie(Hm9qb?4jSYz0f0anCg##jP?>p5W&%SXXNs<{YMqVcNo ztTcT6saXpsM@i=;fu1<`%68c% zw5Nx+78`DTJOf6w6VKWDL9>xCalwx1N-36PQwYp=1Z|vpv22D+K03 z)A{Z6xJ=%DS=zcihCQmcUR4tlbXuNVt+8~2LO#gPpwe_4f?XOjhY#*}Va3}@DLX8O ze%80r@4t!1G=0R%MEQ)nwD zdfcPI70!7C&lKJ#)TV8x8=gY~^ zybBcf%+5!D>Lx2MO0#Y3>56seRIYzFJjO3>{(?nwAI?q0>#-h7%S3 zZ*`(+==o1=_@IOTP;~>`(jM4d9~a7@6q$cAkuBS78XG=@8J$kUOFAqPNb296*5Hji zO(hOgU1ZH{fuhq%-_CpB<09IY(YYG;;|tLSzyro<$el zg)GO3&dX-}oaI{5c%jvt#=e1iE@fB}#PE!Jmcz-O1>(XzD#2VgIUsJ;0w$X8&Nd{g z!_4_0H%*Kj+9e`t|E*)2Llu4|%lq+~nTm_2`Fh!3dd#W-fP0P@<0j;tm!|CV{j_U7YT0OwWaEV8^*R#5Mj}hvT8?g58|WCOWvmh@g12Y^Lw-lI@%9jq^Qo+*5^3-v7hE7J*9z*TP*s23F`vi@Cv3O9=5yXn!ziMxvp z6DO}V$5|kewO`5OW%*$#w9Fp%q3e`OZF(oJs9&wT3>iG#Y%m!c>OPbW)eKY@EmEQp z^C7k7Hl4Zl(HF)3z7=*!)q|>rE>=UTHLc=**57%cH*mJMnPnTe5efg}_Ilg!Yxdi! zLrB1uj$Z!#z__FT$XYL>F=qwfcR0VTJS3$4?MXI#Q2{Ns((!B2~;Ro?smO{%${{+Ox@ZNrt)hL>As)S zz2WzH^xE@s5G}^=-*Qk@xw*4evglZ}9xd&07{*n1AfoWQXMO?&sIFUOBGZ z)LId9Se8UiAFFbu@Yh(rzu9JZ=E2kL$2XdcX&Gv+jGs7M=FThmL z^l{RTM?(oLcp9oF9PTH(3$D)vw>)z8gLwTMn-lRNfYs$h=M_U_EZ7#;n}03eU^2bj zxGIb8P6^JYlaE`IR9^Mt!|IjnB{~_CQwEjbQKp2t3gk|+`#W7)L1U2RlZNS+q3I(Y zc643+I+M5h9xdutFMEnjTmMCSf9LP)!3-L6aytem|^wIE_t!7@JZ-GsHNF5pyUg!RlirF!=yZ{R+ zB@PhwSFzZH!8z6Q9^c;@+vO7DAUD2mdh2h%Q_m|`6q45!_4n)UkI~neT&le&C1>CX zgW(vRb5|D6W_$eYSG%-iN9Cy+_3EbXS~kahMmJRbJ-O;TT6RWp{iy7@g#q81Q*80A zi=*!E6lOiuQ;zNmI=^o%Zt2QZJ_A+xe8F5#Q#4==1y zuc*=PX0y`j&MNJA&Y}=zdLBPJFbnzb4(cxn^Kr?GnTlk`fc7A6`d0C>iq#t(ZH+5V ziCl`0N-+k0Es7Fp~A9+uMFs3k0}f8CDO zGLNF+y0C3z`mjsqsA+vjA1y3w-|8Pgd!bcvKkjbJ!PC&1Rv3^zdDH#gbhBrbm6tmF zoVWUqBE6z+Q?%@Rq6X_#r_|q4$+ZdY?7Si*CI^M4(@a{?tqrJr>1&oEw~vtQSy)}h zysKRVM#5U}`{J`ism&uUGF{ke=)X=E$ZReSEE_)6$!?k}HuY(vKBqIEv>Z;%vVEL< zAm%shRC~p&bHcO6Y@W^>vGlFroK9px%J zi2Eu_rFnF`0A?G%>@RhAt~p%TV2%u~)a*gYNe=?RcHGP@6E4DF;(A{?hqpvWwqGIH zF*052ZVXs@s=x-C@e{L7+xH_{Xio{>GYS}8`ZVP_i6?2@i)xdYPP6Ia>ToMcKPk2I z1N^iqjLynuGmFq)#uq$l4A?wshf3#n-)yy~^8Ap$sCZ=Q!^P7kU#WV}ME%pIo2qcD$An$h ztwB+4^$S>)D8s#?1;tCcIa4p`v1Ai6)Dk{~`QRutYeedMaVX8b+E2VSrwr$B)6Z!7KHy``?bt5j zkM+0o7}8mR#29OsZ;d-;_7msO8f30(T;W$s#TqSIz4^cBhCw1$tKcUcalcxpSM z_e871&Ad<8?3s~KQBBQ@qD9#A()_pbyLX`ll$OwGU0$??^0M*MKaJaP{`i^MmeS4G zK`X=6rjtc%^7tEseXQe(SA@;Z40$q9QohOVgGJGog?EhhrdoTLpMy>qFN#b&HQk@| z%Z8)LwB~s5l_x5reAw-!oi(Tyhw0A7&;kfLTonv4-uLBLW4LnBOQoel(docPK25|_ zT~z8$^3*P-r`PYN6m@@g|0;@5{N@Z%d6DjpXOp={B3|A|`eT0Y$$H+>NMoOYC$k?O1sr!S#=eHbEF3wGyEJ(XL+rj7?!v|PY zrEZdZ*ZqAZRlhZ#dX=w9;sps5JA9orukE5;$}pwlY#N)%z9u(T{)A+6n2ha^UtG3b zb*6t3z5I_o7V-d-kZVb3TK4Sn&09Dp)3P-e0i6j5{=1p!+e6PHhMwgZdT#8aR>la1 zuyt8ny?fJU+Z*4tKE1Z@(AR6F%C)81!`q&A*l*wlABH@@*n&iCcVCDWiCL|B* zwZGkf;z9pSe{3QT30rWI|hg zgmXA$g*68@=;I>Uq@}en#2&+K*3At~3CAL7#BoytO^NI3D~F2LPfE4c;RMm6ipyX) z28$qcR+BvC3P0oVBrlZOm{WgOgSN0s6?s#h)h6QQ`Y>&OP&W#@(we6=r!aKDl~h3; z)emkRvK*uv)L|y`OwPyTU@oBP>`|PH(NuFZQ6O8j!&v%4% z)pB(tIk+Rp)of5*yP}}v&~v{rh`pKb$ErjqcKGLDxKYM_d*GkC^Dm6 z(g`>~a)bNSK;sWjj2`DMnxYw+H-A=5QOGA*W*P@hO4W420Vl zt0}MP*spsrpDBK$lkj*eM7`;+_kAypXCf=H8VU0W(b+pCtbWEeuu|zd#ilKcKdHU% zTkZ42F5;B%bEEaocC{Yzt{^j@bX4es6O9i{^WN2S)~SvwN@tsA6;Jwb z?XT}VMP;}jXQCN*V^~x{^}paCOW%5$O3Cf4m`0~X+M`G3$Xh4pMTrO@hT4%oFn2C+eknzXjot?31|FLq!Q;7#g&sn~rd5BJ6jwT3jE zi&wGn5`WD-N{mRx?ptDc`9UFqLSX{SSo5$~9hO9PkS8M&y{AB`F&IuTM|0Yr=(_1y zWwAmNpRZr{K-lG5scB=6c7QiC-yDZJ1hm<8J26}M6aSdn?2%1&(9!r2=H_??JZ1fzFq(9 zHh7ye2W8LQm(y?b*iS}l+Oo$#n(kyX9{BZ@=nPwX2K7F~8P!H#e)pQ>GtW>TOeq zfQ8QsYS_$P8yz{STEU=6G28hnd)7hhC=oB#Z1;;dDm;_itHd=#>0LJca4nN$=$*V`wETM==rc^Z+NWIU z7WmP`yjx$v*K;#%7$tC`3Es^_M)@6ST>Xdx#y&nCJp^xDeCEyJPyx zS-JSE`0n&1gQODT!nh%3ZHkX>&)te)Dhg(|4^D0uVKvkWxeTNH^ce_yxjh$%d5d#| zHdU3guQEp$YInO3xjo}qXo#6@mK}gYxPPU(8zYc+M7Bp~+HJfChZ^r*U70JVViX>_ z#_)K7tFcVcKe3Q##rz zE|Mg)!k3hxW&|3JIWyG@IU^3WQ(tj$5D-2{lP;iP3r(>Lz8Qm0Uj0WYQ+$O@RnGC7 zWt&R$BXKBfFjQOwqJf!`?Ga4grgITdm-WP;{Ub~{06En6UN4m(%$lfq!Oj)y7Rol- zdu#t#fc@uX*4Tg4O(>TyXznv_BsUO+i!Cj&$pU<~0Pmn@%O}x*tqeOZpbP8mUGsPU zi#JmD0x){2V6pW&n{*Fn;%h zI!N2vKl>q9cVY+LiJw~eY75)e7xu7&_~11{e)F^oOMS+HfN3Ed@rQcZeNO^QWo5V%*^{$0j>!in)qrkhvGkBjQqlvkzY5gtDi ztHn1B_oxNP87;AYs`AHH=42j~oP~60>mIVc&volWd*;5al$`ka@BUY%WT~L7F*qP_!;>L9p_J@gQcBRz7DK@S z7+8-C_^RFFmHXst&oh+>oWyN-p0^~3d_VVK#P{FdaF?9k5y*`Qfx;ghuq-|$y|%9Y z7podK)bAcSyx~9be+KVr*>Ncnt1Iu0W&G5YW_4tc){+`R=bhQ=NevKaRAGM4VT!*G zU?VWM3?t*^WYb`PZZDw0&mV8vk`YNOmx)f1mJNeQND@E@ppAMy&)w~DU#Y?a}fEfS37!j`=f#2L0o+zzcILl ziHZh`$@E8|35LT|bud0>6cGAg?M329=S}a}imG3+XaU&*AT$tBnRT@hl1H+Qvjd$M z!A0u}#fBcCY6~)&NzK;J`M%ciQUX~2p87#y)L45tBKE=7*87dmo#a5$+T)2#N*V{; z&VW@egH1NjmO20?I7I)hMD`7dzD$#cv>o>s8FPmgN=0qgX8JbAp?3wgxv$vdr>Kv| ziU;^EBENMXi@?ZDG;r8tQ{rwz$}4*nD5P2BQsOD14mQQsGjZJKy85FYaD|h+*<5sz zrOGCu&OrTVQaq`~bB3Fhr7=7-r92tQ-c74`rS2O#oIsC=5p{65t}jGSfFB+2RR<%i z?QSWkc+Y_k9YwSNiPNbK7KC5@MVia}2 z6KN5G*)D(@bz}w@Iy+e`(SZgaC?w9K+Cn@vep!i-3cy-EaQ5fS)OI$*dP(m9ziO&t zMBdt4d&ik2&TcR8i)(M2(H)~+gx4z7xKAvw-j6 zpr03^%jXTZ(Ey9zlwVJXuW^_MQI{?8D~Zk)q~c=6`{-=Q2x zn{Kh z@iJ-6y6byCJhwjKKvZpwxZ=WruX$nW`gcukoZ^ka-L4yWK#eHFc*Oav;WI3(&@2&i zY1HUXjW9l^MO6gPlEkI_0N-^UpGO=pVu_sG7-)wUmAv8gxS6uChGVA%Dqo063E>+hrS;j>$^IJ#1okn8%uVjiT8B zZ|D#%T}LSA@5bwvYK}mFw$v@A+-=^QB+9S5)e^IwO?o!wZdrDD=|T_ALmsqiE(JXX zFc{IXdo#idnpXJZjWd@WC(!;P_XaLJL>*f7Z9?n#8h*HG>Th912-%sobKK5GiI9Pt zdwW(5oAEiD@}co>ifB+CfX!_TX7JYJO}g_OZdIV?6Rxi=gl3s`d(&9OIa<`<3uhT> zF?rP6v|w+h{*y+)tw%dgrxY&S$(_QJCiTyAxw_GQx@A9%5 zD$Xw<&^=NF)$Zv_Q^jd)@+mr&c5$y(G^)J#0Q^45Jk#j_AoadWmgXAh`$ZmERDHWk zJ42^rF2RlwbQ!Xk!Gh#4ryBMbWW{EdMzIj>geI!oq%c;z0vIwsgPZI-uD#(!Wf22EFS_8Y zE_aiI^X{-H*xz|hptMs9nH2x4)M0Y8;uV+6tN8HFQ+Nf=(D^croSjumvNNpoG=gWl3-7*(XJsnS$0)Ahk$1|* z9B6VJTZ}W^^+jP?mqm0S2NNt3};0j$vBZLm&rxfH$mNyY&Omcbwd$61I2BzSM?^WD~ zUv1_c6z24W5IoigihL(hYX+m1)Hf^ zcli;WtQ$!QMoVC+&1JGy}ci#J3lYo_`F|pTXuF9I)lF?>`n1yF3W<^iSe~pAGu{zy4$47{tNokRyD|`|UBh z;^mM2*D?AZFuE;3EIqchBV=1(VibCg69cc=eWC$D~j(l+BAerCR*w&3RX}tbCKaO z*5P<^RCf@AMN(sXh3kYW#A5YV$?Olo%MgO|wQ;?1#h59$X!5ttl7SFU4^dLSu4nFf zbJe8|H1AxW=l5k1P4}yB50W_RG~JyV*%uS$1z$&5p~y9e?*J33 zl-xr|6x0!usD}e#%n6WKF_x{T5obV+RO52|&4D_7mfZC@xnr&vxN6s*F|ax)&x7%u zte~K5Ba4WGCMJ6FI~g1V@+3GqCOX+`lv)N`^*H3qx<8wVtts0ul{ru^f*E1HJKbtb0S=Bn?{>*f9{Nj*hBOxuO zlH}Au;Eu<^o}js0$y|NifZV;@bkiNyE8-Fkgj(g{MsKCYh0%;kCNxy#kCJ(KN^i$w znXRchIqSRi_8j>+!arlP6?)dm(S&$3xU_iLdI>5Cf7+!vx(ks^p73){AE09oX0-(xpJ$*$DgY8)SCvtYdZ{B+I%0QYZ0#I$em?B?n@WOXPUn z%sVi*;MvPK@zVd5N~wv?(3kp(0U_sxel8Vata>F;O7x)~FdB_$7Ty?@GTr9pm#9%J z@sN;aG}Il~ee0d9I^!L1n~;IDS`_s~R_8-?aQ7LcvkX0*mQ$j8>=F6rjMZO%bpg{X zYqi#)Yq3q%7zw(p!amV#lw?o`4W|(ULZqKCR=tywtqSgPv~>Qcu%R#5>6+^(8(^n& zm!8z3eqdpMU*iI#7qvomUuT)rWL!?3r);V@4-BcDOV*6We8Ip8VXXxY~j2r0I8-voWe*kz!)ZimU8HR**)%yE7gM z7S~{ik4a#8PBwwq?FlyvT<$apJM+vunGeVgnGo;uca{-^7Zp4lc#@4xmlFCi*3kX& z-;>)6~N zS(RH-O<#V^3v_Q``MLy(TMr7{ZK!XxuNRMemG%x7$PC?XZ`{L|7upQ z3e~m>)xrN4XKx?Y)Sc%47v&k$wqqYu(9ZP1sZZ>-GwoDtot@T4P%IE4LddpLN)?q| zHDnM%S`7r1haCk{TQIwA9##U$R)H*#vFR*Lm{pxnYikaP7AZz)4IuRodE*drhEmvF42JNUn|0C$fOMo%;UowXAFgUBO|3s6 z3;@pm1z1K7)8`bwXgGQb4uS9!b}SrRlmA?Swjo)h3Hv^h?keSJ$`2-UXgOFhBB%RQ z-yK6~4-B;bo(nGNL2wCH^SHjsese~@NMd{4=#8e?#BaD1zs{<}jmCSD0#&)I-yfGD zzO0GwzRvR0p@Yf8{y1I%n{$Fmr_`B|Jx9pi)NWo_U{)2C3tMg0SF4qKHhDfJ+N)+g zn_v~?g77NW(K^n~FmWEj_UJ&gg@Ax+_8x1ys|m9guxWD@Yl}UaD1+tNN6NQN9#3+z zI!Y??F#P8?HvPEyL7%w?c}jdPh`H5>Yz)u`wC=4ap{5uGQb^}SpyL3aL`hc#c-wFN z8hE~|mLx2^ibMc6&V+a(P?S7<%8MKfCfQY6>E)ZJe=_X9nnr)jrstCXj?EDktc*Op z`?pYp#FDGK1~_UsDFUo|V^8PHw9vt39_R3FBs%%FU=X|PCG9fk&I3{QStea8uB}4B z5g4HI%~O0pU7u`x)Yw)%e^)W^y3NATPO0p=D}%Cxyn@<_2FB%|du398ykUA02TsR0 zE6i_iR&Q|GUkQmJ7*WjLERs7(2;u}KAsTK-0uJJXf>0)sziWTa=am|&28n-_mMoHg zhogt2yvB(k${$@!c{o6b?8g%e?2p@!>Q~_xWD(`J**$j_wGSexP}z{j?LNycY#}du zqgWIK(-R{<&alWs*r|r*I}p`lDNXaoN;u{^Ln`Zw#>_ir9kmrY5x+Q;*`3MmsFAt5kXDocb$D_ZFN0cclbb#kaV@ zrUTPd-|zf98SJ8r^w2LQ3(EX9JjD^id^(#bHH9*Y3sk2hmXqoT>?U)ljuc7Bgd9BA z9(7~}351e|wkU^$95y3I-auP$<>FSvC9tbp8su8s%47JhQoK>%d(X(%mgPIDCWBjC zo$^s>Ga)f^+q&6}y|CMn@4X{WFq8*p-V2FM*cwgApSU;cnzvV_k$jHdI>YS6en!g| zf9PeDY_3VZ){68dsauB(tp1##gB`?pC_^d$YEPfUvKgZ6;d-UpxEMI1iBxz_Y*@3i$8!8n@yC-H)No9Saoi8^v&`iPLt@RO-Xo$|+o8Zc0(SUb*k`l2m{ zx%!u|3lZh)p=N{*;{G=A0*2v8AT)Yf&Fk+;H?w4bVwQ%KAaiJY47?$X}^e=+`h(^6Mz=Xsw_8`bj+G>JyaG=T1Z z0o$N4!YNqdNNut+nsXhmCl5DmYaWWwanSEo%?Zw#9|f?AoGZ;&E!7)-{fqY> z;^BW0Kf#B=0jn4O#|0ts>4%`SKeu#wC=C^dK z{m=g;AaPM>k6iMz@C0^W)C0;(X^C{N*-KNOEwsU$>R-{c={A;0f zsbu?n^S)61ozcuVsjo#FZTS&Cq^@%>RDWu(sj{X~nq|lE|8=6N5b;Rrb!z6IRIG{@ zsJ)MNAE8uHY@(m9jRI@r(24u{nS>2IB@2*dMkib9b&XWrRfbN}Chx1_<;k8!&$akey#x3*ZS0v8nK`G~suzz+~Przq=Z6`l~d?ldrb5Xso_*~46lbH+RD@7l^;6Q9w|H5F8seq)dP&8&sN zs96tiQ+c6`t;wU47zgaTE(fNuT`s`11KH$0jI=XK78k;6D->X*9b_^(02S*8C%Roe z*%CNaDUhgEk{V*iugp(ubyyMfEQ_?0fvV*2mSg~XitGZwu|GAKVWuSO!XiRpvkz(ZUHf`y}LPNEDM1T z!x;$oRjyt5o_VKPdKCu8bibvYBfFfmK@M@;Ea20PrWxf$UN)p`MQ^j zOm5ynZKeCPr*;s?du>ZU&<$8CN3b<;ZSOts9-SI+$Zcq>uOkNpTg?h)`sD9I{!Z&O ziFXt743liY%#C0V-sZZzb~y(cSvnygzyb13l)}^zK8;c<4jL;0!EE%^o=#O{pOlZ} zPV`7wHmK7am3{$tGMX-3ETe!C(HWBtj*6>}G~(RjIcBS?y0;h{Rj05XTTh+{?GY%N^A!wkWljuC zuraxmBRz@r!SVWC=ys#p0Nq6*h{$~uK~+j+!_OD%CwSArEu(JS70^g+knk_Fd7&%f zydpypA3WuAlmv4;Q0q5Rn%aMGQ=RfHJh78F^DV=>>za@y=lJ5;V@%fbutc$D(>IEq z&d>~49O~$+7O9ZUVj!BBh+n5sIklDQ?hwmUvA+zM{jq%7Q>|=(5GJ(>oyvwAA>Q7C z&I(;{wnLuBt+~tBjd-xU&$J9%e?pYyjqYwajae^sWV37dpbe?U(LGyGN-GnTx^2cv zn)b__9*8ii$P;2Svf#XUvTG{H1wHWad6kL!xqx5_&PvHS+Q2qt@TyV4|tN{R?pkiLQI1Bf~!dacMh8T-yG4 ztafG6{wK=dlmvp~G3obw=co52PCdPE`F-I^NXbcI`o>k4)>Nw!F8%Cv&(~-+ecR3n zbXk$C?bMPMeppL;^>1arw0sIcG&hU%q39e4TYKvrBU#xkYzpyxU$VQ_RJR-v*PQWX zV6XV&`de zd)fP-Mm}vrPxw8s!xuBXwXWl@^=q9Zu$|Iix3#SEF54)3qZ<{pETKzp>6J)&tHq#^ zGPt@uzfRqMvp{kgn9<-dWCi3*6C>Uj5-y)xKD9kZ8{Umc%9!l0dag6OdD1H#GGNgz zHh#VUuoElBaU@huX1rN zu|OZ2IX)ZO*}Y|>>6VS7pl~wp>)edCh;9z0GPK;e7(r^D*3A>|UusMcFmUsT0TW)# zyt{u}1UDnbn_?kIZHqzD?7zFp*@`zZtY6}Esl3JOlqDA1^xnuIu&FV3p%bOwwo6Y_ z5JEhy6*~^uogDlR)*AL$C>@b~NN(rBCw7fV5lHi@-*%X9?ae`hAIeB?0L+UWuat`+ z^e!;4h>VDkFu0yoc4RH4Q_2!Dgn1;QBJ*(kb-mi#C2mVvZXq#=_Suv~`F1vxVIN~t zx`pa6@hmDQFsPEt{x~FI;b|i9>IgH04GyI1RK+`I zWr-t-4(PlrCy$ukP`}86r{jC5O)(>#X1bJL#cG8v=8qao;RP=2+VtS(Pkg7sw;? zj@0AqEV15z<3FPz^t%p^X=Jy9#V&EAwq+dATpnLGUtgZoE+@O#?AF@RVsVKos*7eb zc#ir4+uIKJ?Vm)qA*9t;p4TmhO#u z?k|gz+#K^5)Sj>(w$l^K|6lEZ7+_At?T-JI9=K^PB|y5E5`Z>Z{nI7Su*7KrHnP9> zqg)ECDbBlliXj*CU}XDT-sR7-gvTo1lRDu5e|I%iKsk=6T^vL`?XPf;Z~={DhmSw-1H?# zig7=|W+i;}t+YNT8!q|Argw=KscGkU_Gdr1AA@N#!{E-GA^dd@y?NuW73Vd6g z1JS2w@EGuRk9AfAq>z`Hl&&Pw1b(zCD%cJ0kktn5DcBPaM~IU8x^8I)fX)59A~xgO z%0#NDrn1d&nj=Bc_#U7(9LU%IT1)w(PypFCjW^%L_FtsgCBE}l7JA9AteV06DFhHqg#7q4WVY)4!WB&XCVjbx>3IPVg)@#XkN#un5*5JkdS!e zuuHm;JV#R>tCzYgZFo$XJs$V9_R5Ku2uUIG!K*7Ii&-!N*sght_74n)(Cp0%VSH^t ze@xSO1-^Q+(sC@=yK$nUb*J5pcYALreX6yl0%_1$xP)N$E2m^6w~g40u?5mjXTeOB zP&Yq%IrO{n=DJFC;6&?s43XVQ_$jQ5(MpD)SLo8(pBZ3{O?%(D$G~Q6K z{q=qQr4tXS5wVfNpJex?hxSM=d&7x-Li*LjC+i@7Nyoe`MS{#QTNa15PD&!mxl!TE zq21&dpxE2ju^CUeWXJ1?e-)OyT5IeJvPR2w`Hs^9-ooglM@Rdj`80Tjx9gtNsU~Fl ze)G*73?H)~z3=zo=E7rw;}0|mi*eYa@RAmevDtLyEF7Fr=0lmKNtVxx*gR7x6FuVo zsdARoo5~N$-1T%z;If z7Em$9U;rE7S^A|0s#BIr;`&}FPZW-M8X~?KDN?=*bUx9x(f07I&+|bY@I&<%w5GW{*%pa8Yi7YnZy=AqjLoSXMmRbZIk2U|cWFp5mVKP|eqSCcTQ#9#BZ+eTziftL(-CHhUtKZMinOd#k7c zeF5ZMY^B=z>PO3jeW{>RZYEiJe z9H~BzC7WXdPk4}Hu;4b_a{ABmI*X#4fug(QFIZFr%5r(Kk1UCQXz7pG!(66p`r;f@ z7oPewtbe@l(}75(7Gm(JYkxp8GJ8u0z8ENgXL2^D^isQ%qIEs4WnFo|6Ls=g!f@`Hb4d@xq*@@gVC z&&P|@D_BqM*GPWO6kXOvP8XdU>k4KhTupeUg!AWJ;UBe0S$BX()x)iC-x@}{C4&F ze=}w;yxJ+}E7^?QYu@J>KyEnB6q_9@?$1j@O=B?)?7 zzioG#XjWO@JX3w;Znl1xn&Ak%0v_6$FCGuglq!3NzI*qr`?~7KlINVAy(4X=mFZZo zT;HQ>!W)`N44KlT(t?gEqs2g19xE1%5}!|Tc6FN_(NybQeGh-W!0UhHNGM_W(L2Xf zh0Pwo;!j9}gw$!StsG^kEKO85$?((NpK1U?)ll|Vo{{Dk%a^f97%71 zKrvAz>?ZoPkv$>)IPt!T;oZqmKISS9KDf<82~f8VT`dz78+Lg-ui+dL@i_+eY&%^x z>5EbJ^bzkrX#R|CX(Pn2P2*4u_M6@=mE_BJ@GLXRdd~S`>SRe9_=-2=33+r@{S5{n zxX59NVy;1Qv_6G9%cr^7A?2RQPagI~(*@+=8_LgE(SusKYqa-WLUwU78B^amk_+by zly4*tGs^m;;Z7Nh_UWFNYGoo~qKam)^st!KF!0Y=>@f(k7~FF$)1GME|m?<5!0m#qT{v)1%^ohMPiW116{#u1LYOo)asvV&*Y^ zl(xY^{_fdA{Z3La>Nw{CvMqDI*ewhiM|Y=L(Ldd(I|prgkBdvrJmCXJ{D31hiJhIi zE$QyFlox`{K1&$2a~lcA7;kzj+Y&!n4fqhQQvDR_!hr#1HyEAM3{!D?e`>_v-nqT_u$}%^%E`#>Dd6iO?{O^g%(bvvNrnnKPpBH&4 z$G7dg6FxYdRET;IB;EANGLn533#P=>4jBTO6aYQfdrNyRW3z8GGA?CbKa3Qmp0zpJh6 zO2Y7!H}$ilgGg2~@WQ8U?_9hBK<4mF>;ci?t8rXb?;+;44XbaC(iNhO>3Jq5kWWn-l$pAE%)F# zva(s^;Z4XS?37J%7A=I^xy#@Q5TiHK8-@|ud4)P0@<-E%1?6qDv><*!p?4bxqtf{V zyZ0z{q@>#F*{Ny z#M5abb4jSt4vRU7Ehfb15!)*TN@y7dkV44|-0&?TByJ|kIoc?1VL&^Z3~L(V=-?QHd8H(?@f4c!JYJbcfnwy_dBaZ&&P^H*1Z$Fno-v${nbF1&; zT3Po{;P7{xx%o6^a(T!iN3xOF&ynuYNy*k3h*|qN0SQOatUj3wDfyV)?KYZkcnK(Y zix!TW3bRLti-QgS(S0yZh~c^ECL5mZp}JIR$T2o@kkK zoXgDlfgfxZO88IbE*nkZ0hO zo|h-46NW}H^o9=kJkN&N!r~m#QN>3=eRJM1BXs4~R@gn>NZ^vRkx7CD$JRhw?|a-J zCqnBQA`hQOJa%hStz>fcaDxHz1V2Ehk)b`57!fFHg9rY&azflq>Kn@`tQQ6+4o}fL zr9xi}Nsax^a6`Z2t~6WT&|#s7vTs(^94%jfPLxCp@G0)ZZaa>kPeomoF3`R$rKWNL ziDadC=mCXuV?$@&?@0AnW{j0Q^jZCO4ST9+DB9-^UjK6C`O%Q=YI)H5wVx#LV?z_- zIsMTF{WaXQ;7&&b*onE)n*~UAn~>uYf*W2SFi%JuJ%1z6wP3+)aZAb7x@Ml?`fuD~ z1vUhPFNT*pzF?`=>v!R-<3G{|V*}DECgy3wd?nSn zFAe=8n~F`vRr7Htz00jybsW+KvddP>t)0p@$@*LKWS2kA63-r7Q&(+StK47qBv0TT zh=-3jCYObwuAf|WvHc_Ue0wF0j#bNCgcCOBTW6SkoV*YSO2Crmu0Tx7Jg)EFLM-FO z*hxfuR3wUvYAf3;2pf;~98+vVnh#-MC)D9q5lW$rPGv`1cXb~@1Q!)ItKWj_s7RR1 zW~6x!BeV+EBcc>`*RJcs|9qD!RYjt3 zU46xHy}E*0Oc!vo*NO6*PxKy1ztWp;7{gVQHf>E87>X9|z=GkJ2+UvU{E$BP9L3?;T;q*qxiUbPoJtzSLf2=q@b6%NN=) z)y@4Ss*+86@+ zakzNLI*I8dHh4TuwVvcs^K&A&YOgbycT45<(?(ykNZ2eJvv>NVLgc-K3(Qm@Sl4OS z2+>GU^)mZnCKwLmvpSaNyIgzTO|}=2rLu;rNx_O8cZ7=G-*$%f=I|8q9o7Xssu#9u zX7`h&b}+}?{d5Kir-cPd!_R?h!1gf*x2lIiZ(Cd;S!lRHF#XuxqBA;5@3K2+Jvx5Q zDdFP>u@31!=DX4<>*+_njXYs;Be#u6^GC_!$Y_Z2i{ao2ss27?QsehowqO~ZnfRi6 z3k*6Z1>+M{U2a^`y1mk4bh>A*Q&z_JD}Os9Pp}#=(5dwR+i~0jQW<^hO#EX-^&YWa zwan78jpcj1ISWG_=fvz7A-!F>ZkWeS&5{cz&cl(Zg*ev-t0LBml1fLvhQPizRGBDn zw#%)HI!O{qLKvB2Qmu4WUF8(0erBoosyVdRE|^t?>jMt*@QPK!*@p7^&bB0s4(FsL zbf)u~CVF19Q13CCHBme(Xn+k{*!s677W6x{({OFTg3tX8K}p{`gTwb<^W~U6M>*3( z6_2T+8tD6v@r4cqRJ(O;_H%vGP8>g)D}*MjWedt*MWV}5S9$#V^stuWE@eah(6@{k zGK?L&57$g$p;$3-j{DyIF{NTS9!-waAxx~IEO5GiCrbhN?4HzRL-@H{0Q!){!o0jB!BqQJ&KchcE^ z>ZGIoA}#+ERXF;;chVhEPc41qnaGHxzj!usdDy;I&ZoicnLm_{s(k`~KjsIGbo82U zpZq~1{TCwNpBH2h2k_AP!&o?f!xzazBh8CSRl--89^NEu$3QZEoBJcKdOz_mZ7Z$& zS4stn#Tt$nn9bX&lItbgY9-VBI1h}4aT#eLYvN)e%TEF`q|&dh{QO${Eq?9IbKIdQSIkB0=+ld<<95A zkGU0XhxM2$;n;TUZ~C~$i8|u!tPFb4(RgkYxTHCnC;|IG{rS8@K8K_1z&usx)H{(t zsJ!?tREwD^5vsXcT59pojcDroX1XZD02eS8E|PYz>6>w;vE_t>+W4DV9aq};{C^5mK~wek6ZoF=wDMv$bv&J{p>hA7E=AH5%wdDI=gHZDuZ%r@dzC{XDj~}HO(LN!AFjn7 zeB8+P3e3nZkYfMDIfxMxRU7&AEAXnB-ms5V7nm^+bmpKL+{@W3@-4TNp1zThB=pv;;p;%Xoq~^^x}U}u}R+O3zBjdmuGmIv~vrvtp_%8HzLbCQTClY zVWE$#+E0F;N!Y51OyMCqQt74BiP^(^{T5tj^lU1sd!)~d>1rQtRZ=QQNIo;|$eCroLTQOz2QUTxUFTe zGbYEH-NsJ&RtZ%Q$}sC@tzXleeja2q?srsnxzV`ZWhrjV>R2ab{k0WZ+!_v)V^|*A zJ!;SAE^0%Xh+zTWQFmoC@nDr7sqE}Dnk7I35SL_gY;UNpT!e)534OTHI8ybrP7`jS zu#O{JyD-#LyFpseF2|FF>t4t{sk}rxh7j>WDBoe-4s_9@{!Nogj0@?jCTdqsj`szH z;Weo`l5bC9bGeY&QvFUfF-J%rDC_xiH;>pA1_ckg3(iJi;>)_l%DdqqFz2IEPU!Y2qaTh}TDFmPN} zMYZI-lhUIKXIx)lX|a!r8YyBA&Q-SfEV7;Yd)}xCl%YNA8cV8|yK|`+mWG6=KmIMk zT)I{rzVEUPY$(AwnEX7va!o?ZvpAS~1eL&)E-3-rsR6w0B&}!xfN$J2+!yhh~`u=HMhcLlH`u^=zYJX>JXq zr-#JGtU}qzpC0xy#^!v=y&u-jrlIR>MkDUBo|)>3d5lTakyG6qr>gNkI;1WGaf)c2 z6uE~mW4Ew-ED-Ga`79)s=>Yc5RiR%7t|2E{h)!gV3zaMF_TxsJ!z=224>ZfartueB z-1iXGWqE^;>IVPWEA>yj?H{<2V`L9}_hjRh@%oQR0T<}9IDMhPQAsy4dvfWm%;Tj) z(FJVY+{9OTJWcI+{mJuX<=O}a%(~z>^X;l}rf%pBD`S4}Q;GeHrzXF8weE3(NSxP} zBd1bJaA_9i9h6(b?y(!x;b@=EVxh-{5^c*Lh`k!N>ah5Uf@#cr@GfgIZ|TL*>W++;U!8Tad+xXpaD(OTqPu0LKt=k|HNwe8s} zDmwEI8LyoYUIy*-Ufr0#wEm{ErL>Oan|9LfO`WieDHV?a(C*6*r8v8V^u9har+V4R zNh(h3E@rYyYxQW` zEwoRppyCb53MR|bO}djmrVsX(x6Ri-=U@}(Sd1*PG|?{CFd={2vdipX_T^Zes>D)4 zS$E2U92Dk2nZVJ0&ZRqMKI>>&?G7n!rtz_HS(s)>B>~gY2DS>>TsNG~CkGz}iXdl3 zd2z(hUP%Dmz)rG<4wJ?UK*wgklIDMyw7%A76SY?eiq(9N2lGSk$+r2?nK=@C2I%I@ftu3mES0mfQTU=^1)w*=YTXUGt57^=wXq<9?D& zG)66R_8eKk9$H0Iq+XQ2E-v3Vx}S#Q+f?yX$P?P9B(@~ehYE}rW_2$z2fIRPfomZ* zg4BJ>#0-oi*=EgO&61tx+?l>>7$YAPj+;ugJ^h2R-Mj|mAIvFklQ!VV=JkUPqBlX* z-P7t{eu8dba@saMh+rMHa_#iXu!SqHZ_arzWcLijEqL16YHt3hzPhKr{-L%cwsO}5 z4-q+jqGZI=x>vyt8PB;y*xt+D!|Va3EgDm~tbA>3+qD0axmxBRd0Jd2r~Jg(LXgya zPC6?Y51K8%x=IPVw>o;50!!c6uL*7t!m@Qkwr*IQ*Kk{Bq0F*-S3?Iarsl)Nyi&`V z6tE40^`9H{z^t8BSl_a`!TXdD?A!e_R}7g>>psPF66-jA-!==H$6)-OeEacRt%F;| zqx3gdE&f}ti#>+v4hz|S-fg8fvspdFnTwXw%ITM>SSfIy!=da#UN*7Opqum0HJdl` z^uzR_{RQn8rn*D^_&)ns=+M>cl~a5w$1ttXv)H=y1C-Wk>F=|G5qH}?NqID}>S^lB z&y|bmI0*dGv1tgIh!f)#AROx0A@atbE;q3+;%)?Fd05CeFm>RUqN> z{Z>otwfrDY=E)k~Ev zP5(5W7gO`p~lkC;ijRCb7Ow z?y;qY*hFuKW=ZR85M9>8&yIcm`<_P=&8r*gH*BTaYso21>}yv+FmK|Ot+3{6u{f?; zA-PCrPaI*>rfs}%ay^}^d1B`BXox4)P>*ez(K8cVoZQONZn(uv#60~TRB#Vc& zW^Xu_jSfsCjJ9feyI&0LN1l7^^x_GB**@@TS9JwITCv5{8v5YP#|=_txnDiAKyM`f6ORU0R6xIaBs--p?2Za?)% z20c!k?QaT_2k>+S$6#vq`g@R?CJgs{aWi!rSq1(_M8LectrD~6k~Qd=|e zM>DC7O%{7B6`OlZ%X#^gd(6S1X2tooL8SAxzcYtup2uANwkQ21s+R68+XvdPEsiy- zYeePSp&Ubo@Na1Uh?_aCGt^vmmd={`eKe!$D`8E`L|Klyz;Tk!Ua%}#poZe?(oxF? zbE+5mng^HJ1D+So&tx^u>B_ewuSfVm-G5!vG6cIB|K-+Q0);x-RbCp(5j?0p&xn8L zZ8TnBkt>#7+U9aj8;9eIJM2f-xmpJ&#^hg51mdm`?9&EhbM!dkj)wk}G z-eY1xsopg?Jx?FJTcUTV1Do-Ybc7BnxAF&92tAFvl;sA4>lA@FIWCVc24{JWEtD?G z_ALvL#MwNgjZF7@mpj0d%`%8mbF|v{79dNDM`!Y&oi=!pq)AT=$r4b<L1igW(Mnr^CsgD$7^JD%s({ul{); zzGtpk@zs@8>cF5kjt?4XA?)5GB-qUgrgS^nG&B%%eto)tTY`|U%RunWB;!D&P_yxz z)LWe|Kg$&T+>e!BC=n3*4>&TIP{WL-ICr#CtPT@C* z@RtvSo&M!a3tji0A;xR7Tpw|TnrkbSEe?QmbxC2t&HiQlSvLDxt!OL1q_(Qf)A+W% zN#bjn^Tt~{n1jkg&#-Xx#<0^vbna&>HIHoxYW`Z6_Op{kZ66zTTxk*rKp?$V{nciS2hpsNt zDL=dq2v51rveB-9t7bx5=&xAE7)Mg)=wrkg<4fvT((L`a(!$zbP~+ya&Y9kWd|^3R z9q>@p@_W7<;_O?iIzE=nsgk_;9n}lmFRZxY$BfaoZ{-v`P$tiUH5Fv~@UKu0TO_JD=`j>8X0~!_Lf|)_4bj;rJgq6S$wcd3F1H?WT}iMm zc~4BW4z>#D;*JX~e&vT>$`B#OAB+(!;%@cRq|IrsAvUBjhcqF+>)P-#iDandO|Nc5 zF_Jn-yr_y4**IOEa;jVZn9R0c3pL{#2!etp^o}*OWa4P|Sk6k1q=mkbI7iY6t7e52 zi1nG)!Qz6Mr<}x7Iuw%n0!|g3;I=dkHzeD-!B`gf4)$_Yjn%6HruZCYhjsAC1e0Bt#YzwuSGE|V9{FADANzNe1eFBPLm@EkS7aC9&U^R(KN&C){O z3U28j=U!+(m!1(feUv^}P_et&B`ws10a+~ycuqZcxmz9AW82bq72+QEOqlj`UDw3q zV%;LU@~M7eBsF;a&#Lw^v|Nd3xt4#AM}KnZQ}v5@^e3NM#E9Hs!`6jAtYX6dD)O19 zAwSbmsrAsG!xxip!;kGZTj?*E=##rZ!5O6~$ayb$QLjk;Kd01DXt^AP{ucI}>kJp942P zD_lt*_{9_Thnq)xT2n1|z43sC#I)qyNo)Z!7*?Ay>p6B`!r0%v=u|3Uk~l54RCasA z%Q^gZlRf^8%cjqfLNH~+|o!^?>jI;-q)`SQT}n8DuT;+Cvs)BBi%mO6E=Esg5& z!WfvViQ}sstBwqnz-KdQXiPyIDC)a)9>(R#Q`*1UUpjk#3iOLfBQ&Xn; z=R@KLgUf?rCVPtJN$1gISP&}eH=jB1SVx#;;4B!_5tOs`3j=%fK@v{a7{+yt$*$+h zyphSRzj4ffmo?7k8=}#3^|N`M7Xn8vF?qf3H@B2^UhvTt|B7kksXtzFs}P6fKwKHY zWMK`=f2wRdL8vQ^g?HEoZcNSg!}EH5Vy@TT5kAz*olsUme3|&EWnG z-gwvhFZoIB_k_xkRKk6U%|$OXq>88cg>R@bRI#9!d$utLM1-S8F};mBG{vL}`AZ}y zNQQ33C&5Y$dl~_FJbMt$(9M6PUG1{udBpwRX0zxl8xrvJL9^wIQ~qxqa0SQlkf)*; zs@Ns93EmxemXPn7AaCAVQzN{``s;tX;Q0<@b(e`+P7C(W^$U0S#w)*`rP-VbNA<%P zZ`R*FLF45DrW?6(OzCjlNe^<&EEj2H3@SYc?!wYsc7OBBh17$I+C{WARoec7U-7w@ zW*R>4*@{iOP?|1@Rb4HULfIcz$4Ur}=q*+q)RxEh^wa#4-3g}o>Z%kTUFw}d9E;En z-GJ-lLMgb&L`7 zI#+S8_wQ_?smwLRi~g0u973iB<=@u9-9p=I{9I^do9753e@uHDl8Z+7aoqYD*^fsQ zsz-yKZ9p}c>0D2%)ssuNpbivTWL-3md07)9R#py*5D7NKvm$s#e~&nu?2+}lO!NVo zWNNLike$^WYe2rCP?&8Qm?bF1)5Ar?(A9p&@r_qIE4hY7;NhMc)p7_?-WBR@^5P$p z{l=AuLzUBHwr_>j)5qjw1?Y6rxkX5N&>u(%uP^9b;dCPoElJdAJkPzN!X8y@&lW;u zJca~^qU_Z!-=ot~1hq|INPN&srxF8kz;(4>kd(6gx$0Ax@^xMySj6Z_MT}vutHo?S zBW9|rbe65g*0ZfA#MAxSs5=G3`}3jfJ7d~_IykF|wUJp$MM(aX6@0u|hGcX07O9u% zlV^|8nplhR%73{JHq20iD2)2NkPN&0t+IE&Xhd3-9`}`Nq&A4wLqIU-mx)E z_L1>{R&va@0^0}n<7g|)R>%J8uw%Pih8!7)X+Qu{<*UgRel87YUJ9#>V z$sT(!zY42s5+@c(AKbEmN?t&Zz{BcH_9xA z7SQO{<|c>sFCy*nd?E$+gz=9T&Dz6hp{bO?&wbNGicv36t$qEi7>AIX};S{H;e@pSC-i zR^78rR_qF%W|?gJnCZ0TdhNNbQt?zhp&z6kW>LrH9G}d2TK7PQ9oa`>Qj?c*e^{)j zIj;rJ?(F_%Pa&McHTQV=%^%W3$W9cC0>)Qn6}R#1sbUn}Og@{<9BP)*@5q~}WmDUs zl5#1B*F$N=Y?1d~2c@`uZ#HzOL0{%yAtabX>nX(8m9*_`vmECsehM}hIBHo7=G~JH zP4p;*cxCX~TMm&OIECupO!7Gg<9c+n^r5Ut#d_RRCu-maQO5*y7uj6Ln^M=tc?uV9 zWH2Sy|5{w`T@DRh8+)jT&TT`ogH3cb>tWBV^240cj$cno?^2Igjg3zzueZv?lM^)x z_Yj-wY_(3JY;2(sRm?l_K*~0fbs+u4^b2#)(lcq4Xl!U%7X-r5AWV*2u-^%32XS`L z@n(=mOw=~F3@a5ySywt{k<4`n$gY1t3_v{{5g%&=0XPXWJfOPF4amX;JN+b6Q!edWlt?79ySiI_K~oRt~VX`qHl2ik=j9 z-`;hN1D}dvBZ$AMjl|0d&(*;+Ko4t?p=z3YIc@xeWDAqa+Z53(_iVT; zf1M6^V~BZmtcXg!_LeY|MiCRzt%z?bMIV4JFt4G1VFQ04hC-}*Km2BdCSI$1oX&cz zw0^1ZW780E(jO0+&PQjr`Sc(a$4&;DkfvF@nUw7js5dR^DQ2@R2ocl7()=s>W!F`) z8NOHO>>}lA)=3QYl%}w`In@WJW>jk@rqG5WBKMT_r9K^5D;F!OtD3Rxbtb3Lcy1bj zW0y3s1bc6~|J}hK&pNbN&49tD(|BP*giNcuOghndeu402{#9}7@3$svVo4H;_jK6g z{mA^n+LD5s-OOx_oaU9|2$8Kj#o)*&FC3hb_~l=>kZ4Yj6$=@T*M%D(eh3JjQfh_A z`3#g! z>Ms?^DgG6-;7a=o5^9-MVOn*}Q(Fa&@1gZ6rcw#Qix_C2TX;_P(B_oMVX_Dl2c|WY zGqTY1v1e##S+O(+;q{tBIiTY_8W6UrmD-)6X-XZPD?a?Q&q!?)KPmmwB>lg$fr+wK z<%cDq?5RxQ-w<9L&uw*|6tq45ZTpYzp`^O+$zBgNkEWU}_<7TcEd6Z(+PnM|>9Cx+ z(_4hZAvi*CVWAN*)8Fxr4zr`Wt!Iundr7v=%yfE}+gN1Qb65j6i#|x5(BplIG;vXd60YVu(SY z;>15_2`*-OvaX82pi~`mf{$g|Z6o^yiiX<)JzDYm6ApuAlYa$e^u9pwy)n`takfpA z`qpIKIYY~J6xC8T4W4V{==m@g`OLKE9)7+KT^f5}QMyva_Or*TL&vNGE9heL*;g`{ z{d9Tj-Y!e%ilVXijQXT1*5+d>2a-=}W9Xc^S?vi`6rWu{XFd~uC)<6z$Fdpcs^NsT zys-M5Sb(gvo=#$8njncjusw9JS=G`^%n!uhlluHuHoPK5?`B6kGh^9vC#YFKtc!P< zH=D_MK50N8P&kJ>rls2vab;{jkAcjLHfCPxfjl8*Q|(1VXmeB9gXNx`(|jskiZbsD z=!YtIZSWP;{nHaE-s9TPFFi;vJV~L~T7+bT_G^iu$tSW(+V)K9wqS8dASeX}wE1+- zIJ0j`KQo{cPh#l51AiWRD4hF8*dw7VxW_ge5t=XFvA5m~r^m6dtfT7xo?^6-?$>n9 zzm@>fJ>7i?PM5WUYfS2>xaaywKp2|0u_JF38?s z-&@sw-7T4I_^F6_4LV81Qy!_#R2pio+(iW$$4BJB8hOwIZEWuUu=eh8P2G9^ze*&4 zw)SGRDrmRc)~Q(8X?LeBSe>2m2B=sdL`2BUvzt^Fi?(Adt&)+;|Ix`PNLpb?--j~kSVYhO3a}TmR@{O<{21HJwQ_z{!L%fphM1wcDtX{KaNRv?1(#lsevtR=Bo;QX%hhpvu8(`zc7`51;d}LD& zwO;wGgnScQ7!w*`RJbAq*-X zVeVc2$p;OodAKE5*Z2dCN3IuIkqW+%Ieart?>FA^67ra-AT(96jdtqiSBI9t4C7bE zWXhw(O_kqG%t^+>O@ZaEwigr;79*4K)w;%8DtpUPh#B_1w3ZndQz*sR;F2&xUu4CL ztk_Sl;HcCU#5*@Bp7ia@j`K5~23XRs-jmdil-e%PXv|=H9HVBk-Xsd3Ck~dhr8@iO zH_JKVdYab(h%v8tmc@gNjX^TOZ#P{0E|alpD9We<8vni|PSZ8`-JH(>BwE3Du#nPdt6NjU_q|;G74-IhYBESBM&MfD=sn^zCR0uAG8w#4 zln`FwcIi!PSlan7acQy~`l7@sPE~M+Z)E?KJX<7gQo8$53kH>1B5D8~& z5IwOLHT!XmQfaZPe2;m0Z%36dJ;Xabn*+a_C*E=fda6^<1-OW!(Clidh`PbVEG`kQvb@W4{xcDBBAz@GF)7xR(%(ULy`fpIz6~oIT zz6C0*ktN-QYC(ViBo8k*K#sLHcr*^fEfGUzd!r|ViI5Y9GZcP9N%`b$uGD3|B-YN6+*DiY#4=I6=)#Anzxe}+c|21_;KC!2$Qi!-*M_n z_lHK)V2?zAM{BzAnxKICd?rZ)l3l>!7+%{82Dcp_98X8@hg42CjTt>&watC;j5BbXTV$=szWEdE z9AT>$Kf|PLZ@efRQSX|9HZ#rNd~Jg4`>kJ?*H-{mRERt`2QBP@X~*6}zMSd55^WCI zmF<2yV?NMbC1%98AnVg*jsPvG9srz5>b=98_e_uM8G?t1r=|907SAJzh!(%b9JKFc zO#R+y)hS<|N&IYroa_6M_aR1S26o=!NB^6hw|M2>da|z(TEIbQL0shO=Qzt2ZSGmR zHsX27;+Ou`lRdT{M|CZ}`mw+7$;$L#XfJwVL5#ibC;zZ0nvDs)ywH(Fu1e=_#4vm# zC+RWnQ&cNhuW9=^jlw$kYB;i>l|8pX{8;(C&azTm{3>uCQ_ox&eoE5{Y~II!epvtc z7Zp=m#U3!T$tfrUelVev)`h%+u|<30#ujz|q^V`GNtC=sHg`2vrt|*(ZuePo3Uh*5 zM1kI`siJaSUbtQ$m##p`baUPC$jF}?&D?q24d%ew$$!5(*(6XR1>_`DfeLXBS=T4z zcgPsiCXA%DISFGeOT`IH_G?Jn+&5LB*;xT&>!c>3tNVg-X-Du*?1v349MzTvK${R$ zKJ5DqlNCF4uKP_Nh+TMOGd47)*Ib6xSFhfd0U0Js)V_qU6X*l?_7xTYwVVXybVWt; z$TxE>Aa~Rg6&D{L`7nQMxFOe7zF2*k*(Zsu2eJhrL#!V~hc3@wGQ3+|2t>4ShRK?( zj*|}WX<8uVfB#!dxX$am|I`z_Bj*TN^WhLq2L3kZQ`;9_e8fDmMdeFn=9=W=Gq8Mn zY92UjZLnR?;31lzF}WbU%aK}qn>%Sr03n41fXZHt_rc3DufM_UUR6_Tm_E`&oGw&E z3YmP^Ml`$j7at?uewvNqLjTHy`ycoYR|@N0%fcy^p~)mA1mHZ*_(=>?GBg20Nt$(n z4K%=pHF6|(f;@V|xbwKhKa?;f63@I5E}}D)FM_v;2p^s2-UZp|yy3I03ER6Xc>yTI z7h+9pE1Eph?G3Xm^QJ=^&MGM-N}XEU`4enCa&BH#0w<@x@N~%YcroFNZX)0X%&v|L z^~;xK+Cv|oxKXuZzzWoUy$10%86|o)cEuOXrVon@#Agkx0mtBN^|Pot)Wa;T594)! zJKts~sp(G|AWkyy7pt6x&v(3L@F<9xng`~DD3*fs0Ip6JeMP5c1&|PMG+tI$QoY`( zZMf+O1w!iQTEPJFb5d_u?MnA`SM+G7Urkk#%9)nd{HA`h0Y*s=& zAP4M@C5yKb7)pw_uIc!E-mpWH5KpBtO_N{vOs_N9mFp+P2zRjyNBzsx&aRd{2?c>x zWyq+$pA*0=x6I`hP%c}UXF3o)41xSWPdQUu#H1*}Tt9c56u@WX@z<;xYO31FQUDRpW!(lkE2+Xlm1<&%ME zXv|xX&QS3MlVT8Qiu2kRUGM6xwEQ50g{^f@5V7mgmhili!-j(BY)lrcuDw=Mm_LgF zx=7q~vHE&&=3-?|Ab0HJuWmTbmF3DzB0+!O)HY$s$k*6bGbtufV9IFnufQBU1zpw;MmZQoagOO5o6!*<&vry`&IlNt5VAv>hZp&t`ZoGUX zdwjU@8l%P2+%%D$)A(NyZ96%#)a6^rg(LU}0hkvD@SUGLyy0{H_xkN8KbObF zGn@Z?{}xU_wx;2!)Jw4q_8otmg5IkZ*7E&j>w>Re3VO?zldUb$PQmRt*-&&)6!u19 z0@lo^8Y$)xFirV&AG%r=>oNCQ`<3#n%psbSNBLkxp>i^%P9X3cv#&Y!X6TinC>~%!uWpc; zZWMsv5?$P+;LfOz&b34Y>Iu;e>ebh*yIYX}nm#J|^VC6KAiBSL*FeDOU9K5}3k9yj z1K+K#D~^ws^$Mo!Wp0anv2`1h!Sdp841Axhhh)CP27~CWzHL)Q1HkJcm!p$O&xcQj z-qP@mk`owlN{3$!1y3oFy-8=s7mg!kV3Q1b*|(dkH`G&LRrBe|B9`XCSZA2S>C`ST zak!y5^5~b;ts(ES6rpttDFgccFwc=s?bK~i)jb0nOlDlklRW+i0jAMND)ui z^Va}yd_uPaF)^fdURH-_WgHGvCF4$oQ)+lxhlI8ziG1@pQCFUIG{MIa4-E?Ja*dr|_F|Mk+F&40+Aom;% z;fzOhn&}`%_Xo-ly4a?9Q&~XxxE&e;Dn);S9i7yF22gP3&bK9^V}gMt zr0uO5hJ7H7Fy0yw9&7B)4Z8gPC^DA;CBwAi9#LCatO6NyA52Jemg%9h@R8m=;*VBU#N|?;xGVSO>c_QesyPkN>Z-He&UWh$zm|Noe-_BKD)__Fa`n5Ssvlh%-7Tz#lDp?fhE<+Tl2vda&gM z#B&uWqnw4xFLyk*gnkh=ZZz?cOcG1#u=%4Ijbe%J9?){JSFdH)m`kW+lsQ#Xi~>TA ztHV?>Vy{f@lPw%E`k(T~>9+@g^?`rH#|BA+_aYWMhEB4ArbNg&icr}G04d69+jAs_ z>xJlm*~FM>Nh~J75uQmn6-(0sF#@Zj8P#{>p<;p&45*H|KT?n#hd_rp;!bsU<_>%@P%jqR(+udFANI#DOUBN=_mBNCp3KOCUkUW@9Z=T<8 z@Fb!XWvobJNT4#Bsy_`l1Ckv>V)!Tzu9i$p5J>gABQPRe#FV<_XOrVM)P>u7Dl4F_ z@Vr9r<`c*J%%nAS3mb*CE2`m8Lbo#8eDis@69G@&ElSu~l66hNqC^owdJMK00ohD7Fb8|@4$TyJtS21ck zhtv^IPt`DAWKAzty|7O}!2)T25Gy*i+Iut*c;*Ten|wrOV)vnQs-Oke;E) zDS|X}j|x3Z`s4_;OW4~}NsrN)`%9_a?lz;XnnIT-Uz#>K{l3gYk{3qEBK!Fs0tffy z!4OW*{eiKDo2@MJo%lu;?0~1<$ZI~@D_Ua>H*&*dE~`17%g&a z*CssERXgulEBwe8!9WmRU^>M$R`tT^N$NkJ9%3?cDzDHi*{Q-fo-WfeslKopc?WJ} z0hKUo2iRg*?_XM$un-e7Wv@L)&eS%VZ)f@8`XkWz$|Dcs;W#ir2=fAfw8=bvfFW35 z{0x)gg+2@^h;29nrq?JQOLdcf2(;G#rWG}bvOYbpGL8o6EvEt)0v=!j_^IIB%UQsh z);II!30$5=Hltak+3jVi9buZd%gpjmKC$+)G~mhv>)aO46w;ra{Au5jtNPoK#N+{v;(@|uZ=+m8v+z7^BCIONHV*- zx@n=g5sB@nT^Qp|8xGNWcR#2xwCOX~4E5d#kjQ&XZGjd+qx~hLrxPy${KIf^Za5G3 z!%+~!Ba3DSE8wDK2f0F)kKpRZ&$_5V5q|~4YyzG*-OomJg8Af|0Yt~ucn!F#>S8DIaUz3u3m6A18=$w^oixgJ z1lIv1L6)Z-jTBUL2f}-%#CmzwNHOjc!$phGm(yFV4Z7!uG91cNl$q}kCIBe{#20~F^d_#5A!q7N^@h+u(vwCK@@C5Jd`xSQTznC{kMy6ffc z&G>F<&0OkMXSFQJ zePzL|eRm|zGMQrLh_!33%IA*6UCr`l?GCgo@4ytG4S{kDyISR39g0)~N!JzH7yH-w zpF%idtA;g?Qw*uHV#CK&8j=#&IJOA42`CQp^xM=M-YL!ECknfl&>P^wK|I zy>Pj?av6bN7L}gKFKCRIFgLCmV|nv5ds~94!RnqPg<)1LWTBGTqc4a_85&VyV;Y{+ z$O%T5%N%HM|ty^_nbH)BeqHjaN|@2Nd2a|dy&f`MO76eC=PLyQq+w;sRw z*M42i+l5Fm;U<<1MPn?FYazG~K3!~>+Tg-$h-YGyJLgbxSzGI>9 zNon+}*V(8gAJ-}tU6Qes9My(fq6Ay@!d-7vnb_CFB$M?wkLGG+^*nZn87S@k*}`Cw zkCDRKz`xzTR4`Cv+Nf3aYtC=aW2dJu5;I}P?MM^by8|cS3WxVl!aTLs%eZ3{Cqbn0IwfMQJ!=|FJ1Qz7iNS+(6G1LA_= zJyM+*(-`?n#fh%zH5HRh`9>8<9!VOtjY5sK;7mkJi@ps+n!gx!c69l(N$ZR!@0IP< zvA(iFu7}YZFRysbAFTz6mB|33)G6}#qixwtmZMTSM@Z@`rc7{MC-RFY=>zdB*&?fI z=ZqTJc8c)NnHkR;cgZ5m8$6~LnDlHzv1#r$_Z|sbNF@G9B9D$hIx6NgbuG0bgS%@~)VW>5H(q>pCPshXb5j zM8zJ4MLvOPKVZvlL2dgp=GUc-*P7besnkiH&=T!YIZx7+2rW05(Wk^f90jY zT}yA*cRz2H4t^R&)&y}Yu>#HKzJ;`S)5aMW?El%4IDJ>&ZvjJyCRMsZm#O(gi}tK1 zVCE)RtY!vbTzXK@4+N&zKfdu=g?svT6klj5`MmRXul2O$$GPiu6sK%;x{j?*S)W(z z|Ef+Gt!9&ApNM!KNwJ)NT*k@B_|cKgLgmExqPV{=Q1wlJW;07-zXyN*kIWLlKc4~o z^Iy0dX$xnsd_f75h)(}i5c=DeuN~&hPH{foj~pnp{@W8*@h!`@uAZ-7Sswdj(7H-Y z{*b4&_DbAyt_5;jVDn=B_Gl^KPU_Ujipi7) zD3MUk724sGW|wF-^D=xoGCFHcoeFkWC6P7f_!Iu+I`{L62rF|Q`18IaGn(hRtAzE; zzOU8|rrmX%onk>RKIPK*f#JQr{EJmikyXyIS`hz}RDA^A`PKwvXz*}_Ay`qfQ{xGE zV~~r8Uxu1e+j zc(~bSRS+GL9q5vk9Jhw$2~o}t*Q^hpKqhmtLUP52^c@A>7i8C=gH7*e_6&or>D(@$ zv$FdN)P4hiTwqghSyyt*o;ftIt_{iosa-(a?LnzIKJ? zQnQRyPnPjzjG$ezVOZ)oZ}jNf@nM6nBMYghcS43SPm-8Q7SuPtDmJ(H6BqU`EnGWO z^5LZ}f6QC}u91EBM!SE8i0JOBj0HmaE6i>{>mutzekyYW-MPovbuV9rZksM5Y)x+h zYp-+8A_Rt6)5C&?-HIsvWhSL6PO6&5yW%TxA4*~e85Z2C33r+OVKkkSro%`!GJG~w z-d8}DUaIV1L@pGK)`?5aFJ`Tg-c+i)!s*|eg(l93Wi@9JfwXkUDr9d0$I(Qlp|`57 zpE-a6dAE*i{B01ZGi8L+(~FtBJI_aVjO;=9hV1=sD`W2(soj&FX?bK?pu$n}d>L?r zKBfpa-5`xAbKNq3S*1bIgFjKd!#V6hb)1Cq~a+bAI)7foGGXRkR5Yq*O z{Uhl%{rI0Ma`E8r4ew#f#&0Z8$5@btqvoGXmKoIY8q-B4t+9lhYOli~)ezvai2x|n zlR{MTDe?w3YN%gsM=6~{H#Qc^H0v2va5(llKdYun2e?1_90?A9!6-8iYh}P;5}SIe zWvbwhA>b1@mJi|iU5|ewN)}@R4!ipT-&4-Am^qIo1MkUs=t_w5RK%uX?sHB~`W`42 zB2O#XY}uuX-k*nYvOe-iH1l8o?eWT&!$ZhX zG3Xlpuo`(!*5(?C`%w&T(-bWXrUOowa6GZ7C4yF?Qp;{xdzy4q7jI-Tl+=L@SG>3- zuVdQadCLoIGSzqj;n=D8S#$WldOAyEsI8=Y=pU=&QrVR-8zRd_HBISHU}4kV(3(JY zS7Ggt-sy`z%+!B4zItr@mbk!pQ>ph8 zLMkIy)LV7k1?ZhYuEhC|7}D_UFYfrGXN66DYiFFp!udd1PnFW+xFp-u>p$jT_M9S& zH$0wlqKrnfI9X~bs;PoG(u1&bVL{CiRD$>Hpb~#E`0}RL+XCfj7+*{&ne(mx6zoG=Pz+V-sMoi%*tRk@v3jro7 z#|hTnu?zPSoj%2)*mLjKRQolz_)}X&Nh&;Fa3d_8)E)hn*@wuuRL4D;q3+2Kr}LP$ z*&Yey%evIuRT&!~YAnS7(=8kf)T}qknqB8Mx|7&GEVH-`1KmVi2wABcuA=e`xo6J2 zcwZS=?;L1fdW^jUfcxjww=}~Fw==zX9=OxKfWt;e|2o^$hYWeB-gCvv>Mhe0_7 z+_o{(EvYkz9w1+`IhReu3bQ{=)c53M%{}8nf{Pe(D|Q1~5KfuYXxnzb)?IZS7pJT$ zu15@UMlsReS06?MU$K@-H*P`0pNk1qCv)?1-8S<297so`<=Ca>?IHW#Sze2m>kgPi zso9M$_as4bl4S{uXn6D3q4f(vKWZ{`UoCF#mM~^zq`Kl5$Q5*&#p5|rPqU?()hE)N zyJp`j4r}C#FpovuN%m}B0vhQ2<|V!zPb+qO?io&F z0(ZaL$3P^m$%A%5IP7nxbd3!0uPiv>Zm&Gz z6}$$FL(NROe8YQN5t(hFap?KMgBI^mL$^`9!4+?yp7ho3Zd3Bxx=&!7$GEKDR^9bgjHstxW;%|S_gs?sC|pP*lUkj?P4gY(8CKTcZ&3qoqnv>G~Vb_SxN+{U>|U6jqjU;>_N9CVW6jq+{k2!5ib|I zh_rB4Hb{_Ts$s4X*rBXfA#mal6;ALmq_TI{hrtfp0coWnV+cL%UTj8%S zs$6d`lhxLv0DwSCj1}0dX~zIoR(zG7g>B=nb{gtlpUr|cBVkU?B|&BjskRl#u8d%W z5vZ*^3LRa=ZAsicVID>