prot1: teilaufgabe1 sollte passen
authorBernhard Urban <lewurm@gmx.net>
Thu, 29 Oct 2009 12:29:58 +0000 (13:29 +0100)
committerBernhard Urban <lewurm@gmx.net>
Thu, 29 Oct 2009 12:29:58 +0000 (13:29 +0100)
bsp1/Protokolle/DigitalDesign_prot.pdf
bsp1/Protokolle/Makefile
bsp1/Protokolle/chapter1.tex
bsp2/Protokolle/Makefile
bsp3/Protokolle/Makefile
bsp4/Protokolle/Makefile

index c25f1f49e94b2d633f28c3bd6ba3fdee5fe17d69..4ece5331f95aafb11f3b9b5d96e88785daaf1e31 100644 (file)
Binary files a/bsp1/Protokolle/DigitalDesign_prot.pdf and b/bsp1/Protokolle/DigitalDesign_prot.pdf differ
index 6610baf56ee93c1d943b9fe2891c933fa9c48eb7..86c9b183f80613c8642c25707378be845a765ea1 100644 (file)
@@ -29,5 +29,5 @@ help:
 #------------------------------------------------------------------------------
 clean:
 #------------------------------------------------------------------------------
-       rm *.aux *.log *.toc *.out
+       rm *.aux *.log *.toc
 
index 9b8b1aa275cd4a4ad7e2149307c5691f736bbe2c..17ab2de7f7a09c754b065160f41bb0a13feb7326 100644 (file)
@@ -4,23 +4,32 @@
 \section{Teilaufgabe1: Messen von $f_{hsync}$ und $f_{vsync}$ }
 Bei dieser Teilaufgabe mussten wir horizontale Synchronisationsfrequenz $f_{hsync}$ und die vertikale Synchronisationsfrequenz $f_{vsync}$ mittels geeignete Modi bestimmem. Dabei war gegeben, dass $f_{hsync}$ per Timing-Mode und $f_{vsync}$ per State-Mode gemessen wird.
 
-\subsection{$f_{hsync} = \frac{1}{29.458\mu s} = 33946.64 Hz$}
+\newpage
+\subsection{$f_{hsync}$}
+Um die Periode von $f_{hsync}$ zu messen, triggern wir auf ``Rising Edge'' des HSYNC Signals.
 %bsp1_hsync_trigger.jpg
 \begin{center}
 \includegraphics[width=\textwidth]{pics/bsp1_hsync_trigger.jpg}
 \end{center}
 
+\newpage
+Wir haben eine Periodendauer von $29.458\mu s$ gemessen (siehe rote Markierung in der n\"achsten Abbildung), das entspricht einer Frequenz von $\frac{1}{29.458\mu s} = 33946.64 Hz$.
 %bsp1_hsync_wave.jpg
 \begin{center}
 \includegraphics[width=\textwidth]{pics/bsp1_hsync_wave.jpg}
 \end{center}
 
-\subsection{$f_{hsync} = \frac{1}{15.317626ms} = 65.28 Hz$}
+%%%%%%%%%%%%%%%%%%%55
+\newpage
+\subsection{$f_{hsync}$}
+Hier war VSYNC zu bestimmen, diesmal mit dem State-Mode. In diesem Modus kommt das CLK-Signal vom FPGA, deswegen kann auf keine Flanken getriggert werden sondern nur auf High/Low.
 %bsp1_vsync_trigger.jpg
 \begin{center}
 \includegraphics[width=\textwidth]{pics/bsp1_vsync_trigger.jpg}
 \end{center}
 
+\newpage
+Die Periodendauer betr\"agt $15.317626ms$ (siehe rote Markierung in der n\"achsten Abbildung), was einer Frequenz von $\frac{1}{15.317626ms} = 65.28 Hz$ entspricht.
 %bsp1_vsync_wave.jpg
 \begin{center}
 \includegraphics[width=\textwidth]{pics/bsp1_vsync_wave.jpg}
index 6610baf56ee93c1d943b9fe2891c933fa9c48eb7..86c9b183f80613c8642c25707378be845a765ea1 100644 (file)
@@ -29,5 +29,5 @@ help:
 #------------------------------------------------------------------------------
 clean:
 #------------------------------------------------------------------------------
-       rm *.aux *.log *.toc *.out
+       rm *.aux *.log *.toc
 
index 6610baf56ee93c1d943b9fe2891c933fa9c48eb7..86c9b183f80613c8642c25707378be845a765ea1 100644 (file)
@@ -29,5 +29,5 @@ help:
 #------------------------------------------------------------------------------
 clean:
 #------------------------------------------------------------------------------
-       rm *.aux *.log *.toc *.out
+       rm *.aux *.log *.toc
 
index 6610baf56ee93c1d943b9fe2891c933fa9c48eb7..86c9b183f80613c8642c25707378be845a765ea1 100644 (file)
@@ -29,5 +29,5 @@ help:
 #------------------------------------------------------------------------------
 clean:
 #------------------------------------------------------------------------------
-       rm *.aux *.log *.toc *.out
+       rm *.aux *.log *.toc