X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=dide_16.git;a=blobdiff_plain;f=bsp4%2FDesignflow%2Fsyn%2Frev_1%2Frun_options.txt;fp=bsp4%2FDesignflow%2Fsyn%2Frev_1%2Frun_options.txt;h=cab63323d28f67c1d8b723d92fe2b4d31f544041;hp=0000000000000000000000000000000000000000;hb=8c5b21b5de3f142d7210146a850cf7689e05c543;hpb=9388b7667104acb1a8ca81816d94d5ae71cffcc0 diff --git a/bsp4/Designflow/syn/rev_1/run_options.txt b/bsp4/Designflow/syn/rev_1/run_options.txt new file mode 100644 index 0000000..cab6332 --- /dev/null +++ b/bsp4/Designflow/syn/rev_1/run_options.txt @@ -0,0 +1,71 @@ +#-- Synplicity, Inc. +#-- Version C-2009.06 +#-- Project file /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/run_options.txt +#-- Written on Tue Nov 3 17:21:38 2009 + + +#project files +add_file -vhdl -lib work "../src/vga_pak.vhd" +add_file -vhdl -lib work "../src/vga_ent.vhd" +add_file -vhdl -lib work "../src/vga_arc.vhd" +add_file -vhdl -lib work "../src/board_driver_ent.vhd" +add_file -vhdl -lib work "../src/board_driver_arc.vhd" +add_file -vhdl -lib work "../src/vga_control_ent.vhd" +add_file -vhdl -lib work "../src/vga_control_arc.vhd" +add_file -vhdl -lib work "../src/vga_driver_ent.vhd" +add_file -vhdl -lib work "../src/vga_driver_arc.vhd" + + +#implementation: "rev_1" +impl -add rev_1 -type fpga + +#device options +set_option -technology STRATIX +set_option -part EP1S25 +set_option -package FC672 +set_option -speed_grade -6 +set_option -part_companion "" + +#compilation/mapping options +set_option -use_fsm_explorer 0 +set_option -top_module "vga" + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 + +# mapper_options +set_option -frequency 25.175 +set_option -write_verilog 0 +set_option -write_vhdl 1 + +# Altera STRATIX +set_option -run_prop_extract 1 +set_option -maxfan 500 +set_option -disable_io_insertion 0 +set_option -pipe 1 +set_option -update_models_cp 0 +set_option -retiming 0 +set_option -no_sequential_opt 0 +set_option -fixgatedclocks 3 +set_option -fixgeneratedclocks 3 +set_option -quartus_version 9.0 + +#VIF options +set_option -write_vif 1 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_file "./rev_1/vga.vqm" + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 +impl -active "rev_1"