X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=dide_16.git;a=blobdiff_plain;f=bsp4%2FDesignflow%2Fppr%2Fsim%2Fvga.flow.rpt;fp=bsp4%2FDesignflow%2Fppr%2Fsim%2Fvga.flow.rpt;h=6df79f8186fb2267d3807030e5f4480ca315e435;hp=0000000000000000000000000000000000000000;hb=8c5b21b5de3f142d7210146a850cf7689e05c543;hpb=9388b7667104acb1a8ca81816d94d5ae71cffcc0 diff --git a/bsp4/Designflow/ppr/sim/vga.flow.rpt b/bsp4/Designflow/ppr/sim/vga.flow.rpt new file mode 100644 index 0000000..6df79f8 --- /dev/null +++ b/bsp4/Designflow/ppr/sim/vga.flow.rpt @@ -0,0 +1,126 @@ +Flow report for vga +Tue Nov 3 17:31:40 2009 +Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------+ +; Flow Summary ; ++--------------------------+------------------------------------------+ +; Flow Status ; Successful - Tue Nov 3 17:31:40 2009 ; +; Quartus II Version ; 9.0 Build 132 02/25/2009 SJ Full Version ; +; Revision Name ; vga ; +; Top-level Entity Name ; vga ; +; Family ; Stratix ; +; Device ; EP1S25F672C6 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 173 / 25,660 ( < 1 % ) ; +; Total pins ; 117 / 474 ( 25 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 1,944,576 ( 0 % ) ; +; DSP block 9-bit elements ; 0 / 80 ( 0 % ) ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 2 ( 0 % ) ; ++--------------------------+------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 11/03/2009 17:30:31 ; +; Main task ; Compilation ; +; Revision Name ; vga ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ +; COMPILER_SIGNATURE_ID ; 91815334056.125726583131339 ; -- ; -- ; -- ; +; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ; Synplify Pro ; ; -- ; -- ; +; EDA_INPUT_DATA_FORMAT ; Vqm ; -- ; -- ; eda_design_synthesis ; +; EDA_LMF_FILE ; synplcty.lmf ; -- ; -- ; eda_design_synthesis ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim (VHDL) ; ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+-----------------------------+---------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:03 ; 1.0 ; -- ; 00:00:02 ; +; Fitter ; 00:00:32 ; 1.1 ; -- ; 00:00:29 ; +; Assembler ; 00:00:19 ; 1.0 ; -- ; 00:00:18 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:55 ; -- ; -- ; 00:00:50 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------+------------+----------------+ +; Analysis & Synthesis ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Fitter ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Assembler ; ti14 ; Red Hat ; 5 ; x86_64 ; +; Classic Timing Analyzer ; ti14 ; Red Hat ; 5 ; x86_64 ; +; EDA Netlist Writer ; ti14 ; Red Hat ; 5 ; x86_64 ; ++-------------------------+------------------+---------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off vga -c vga +quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga +quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga +quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only +quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga + + +