{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 3 17:36:33 2009 " "Info: Processing started: Tue Nov 3 17:36:33 2009" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} { "Info" "IACF_REVISION_DEFAULT_FILE_CREATED" "vga_pll 6.0 /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf " "Info: Revision \"vga_pll\" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0." { } { } 0 0 "Revision \"%1!s!\" was previously opened in Quartus II software version %2!s!. Created Quartus II Default Settings File %3!s!, which contains the default assignment setting information from Quartus II software version %2!s!." 0 0 "" 0 -1} { "Info" "IACF_WHERE_TO_VIEW_DEFAULT_CHANGES" "/opt/quartus/quartus/linux/assignment_defaults.qdf " "Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf" { } { } 0 0 "Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vga_pll.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 vga_pll " "Info: Found entity 1: vga_pll" { } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 25 18 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3147 19 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4440 11 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vpll.vhd 2 1 " "Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 vpll-SYN " "Info: Found design unit 1: vpll-SYN" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 57 -1 0 } } } 0 0 "Found design unit %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "1 vpll " "Info: Found entity 1: vpll" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 45 -1 0 } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_TOP" "vga_pll " "Info: Elaborating entity \"vga_pll\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga vga:inst " "Info: Elaborating entity \"vga\" for hierarchy \"vga:inst\"" { } { { "../../src/vga_pll.bdf" "inst" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga:inst\|vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga:inst\|vga_driver:vga_driver_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6195 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga:inst\|vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga:inst\|vga_control:vga_control_unit\"" { } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6251 3 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "vpll vpll:inst1 " "Info: Elaborating entity \"vpll\" for hierarchy \"vpll:inst1\"" { } { { "../../src/vga_pll.bdf" "inst1" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "locked vpll.vhd(73) " "Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object \"locked\" assigned a value but never read" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 73 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "" 0 -1} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll vpll:inst1\|altpll:altpll_component " "Info: Elaborating entity \"altpll\" for hierarchy \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "altpll_component" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_ELABORATION_HEADER" "vpll:inst1\|altpll:altpll_component " "Info: Elaborated megafunction instantiation \"vpll:inst1\|altpll:altpll_component\"" { } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_TOP" "vpll:inst1\|altpll:altpll_component " "Info: Instantiated megafunction \"vpll:inst1\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Info: Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Info: Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Info: Parameter \"lpm_type\" = \"altpll\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5435 " "Info: Parameter \"clk0_multiply_by\" = \"5435\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "invalid_lock_multiplier 5 " "Info: Parameter \"invalid_lock_multiplier\" = \"5\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 30003 " "Info: Parameter \"inclk0_input_frequency\" = \"30003\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "gate_lock_signal NO " "Info: Parameter \"gate_lock_signal\" = \"NO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 6666 " "Info: Parameter \"clk0_divide_by\" = \"6666\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Info: Parameter \"pll_type\" = \"AUTO\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "valid_lock_multiplier 1 " "Info: Parameter \"valid_lock_multiplier\" = \"1\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_time_delay 0 " "Info: Parameter \"clk0_time_delay\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "spread_frequency 0 " "Info: Parameter \"spread_frequency\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Stratix " "Info: Parameter \"intended_device_family\" = \"Stratix\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Info: Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Info: Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Info: Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } } 0 0 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "" 0 -1} { "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO_HDR" "" "Info: WYSIWYG I/O primitives converted to equivalent logic" { { "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO" "vga:inst\|clk_pin_in " "Info: WYSIWYG I/O primitive \"vga:inst\|clk_pin_in\" converted to equivalent logic" { } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4630 3 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } } } 0 0 "WYSIWYG I/O primitive \"%1!s!\" converted to equivalent logic" 0 0 "" 0 -1} } { } 0 0 "WYSIWYG I/O primitives converted to equivalent logic" 0 0 "" 0 -1} { "Info" "ISCL_SCL_WANNA_REM_USR_WIRE" "" "Info: Found the following redundant logic cells in design" { { "Info" "ISCL_SCL_CELL_NAME" "vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1 " "Info (17048): Logic cell \"vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1\"" { } { { "../../syn/rev_1/vga.vqm" "toggle_sig_0_0_0_g1_cZ" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4013 3 0 } } } 0 17048 "Logic cell \"%1!s!\"" 0 0 "" 0 -1} } { } 0 0 "Found the following redundant logic cells in design" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_SUMMARY" "293 " "Info: Implemented 293 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" { } { } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" { } { } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" { } { } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_PLLS" "1 " "Info: Implemented 1 ClockLock PLLs" { } { } 0 0 "Implemented %1!d! ClockLock PLLs" 0 0 "" 0 -1} } { } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1} { "Warning" "WCUT_CUT_YGR_PLL_BAD_FANOUT_CLK3" "clk0 vpll:inst1\|altpll:altpll_component\|pll " "Warning: Output port clk0 of PLL \"vpll:inst1\|altpll:altpll_component\|pll\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } } 0 0 "Output port %1!s! of PLL \"%2!s!\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "" 0 -1} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "204 " "Info: Peak virtual memory: 204 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 3 17:36:38 2009 " "Info: Processing ended: Tue Nov 3 17:36:38 2009" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Info: Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Info: Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}