------------------------------------------------------------------------------- -- Title : board_driver entity -- Project : LU Digital Design ------------------------------------------------------------------------------- -- File : board_driver_ent.vhd -- Author : Thomas Handl -- Company : TU Wien -- Created : 2004-12-15 -- Last update: 2006-02-24 ------------------------------------------------------------------------------- -- Description: display number on 7-segment display ------------------------------------------------------------------------------- -- Copyright (c) 2004 TU Wien ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2004-12-15 1.0 handl Created -- 2006-02-24 2.0 ST revised ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use work.vga_pak.all; ------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------- entity board_driver is port ( reset : in std_logic; seven_seg : out std_logic_vector(2*SEG_WIDTH-1 downto 0) ); end board_driver;