------------------------------------------------------------------------------- -- Title : vga_control architecture -- Project : LU Digital Design ------------------------------------------------------------------------------- -- File : vga_control.vhd -- Author : Thomas Handl -- Company : TU Wien -- Created : 2004-12-15 -- Last update: 2006-02-24 ------------------------------------------------------------------------------- -- Description: generation of colors (RGB) ------------------------------------------------------------------------------- -- Copyright (c) 2004 TU Wien ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2004-12-15 1.0 handl Created -- 2006-02-24 2.0 ST revised ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use work.vga_pak.all; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- architecture behav of vga_control is attribute syn_preserve : boolean; attribute syn_preserve of behav : architecture is true; begin r <= COLR_OFF; g <= COLR_OFF; b <= COLR_OFF; end behav; ------------------------------------------------------------------------------- -- END ARCHITECTURE -------------------------------------------------------------------------------