2 -- Written by Synplicity
3 -- Product Version "C-2009.06"
4 -- Program "Synplify Pro", Mapper "map450rc, Build 029R"
5 -- Thu Oct 29 16:49:33 2009
9 -- Written by Synplify Pro version Build 029R
10 -- Thu Oct 29 16:49:33 2009
14 library ieee, stratix;
15 use ieee.std_logic_1164.all;
16 use ieee.numeric_std.all;
18 use synplify.components.all;
19 use stratix.stratix_components.all;
23 column_counter_sig_1 : in std_logic;
24 column_counter_sig_7 : in std_logic;
25 column_counter_sig_2 : in std_logic;
26 column_counter_sig_0 : in std_logic;
27 column_counter_sig_4 : in std_logic;
28 column_counter_sig_3 : in std_logic;
29 column_counter_sig_5 : in std_logic;
30 column_counter_sig_6 : in std_logic;
31 h_enable_sig : in std_logic;
32 v_enable_sig : in std_logic;
33 un10_column_counter_siglt6_1 : in std_logic;
35 un10_column_counter_siglt6_3 : in std_logic;
37 un6_dly_counter_0_x : in std_logic;
38 clk_pin_c : in std_logic;
42 architecture beh of vga_control is
43 signal devclrn : std_logic := '1';
44 signal devpor : std_logic := '1';
45 signal devoe : std_logic := '0';
46 signal B_NEXT_I_O3_0 : std_logic ;
47 signal B_NEXT_I_A7_1 : std_logic ;
48 signal N_6_I_0_G0_0 : std_logic ;
49 signal N_4_I_0_G0_1 : std_logic ;
50 signal R_NEXT_I_O7 : std_logic ;
51 signal N_23_I_0_G0_A : std_logic ;
52 signal G_NEXT_I_O3 : std_logic ;
53 signal GND : std_logic ;
54 signal VCC : std_logic ;
56 B_Z26: stratix_lcell generic map (
57 operation_mode => "normal",
58 output_mode => "reg_only",
60 sum_lutc_input => "datac",
65 dataa => column_counter_sig_6,
66 datab => B_NEXT_I_O3_0,
67 datac => B_NEXT_I_A7_1,
68 datad => N_6_I_0_G0_0,
69 aclr => un6_dly_counter_0_x,
78 R_Z27: stratix_lcell generic map (
79 operation_mode => "normal",
80 output_mode => "reg_only",
82 sum_lutc_input => "datac",
87 dataa => column_counter_sig_6,
88 datab => un10_column_counter_siglt6_3,
89 datac => B_NEXT_I_O3_0,
90 datad => N_4_I_0_G0_1,
91 aclr => un6_dly_counter_0_x,
100 G_Z28: stratix_lcell generic map (
101 operation_mode => "normal",
102 output_mode => "reg_only",
104 sum_lutc_input => "datac",
109 dataa => column_counter_sig_6,
110 datab => column_counter_sig_5,
111 datac => R_NEXT_I_O7,
112 datad => N_23_I_0_G0_A,
113 aclr => un6_dly_counter_0_x,
122 N_23_I_0_G0_A_Z29: stratix_lcell generic map (
123 operation_mode => "normal",
124 output_mode => "comb_only",
126 sum_lutc_input => "datac",
129 combout => N_23_I_0_G0_A,
130 dataa => column_counter_sig_3,
131 datab => column_counter_sig_4,
132 datac => G_NEXT_I_O3,
133 datad => un10_column_counter_siglt6_1,
144 N_4_I_0_G0_1_Z30: stratix_lcell generic map (
145 operation_mode => "normal",
146 output_mode => "comb_only",
148 sum_lutc_input => "datac",
151 combout => N_4_I_0_G0_1,
152 dataa => column_counter_sig_5,
153 datab => column_counter_sig_6,
154 datac => G_NEXT_I_O3,
155 datad => R_NEXT_I_O7,
166 N_6_I_0_G0_0_Z31: stratix_lcell generic map (
167 operation_mode => "normal",
168 output_mode => "comb_only",
170 sum_lutc_input => "datac",
173 combout => N_6_I_0_G0_0,
174 dataa => column_counter_sig_5,
175 datab => column_counter_sig_6,
176 datac => un10_column_counter_siglt6_3,
177 datad => R_NEXT_I_O7,
188 B_NEXT_I_A7_1_Z32: stratix_lcell generic map (
189 operation_mode => "normal",
190 output_mode => "comb_only",
192 sum_lutc_input => "datac",
195 combout => B_NEXT_I_A7_1,
196 dataa => column_counter_sig_5,
197 datab => column_counter_sig_6,
198 datac => column_counter_sig_0,
199 datad => G_NEXT_I_O3,
210 B_NEXT_I_O3_0_Z33: stratix_lcell generic map (
211 operation_mode => "normal",
212 output_mode => "comb_only",
214 sum_lutc_input => "datac",
217 combout => B_NEXT_I_O3_0,
218 dataa => column_counter_sig_3,
219 datab => column_counter_sig_4,
220 datac => column_counter_sig_2,
221 datad => column_counter_sig_5,
232 R_NEXT_I_O7_Z34: stratix_lcell generic map (
233 operation_mode => "normal",
234 output_mode => "comb_only",
236 sum_lutc_input => "datac",
239 combout => R_NEXT_I_O7,
240 dataa => column_counter_sig_7,
241 datab => v_enable_sig,
242 datac => h_enable_sig,
254 G_NEXT_I_O3_Z35: stratix_lcell generic map (
255 operation_mode => "normal",
256 output_mode => "comb_only",
258 sum_lutc_input => "datac",
261 combout => G_NEXT_I_O3,
262 dataa => column_counter_sig_2,
263 datab => column_counter_sig_1,
281 library ieee, stratix;
282 use ieee.std_logic_1164.all;
283 use ieee.numeric_std.all;
285 use synplify.components.all;
286 use stratix.stratix_components.all;
290 line_counter_sig_0 : out std_logic;
291 line_counter_sig_1 : out std_logic;
292 line_counter_sig_2 : out std_logic;
293 line_counter_sig_3 : out std_logic;
294 line_counter_sig_4 : out std_logic;
295 line_counter_sig_5 : out std_logic;
296 line_counter_sig_6 : out std_logic;
297 line_counter_sig_7 : out std_logic;
298 line_counter_sig_8 : out std_logic;
299 dly_counter_1 : in std_logic;
300 dly_counter_0 : in std_logic;
301 vsync_state_2 : out std_logic;
302 vsync_state_5 : out std_logic;
303 vsync_state_3 : out std_logic;
304 vsync_state_6 : out std_logic;
305 vsync_state_4 : out std_logic;
306 vsync_state_1 : out std_logic;
307 vsync_state_0 : out std_logic;
308 hsync_state_2 : out std_logic;
309 hsync_state_4 : out std_logic;
310 hsync_state_0 : out std_logic;
311 hsync_state_5 : out std_logic;
312 hsync_state_1 : out std_logic;
313 hsync_state_3 : out std_logic;
314 hsync_state_6 : out std_logic;
315 column_counter_sig_0 : out std_logic;
316 column_counter_sig_1 : out std_logic;
317 column_counter_sig_2 : out std_logic;
318 column_counter_sig_3 : out std_logic;
319 column_counter_sig_4 : out std_logic;
320 column_counter_sig_5 : out std_logic;
321 column_counter_sig_6 : out std_logic;
322 column_counter_sig_7 : out std_logic;
323 column_counter_sig_8 : out std_logic;
324 column_counter_sig_9 : out std_logic;
325 vsync_counter_9 : out std_logic;
326 vsync_counter_8 : out std_logic;
327 vsync_counter_7 : out std_logic;
328 vsync_counter_6 : out std_logic;
329 vsync_counter_5 : out std_logic;
330 vsync_counter_4 : out std_logic;
331 vsync_counter_3 : out std_logic;
332 vsync_counter_2 : out std_logic;
333 vsync_counter_1 : out std_logic;
334 vsync_counter_0 : out std_logic;
335 hsync_counter_9 : out std_logic;
336 hsync_counter_8 : out std_logic;
337 hsync_counter_7 : out std_logic;
338 hsync_counter_6 : out std_logic;
339 hsync_counter_5 : out std_logic;
340 hsync_counter_4 : out std_logic;
341 hsync_counter_3 : out std_logic;
342 hsync_counter_2 : out std_logic;
343 hsync_counter_1 : out std_logic;
344 hsync_counter_0 : out std_logic;
345 d_set_vsync_counter : out std_logic;
346 un10_column_counter_siglt6_1 : out std_logic;
347 un10_column_counter_siglt6_3 : out std_logic;
348 v_sync : out std_logic;
349 h_sync : out std_logic;
350 h_enable_sig : out std_logic;
351 v_enable_sig : out std_logic;
352 reset_pin_c : in std_logic;
353 un6_dly_counter_0_x : out std_logic;
354 d_set_hsync_counter : out std_logic;
355 clk_pin_c : in std_logic);
358 architecture beh of vga_driver is
359 signal devclrn : std_logic := '1';
360 signal devpor : std_logic := '1';
361 signal devoe : std_logic := '0';
362 signal HSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
363 signal VSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
364 signal UN2_COLUMN_COUNTER_NEXT_COMBOUT : std_logic_vector(9 downto 1);
365 signal UN1_LINE_COUNTER_SIG_COMBOUT : std_logic_vector(9 downto 1);
366 signal UN1_LINE_COUNTER_SIG_COUT : std_logic_vector(7 downto 1);
367 signal UN1_LINE_COUNTER_SIG_A_COUT : std_logic_vector(1 to 1);
368 signal UN2_COLUMN_COUNTER_NEXT_COUT : std_logic_vector(7 downto 0);
369 signal HSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
370 signal G_2_I : std_logic ;
371 signal UN9_HSYNC_COUNTERLT9 : std_logic ;
372 signal VSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
373 signal G_16_I : std_logic ;
374 signal UN9_VSYNC_COUNTERLT9 : std_logic ;
375 signal UN10_COLUMN_COUNTER_SIGLTO9 : std_logic ;
376 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
377 signal UN6_DLY_COUNTER_0_X_58 : std_logic ;
378 signal \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\ : std_logic ;
379 signal VSYNC_STATE_NEXT_2_SQMUXA : std_logic ;
380 signal UN12_VSYNC_COUNTER_7 : std_logic ;
381 signal UN13_VSYNC_COUNTER_4 : std_logic ;
382 signal UN10_LINE_COUNTER_SIGLTO8 : std_logic ;
383 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
384 signal V_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
385 signal H_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
386 signal H_SYNC_1_0_0_0_G1 : std_logic ;
387 signal V_SYNC_1_0_0_0_G1 : std_logic ;
388 signal UN14_VSYNC_COUNTER_8 : std_logic ;
389 signal \HSYNC_STATE_3_0_0_0__G0_0\ : std_logic ;
390 signal UN10_HSYNC_COUNTER_3 : std_logic ;
391 signal UN10_HSYNC_COUNTER_1 : std_logic ;
392 signal UN10_HSYNC_COUNTER_4 : std_logic ;
393 signal UN12_HSYNC_COUNTER : std_logic ;
394 signal UN11_HSYNC_COUNTER_2 : std_logic ;
395 signal UN11_HSYNC_COUNTER_3 : std_logic ;
396 signal UN13_HSYNC_COUNTER : std_logic ;
397 signal VSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
398 signal VSYNC_STATE_NEXT_1_SQMUXA_3 : std_logic ;
399 signal UN1_VSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
400 signal HSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
401 signal HSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
402 signal UN1_HSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
403 signal UN12_VSYNC_COUNTER_6 : std_logic ;
404 signal UN15_VSYNC_COUNTER_4 : std_logic ;
405 signal VSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
406 signal UN10_COLUMN_COUNTER_SIGLT6 : std_logic ;
407 signal UN10_LINE_COUNTER_SIGLTO5 : std_logic ;
408 signal UN9_VSYNC_COUNTERLT9_5 : std_logic ;
409 signal UN9_VSYNC_COUNTERLT9_6 : std_logic ;
410 signal UN12_HSYNC_COUNTER_3 : std_logic ;
411 signal UN12_HSYNC_COUNTER_4 : std_logic ;
412 signal UN13_HSYNC_COUNTER_2 : std_logic ;
413 signal UN13_HSYNC_COUNTER_7 : std_logic ;
414 signal UN9_HSYNC_COUNTERLT9_3 : std_logic ;
415 signal UN10_LINE_COUNTER_SIGLT4_2 : std_logic ;
416 signal UN13_VSYNC_COUNTER_3 : std_logic ;
417 signal UN15_VSYNC_COUNTER_3 : std_logic ;
418 signal V_SYNC_56 : std_logic ;
419 signal UN1_VSYNC_STATE_2_0 : std_logic ;
420 signal D_SET_HSYNC_COUNTER_59 : std_logic ;
421 signal H_SYNC_57 : std_logic ;
422 signal UN1_HSYNC_STATE_3_0 : std_logic ;
423 signal UN10_COLUMN_COUNTER_SIGLT6_54 : std_logic ;
424 signal D_SET_VSYNC_COUNTER_53 : std_logic ;
425 signal UN10_COLUMN_COUNTER_SIGLT6_55 : std_logic ;
426 signal VCC : std_logic ;
427 signal LINE_COUNTER_SIG_0_0 : std_logic ;
428 signal LINE_COUNTER_SIG_1_0 : std_logic ;
429 signal LINE_COUNTER_SIG_2_0 : std_logic ;
430 signal LINE_COUNTER_SIG_3_0 : std_logic ;
431 signal LINE_COUNTER_SIG_4_0 : std_logic ;
432 signal LINE_COUNTER_SIG_5_0 : std_logic ;
433 signal LINE_COUNTER_SIG_6_0 : std_logic ;
434 signal LINE_COUNTER_SIG_7_0 : std_logic ;
435 signal LINE_COUNTER_SIG_8_0 : std_logic ;
436 signal VSYNC_STATE_9 : std_logic ;
437 signal VSYNC_STATE_10 : std_logic ;
438 signal VSYNC_STATE_11 : std_logic ;
439 signal VSYNC_STATE_12 : std_logic ;
440 signal VSYNC_STATE_13 : std_logic ;
441 signal VSYNC_STATE_14 : std_logic ;
442 signal VSYNC_STATE_15 : std_logic ;
443 signal HSYNC_STATE_16 : std_logic ;
444 signal HSYNC_STATE_17 : std_logic ;
445 signal HSYNC_STATE_18 : std_logic ;
446 signal HSYNC_STATE_19 : std_logic ;
447 signal HSYNC_STATE_20 : std_logic ;
448 signal HSYNC_STATE_21 : std_logic ;
449 signal HSYNC_STATE_22 : std_logic ;
450 signal COLUMN_COUNTER_SIG_23 : std_logic ;
451 signal COLUMN_COUNTER_SIG_24 : std_logic ;
452 signal COLUMN_COUNTER_SIG_25 : std_logic ;
453 signal COLUMN_COUNTER_SIG_26 : std_logic ;
454 signal COLUMN_COUNTER_SIG_27 : std_logic ;
455 signal COLUMN_COUNTER_SIG_28 : std_logic ;
456 signal COLUMN_COUNTER_SIG_29 : std_logic ;
457 signal COLUMN_COUNTER_SIG_30 : std_logic ;
458 signal COLUMN_COUNTER_SIG_31 : std_logic ;
459 signal COLUMN_COUNTER_SIG_32 : std_logic ;
460 signal VSYNC_COUNTER_33 : std_logic ;
461 signal VSYNC_COUNTER_34 : std_logic ;
462 signal VSYNC_COUNTER_35 : std_logic ;
463 signal VSYNC_COUNTER_36 : std_logic ;
464 signal VSYNC_COUNTER_37 : std_logic ;
465 signal VSYNC_COUNTER_38 : std_logic ;
466 signal VSYNC_COUNTER_39 : std_logic ;
467 signal VSYNC_COUNTER_40 : std_logic ;
468 signal VSYNC_COUNTER_41 : std_logic ;
469 signal VSYNC_COUNTER_42 : std_logic ;
470 signal HSYNC_COUNTER_43 : std_logic ;
471 signal HSYNC_COUNTER_44 : std_logic ;
472 signal HSYNC_COUNTER_45 : std_logic ;
473 signal HSYNC_COUNTER_46 : std_logic ;
474 signal HSYNC_COUNTER_47 : std_logic ;
475 signal HSYNC_COUNTER_48 : std_logic ;
476 signal HSYNC_COUNTER_49 : std_logic ;
477 signal HSYNC_COUNTER_50 : std_logic ;
478 signal HSYNC_COUNTER_51 : std_logic ;
479 signal HSYNC_COUNTER_52 : std_logic ;
480 signal GND : std_logic ;
481 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
482 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
483 signal G_16_I_I : std_logic ;
484 signal UN9_VSYNC_COUNTERLT9_I : std_logic ;
485 signal G_2_I_I : std_logic ;
486 signal UN9_HSYNC_COUNTERLT9_I : std_logic ;
488 \HSYNC_COUNTER_0_\: stratix_lcell generic map (
489 operation_mode => "arithmetic",
490 output_mode => "reg_and_comb",
492 sum_lutc_input => "datac",
495 regout => HSYNC_COUNTER_52,
496 cout => HSYNC_COUNTER_COUT(0),
498 dataa => HSYNC_COUNTER_52,
500 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
502 sload => UN9_HSYNC_COUNTERLT9_I,
511 \HSYNC_COUNTER_1_\: stratix_lcell generic map (
512 operation_mode => "arithmetic",
513 output_mode => "reg_and_comb",
515 sum_lutc_input => "cin",
519 regout => HSYNC_COUNTER_51,
520 cout => HSYNC_COUNTER_COUT(1),
522 dataa => HSYNC_COUNTER_51,
523 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
525 sload => UN9_HSYNC_COUNTERLT9_I,
526 cin => HSYNC_COUNTER_COUT(0),
535 \HSYNC_COUNTER_2_\: stratix_lcell generic map (
536 operation_mode => "arithmetic",
537 output_mode => "reg_and_comb",
539 sum_lutc_input => "cin",
543 regout => HSYNC_COUNTER_50,
544 cout => HSYNC_COUNTER_COUT(2),
546 dataa => HSYNC_COUNTER_50,
547 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
549 sload => UN9_HSYNC_COUNTERLT9_I,
550 cin => HSYNC_COUNTER_COUT(1),
559 \HSYNC_COUNTER_3_\: stratix_lcell generic map (
560 operation_mode => "arithmetic",
561 output_mode => "reg_and_comb",
563 sum_lutc_input => "cin",
567 regout => HSYNC_COUNTER_49,
568 cout => HSYNC_COUNTER_COUT(3),
570 dataa => HSYNC_COUNTER_49,
571 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
573 sload => UN9_HSYNC_COUNTERLT9_I,
574 cin => HSYNC_COUNTER_COUT(2),
583 \HSYNC_COUNTER_4_\: stratix_lcell generic map (
584 operation_mode => "arithmetic",
585 output_mode => "reg_and_comb",
587 sum_lutc_input => "cin",
591 regout => HSYNC_COUNTER_48,
592 cout => HSYNC_COUNTER_COUT(4),
594 dataa => HSYNC_COUNTER_48,
595 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
597 sload => UN9_HSYNC_COUNTERLT9_I,
598 cin => HSYNC_COUNTER_COUT(3),
607 \HSYNC_COUNTER_5_\: stratix_lcell generic map (
608 operation_mode => "arithmetic",
609 output_mode => "reg_and_comb",
611 sum_lutc_input => "cin",
615 regout => HSYNC_COUNTER_47,
616 cout => HSYNC_COUNTER_COUT(5),
618 dataa => HSYNC_COUNTER_47,
619 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
621 sload => UN9_HSYNC_COUNTERLT9_I,
622 cin => HSYNC_COUNTER_COUT(4),
631 \HSYNC_COUNTER_6_\: stratix_lcell generic map (
632 operation_mode => "arithmetic",
633 output_mode => "reg_and_comb",
635 sum_lutc_input => "cin",
639 regout => HSYNC_COUNTER_46,
640 cout => HSYNC_COUNTER_COUT(6),
642 dataa => HSYNC_COUNTER_46,
643 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
645 sload => UN9_HSYNC_COUNTERLT9_I,
646 cin => HSYNC_COUNTER_COUT(5),
655 \HSYNC_COUNTER_7_\: stratix_lcell generic map (
656 operation_mode => "arithmetic",
657 output_mode => "reg_and_comb",
659 sum_lutc_input => "cin",
663 regout => HSYNC_COUNTER_45,
664 cout => HSYNC_COUNTER_COUT(7),
666 dataa => HSYNC_COUNTER_45,
667 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
669 sload => UN9_HSYNC_COUNTERLT9_I,
670 cin => HSYNC_COUNTER_COUT(6),
679 \HSYNC_COUNTER_8_\: stratix_lcell generic map (
680 operation_mode => "arithmetic",
681 output_mode => "reg_and_comb",
683 sum_lutc_input => "cin",
687 regout => HSYNC_COUNTER_44,
688 cout => HSYNC_COUNTER_COUT(8),
690 dataa => HSYNC_COUNTER_44,
691 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
693 sload => UN9_HSYNC_COUNTERLT9_I,
694 cin => HSYNC_COUNTER_COUT(7),
703 \HSYNC_COUNTER_9_\: stratix_lcell generic map (
704 operation_mode => "normal",
705 output_mode => "reg_only",
707 sum_lutc_input => "cin",
711 regout => HSYNC_COUNTER_43,
713 dataa => HSYNC_COUNTER_43,
714 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
716 sload => UN9_HSYNC_COUNTERLT9_I,
717 cin => HSYNC_COUNTER_COUT(8),
726 \VSYNC_COUNTER_0_\: stratix_lcell generic map (
727 operation_mode => "arithmetic",
728 output_mode => "reg_and_comb",
730 sum_lutc_input => "datac",
733 regout => VSYNC_COUNTER_42,
734 cout => VSYNC_COUNTER_COUT(0),
736 dataa => VSYNC_COUNTER_42,
737 datab => D_SET_HSYNC_COUNTER_59,
738 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
740 sload => UN9_VSYNC_COUNTERLT9_I,
749 \VSYNC_COUNTER_1_\: stratix_lcell generic map (
750 operation_mode => "arithmetic",
751 output_mode => "reg_and_comb",
753 sum_lutc_input => "cin",
757 regout => VSYNC_COUNTER_41,
758 cout => VSYNC_COUNTER_COUT(1),
760 dataa => VSYNC_COUNTER_41,
761 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
763 sload => UN9_VSYNC_COUNTERLT9_I,
764 cin => VSYNC_COUNTER_COUT(0),
773 \VSYNC_COUNTER_2_\: stratix_lcell generic map (
774 operation_mode => "arithmetic",
775 output_mode => "reg_and_comb",
777 sum_lutc_input => "cin",
781 regout => VSYNC_COUNTER_40,
782 cout => VSYNC_COUNTER_COUT(2),
784 dataa => VSYNC_COUNTER_40,
785 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
787 sload => UN9_VSYNC_COUNTERLT9_I,
788 cin => VSYNC_COUNTER_COUT(1),
797 \VSYNC_COUNTER_3_\: stratix_lcell generic map (
798 operation_mode => "arithmetic",
799 output_mode => "reg_and_comb",
801 sum_lutc_input => "cin",
805 regout => VSYNC_COUNTER_39,
806 cout => VSYNC_COUNTER_COUT(3),
808 dataa => VSYNC_COUNTER_39,
809 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
811 sload => UN9_VSYNC_COUNTERLT9_I,
812 cin => VSYNC_COUNTER_COUT(2),
821 \VSYNC_COUNTER_4_\: stratix_lcell generic map (
822 operation_mode => "arithmetic",
823 output_mode => "reg_and_comb",
825 sum_lutc_input => "cin",
829 regout => VSYNC_COUNTER_38,
830 cout => VSYNC_COUNTER_COUT(4),
832 dataa => VSYNC_COUNTER_38,
833 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
835 sload => UN9_VSYNC_COUNTERLT9_I,
836 cin => VSYNC_COUNTER_COUT(3),
845 \VSYNC_COUNTER_5_\: stratix_lcell generic map (
846 operation_mode => "arithmetic",
847 output_mode => "reg_and_comb",
849 sum_lutc_input => "cin",
853 regout => VSYNC_COUNTER_37,
854 cout => VSYNC_COUNTER_COUT(5),
856 dataa => VSYNC_COUNTER_37,
857 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
859 sload => UN9_VSYNC_COUNTERLT9_I,
860 cin => VSYNC_COUNTER_COUT(4),
869 \VSYNC_COUNTER_6_\: stratix_lcell generic map (
870 operation_mode => "arithmetic",
871 output_mode => "reg_and_comb",
873 sum_lutc_input => "cin",
877 regout => VSYNC_COUNTER_36,
878 cout => VSYNC_COUNTER_COUT(6),
880 dataa => VSYNC_COUNTER_36,
881 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
883 sload => UN9_VSYNC_COUNTERLT9_I,
884 cin => VSYNC_COUNTER_COUT(5),
893 \VSYNC_COUNTER_7_\: stratix_lcell generic map (
894 operation_mode => "arithmetic",
895 output_mode => "reg_and_comb",
897 sum_lutc_input => "cin",
901 regout => VSYNC_COUNTER_35,
902 cout => VSYNC_COUNTER_COUT(7),
904 dataa => VSYNC_COUNTER_35,
905 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
907 sload => UN9_VSYNC_COUNTERLT9_I,
908 cin => VSYNC_COUNTER_COUT(6),
917 \VSYNC_COUNTER_8_\: stratix_lcell generic map (
918 operation_mode => "arithmetic",
919 output_mode => "reg_and_comb",
921 sum_lutc_input => "cin",
925 regout => VSYNC_COUNTER_34,
926 cout => VSYNC_COUNTER_COUT(8),
928 dataa => VSYNC_COUNTER_34,
929 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
931 sload => UN9_VSYNC_COUNTERLT9_I,
932 cin => VSYNC_COUNTER_COUT(7),
941 \VSYNC_COUNTER_9_\: stratix_lcell generic map (
942 operation_mode => "normal",
943 output_mode => "reg_only",
945 sum_lutc_input => "cin",
949 regout => VSYNC_COUNTER_33,
951 dataa => VSYNC_COUNTER_33,
952 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
954 sload => UN9_VSYNC_COUNTERLT9_I,
955 cin => VSYNC_COUNTER_COUT(8),
964 \COLUMN_COUNTER_SIG_9_\: stratix_lcell generic map (
965 operation_mode => "normal",
966 output_mode => "reg_only",
968 sum_lutc_input => "datac",
971 regout => COLUMN_COUNTER_SIG_32,
973 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
974 datab => UN10_COLUMN_COUNTER_SIGLTO9,
975 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
986 \COLUMN_COUNTER_SIG_8_\: stratix_lcell generic map (
987 operation_mode => "normal",
988 output_mode => "reg_only",
990 sum_lutc_input => "datac",
993 regout => COLUMN_COUNTER_SIG_31,
995 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
996 datab => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
997 datac => UN10_COLUMN_COUNTER_SIGLTO9,
1008 \COLUMN_COUNTER_SIG_7_\: stratix_lcell generic map (
1009 operation_mode => "normal",
1010 output_mode => "reg_only",
1011 synch_mode => "off",
1012 sum_lutc_input => "datac",
1015 regout => COLUMN_COUNTER_SIG_30,
1017 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
1018 datab => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
1019 datac => UN10_COLUMN_COUNTER_SIGLTO9,
1030 \COLUMN_COUNTER_SIG_6_\: stratix_lcell generic map (
1031 operation_mode => "normal",
1032 output_mode => "reg_only",
1034 sum_lutc_input => "datac",
1037 regout => COLUMN_COUNTER_SIG_29,
1039 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
1040 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1041 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1052 \COLUMN_COUNTER_SIG_5_\: stratix_lcell generic map (
1053 operation_mode => "normal",
1054 output_mode => "reg_only",
1056 sum_lutc_input => "datac",
1059 regout => COLUMN_COUNTER_SIG_28,
1061 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
1062 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1063 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1074 \COLUMN_COUNTER_SIG_4_\: stratix_lcell generic map (
1075 operation_mode => "normal",
1076 output_mode => "reg_only",
1078 sum_lutc_input => "datac",
1081 regout => COLUMN_COUNTER_SIG_27,
1083 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
1084 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1085 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1096 \COLUMN_COUNTER_SIG_3_\: stratix_lcell generic map (
1097 operation_mode => "normal",
1098 output_mode => "reg_only",
1100 sum_lutc_input => "datac",
1103 regout => COLUMN_COUNTER_SIG_26,
1105 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
1106 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1107 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1118 \COLUMN_COUNTER_SIG_2_\: stratix_lcell generic map (
1119 operation_mode => "normal",
1120 output_mode => "reg_only",
1122 sum_lutc_input => "datac",
1125 regout => COLUMN_COUNTER_SIG_25,
1127 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
1128 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1129 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1140 \COLUMN_COUNTER_SIG_1_\: stratix_lcell generic map (
1141 operation_mode => "normal",
1142 output_mode => "reg_only",
1144 sum_lutc_input => "datac",
1147 regout => COLUMN_COUNTER_SIG_24,
1149 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
1150 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1151 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1162 \COLUMN_COUNTER_SIG_0_\: stratix_lcell generic map (
1163 operation_mode => "normal",
1164 output_mode => "reg_only",
1166 sum_lutc_input => "datac",
1169 regout => COLUMN_COUNTER_SIG_23,
1171 dataa => COLUMN_COUNTER_SIG_23,
1172 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1173 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1184 \HSYNC_STATE_6_\: stratix_lcell generic map (
1185 operation_mode => "normal",
1186 output_mode => "reg_only",
1187 synch_mode => "off",
1188 sum_lutc_input => "datac",
1191 regout => HSYNC_STATE_22,
1193 datad => UN6_DLY_COUNTER_0_X_58,
1206 \VSYNC_STATE_0_\: stratix_lcell generic map (
1207 operation_mode => "normal",
1208 output_mode => "reg_only",
1209 synch_mode => "off",
1210 sum_lutc_input => "datac",
1213 regout => VSYNC_STATE_15,
1215 dataa => VSYNC_STATE_15,
1216 datab => UN6_DLY_COUNTER_0_X_58,
1217 datac => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
1218 datad => VSYNC_STATE_NEXT_2_SQMUXA,
1228 \VSYNC_STATE_1_\: stratix_lcell generic map (
1229 operation_mode => "normal",
1230 output_mode => "reg_only",
1231 synch_mode => "off",
1232 sum_lutc_input => "datac",
1235 regout => VSYNC_STATE_14,
1237 dataa => VSYNC_STATE_13,
1238 datab => UN12_VSYNC_COUNTER_7,
1239 datac => UN13_VSYNC_COUNTER_4,
1240 datad => UN6_DLY_COUNTER_0_X_58,
1250 \VSYNC_STATE_6_\: stratix_lcell generic map (
1251 operation_mode => "normal",
1252 output_mode => "reg_and_comb",
1253 synch_mode => "off",
1254 sum_lutc_input => "datac",
1257 combout => UN6_DLY_COUNTER_0_X_58,
1258 regout => VSYNC_STATE_12,
1260 dataa => reset_pin_c,
1261 datab => dly_counter_0,
1262 datac => dly_counter_1,
1273 \LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
1274 operation_mode => "normal",
1275 output_mode => "reg_only",
1277 sum_lutc_input => "datac",
1280 regout => LINE_COUNTER_SIG_8_0,
1282 dataa => UN10_LINE_COUNTER_SIGLTO8,
1283 datab => UN1_LINE_COUNTER_SIG_COMBOUT(9),
1284 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1295 \LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
1296 operation_mode => "normal",
1297 output_mode => "reg_only",
1299 sum_lutc_input => "datac",
1302 regout => LINE_COUNTER_SIG_7_0,
1304 dataa => UN10_LINE_COUNTER_SIGLTO8,
1305 datab => UN1_LINE_COUNTER_SIG_COMBOUT(8),
1306 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1317 \LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
1318 operation_mode => "normal",
1319 output_mode => "reg_only",
1321 sum_lutc_input => "datac",
1324 regout => LINE_COUNTER_SIG_6_0,
1326 dataa => UN10_LINE_COUNTER_SIGLTO8,
1327 datab => UN1_LINE_COUNTER_SIG_COMBOUT(7),
1328 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1339 \LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
1340 operation_mode => "normal",
1341 output_mode => "reg_only",
1342 synch_mode => "off",
1343 sum_lutc_input => "datac",
1346 regout => LINE_COUNTER_SIG_5_0,
1348 dataa => UN10_LINE_COUNTER_SIGLTO8,
1349 datab => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
1350 datac => UN1_LINE_COUNTER_SIG_COMBOUT(6),
1361 \LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
1362 operation_mode => "normal",
1363 output_mode => "reg_only",
1365 sum_lutc_input => "datac",
1368 regout => LINE_COUNTER_SIG_4_0,
1370 dataa => UN10_LINE_COUNTER_SIGLTO8,
1371 datab => UN1_LINE_COUNTER_SIG_COMBOUT(5),
1372 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1383 \LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
1384 operation_mode => "normal",
1385 output_mode => "reg_only",
1387 sum_lutc_input => "datac",
1390 regout => LINE_COUNTER_SIG_3_0,
1392 dataa => UN10_LINE_COUNTER_SIGLTO8,
1393 datab => UN1_LINE_COUNTER_SIG_COMBOUT(4),
1394 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1405 \LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
1406 operation_mode => "normal",
1407 output_mode => "reg_only",
1409 sum_lutc_input => "datac",
1412 regout => LINE_COUNTER_SIG_2_0,
1414 dataa => UN10_LINE_COUNTER_SIGLTO8,
1415 datab => UN1_LINE_COUNTER_SIG_COMBOUT(3),
1416 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1427 \LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
1428 operation_mode => "normal",
1429 output_mode => "reg_only",
1431 sum_lutc_input => "datac",
1434 regout => LINE_COUNTER_SIG_1_0,
1436 dataa => UN10_LINE_COUNTER_SIGLTO8,
1437 datab => UN1_LINE_COUNTER_SIG_COMBOUT(2),
1438 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1449 \LINE_COUNTER_SIG_0_\: stratix_lcell generic map (
1450 operation_mode => "normal",
1451 output_mode => "reg_only",
1453 sum_lutc_input => "datac",
1456 regout => LINE_COUNTER_SIG_0_0,
1458 dataa => UN1_LINE_COUNTER_SIG_COMBOUT(1),
1459 datab => UN10_LINE_COUNTER_SIGLTO8,
1460 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
1471 V_ENABLE_SIG_Z286: stratix_lcell generic map (
1472 operation_mode => "normal",
1473 output_mode => "reg_only",
1475 sum_lutc_input => "datac",
1478 regout => v_enable_sig,
1480 dataa => HSYNC_STATE_21,
1481 datab => HSYNC_STATE_20,
1482 sclr => UN6_DLY_COUNTER_0_X_58,
1483 ena => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
1493 H_ENABLE_SIG_Z287: stratix_lcell generic map (
1494 operation_mode => "normal",
1495 output_mode => "reg_only",
1497 sum_lutc_input => "datac",
1500 regout => h_enable_sig,
1502 dataa => VSYNC_STATE_11,
1503 datab => VSYNC_STATE_14,
1504 sclr => UN6_DLY_COUNTER_0_X_58,
1505 ena => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
1515 H_SYNC_Z288: stratix_lcell generic map (
1516 operation_mode => "normal",
1517 output_mode => "reg_only",
1518 synch_mode => "off",
1519 sum_lutc_input => "datac",
1522 regout => H_SYNC_57,
1524 dataa => reset_pin_c,
1525 datab => dly_counter_0,
1526 datac => dly_counter_1,
1527 datad => H_SYNC_1_0_0_0_G1,
1537 V_SYNC_Z289: stratix_lcell generic map (
1538 operation_mode => "normal",
1539 output_mode => "reg_only",
1540 synch_mode => "off",
1541 sum_lutc_input => "datac",
1544 regout => V_SYNC_56,
1546 dataa => reset_pin_c,
1547 datab => dly_counter_0,
1548 datac => dly_counter_1,
1549 datad => V_SYNC_1_0_0_0_G1,
1559 \VSYNC_STATE_5_\: stratix_lcell generic map (
1560 operation_mode => "normal",
1561 output_mode => "reg_only",
1563 sum_lutc_input => "datac",
1566 regout => VSYNC_STATE_10,
1568 dataa => VSYNC_STATE_12,
1569 datab => VSYNC_STATE_15,
1570 sclr => UN6_DLY_COUNTER_0_X_58,
1571 ena => VSYNC_STATE_NEXT_2_SQMUXA,
1581 \VSYNC_STATE_4_\: stratix_lcell generic map (
1582 operation_mode => "normal",
1583 output_mode => "reg_only",
1585 sum_lutc_input => "datac",
1588 regout => VSYNC_STATE_13,
1590 dataa => VSYNC_COUNTER_42,
1591 datab => VSYNC_COUNTER_33,
1592 datac => VSYNC_STATE_10,
1593 datad => UN14_VSYNC_COUNTER_8,
1594 sclr => UN6_DLY_COUNTER_0_X_58,
1595 ena => VSYNC_STATE_NEXT_2_SQMUXA,
1603 \VSYNC_STATE_3_\: stratix_lcell generic map (
1604 operation_mode => "normal",
1605 output_mode => "reg_only",
1607 sum_lutc_input => "datac",
1610 regout => VSYNC_STATE_11,
1612 dataa => VSYNC_STATE_14,
1613 sclr => UN6_DLY_COUNTER_0_X_58,
1614 ena => VSYNC_STATE_NEXT_2_SQMUXA,
1625 \VSYNC_STATE_2_\: stratix_lcell generic map (
1626 operation_mode => "normal",
1627 output_mode => "reg_only",
1629 sum_lutc_input => "datac",
1632 regout => VSYNC_STATE_9,
1634 dataa => VSYNC_COUNTER_42,
1635 datab => VSYNC_COUNTER_33,
1636 datac => VSYNC_STATE_11,
1637 datad => UN14_VSYNC_COUNTER_8,
1638 sclr => UN6_DLY_COUNTER_0_X_58,
1639 ena => VSYNC_STATE_NEXT_2_SQMUXA,
1647 \HSYNC_STATE_5_\: stratix_lcell generic map (
1648 operation_mode => "normal",
1649 output_mode => "reg_only",
1651 sum_lutc_input => "datac",
1654 regout => HSYNC_STATE_19,
1656 dataa => HSYNC_STATE_22,
1657 datab => HSYNC_STATE_18,
1658 sclr => UN6_DLY_COUNTER_0_X_58,
1659 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1669 \HSYNC_STATE_4_\: stratix_lcell generic map (
1670 operation_mode => "normal",
1671 output_mode => "reg_only",
1673 sum_lutc_input => "datac",
1676 regout => HSYNC_STATE_17,
1678 dataa => HSYNC_STATE_19,
1679 datab => UN10_HSYNC_COUNTER_3,
1680 datac => UN10_HSYNC_COUNTER_1,
1681 datad => UN10_HSYNC_COUNTER_4,
1682 sclr => UN6_DLY_COUNTER_0_X_58,
1683 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1691 \HSYNC_STATE_3_\: stratix_lcell generic map (
1692 operation_mode => "normal",
1693 output_mode => "reg_only",
1695 sum_lutc_input => "datac",
1698 regout => HSYNC_STATE_21,
1700 dataa => HSYNC_STATE_20,
1701 sclr => UN6_DLY_COUNTER_0_X_58,
1702 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1713 \HSYNC_STATE_2_\: stratix_lcell generic map (
1714 operation_mode => "normal",
1715 output_mode => "reg_only",
1717 sum_lutc_input => "datac",
1720 regout => HSYNC_STATE_16,
1722 dataa => HSYNC_STATE_21,
1723 datab => UN12_HSYNC_COUNTER,
1724 sclr => UN6_DLY_COUNTER_0_X_58,
1725 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1735 \HSYNC_STATE_1_\: stratix_lcell generic map (
1736 operation_mode => "normal",
1737 output_mode => "reg_only",
1739 sum_lutc_input => "datac",
1742 regout => HSYNC_STATE_20,
1744 dataa => HSYNC_STATE_17,
1745 datab => UN11_HSYNC_COUNTER_2,
1746 datac => UN10_HSYNC_COUNTER_1,
1747 datad => UN11_HSYNC_COUNTER_3,
1748 sclr => UN6_DLY_COUNTER_0_X_58,
1749 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1757 \HSYNC_STATE_0_\: stratix_lcell generic map (
1758 operation_mode => "normal",
1759 output_mode => "reg_only",
1761 sum_lutc_input => "datac",
1764 regout => HSYNC_STATE_18,
1766 dataa => HSYNC_STATE_16,
1767 datab => UN13_HSYNC_COUNTER,
1768 sclr => UN6_DLY_COUNTER_0_X_58,
1769 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
1779 VSYNC_STATE_NEXT_2_SQMUXA_Z300: stratix_lcell generic map (
1780 operation_mode => "normal",
1781 output_mode => "comb_only",
1782 synch_mode => "off",
1783 sum_lutc_input => "datac",
1786 combout => VSYNC_STATE_NEXT_2_SQMUXA,
1787 dataa => UN6_DLY_COUNTER_0_X_58,
1788 datab => VSYNC_STATE_NEXT_1_SQMUXA_1,
1789 datac => VSYNC_STATE_NEXT_1_SQMUXA_3,
1790 datad => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
1801 \HSYNC_STATE_3_0_0_0__G0_0_Z301\: stratix_lcell generic map (
1802 operation_mode => "normal",
1803 output_mode => "comb_only",
1804 synch_mode => "off",
1805 sum_lutc_input => "datac",
1808 combout => \HSYNC_STATE_3_0_0_0__G0_0\,
1809 dataa => HSYNC_STATE_NEXT_1_SQMUXA_1,
1810 datab => HSYNC_STATE_NEXT_1_SQMUXA_2,
1811 datac => UN6_DLY_COUNTER_0_X_58,
1812 datad => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
1823 UN1_HSYNC_STATE_NEXT_1_SQMUXA_0_Z302: stratix_lcell generic map (
1824 operation_mode => "normal",
1825 output_mode => "comb_only",
1826 synch_mode => "off",
1827 sum_lutc_input => "datac",
1830 combout => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
1831 dataa => HSYNC_STATE_16,
1832 datab => HSYNC_STATE_21,
1833 datac => UN13_HSYNC_COUNTER,
1834 datad => UN12_HSYNC_COUNTER,
1845 UN1_VSYNC_STATE_NEXT_1_SQMUXA_0_Z303: stratix_lcell generic map (
1846 operation_mode => "normal",
1847 output_mode => "comb_only",
1848 synch_mode => "off",
1849 sum_lutc_input => "datac",
1852 combout => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
1853 dataa => VSYNC_STATE_9,
1854 datab => UN12_VSYNC_COUNTER_6,
1855 datac => UN15_VSYNC_COUNTER_4,
1856 datad => VSYNC_STATE_NEXT_1_SQMUXA_2,
1867 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLTO9: stratix_lcell generic map (
1868 operation_mode => "normal",
1869 output_mode => "comb_only",
1870 synch_mode => "off",
1871 sum_lutc_input => "datac",
1874 combout => UN10_COLUMN_COUNTER_SIGLTO9,
1875 dataa => COLUMN_COUNTER_SIG_30,
1876 datab => COLUMN_COUNTER_SIG_31,
1877 datac => COLUMN_COUNTER_SIG_32,
1878 datad => UN10_COLUMN_COUNTER_SIGLT6,
1889 \VSYNC_STATE_3_IV_0_0__G0_0_A3_0_Z305\: stratix_lcell generic map (
1890 operation_mode => "normal",
1891 output_mode => "comb_only",
1892 synch_mode => "off",
1893 sum_lutc_input => "datac",
1896 combout => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
1897 dataa => VSYNC_STATE_9,
1898 datab => UN12_VSYNC_COUNTER_6,
1899 datac => UN15_VSYNC_COUNTER_4,
1911 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO8: stratix_lcell generic map (
1912 operation_mode => "normal",
1913 output_mode => "comb_only",
1914 synch_mode => "off",
1915 sum_lutc_input => "datac",
1918 combout => UN10_LINE_COUNTER_SIGLTO8,
1919 dataa => LINE_COUNTER_SIG_6_0,
1920 datab => LINE_COUNTER_SIG_7_0,
1921 datac => LINE_COUNTER_SIG_8_0,
1922 datad => UN10_LINE_COUNTER_SIGLTO5,
1933 VSYNC_STATE_NEXT_1_SQMUXA_1_Z307: stratix_lcell generic map (
1934 operation_mode => "normal",
1935 output_mode => "comb_only",
1936 synch_mode => "off",
1937 sum_lutc_input => "datac",
1940 combout => VSYNC_STATE_NEXT_1_SQMUXA_1,
1941 dataa => VSYNC_COUNTER_42,
1942 datab => VSYNC_COUNTER_33,
1943 datac => VSYNC_STATE_10,
1944 datad => UN14_VSYNC_COUNTER_8,
1955 VSYNC_STATE_NEXT_1_SQMUXA_2_Z308: stratix_lcell generic map (
1956 operation_mode => "normal",
1957 output_mode => "comb_only",
1958 synch_mode => "off",
1959 sum_lutc_input => "datac",
1962 combout => VSYNC_STATE_NEXT_1_SQMUXA_2,
1963 dataa => VSYNC_STATE_13,
1964 datab => UN12_VSYNC_COUNTER_7,
1965 datac => UN13_VSYNC_COUNTER_4,
1977 VSYNC_STATE_NEXT_1_SQMUXA_3_Z309: stratix_lcell generic map (
1978 operation_mode => "normal",
1979 output_mode => "comb_only",
1980 synch_mode => "off",
1981 sum_lutc_input => "datac",
1984 combout => VSYNC_STATE_NEXT_1_SQMUXA_3,
1985 dataa => VSYNC_COUNTER_42,
1986 datab => VSYNC_COUNTER_33,
1987 datac => VSYNC_STATE_11,
1988 datad => UN14_VSYNC_COUNTER_8,
1999 G_16: stratix_lcell generic map (
2000 operation_mode => "normal",
2001 output_mode => "comb_only",
2002 synch_mode => "off",
2003 sum_lutc_input => "datac",
2007 dataa => VSYNC_STATE_15,
2008 datab => VSYNC_STATE_12,
2009 datac => UN9_VSYNC_COUNTERLT9,
2010 datad => UN6_DLY_COUNTER_0_X_58,
2021 G_2: stratix_lcell generic map (
2022 operation_mode => "normal",
2023 output_mode => "comb_only",
2024 synch_mode => "off",
2025 sum_lutc_input => "datac",
2029 dataa => HSYNC_STATE_18,
2030 datab => HSYNC_STATE_22,
2031 datac => UN9_HSYNC_COUNTERLT9,
2032 datad => UN6_DLY_COUNTER_0_X_58,
2043 HSYNC_STATE_NEXT_1_SQMUXA_2_Z312: stratix_lcell generic map (
2044 operation_mode => "normal",
2045 output_mode => "comb_only",
2046 synch_mode => "off",
2047 sum_lutc_input => "datac",
2050 combout => HSYNC_STATE_NEXT_1_SQMUXA_2,
2051 dataa => HSYNC_STATE_17,
2052 datab => UN11_HSYNC_COUNTER_2,
2053 datac => UN10_HSYNC_COUNTER_1,
2054 datad => UN11_HSYNC_COUNTER_3,
2065 HSYNC_STATE_NEXT_1_SQMUXA_1_Z313: stratix_lcell generic map (
2066 operation_mode => "normal",
2067 output_mode => "comb_only",
2068 synch_mode => "off",
2069 sum_lutc_input => "datac",
2072 combout => HSYNC_STATE_NEXT_1_SQMUXA_1,
2073 dataa => HSYNC_STATE_19,
2074 datab => UN10_HSYNC_COUNTER_3,
2075 datac => UN10_HSYNC_COUNTER_1,
2076 datad => UN10_HSYNC_COUNTER_4,
2087 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9: stratix_lcell generic map (
2088 operation_mode => "normal",
2089 output_mode => "comb_only",
2090 synch_mode => "off",
2091 sum_lutc_input => "datac",
2094 combout => UN9_VSYNC_COUNTERLT9,
2095 dataa => VSYNC_COUNTER_38,
2096 datab => VSYNC_COUNTER_37,
2097 datac => UN9_VSYNC_COUNTERLT9_5,
2098 datad => UN9_VSYNC_COUNTERLT9_6,
2109 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6: stratix_lcell generic map (
2110 operation_mode => "normal",
2111 output_mode => "comb_only",
2112 synch_mode => "off",
2113 sum_lutc_input => "datac",
2116 combout => UN10_COLUMN_COUNTER_SIGLT6,
2117 dataa => COLUMN_COUNTER_SIG_26,
2118 datab => COLUMN_COUNTER_SIG_27,
2119 datac => UN10_COLUMN_COUNTER_SIGLT6_55,
2120 datad => UN10_COLUMN_COUNTER_SIGLT6_54,
2131 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER: stratix_lcell generic map (
2132 operation_mode => "normal",
2133 output_mode => "comb_only",
2134 synch_mode => "off",
2135 sum_lutc_input => "datac",
2138 combout => UN12_HSYNC_COUNTER,
2139 dataa => HSYNC_COUNTER_52,
2140 datab => HSYNC_COUNTER_51,
2141 datac => UN12_HSYNC_COUNTER_3,
2142 datad => UN12_HSYNC_COUNTER_4,
2153 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER: stratix_lcell generic map (
2154 operation_mode => "normal",
2155 output_mode => "comb_only",
2156 synch_mode => "off",
2157 sum_lutc_input => "datac",
2160 combout => UN13_HSYNC_COUNTER,
2161 dataa => HSYNC_COUNTER_46,
2162 datab => HSYNC_COUNTER_45,
2163 datac => UN13_HSYNC_COUNTER_2,
2164 datad => UN13_HSYNC_COUNTER_7,
2175 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9: stratix_lcell generic map (
2176 operation_mode => "normal",
2177 output_mode => "comb_only",
2178 synch_mode => "off",
2179 sum_lutc_input => "datac",
2182 combout => UN9_HSYNC_COUNTERLT9,
2183 dataa => HSYNC_COUNTER_48,
2184 datab => HSYNC_COUNTER_47,
2185 datac => UN9_HSYNC_COUNTERLT9_3,
2186 datad => UN13_HSYNC_COUNTER_7,
2197 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO5: stratix_lcell generic map (
2198 operation_mode => "normal",
2199 output_mode => "comb_only",
2200 synch_mode => "off",
2201 sum_lutc_input => "datac",
2204 combout => UN10_LINE_COUNTER_SIGLTO5,
2205 dataa => LINE_COUNTER_SIG_1_0,
2206 datab => LINE_COUNTER_SIG_2_0,
2207 datac => LINE_COUNTER_SIG_5_0,
2208 datad => UN10_LINE_COUNTER_SIGLT4_2,
2219 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_4: stratix_lcell generic map (
2220 operation_mode => "normal",
2221 output_mode => "comb_only",
2222 synch_mode => "off",
2223 sum_lutc_input => "datac",
2226 combout => UN13_VSYNC_COUNTER_4,
2227 dataa => VSYNC_COUNTER_42,
2228 datab => VSYNC_COUNTER_37,
2229 datac => UN13_VSYNC_COUNTER_3,
2241 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_4: stratix_lcell generic map (
2242 operation_mode => "normal",
2243 output_mode => "comb_only",
2244 synch_mode => "off",
2245 sum_lutc_input => "datac",
2248 combout => UN15_VSYNC_COUNTER_4,
2249 dataa => VSYNC_COUNTER_41,
2250 datab => VSYNC_COUNTER_38,
2251 datac => UN15_VSYNC_COUNTER_3,
2263 LINE_COUNTER_NEXT_0_SQMUXA_1_1_Z322: stratix_lcell generic map (
2264 operation_mode => "normal",
2265 output_mode => "comb_only",
2266 synch_mode => "off",
2267 sum_lutc_input => "datac",
2270 combout => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
2271 dataa => reset_pin_c,
2272 datab => dly_counter_0,
2273 datac => dly_counter_1,
2274 datad => VSYNC_STATE_14,
2285 V_SYNC_1_0_0_0_G1_Z323: stratix_lcell generic map (
2286 operation_mode => "normal",
2287 output_mode => "comb_only",
2288 synch_mode => "off",
2289 sum_lutc_input => "datac",
2292 combout => V_SYNC_1_0_0_0_G1,
2293 dataa => VSYNC_STATE_9,
2295 datac => VSYNC_STATE_13,
2296 datad => UN1_VSYNC_STATE_2_0,
2307 H_ENABLE_SIG_1_0_0_0_G0_I_O4_Z324: stratix_lcell generic map (
2308 operation_mode => "normal",
2309 output_mode => "comb_only",
2310 synch_mode => "off",
2311 sum_lutc_input => "datac",
2314 combout => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
2315 dataa => VSYNC_STATE_13,
2316 datab => VSYNC_STATE_10,
2317 datac => UN6_DLY_COUNTER_0_X_58,
2329 VSYNC_COUNTER_NEXT_1_SQMUXA_Z325: stratix_lcell generic map (
2330 operation_mode => "normal",
2331 output_mode => "comb_only",
2332 synch_mode => "off",
2333 sum_lutc_input => "datac",
2336 combout => VSYNC_COUNTER_NEXT_1_SQMUXA,
2337 dataa => reset_pin_c,
2338 datab => dly_counter_0,
2339 datac => dly_counter_1,
2340 datad => D_SET_VSYNC_COUNTER_53,
2351 VSYNC_FSM_NEXT_UN14_VSYNC_COUNTER_8: stratix_lcell generic map (
2352 operation_mode => "normal",
2353 output_mode => "comb_only",
2354 synch_mode => "off",
2355 sum_lutc_input => "datac",
2358 combout => UN14_VSYNC_COUNTER_8,
2359 dataa => UN12_VSYNC_COUNTER_6,
2360 datab => UN12_VSYNC_COUNTER_7,
2373 HSYNC_COUNTER_NEXT_1_SQMUXA_Z327: stratix_lcell generic map (
2374 operation_mode => "normal",
2375 output_mode => "comb_only",
2376 synch_mode => "off",
2377 sum_lutc_input => "datac",
2380 combout => HSYNC_COUNTER_NEXT_1_SQMUXA,
2381 dataa => reset_pin_c,
2382 datab => dly_counter_0,
2383 datac => dly_counter_1,
2384 datad => D_SET_HSYNC_COUNTER_59,
2395 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_Z328: stratix_lcell generic map (
2396 operation_mode => "normal",
2397 output_mode => "comb_only",
2398 synch_mode => "off",
2399 sum_lutc_input => "datac",
2402 combout => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
2403 dataa => reset_pin_c,
2404 datab => dly_counter_0,
2405 datac => dly_counter_1,
2406 datad => HSYNC_STATE_20,
2417 H_SYNC_1_0_0_0_G1_Z329: stratix_lcell generic map (
2418 operation_mode => "normal",
2419 output_mode => "comb_only",
2420 synch_mode => "off",
2421 sum_lutc_input => "datac",
2424 combout => H_SYNC_1_0_0_0_G1,
2425 dataa => HSYNC_STATE_16,
2427 datac => HSYNC_STATE_17,
2428 datad => UN1_HSYNC_STATE_3_0,
2439 V_ENABLE_SIG_1_0_0_0_G0_I_O4_Z330: stratix_lcell generic map (
2440 operation_mode => "normal",
2441 output_mode => "comb_only",
2442 synch_mode => "off",
2443 sum_lutc_input => "datac",
2446 combout => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
2447 dataa => HSYNC_STATE_17,
2448 datab => HSYNC_STATE_19,
2449 datac => UN6_DLY_COUNTER_0_X_58,
2461 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_4: stratix_lcell generic map (
2462 operation_mode => "normal",
2463 output_mode => "comb_only",
2464 synch_mode => "off",
2465 sum_lutc_input => "datac",
2468 combout => UN12_HSYNC_COUNTER_4,
2469 dataa => HSYNC_COUNTER_46,
2470 datab => HSYNC_COUNTER_45,
2471 datac => HSYNC_COUNTER_44,
2472 datad => HSYNC_COUNTER_48,
2483 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_3: stratix_lcell generic map (
2484 operation_mode => "normal",
2485 output_mode => "comb_only",
2486 synch_mode => "off",
2487 sum_lutc_input => "datac",
2490 combout => UN12_HSYNC_COUNTER_3,
2491 dataa => HSYNC_COUNTER_43,
2492 datab => HSYNC_COUNTER_47,
2493 datac => HSYNC_COUNTER_50,
2494 datad => HSYNC_COUNTER_49,
2505 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_3: stratix_lcell generic map (
2506 operation_mode => "normal",
2507 output_mode => "comb_only",
2508 synch_mode => "off",
2509 sum_lutc_input => "datac",
2512 combout => UN11_HSYNC_COUNTER_3,
2513 dataa => HSYNC_COUNTER_52,
2514 datab => HSYNC_COUNTER_51,
2515 datac => HSYNC_COUNTER_49,
2516 datad => HSYNC_COUNTER_48,
2527 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_2: stratix_lcell generic map (
2528 operation_mode => "normal",
2529 output_mode => "comb_only",
2530 synch_mode => "off",
2531 sum_lutc_input => "datac",
2534 combout => UN11_HSYNC_COUNTER_2,
2535 dataa => HSYNC_COUNTER_50,
2536 datab => HSYNC_COUNTER_45,
2537 datac => HSYNC_COUNTER_46,
2549 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9_3: stratix_lcell generic map (
2550 operation_mode => "normal",
2551 output_mode => "comb_only",
2552 synch_mode => "off",
2553 sum_lutc_input => "datac",
2556 combout => UN9_HSYNC_COUNTERLT9_3,
2557 dataa => HSYNC_COUNTER_46,
2558 datab => HSYNC_COUNTER_45,
2559 datac => HSYNC_COUNTER_44,
2560 datad => HSYNC_COUNTER_43,
2571 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_2: stratix_lcell generic map (
2572 operation_mode => "normal",
2573 output_mode => "comb_only",
2574 synch_mode => "off",
2575 sum_lutc_input => "datac",
2578 combout => UN13_HSYNC_COUNTER_2,
2579 dataa => HSYNC_COUNTER_44,
2580 datab => HSYNC_COUNTER_43,
2581 datac => HSYNC_COUNTER_48,
2582 datad => HSYNC_COUNTER_47,
2593 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_6: stratix_lcell generic map (
2594 operation_mode => "normal",
2595 output_mode => "comb_only",
2596 synch_mode => "off",
2597 sum_lutc_input => "datac",
2600 combout => UN9_VSYNC_COUNTERLT9_6,
2601 dataa => VSYNC_COUNTER_40,
2602 datab => VSYNC_COUNTER_39,
2603 datac => VSYNC_COUNTER_42,
2604 datad => VSYNC_COUNTER_41,
2615 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_5: stratix_lcell generic map (
2616 operation_mode => "normal",
2617 output_mode => "comb_only",
2618 synch_mode => "off",
2619 sum_lutc_input => "datac",
2622 combout => UN9_VSYNC_COUNTERLT9_5,
2623 dataa => VSYNC_COUNTER_34,
2624 datab => VSYNC_COUNTER_33,
2625 datac => VSYNC_COUNTER_36,
2626 datad => VSYNC_COUNTER_35,
2637 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_3: stratix_lcell generic map (
2638 operation_mode => "normal",
2639 output_mode => "comb_only",
2640 synch_mode => "off",
2641 sum_lutc_input => "datac",
2644 combout => UN13_VSYNC_COUNTER_3,
2645 dataa => VSYNC_COUNTER_36,
2646 datab => VSYNC_COUNTER_35,
2647 datac => VSYNC_COUNTER_34,
2648 datad => VSYNC_COUNTER_33,
2659 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_3: stratix_lcell generic map (
2660 operation_mode => "normal",
2661 output_mode => "comb_only",
2662 synch_mode => "off",
2663 sum_lutc_input => "datac",
2666 combout => UN15_VSYNC_COUNTER_3,
2667 dataa => VSYNC_COUNTER_39,
2668 datab => VSYNC_COUNTER_33,
2669 datac => VSYNC_COUNTER_42,
2670 datad => VSYNC_COUNTER_40,
2681 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_4: stratix_lcell generic map (
2682 operation_mode => "normal",
2683 output_mode => "comb_only",
2684 synch_mode => "off",
2685 sum_lutc_input => "datac",
2688 combout => UN10_HSYNC_COUNTER_4,
2689 dataa => HSYNC_COUNTER_48,
2690 datab => HSYNC_COUNTER_46,
2691 datac => HSYNC_COUNTER_51,
2692 datad => HSYNC_COUNTER_49,
2703 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_3: stratix_lcell generic map (
2704 operation_mode => "normal",
2705 output_mode => "comb_only",
2706 synch_mode => "off",
2707 sum_lutc_input => "datac",
2710 combout => UN10_HSYNC_COUNTER_3,
2711 dataa => HSYNC_COUNTER_52,
2712 datab => HSYNC_COUNTER_45,
2713 datac => HSYNC_COUNTER_50,
2725 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLT4_2: stratix_lcell generic map (
2726 operation_mode => "normal",
2727 output_mode => "comb_only",
2728 synch_mode => "off",
2729 sum_lutc_input => "datac",
2732 combout => UN10_LINE_COUNTER_SIGLT4_2,
2733 dataa => LINE_COUNTER_SIG_3_0,
2734 datab => LINE_COUNTER_SIG_4_0,
2735 datac => LINE_COUNTER_SIG_0_0,
2747 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_6: stratix_lcell generic map (
2748 operation_mode => "normal",
2749 output_mode => "comb_only",
2750 synch_mode => "off",
2751 sum_lutc_input => "datac",
2754 combout => UN12_VSYNC_COUNTER_6,
2755 dataa => VSYNC_COUNTER_35,
2756 datab => VSYNC_COUNTER_34,
2757 datac => VSYNC_COUNTER_37,
2758 datad => VSYNC_COUNTER_36,
2769 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_7: stratix_lcell generic map (
2770 operation_mode => "normal",
2771 output_mode => "comb_only",
2772 synch_mode => "off",
2773 sum_lutc_input => "datac",
2776 combout => UN12_VSYNC_COUNTER_7,
2777 dataa => VSYNC_COUNTER_39,
2778 datab => VSYNC_COUNTER_38,
2779 datac => VSYNC_COUNTER_41,
2780 datad => VSYNC_COUNTER_40,
2791 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_1: stratix_lcell generic map (
2792 operation_mode => "normal",
2793 output_mode => "comb_only",
2794 synch_mode => "off",
2795 sum_lutc_input => "datac",
2798 combout => UN10_COLUMN_COUNTER_SIGLT6_54,
2799 dataa => COLUMN_COUNTER_SIG_23,
2800 datab => COLUMN_COUNTER_SIG_25,
2801 datac => COLUMN_COUNTER_SIG_24,
2813 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_7: stratix_lcell generic map (
2814 operation_mode => "normal",
2815 output_mode => "comb_only",
2816 synch_mode => "off",
2817 sum_lutc_input => "datac",
2820 combout => UN13_HSYNC_COUNTER_7,
2821 dataa => HSYNC_COUNTER_50,
2822 datab => HSYNC_COUNTER_49,
2823 datac => HSYNC_COUNTER_52,
2824 datad => HSYNC_COUNTER_51,
2835 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_1: stratix_lcell generic map (
2836 operation_mode => "normal",
2837 output_mode => "comb_only",
2838 synch_mode => "off",
2839 sum_lutc_input => "datac",
2842 combout => UN10_HSYNC_COUNTER_1,
2843 dataa => HSYNC_COUNTER_47,
2844 datab => HSYNC_COUNTER_44,
2845 datac => HSYNC_COUNTER_43,
2857 UN1_HSYNC_STATE_3_0_Z349: stratix_lcell generic map (
2858 operation_mode => "normal",
2859 output_mode => "comb_only",
2860 synch_mode => "off",
2861 sum_lutc_input => "datac",
2864 combout => UN1_HSYNC_STATE_3_0,
2865 dataa => HSYNC_STATE_21,
2866 datab => HSYNC_STATE_20,
2879 UN1_VSYNC_STATE_2_0_Z350: stratix_lcell generic map (
2880 operation_mode => "normal",
2881 output_mode => "comb_only",
2882 synch_mode => "off",
2883 sum_lutc_input => "datac",
2886 combout => UN1_VSYNC_STATE_2_0,
2887 dataa => VSYNC_STATE_11,
2888 datab => VSYNC_STATE_14,
2901 D_SET_VSYNC_COUNTER_Z351: stratix_lcell generic map (
2902 operation_mode => "normal",
2903 output_mode => "comb_only",
2904 synch_mode => "off",
2905 sum_lutc_input => "datac",
2908 combout => D_SET_VSYNC_COUNTER_53,
2909 dataa => VSYNC_STATE_12,
2910 datab => VSYNC_STATE_15,
2923 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_3: stratix_lcell generic map (
2924 operation_mode => "normal",
2925 output_mode => "comb_only",
2926 synch_mode => "off",
2927 sum_lutc_input => "datac",
2930 combout => UN10_COLUMN_COUNTER_SIGLT6_55,
2931 dataa => COLUMN_COUNTER_SIG_29,
2932 datab => COLUMN_COUNTER_SIG_28,
2945 D_SET_HSYNC_COUNTER_Z353: stratix_lcell generic map (
2946 operation_mode => "normal",
2947 output_mode => "comb_only",
2948 synch_mode => "off",
2949 sum_lutc_input => "datac",
2952 combout => D_SET_HSYNC_COUNTER_59,
2953 dataa => HSYNC_STATE_22,
2954 datab => HSYNC_STATE_18,
2967 \UN1_LINE_COUNTER_SIG_9_\: stratix_lcell generic map (
2968 operation_mode => "normal",
2969 output_mode => "comb_only",
2970 synch_mode => "off",
2971 sum_lutc_input => "cin",
2975 combout => UN1_LINE_COUNTER_SIG_COMBOUT(9),
2976 dataa => LINE_COUNTER_SIG_7_0,
2977 datab => LINE_COUNTER_SIG_8_0,
2978 cin => UN1_LINE_COUNTER_SIG_COUT(7),
2990 \UN1_LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
2991 operation_mode => "normal",
2992 output_mode => "comb_only",
2993 synch_mode => "off",
2994 sum_lutc_input => "cin",
2998 combout => UN1_LINE_COUNTER_SIG_COMBOUT(8),
2999 dataa => LINE_COUNTER_SIG_7_0,
3000 cin => UN1_LINE_COUNTER_SIG_COUT(6),
3013 \UN1_LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
3014 operation_mode => "arithmetic",
3015 output_mode => "comb_only",
3016 synch_mode => "off",
3017 sum_lutc_input => "cin",
3021 combout => UN1_LINE_COUNTER_SIG_COMBOUT(7),
3022 cout => UN1_LINE_COUNTER_SIG_COUT(7),
3023 dataa => LINE_COUNTER_SIG_5_0,
3024 datab => LINE_COUNTER_SIG_6_0,
3025 cin => UN1_LINE_COUNTER_SIG_COUT(5),
3037 \UN1_LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
3038 operation_mode => "arithmetic",
3039 output_mode => "comb_only",
3040 synch_mode => "off",
3041 sum_lutc_input => "cin",
3045 combout => UN1_LINE_COUNTER_SIG_COMBOUT(6),
3046 cout => UN1_LINE_COUNTER_SIG_COUT(6),
3047 dataa => LINE_COUNTER_SIG_5_0,
3048 datab => LINE_COUNTER_SIG_6_0,
3049 cin => UN1_LINE_COUNTER_SIG_COUT(4),
3061 \UN1_LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
3062 operation_mode => "arithmetic",
3063 output_mode => "comb_only",
3064 synch_mode => "off",
3065 sum_lutc_input => "cin",
3069 combout => UN1_LINE_COUNTER_SIG_COMBOUT(5),
3070 cout => UN1_LINE_COUNTER_SIG_COUT(5),
3071 dataa => LINE_COUNTER_SIG_3_0,
3072 datab => LINE_COUNTER_SIG_4_0,
3073 cin => UN1_LINE_COUNTER_SIG_COUT(3),
3085 \UN1_LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
3086 operation_mode => "arithmetic",
3087 output_mode => "comb_only",
3088 synch_mode => "off",
3089 sum_lutc_input => "cin",
3093 combout => UN1_LINE_COUNTER_SIG_COMBOUT(4),
3094 cout => UN1_LINE_COUNTER_SIG_COUT(4),
3095 dataa => LINE_COUNTER_SIG_3_0,
3096 datab => LINE_COUNTER_SIG_4_0,
3097 cin => UN1_LINE_COUNTER_SIG_COUT(2),
3109 \UN1_LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
3110 operation_mode => "arithmetic",
3111 output_mode => "comb_only",
3112 synch_mode => "off",
3113 sum_lutc_input => "cin",
3117 combout => UN1_LINE_COUNTER_SIG_COMBOUT(3),
3118 cout => UN1_LINE_COUNTER_SIG_COUT(3),
3119 dataa => LINE_COUNTER_SIG_1_0,
3120 datab => LINE_COUNTER_SIG_2_0,
3121 cin => UN1_LINE_COUNTER_SIG_COUT(1),
3133 \UN1_LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
3134 operation_mode => "arithmetic",
3135 output_mode => "comb_only",
3136 synch_mode => "off",
3137 sum_lutc_input => "cin",
3141 combout => UN1_LINE_COUNTER_SIG_COMBOUT(2),
3142 cout => UN1_LINE_COUNTER_SIG_COUT(2),
3143 dataa => LINE_COUNTER_SIG_1_0,
3144 datab => LINE_COUNTER_SIG_2_0,
3145 cin => UN1_LINE_COUNTER_SIG_A_COUT(1),
3157 \UN1_LINE_COUNTER_SIG_A_1_\: stratix_lcell generic map (
3158 operation_mode => "arithmetic",
3159 output_mode => "comb_only",
3160 synch_mode => "off",
3161 sum_lutc_input => "datac",
3164 cout => UN1_LINE_COUNTER_SIG_A_COUT(1),
3165 dataa => D_SET_HSYNC_COUNTER_59,
3166 datab => LINE_COUNTER_SIG_0_0,
3179 \UN1_LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
3180 operation_mode => "arithmetic",
3181 output_mode => "comb_only",
3182 synch_mode => "off",
3183 sum_lutc_input => "datac",
3186 combout => UN1_LINE_COUNTER_SIG_COMBOUT(1),
3187 cout => UN1_LINE_COUNTER_SIG_COUT(1),
3188 dataa => D_SET_HSYNC_COUNTER_59,
3189 datab => LINE_COUNTER_SIG_0_0,
3202 \UN2_COLUMN_COUNTER_NEXT_9_\: stratix_lcell generic map (
3203 operation_mode => "normal",
3204 output_mode => "comb_only",
3205 synch_mode => "off",
3206 sum_lutc_input => "cin",
3210 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
3211 dataa => COLUMN_COUNTER_SIG_31,
3212 datab => COLUMN_COUNTER_SIG_32,
3213 cin => UN2_COLUMN_COUNTER_NEXT_COUT(7),
3225 \UN2_COLUMN_COUNTER_NEXT_8_\: stratix_lcell generic map (
3226 operation_mode => "normal",
3227 output_mode => "comb_only",
3228 synch_mode => "off",
3229 sum_lutc_input => "cin",
3233 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
3234 dataa => COLUMN_COUNTER_SIG_31,
3235 cin => UN2_COLUMN_COUNTER_NEXT_COUT(6),
3248 \UN2_COLUMN_COUNTER_NEXT_7_\: stratix_lcell generic map (
3249 operation_mode => "arithmetic",
3250 output_mode => "comb_only",
3251 synch_mode => "off",
3252 sum_lutc_input => "cin",
3256 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
3257 cout => UN2_COLUMN_COUNTER_NEXT_COUT(7),
3258 dataa => COLUMN_COUNTER_SIG_29,
3259 datab => COLUMN_COUNTER_SIG_30,
3260 cin => UN2_COLUMN_COUNTER_NEXT_COUT(5),
3272 \UN2_COLUMN_COUNTER_NEXT_6_\: stratix_lcell generic map (
3273 operation_mode => "arithmetic",
3274 output_mode => "comb_only",
3275 synch_mode => "off",
3276 sum_lutc_input => "cin",
3280 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
3281 cout => UN2_COLUMN_COUNTER_NEXT_COUT(6),
3282 dataa => COLUMN_COUNTER_SIG_29,
3283 datab => COLUMN_COUNTER_SIG_30,
3284 cin => UN2_COLUMN_COUNTER_NEXT_COUT(4),
3296 \UN2_COLUMN_COUNTER_NEXT_5_\: stratix_lcell generic map (
3297 operation_mode => "arithmetic",
3298 output_mode => "comb_only",
3299 synch_mode => "off",
3300 sum_lutc_input => "cin",
3304 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
3305 cout => UN2_COLUMN_COUNTER_NEXT_COUT(5),
3306 dataa => COLUMN_COUNTER_SIG_27,
3307 datab => COLUMN_COUNTER_SIG_28,
3308 cin => UN2_COLUMN_COUNTER_NEXT_COUT(3),
3320 \UN2_COLUMN_COUNTER_NEXT_4_\: stratix_lcell generic map (
3321 operation_mode => "arithmetic",
3322 output_mode => "comb_only",
3323 synch_mode => "off",
3324 sum_lutc_input => "cin",
3328 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
3329 cout => UN2_COLUMN_COUNTER_NEXT_COUT(4),
3330 dataa => COLUMN_COUNTER_SIG_27,
3331 datab => COLUMN_COUNTER_SIG_28,
3332 cin => UN2_COLUMN_COUNTER_NEXT_COUT(2),
3344 \UN2_COLUMN_COUNTER_NEXT_3_\: stratix_lcell generic map (
3345 operation_mode => "arithmetic",
3346 output_mode => "comb_only",
3347 synch_mode => "off",
3348 sum_lutc_input => "cin",
3352 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
3353 cout => UN2_COLUMN_COUNTER_NEXT_COUT(3),
3354 dataa => COLUMN_COUNTER_SIG_25,
3355 datab => COLUMN_COUNTER_SIG_26,
3356 cin => UN2_COLUMN_COUNTER_NEXT_COUT(1),
3368 \UN2_COLUMN_COUNTER_NEXT_2_\: stratix_lcell generic map (
3369 operation_mode => "arithmetic",
3370 output_mode => "comb_only",
3371 synch_mode => "off",
3372 sum_lutc_input => "cin",
3376 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
3377 cout => UN2_COLUMN_COUNTER_NEXT_COUT(2),
3378 dataa => COLUMN_COUNTER_SIG_25,
3379 datab => COLUMN_COUNTER_SIG_26,
3380 cin => UN2_COLUMN_COUNTER_NEXT_COUT(0),
3392 \UN2_COLUMN_COUNTER_NEXT_1_\: stratix_lcell generic map (
3393 operation_mode => "arithmetic",
3394 output_mode => "comb_only",
3395 synch_mode => "off",
3396 sum_lutc_input => "datac",
3399 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
3400 cout => UN2_COLUMN_COUNTER_NEXT_COUT(1),
3401 dataa => COLUMN_COUNTER_SIG_23,
3402 datab => COLUMN_COUNTER_SIG_24,
3415 \UN2_COLUMN_COUNTER_NEXT_0_\: stratix_lcell generic map (
3416 operation_mode => "arithmetic",
3417 output_mode => "comb_only",
3418 synch_mode => "off",
3419 sum_lutc_input => "datac",
3422 cout => UN2_COLUMN_COUNTER_NEXT_COUT(0),
3423 dataa => COLUMN_COUNTER_SIG_23,
3424 datab => COLUMN_COUNTER_SIG_24,
3439 LINE_COUNTER_NEXT_0_SQMUXA_1_1_I <= not LINE_COUNTER_NEXT_0_SQMUXA_1_1;
3440 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I <= not COLUMN_COUNTER_NEXT_0_SQMUXA_1_1;
3441 G_16_I_I <= not G_16_I;
3442 UN9_VSYNC_COUNTERLT9_I <= not UN9_VSYNC_COUNTERLT9;
3443 G_2_I_I <= not G_2_I;
3444 UN9_HSYNC_COUNTERLT9_I <= not UN9_HSYNC_COUNTERLT9;
3445 line_counter_sig_0 <= LINE_COUNTER_SIG_0_0;
3446 line_counter_sig_1 <= LINE_COUNTER_SIG_1_0;
3447 line_counter_sig_2 <= LINE_COUNTER_SIG_2_0;
3448 line_counter_sig_3 <= LINE_COUNTER_SIG_3_0;
3449 line_counter_sig_4 <= LINE_COUNTER_SIG_4_0;
3450 line_counter_sig_5 <= LINE_COUNTER_SIG_5_0;
3451 line_counter_sig_6 <= LINE_COUNTER_SIG_6_0;
3452 line_counter_sig_7 <= LINE_COUNTER_SIG_7_0;
3453 line_counter_sig_8 <= LINE_COUNTER_SIG_8_0;
3454 vsync_state_2 <= VSYNC_STATE_9;
3455 vsync_state_5 <= VSYNC_STATE_10;
3456 vsync_state_3 <= VSYNC_STATE_11;
3457 vsync_state_6 <= VSYNC_STATE_12;
3458 vsync_state_4 <= VSYNC_STATE_13;
3459 vsync_state_1 <= VSYNC_STATE_14;
3460 vsync_state_0 <= VSYNC_STATE_15;
3461 hsync_state_2 <= HSYNC_STATE_16;
3462 hsync_state_4 <= HSYNC_STATE_17;
3463 hsync_state_0 <= HSYNC_STATE_18;
3464 hsync_state_5 <= HSYNC_STATE_19;
3465 hsync_state_1 <= HSYNC_STATE_20;
3466 hsync_state_3 <= HSYNC_STATE_21;
3467 hsync_state_6 <= HSYNC_STATE_22;
3468 column_counter_sig_0 <= COLUMN_COUNTER_SIG_23;
3469 column_counter_sig_1 <= COLUMN_COUNTER_SIG_24;
3470 column_counter_sig_2 <= COLUMN_COUNTER_SIG_25;
3471 column_counter_sig_3 <= COLUMN_COUNTER_SIG_26;
3472 column_counter_sig_4 <= COLUMN_COUNTER_SIG_27;
3473 column_counter_sig_5 <= COLUMN_COUNTER_SIG_28;
3474 column_counter_sig_6 <= COLUMN_COUNTER_SIG_29;
3475 column_counter_sig_7 <= COLUMN_COUNTER_SIG_30;
3476 column_counter_sig_8 <= COLUMN_COUNTER_SIG_31;
3477 column_counter_sig_9 <= COLUMN_COUNTER_SIG_32;
3478 vsync_counter_9 <= VSYNC_COUNTER_33;
3479 vsync_counter_8 <= VSYNC_COUNTER_34;
3480 vsync_counter_7 <= VSYNC_COUNTER_35;
3481 vsync_counter_6 <= VSYNC_COUNTER_36;
3482 vsync_counter_5 <= VSYNC_COUNTER_37;
3483 vsync_counter_4 <= VSYNC_COUNTER_38;
3484 vsync_counter_3 <= VSYNC_COUNTER_39;
3485 vsync_counter_2 <= VSYNC_COUNTER_40;
3486 vsync_counter_1 <= VSYNC_COUNTER_41;
3487 vsync_counter_0 <= VSYNC_COUNTER_42;
3488 hsync_counter_9 <= HSYNC_COUNTER_43;
3489 hsync_counter_8 <= HSYNC_COUNTER_44;
3490 hsync_counter_7 <= HSYNC_COUNTER_45;
3491 hsync_counter_6 <= HSYNC_COUNTER_46;
3492 hsync_counter_5 <= HSYNC_COUNTER_47;
3493 hsync_counter_4 <= HSYNC_COUNTER_48;
3494 hsync_counter_3 <= HSYNC_COUNTER_49;
3495 hsync_counter_2 <= HSYNC_COUNTER_50;
3496 hsync_counter_1 <= HSYNC_COUNTER_51;
3497 hsync_counter_0 <= HSYNC_COUNTER_52;
3498 d_set_vsync_counter <= D_SET_VSYNC_COUNTER_53;
3499 un10_column_counter_siglt6_1 <= UN10_COLUMN_COUNTER_SIGLT6_54;
3500 un10_column_counter_siglt6_3 <= UN10_COLUMN_COUNTER_SIGLT6_55;
3501 v_sync <= V_SYNC_56;
3502 h_sync <= H_SYNC_57;
3503 un6_dly_counter_0_x <= UN6_DLY_COUNTER_0_X_58;
3504 d_set_hsync_counter <= D_SET_HSYNC_COUNTER_59;
3508 library ieee, stratix;
3509 use ieee.std_logic_1164.all;
3510 use ieee.numeric_std.all;
3512 use synplify.components.all;
3513 use stratix.stratix_components.all;
3517 clk_pin : in std_logic;
3518 reset_pin : in std_logic;
3519 r0_pin : out std_logic;
3520 r1_pin : out std_logic;
3521 r2_pin : out std_logic;
3522 g0_pin : out std_logic;
3523 g1_pin : out std_logic;
3524 g2_pin : out std_logic;
3525 b0_pin : out std_logic;
3526 b1_pin : out std_logic;
3527 hsync_pin : out std_logic;
3528 vsync_pin : out std_logic;
3529 seven_seg_pin : out std_logic_vector(13 downto 0);
3530 d_hsync : out std_logic;
3531 d_vsync : out std_logic;
3532 d_column_counter : out std_logic_vector(9 downto 0);
3533 d_line_counter : out std_logic_vector(8 downto 0);
3534 d_set_column_counter : out std_logic;
3535 d_set_line_counter : out std_logic;
3536 d_hsync_counter : out std_logic_vector(9 downto 0);
3537 d_vsync_counter : out std_logic_vector(9 downto 0);
3538 d_set_hsync_counter : out std_logic;
3539 d_set_vsync_counter : out std_logic;
3540 d_h_enable : out std_logic;
3541 d_v_enable : out std_logic;
3542 d_r : out std_logic;
3543 d_g : out std_logic;
3544 d_b : out std_logic;
3545 d_hsync_state : out std_logic_vector(0 to 6);
3546 d_vsync_state : out std_logic_vector(0 to 6);
3547 d_state_clk : out std_logic);
3550 architecture beh of vga is
3551 signal devclrn : std_logic := '1';
3552 signal devpor : std_logic := '1';
3553 signal devoe : std_logic := '0';
3554 signal DLY_COUNTER : std_logic_vector(1 downto 0);
3555 signal \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\ : std_logic_vector(9 downto 0);
3556 signal \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\ : std_logic_vector(8 downto 0);
3557 signal \VGA_DRIVER_UNIT.HSYNC_COUNTER\ : std_logic_vector(9 downto 0);
3558 signal \VGA_DRIVER_UNIT.VSYNC_COUNTER\ : std_logic_vector(9 downto 0);
3559 signal \VGA_DRIVER_UNIT.HSYNC_STATE\ : std_logic_vector(6 downto 0);
3560 signal \VGA_DRIVER_UNIT.VSYNC_STATE\ : std_logic_vector(6 downto 0);
3561 signal SEVEN_SEG_PINZ : std_logic_vector(13 downto 0);
3562 signal D_COLUMN_COUNTERZ : std_logic_vector(9 downto 0);
3563 signal D_LINE_COUNTERZ : std_logic_vector(8 downto 0);
3564 signal D_HSYNC_COUNTERZ : std_logic_vector(9 downto 0);
3565 signal D_VSYNC_COUNTERZ : std_logic_vector(9 downto 0);
3566 signal D_HSYNC_STATEZ : std_logic_vector(6 downto 0);
3567 signal D_VSYNC_STATEZ : std_logic_vector(6 downto 0);
3568 signal VCC : std_logic ;
3569 signal GND : std_logic ;
3570 signal \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\ : std_logic ;
3571 signal \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_3\ : std_logic ;
3572 signal \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\ : std_logic ;
3573 signal \VGA_DRIVER_UNIT.H_SYNC\ : std_logic ;
3574 signal \VGA_DRIVER_UNIT.V_SYNC\ : std_logic ;
3575 signal \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\ : std_logic ;
3576 signal \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\ : std_logic ;
3577 signal \VGA_DRIVER_UNIT.H_ENABLE_SIG\ : std_logic ;
3578 signal \VGA_DRIVER_UNIT.V_ENABLE_SIG\ : std_logic ;
3579 signal \VGA_CONTROL_UNIT.R\ : std_logic ;
3580 signal \VGA_CONTROL_UNIT.G\ : std_logic ;
3581 signal \VGA_CONTROL_UNIT.B\ : std_logic ;
3582 signal G_49 : std_logic ;
3583 signal CLK_PIN_C : std_logic ;
3584 signal RESET_PIN_C : std_logic ;
3585 signal CLK_PIN_INTERNAL : std_logic ;
3586 signal RESET_PIN_INTERNAL : std_logic ;
3587 signal N_1 : std_logic ;
3588 signal N_2 : std_logic ;
3589 signal N_60_0 : std_logic ;
3590 signal N_61_0 : std_logic ;
3591 signal N_62_0 : std_logic ;
3592 signal N_63_0 : std_logic ;
3593 signal N_64_0 : std_logic ;
3594 signal N_65_0 : std_logic ;
3595 signal N_66_0 : std_logic ;
3596 signal N_67_0 : std_logic ;
3597 signal N_68_0 : std_logic ;
3598 signal N_69_0 : std_logic ;
3599 signal N_70_0 : std_logic ;
3600 signal N_71_0 : std_logic ;
3601 signal N_72_0 : std_logic ;
3602 signal N_73_0 : std_logic ;
3603 signal N_74_0 : std_logic ;
3604 signal N_75_0 : std_logic ;
3605 signal N_76_0 : std_logic ;
3606 signal N_77_0 : std_logic ;
3607 signal N_78_0 : std_logic ;
3608 signal N_79_0 : std_logic ;
3609 signal N_80_0 : std_logic ;
3610 signal N_81_0 : std_logic ;
3611 signal N_82_0 : std_logic ;
3612 signal N_83_0 : std_logic ;
3613 signal N_84_0 : std_logic ;
3614 signal N_85_0 : std_logic ;
3615 signal N_86_0 : std_logic ;
3616 signal N_87_0 : std_logic ;
3617 signal N_88_0 : std_logic ;
3618 signal N_89_0 : std_logic ;
3619 signal N_90_0 : std_logic ;
3620 signal N_91_0 : std_logic ;
3621 signal N_92 : std_logic ;
3622 signal N_93 : std_logic ;
3623 signal N_94 : std_logic ;
3624 signal N_95 : std_logic ;
3625 signal N_96 : std_logic ;
3626 signal N_97 : std_logic ;
3627 signal N_98 : std_logic ;
3628 signal N_99 : std_logic ;
3629 signal N_100 : std_logic ;
3630 signal N_101 : std_logic ;
3631 signal N_102 : std_logic ;
3632 signal N_103 : std_logic ;
3633 signal N_104 : std_logic ;
3634 signal N_105 : std_logic ;
3635 signal N_106 : std_logic ;
3636 signal N_107 : std_logic ;
3637 signal N_108 : std_logic ;
3638 signal N_109 : std_logic ;
3639 signal N_110 : std_logic ;
3640 signal N_111 : std_logic ;
3641 signal N_112 : std_logic ;
3642 signal N_113 : std_logic ;
3643 signal N_114 : std_logic ;
3644 signal N_115 : std_logic ;
3645 signal N_116 : std_logic ;
3646 signal N_117 : std_logic ;
3647 signal N_118 : std_logic ;
3648 signal N_119 : std_logic ;
3649 signal N_120 : std_logic ;
3650 signal N_121 : std_logic ;
3651 signal N_122 : std_logic ;
3652 signal N_123 : std_logic ;
3653 signal N_124 : std_logic ;
3654 signal N_125 : std_logic ;
3655 signal N_126 : std_logic ;
3656 signal N_127 : std_logic ;
3657 signal N_128 : std_logic ;
3658 signal N_129 : std_logic ;
3659 signal N_130 : std_logic ;
3660 signal N_131 : std_logic ;
3661 signal N_132 : std_logic ;
3662 signal N_133 : std_logic ;
3663 signal N_134 : std_logic ;
3664 signal N_135 : std_logic ;
3665 signal N_136 : std_logic ;
3666 signal N_137 : std_logic ;
3667 signal N_138 : std_logic ;
3668 signal N_139 : std_logic ;
3669 signal N_140 : std_logic ;
3670 signal N_141 : std_logic ;
3671 signal N_142 : std_logic ;
3672 signal N_143 : std_logic ;
3673 signal N_144 : std_logic ;
3674 signal N_145 : std_logic ;
3675 signal N_146 : std_logic ;
3676 signal N_147 : std_logic ;
3677 signal N_148 : std_logic ;
3678 signal R0_PINZ : std_logic ;
3679 signal R1_PINZ : std_logic ;
3680 signal R2_PINZ : std_logic ;
3681 signal G0_PINZ : std_logic ;
3682 signal G1_PINZ : std_logic ;
3683 signal G2_PINZ : std_logic ;
3684 signal B0_PINZ : std_logic ;
3685 signal B1_PINZ : std_logic ;
3686 signal HSYNC_PINZ : std_logic ;
3687 signal VSYNC_PINZ : std_logic ;
3688 signal D_HSYNCZ : std_logic ;
3689 signal D_VSYNCZ : std_logic ;
3690 signal D_SET_COLUMN_COUNTERZ : std_logic ;
3691 signal D_SET_LINE_COUNTERZ : std_logic ;
3692 signal D_SET_HSYNC_COUNTERZ : std_logic ;
3693 signal D_SET_VSYNC_COUNTERZ : std_logic ;
3694 signal D_H_ENABLEZ : std_logic ;
3695 signal D_V_ENABLEZ : std_logic ;
3696 signal D_RZ : std_logic ;
3697 signal D_GZ : std_logic ;
3698 signal D_BZ : std_logic ;
3699 signal D_STATE_CLKZ : std_logic ;
3700 component vga_driver
3702 line_counter_sig_0 : out std_logic;
3703 line_counter_sig_1 : out std_logic;
3704 line_counter_sig_2 : out std_logic;
3705 line_counter_sig_3 : out std_logic;
3706 line_counter_sig_4 : out std_logic;
3707 line_counter_sig_5 : out std_logic;
3708 line_counter_sig_6 : out std_logic;
3709 line_counter_sig_7 : out std_logic;
3710 line_counter_sig_8 : out std_logic;
3711 dly_counter_1 : in std_logic;
3712 dly_counter_0 : in std_logic;
3713 vsync_state_2 : out std_logic;
3714 vsync_state_5 : out std_logic;
3715 vsync_state_3 : out std_logic;
3716 vsync_state_6 : out std_logic;
3717 vsync_state_4 : out std_logic;
3718 vsync_state_1 : out std_logic;
3719 vsync_state_0 : out std_logic;
3720 hsync_state_2 : out std_logic;
3721 hsync_state_4 : out std_logic;
3722 hsync_state_0 : out std_logic;
3723 hsync_state_5 : out std_logic;
3724 hsync_state_1 : out std_logic;
3725 hsync_state_3 : out std_logic;
3726 hsync_state_6 : out std_logic;
3727 column_counter_sig_0 : out std_logic;
3728 column_counter_sig_1 : out std_logic;
3729 column_counter_sig_2 : out std_logic;
3730 column_counter_sig_3 : out std_logic;
3731 column_counter_sig_4 : out std_logic;
3732 column_counter_sig_5 : out std_logic;
3733 column_counter_sig_6 : out std_logic;
3734 column_counter_sig_7 : out std_logic;
3735 column_counter_sig_8 : out std_logic;
3736 column_counter_sig_9 : out std_logic;
3737 vsync_counter_9 : out std_logic;
3738 vsync_counter_8 : out std_logic;
3739 vsync_counter_7 : out std_logic;
3740 vsync_counter_6 : out std_logic;
3741 vsync_counter_5 : out std_logic;
3742 vsync_counter_4 : out std_logic;
3743 vsync_counter_3 : out std_logic;
3744 vsync_counter_2 : out std_logic;
3745 vsync_counter_1 : out std_logic;
3746 vsync_counter_0 : out std_logic;
3747 hsync_counter_9 : out std_logic;
3748 hsync_counter_8 : out std_logic;
3749 hsync_counter_7 : out std_logic;
3750 hsync_counter_6 : out std_logic;
3751 hsync_counter_5 : out std_logic;
3752 hsync_counter_4 : out std_logic;
3753 hsync_counter_3 : out std_logic;
3754 hsync_counter_2 : out std_logic;
3755 hsync_counter_1 : out std_logic;
3756 hsync_counter_0 : out std_logic;
3757 d_set_vsync_counter : out std_logic;
3758 un10_column_counter_siglt6_1 : out std_logic;
3759 un10_column_counter_siglt6_3 : out std_logic;
3760 v_sync : out std_logic;
3761 h_sync : out std_logic;
3762 h_enable_sig : out std_logic;
3763 v_enable_sig : out std_logic;
3764 reset_pin_c : in std_logic;
3765 un6_dly_counter_0_x : out std_logic;
3766 d_set_hsync_counter : out std_logic;
3767 clk_pin_c : in std_logic );
3769 component vga_control
3771 column_counter_sig_1 : in std_logic;
3772 column_counter_sig_7 : in std_logic;
3773 column_counter_sig_2 : in std_logic;
3774 column_counter_sig_0 : in std_logic;
3775 column_counter_sig_4 : in std_logic;
3776 column_counter_sig_3 : in std_logic;
3777 column_counter_sig_5 : in std_logic;
3778 column_counter_sig_6 : in std_logic;
3779 h_enable_sig : in std_logic;
3780 v_enable_sig : in std_logic;
3781 un10_column_counter_siglt6_1 : in std_logic;
3783 un10_column_counter_siglt6_3 : in std_logic;
3785 un6_dly_counter_0_x : in std_logic;
3786 clk_pin_c : in std_logic;
3787 b : out std_logic );
3792 \DLY_COUNTER_1_\: stratix_lcell generic map (
3793 operation_mode => "normal",
3794 output_mode => "reg_only",
3795 synch_mode => "off",
3796 sum_lutc_input => "datac",
3799 regout => DLY_COUNTER(1),
3801 dataa => RESET_PIN_C,
3802 datab => DLY_COUNTER(0),
3803 datac => DLY_COUNTER(1),
3814 \DLY_COUNTER_0_\: stratix_lcell generic map (
3815 operation_mode => "normal",
3816 output_mode => "reg_only",
3817 synch_mode => "off",
3818 sum_lutc_input => "datac",
3821 regout => DLY_COUNTER(0),
3823 dataa => RESET_PIN_C,
3824 datab => DLY_COUNTER(0),
3825 datac => DLY_COUNTER(1),
3836 RESET_PIN_IN: stratix_io generic map (
3837 operation_mode => "input"
3841 combout => RESET_PIN_C,
3850 CLK_PIN_IN: stratix_io generic map (
3851 operation_mode => "input"
3855 combout => CLK_PIN_C,
3864 D_STATE_CLK_OUT: stratix_io generic map (
3865 operation_mode => "output"
3868 padio => D_STATE_CLKZ,
3878 \D_VSYNC_STATE_OUT_0_\: stratix_io generic map (
3879 operation_mode => "output"
3882 padio => D_VSYNC_STATEZ(0),
3883 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
3892 \D_VSYNC_STATE_OUT_1_\: stratix_io generic map (
3893 operation_mode => "output"
3896 padio => D_VSYNC_STATEZ(1),
3897 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
3906 \D_VSYNC_STATE_OUT_2_\: stratix_io generic map (
3907 operation_mode => "output"
3910 padio => D_VSYNC_STATEZ(2),
3911 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
3920 \D_VSYNC_STATE_OUT_3_\: stratix_io generic map (
3921 operation_mode => "output"
3924 padio => D_VSYNC_STATEZ(3),
3925 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
3934 \D_VSYNC_STATE_OUT_4_\: stratix_io generic map (
3935 operation_mode => "output"
3938 padio => D_VSYNC_STATEZ(4),
3939 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
3948 \D_VSYNC_STATE_OUT_5_\: stratix_io generic map (
3949 operation_mode => "output"
3952 padio => D_VSYNC_STATEZ(5),
3953 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
3962 \D_VSYNC_STATE_OUT_6_\: stratix_io generic map (
3963 operation_mode => "output"
3966 padio => D_VSYNC_STATEZ(6),
3967 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
3976 \D_HSYNC_STATE_OUT_0_\: stratix_io generic map (
3977 operation_mode => "output"
3980 padio => D_HSYNC_STATEZ(0),
3981 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
3990 \D_HSYNC_STATE_OUT_1_\: stratix_io generic map (
3991 operation_mode => "output"
3994 padio => D_HSYNC_STATEZ(1),
3995 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
4004 \D_HSYNC_STATE_OUT_2_\: stratix_io generic map (
4005 operation_mode => "output"
4008 padio => D_HSYNC_STATEZ(2),
4009 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
4018 \D_HSYNC_STATE_OUT_3_\: stratix_io generic map (
4019 operation_mode => "output"
4022 padio => D_HSYNC_STATEZ(3),
4023 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
4032 \D_HSYNC_STATE_OUT_4_\: stratix_io generic map (
4033 operation_mode => "output"
4036 padio => D_HSYNC_STATEZ(4),
4037 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
4046 \D_HSYNC_STATE_OUT_5_\: stratix_io generic map (
4047 operation_mode => "output"
4050 padio => D_HSYNC_STATEZ(5),
4051 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
4060 \D_HSYNC_STATE_OUT_6_\: stratix_io generic map (
4061 operation_mode => "output"
4064 padio => D_HSYNC_STATEZ(6),
4065 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
4074 D_B_OUT: stratix_io generic map (
4075 operation_mode => "output"
4079 datain => \VGA_CONTROL_UNIT.B\,
4088 D_G_OUT: stratix_io generic map (
4089 operation_mode => "output"
4093 datain => \VGA_CONTROL_UNIT.G\,
4102 D_R_OUT: stratix_io generic map (
4103 operation_mode => "output"
4107 datain => \VGA_CONTROL_UNIT.R\,
4116 D_V_ENABLE_OUT: stratix_io generic map (
4117 operation_mode => "output"
4120 padio => D_V_ENABLEZ,
4121 datain => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
4130 D_H_ENABLE_OUT: stratix_io generic map (
4131 operation_mode => "output"
4134 padio => D_H_ENABLEZ,
4135 datain => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
4144 D_SET_VSYNC_COUNTER_OUT: stratix_io generic map (
4145 operation_mode => "output"
4148 padio => D_SET_VSYNC_COUNTERZ,
4149 datain => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
4158 D_SET_HSYNC_COUNTER_OUT: stratix_io generic map (
4159 operation_mode => "output"
4162 padio => D_SET_HSYNC_COUNTERZ,
4163 datain => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
4172 \D_VSYNC_COUNTER_OUT_9_\: stratix_io generic map (
4173 operation_mode => "output"
4176 padio => D_VSYNC_COUNTERZ(9),
4177 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
4186 \D_VSYNC_COUNTER_OUT_8_\: stratix_io generic map (
4187 operation_mode => "output"
4190 padio => D_VSYNC_COUNTERZ(8),
4191 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
4200 \D_VSYNC_COUNTER_OUT_7_\: stratix_io generic map (
4201 operation_mode => "output"
4204 padio => D_VSYNC_COUNTERZ(7),
4205 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
4214 \D_VSYNC_COUNTER_OUT_6_\: stratix_io generic map (
4215 operation_mode => "output"
4218 padio => D_VSYNC_COUNTERZ(6),
4219 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
4228 \D_VSYNC_COUNTER_OUT_5_\: stratix_io generic map (
4229 operation_mode => "output"
4232 padio => D_VSYNC_COUNTERZ(5),
4233 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
4242 \D_VSYNC_COUNTER_OUT_4_\: stratix_io generic map (
4243 operation_mode => "output"
4246 padio => D_VSYNC_COUNTERZ(4),
4247 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
4256 \D_VSYNC_COUNTER_OUT_3_\: stratix_io generic map (
4257 operation_mode => "output"
4260 padio => D_VSYNC_COUNTERZ(3),
4261 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
4270 \D_VSYNC_COUNTER_OUT_2_\: stratix_io generic map (
4271 operation_mode => "output"
4274 padio => D_VSYNC_COUNTERZ(2),
4275 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
4284 \D_VSYNC_COUNTER_OUT_1_\: stratix_io generic map (
4285 operation_mode => "output"
4288 padio => D_VSYNC_COUNTERZ(1),
4289 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
4298 \D_VSYNC_COUNTER_OUT_0_\: stratix_io generic map (
4299 operation_mode => "output"
4302 padio => D_VSYNC_COUNTERZ(0),
4303 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
4312 \D_HSYNC_COUNTER_OUT_9_\: stratix_io generic map (
4313 operation_mode => "output"
4316 padio => D_HSYNC_COUNTERZ(9),
4317 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
4326 \D_HSYNC_COUNTER_OUT_8_\: stratix_io generic map (
4327 operation_mode => "output"
4330 padio => D_HSYNC_COUNTERZ(8),
4331 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
4340 \D_HSYNC_COUNTER_OUT_7_\: stratix_io generic map (
4341 operation_mode => "output"
4344 padio => D_HSYNC_COUNTERZ(7),
4345 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
4354 \D_HSYNC_COUNTER_OUT_6_\: stratix_io generic map (
4355 operation_mode => "output"
4358 padio => D_HSYNC_COUNTERZ(6),
4359 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
4368 \D_HSYNC_COUNTER_OUT_5_\: stratix_io generic map (
4369 operation_mode => "output"
4372 padio => D_HSYNC_COUNTERZ(5),
4373 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
4382 \D_HSYNC_COUNTER_OUT_4_\: stratix_io generic map (
4383 operation_mode => "output"
4386 padio => D_HSYNC_COUNTERZ(4),
4387 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
4396 \D_HSYNC_COUNTER_OUT_3_\: stratix_io generic map (
4397 operation_mode => "output"
4400 padio => D_HSYNC_COUNTERZ(3),
4401 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
4410 \D_HSYNC_COUNTER_OUT_2_\: stratix_io generic map (
4411 operation_mode => "output"
4414 padio => D_HSYNC_COUNTERZ(2),
4415 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
4424 \D_HSYNC_COUNTER_OUT_1_\: stratix_io generic map (
4425 operation_mode => "output"
4428 padio => D_HSYNC_COUNTERZ(1),
4429 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
4438 \D_HSYNC_COUNTER_OUT_0_\: stratix_io generic map (
4439 operation_mode => "output"
4442 padio => D_HSYNC_COUNTERZ(0),
4443 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
4452 D_SET_LINE_COUNTER_OUT: stratix_io generic map (
4453 operation_mode => "output"
4456 padio => D_SET_LINE_COUNTERZ,
4457 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
4466 D_SET_COLUMN_COUNTER_OUT: stratix_io generic map (
4467 operation_mode => "output"
4470 padio => D_SET_COLUMN_COUNTERZ,
4471 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
4480 \D_LINE_COUNTER_OUT_8_\: stratix_io generic map (
4481 operation_mode => "output"
4484 padio => D_LINE_COUNTERZ(8),
4485 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
4494 \D_LINE_COUNTER_OUT_7_\: stratix_io generic map (
4495 operation_mode => "output"
4498 padio => D_LINE_COUNTERZ(7),
4499 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
4508 \D_LINE_COUNTER_OUT_6_\: stratix_io generic map (
4509 operation_mode => "output"
4512 padio => D_LINE_COUNTERZ(6),
4513 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
4522 \D_LINE_COUNTER_OUT_5_\: stratix_io generic map (
4523 operation_mode => "output"
4526 padio => D_LINE_COUNTERZ(5),
4527 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
4536 \D_LINE_COUNTER_OUT_4_\: stratix_io generic map (
4537 operation_mode => "output"
4540 padio => D_LINE_COUNTERZ(4),
4541 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
4550 \D_LINE_COUNTER_OUT_3_\: stratix_io generic map (
4551 operation_mode => "output"
4554 padio => D_LINE_COUNTERZ(3),
4555 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
4564 \D_LINE_COUNTER_OUT_2_\: stratix_io generic map (
4565 operation_mode => "output"
4568 padio => D_LINE_COUNTERZ(2),
4569 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
4578 \D_LINE_COUNTER_OUT_1_\: stratix_io generic map (
4579 operation_mode => "output"
4582 padio => D_LINE_COUNTERZ(1),
4583 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
4592 \D_LINE_COUNTER_OUT_0_\: stratix_io generic map (
4593 operation_mode => "output"
4596 padio => D_LINE_COUNTERZ(0),
4597 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
4606 \D_COLUMN_COUNTER_OUT_9_\: stratix_io generic map (
4607 operation_mode => "output"
4610 padio => D_COLUMN_COUNTERZ(9),
4611 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
4620 \D_COLUMN_COUNTER_OUT_8_\: stratix_io generic map (
4621 operation_mode => "output"
4624 padio => D_COLUMN_COUNTERZ(8),
4625 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
4634 \D_COLUMN_COUNTER_OUT_7_\: stratix_io generic map (
4635 operation_mode => "output"
4638 padio => D_COLUMN_COUNTERZ(7),
4639 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
4648 \D_COLUMN_COUNTER_OUT_6_\: stratix_io generic map (
4649 operation_mode => "output"
4652 padio => D_COLUMN_COUNTERZ(6),
4653 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
4662 \D_COLUMN_COUNTER_OUT_5_\: stratix_io generic map (
4663 operation_mode => "output"
4666 padio => D_COLUMN_COUNTERZ(5),
4667 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
4676 \D_COLUMN_COUNTER_OUT_4_\: stratix_io generic map (
4677 operation_mode => "output"
4680 padio => D_COLUMN_COUNTERZ(4),
4681 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
4690 \D_COLUMN_COUNTER_OUT_3_\: stratix_io generic map (
4691 operation_mode => "output"
4694 padio => D_COLUMN_COUNTERZ(3),
4695 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
4704 \D_COLUMN_COUNTER_OUT_2_\: stratix_io generic map (
4705 operation_mode => "output"
4708 padio => D_COLUMN_COUNTERZ(2),
4709 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
4718 \D_COLUMN_COUNTER_OUT_1_\: stratix_io generic map (
4719 operation_mode => "output"
4722 padio => D_COLUMN_COUNTERZ(1),
4723 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
4732 \D_COLUMN_COUNTER_OUT_0_\: stratix_io generic map (
4733 operation_mode => "output"
4736 padio => D_COLUMN_COUNTERZ(0),
4737 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
4746 D_VSYNC_OUT: stratix_io generic map (
4747 operation_mode => "output"
4751 datain => \VGA_DRIVER_UNIT.V_SYNC\,
4760 D_HSYNC_OUT: stratix_io generic map (
4761 operation_mode => "output"
4765 datain => \VGA_DRIVER_UNIT.H_SYNC\,
4774 \SEVEN_SEG_PIN_TRI_13_\: stratix_io generic map (
4775 operation_mode => "output"
4778 padio => SEVEN_SEG_PINZ(13),
4788 \SEVEN_SEG_PIN_OUT_12_\: stratix_io generic map (
4789 operation_mode => "output"
4792 padio => SEVEN_SEG_PINZ(12),
4793 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4802 \SEVEN_SEG_PIN_OUT_11_\: stratix_io generic map (
4803 operation_mode => "output"
4806 padio => SEVEN_SEG_PINZ(11),
4807 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4816 \SEVEN_SEG_PIN_OUT_10_\: stratix_io generic map (
4817 operation_mode => "output"
4820 padio => SEVEN_SEG_PINZ(10),
4821 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4830 \SEVEN_SEG_PIN_OUT_9_\: stratix_io generic map (
4831 operation_mode => "output"
4834 padio => SEVEN_SEG_PINZ(9),
4835 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4844 \SEVEN_SEG_PIN_OUT_8_\: stratix_io generic map (
4845 operation_mode => "output"
4848 padio => SEVEN_SEG_PINZ(8),
4849 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4858 \SEVEN_SEG_PIN_OUT_7_\: stratix_io generic map (
4859 operation_mode => "output"
4862 padio => SEVEN_SEG_PINZ(7),
4863 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4872 \SEVEN_SEG_PIN_TRI_6_\: stratix_io generic map (
4873 operation_mode => "output"
4876 padio => SEVEN_SEG_PINZ(6),
4886 \SEVEN_SEG_PIN_TRI_5_\: stratix_io generic map (
4887 operation_mode => "output"
4890 padio => SEVEN_SEG_PINZ(5),
4900 \SEVEN_SEG_PIN_TRI_4_\: stratix_io generic map (
4901 operation_mode => "output"
4904 padio => SEVEN_SEG_PINZ(4),
4914 \SEVEN_SEG_PIN_TRI_3_\: stratix_io generic map (
4915 operation_mode => "output"
4918 padio => SEVEN_SEG_PINZ(3),
4928 \SEVEN_SEG_PIN_OUT_2_\: stratix_io generic map (
4929 operation_mode => "output"
4932 padio => SEVEN_SEG_PINZ(2),
4933 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4942 \SEVEN_SEG_PIN_OUT_1_\: stratix_io generic map (
4943 operation_mode => "output"
4946 padio => SEVEN_SEG_PINZ(1),
4947 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
4956 \SEVEN_SEG_PIN_TRI_0_\: stratix_io generic map (
4957 operation_mode => "output"
4960 padio => SEVEN_SEG_PINZ(0),
4970 VSYNC_PIN_OUT: stratix_io generic map (
4971 operation_mode => "output"
4974 padio => VSYNC_PINZ,
4975 datain => \VGA_DRIVER_UNIT.V_SYNC\,
4984 HSYNC_PIN_OUT: stratix_io generic map (
4985 operation_mode => "output"
4988 padio => HSYNC_PINZ,
4989 datain => \VGA_DRIVER_UNIT.H_SYNC\,
4998 B1_PIN_OUT: stratix_io generic map (
4999 operation_mode => "output"
5003 datain => \VGA_CONTROL_UNIT.B\,
5012 B0_PIN_OUT: stratix_io generic map (
5013 operation_mode => "output"
5017 datain => \VGA_CONTROL_UNIT.B\,
5026 G2_PIN_OUT: stratix_io generic map (
5027 operation_mode => "output"
5031 datain => \VGA_CONTROL_UNIT.G\,
5040 G1_PIN_OUT: stratix_io generic map (
5041 operation_mode => "output"
5045 datain => \VGA_CONTROL_UNIT.G\,
5054 G0_PIN_OUT: stratix_io generic map (
5055 operation_mode => "output"
5059 datain => \VGA_CONTROL_UNIT.G\,
5068 R2_PIN_OUT: stratix_io generic map (
5069 operation_mode => "output"
5073 datain => \VGA_CONTROL_UNIT.R\,
5082 R1_PIN_OUT: stratix_io generic map (
5083 operation_mode => "output"
5087 datain => \VGA_CONTROL_UNIT.R\,
5096 R0_PIN_OUT: stratix_io generic map (
5097 operation_mode => "output"
5101 datain => \VGA_CONTROL_UNIT.R\,
5111 VGA_DRIVER_UNIT: vga_driver port map (
5112 line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
5113 line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
5114 line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
5115 line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
5116 line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
5117 line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
5118 line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
5119 line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
5120 line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
5121 dly_counter_1 => DLY_COUNTER(1),
5122 dly_counter_0 => DLY_COUNTER(0),
5123 vsync_state_2 => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
5124 vsync_state_5 => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
5125 vsync_state_3 => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
5126 vsync_state_6 => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
5127 vsync_state_4 => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
5128 vsync_state_1 => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
5129 vsync_state_0 => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
5130 hsync_state_2 => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
5131 hsync_state_4 => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
5132 hsync_state_0 => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
5133 hsync_state_5 => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
5134 hsync_state_1 => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
5135 hsync_state_3 => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
5136 hsync_state_6 => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
5137 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
5138 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
5139 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
5140 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
5141 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
5142 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
5143 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
5144 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
5145 column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
5146 column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
5147 vsync_counter_9 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
5148 vsync_counter_8 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
5149 vsync_counter_7 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
5150 vsync_counter_6 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
5151 vsync_counter_5 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
5152 vsync_counter_4 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
5153 vsync_counter_3 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
5154 vsync_counter_2 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
5155 vsync_counter_1 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
5156 vsync_counter_0 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
5157 hsync_counter_9 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
5158 hsync_counter_8 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
5159 hsync_counter_7 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
5160 hsync_counter_6 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
5161 hsync_counter_5 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
5162 hsync_counter_4 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
5163 hsync_counter_3 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
5164 hsync_counter_2 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
5165 hsync_counter_1 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
5166 hsync_counter_0 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
5167 d_set_vsync_counter => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
5168 un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
5169 un10_column_counter_siglt6_3 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_3\,
5170 v_sync => \VGA_DRIVER_UNIT.V_SYNC\,
5171 h_sync => \VGA_DRIVER_UNIT.H_SYNC\,
5172 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
5173 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
5174 reset_pin_c => RESET_PIN_C,
5175 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
5176 d_set_hsync_counter => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
5177 clk_pin_c => CLK_PIN_C);
5178 VGA_CONTROL_UNIT: vga_control port map (
5179 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
5180 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
5181 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
5182 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
5183 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
5184 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
5185 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
5186 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
5187 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
5188 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
5189 un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
5190 g => \VGA_CONTROL_UNIT.G\,
5191 un10_column_counter_siglt6_3 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_3\,
5192 r => \VGA_CONTROL_UNIT.R\,
5193 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
5194 clk_pin_c => CLK_PIN_C,
5195 b => \VGA_CONTROL_UNIT.B\);
5196 N_1 <= CLK_PIN_INTERNAL;
5197 N_2 <= RESET_PIN_INTERNAL;
5206 N_68_0 <= HSYNC_PINZ;
5207 N_69_0 <= VSYNC_PINZ;
5208 N_70_0 <= SEVEN_SEG_PINZ(0);
5209 N_71_0 <= SEVEN_SEG_PINZ(1);
5210 N_72_0 <= SEVEN_SEG_PINZ(2);
5211 N_73_0 <= SEVEN_SEG_PINZ(3);
5212 N_74_0 <= SEVEN_SEG_PINZ(4);
5213 N_75_0 <= SEVEN_SEG_PINZ(5);
5214 N_76_0 <= SEVEN_SEG_PINZ(6);
5215 N_77_0 <= SEVEN_SEG_PINZ(7);
5216 N_78_0 <= SEVEN_SEG_PINZ(8);
5217 N_79_0 <= SEVEN_SEG_PINZ(9);
5218 N_80_0 <= SEVEN_SEG_PINZ(10);
5219 N_81_0 <= SEVEN_SEG_PINZ(11);
5220 N_82_0 <= SEVEN_SEG_PINZ(12);
5221 N_83_0 <= SEVEN_SEG_PINZ(13);
5224 N_86_0 <= D_COLUMN_COUNTERZ(0);
5225 N_87_0 <= D_COLUMN_COUNTERZ(1);
5226 N_88_0 <= D_COLUMN_COUNTERZ(2);
5227 N_89_0 <= D_COLUMN_COUNTERZ(3);
5228 N_90_0 <= D_COLUMN_COUNTERZ(4);
5229 N_91_0 <= D_COLUMN_COUNTERZ(5);
5230 N_92 <= D_COLUMN_COUNTERZ(6);
5231 N_93 <= D_COLUMN_COUNTERZ(7);
5232 N_94 <= D_COLUMN_COUNTERZ(8);
5233 N_95 <= D_COLUMN_COUNTERZ(9);
5234 N_96 <= D_LINE_COUNTERZ(0);
5235 N_97 <= D_LINE_COUNTERZ(1);
5236 N_98 <= D_LINE_COUNTERZ(2);
5237 N_99 <= D_LINE_COUNTERZ(3);
5238 N_100 <= D_LINE_COUNTERZ(4);
5239 N_101 <= D_LINE_COUNTERZ(5);
5240 N_102 <= D_LINE_COUNTERZ(6);
5241 N_103 <= D_LINE_COUNTERZ(7);
5242 N_104 <= D_LINE_COUNTERZ(8);
5243 N_105 <= D_SET_COLUMN_COUNTERZ;
5244 N_106 <= D_SET_LINE_COUNTERZ;
5245 N_107 <= D_HSYNC_COUNTERZ(0);
5246 N_108 <= D_HSYNC_COUNTERZ(1);
5247 N_109 <= D_HSYNC_COUNTERZ(2);
5248 N_110 <= D_HSYNC_COUNTERZ(3);
5249 N_111 <= D_HSYNC_COUNTERZ(4);
5250 N_112 <= D_HSYNC_COUNTERZ(5);
5251 N_113 <= D_HSYNC_COUNTERZ(6);
5252 N_114 <= D_HSYNC_COUNTERZ(7);
5253 N_115 <= D_HSYNC_COUNTERZ(8);
5254 N_116 <= D_HSYNC_COUNTERZ(9);
5255 N_117 <= D_VSYNC_COUNTERZ(0);
5256 N_118 <= D_VSYNC_COUNTERZ(1);
5257 N_119 <= D_VSYNC_COUNTERZ(2);
5258 N_120 <= D_VSYNC_COUNTERZ(3);
5259 N_121 <= D_VSYNC_COUNTERZ(4);
5260 N_122 <= D_VSYNC_COUNTERZ(5);
5261 N_123 <= D_VSYNC_COUNTERZ(6);
5262 N_124 <= D_VSYNC_COUNTERZ(7);
5263 N_125 <= D_VSYNC_COUNTERZ(8);
5264 N_126 <= D_VSYNC_COUNTERZ(9);
5265 N_127 <= D_SET_HSYNC_COUNTERZ;
5266 N_128 <= D_SET_VSYNC_COUNTERZ;
5267 N_129 <= D_H_ENABLEZ;
5268 N_130 <= D_V_ENABLEZ;
5272 N_134 <= D_HSYNC_STATEZ(6);
5273 N_135 <= D_HSYNC_STATEZ(5);
5274 N_136 <= D_HSYNC_STATEZ(4);
5275 N_137 <= D_HSYNC_STATEZ(3);
5276 N_138 <= D_HSYNC_STATEZ(2);
5277 N_139 <= D_HSYNC_STATEZ(1);
5278 N_140 <= D_HSYNC_STATEZ(0);
5279 N_141 <= D_VSYNC_STATEZ(6);
5280 N_142 <= D_VSYNC_STATEZ(5);
5281 N_143 <= D_VSYNC_STATEZ(4);
5282 N_144 <= D_VSYNC_STATEZ(3);
5283 N_145 <= D_VSYNC_STATEZ(2);
5284 N_146 <= D_VSYNC_STATEZ(1);
5285 N_147 <= D_VSYNC_STATEZ(0);
5286 N_148 <= D_STATE_CLKZ;
5295 hsync_pin <= N_68_0;
5296 vsync_pin <= N_69_0;
5297 seven_seg_pin(0) <= N_70_0;
5298 seven_seg_pin(1) <= N_71_0;
5299 seven_seg_pin(2) <= N_72_0;
5300 seven_seg_pin(3) <= N_73_0;
5301 seven_seg_pin(4) <= N_74_0;
5302 seven_seg_pin(5) <= N_75_0;
5303 seven_seg_pin(6) <= N_76_0;
5304 seven_seg_pin(7) <= N_77_0;
5305 seven_seg_pin(8) <= N_78_0;
5306 seven_seg_pin(9) <= N_79_0;
5307 seven_seg_pin(10) <= N_80_0;
5308 seven_seg_pin(11) <= N_81_0;
5309 seven_seg_pin(12) <= N_82_0;
5310 seven_seg_pin(13) <= N_83_0;
5313 d_column_counter(0) <= N_86_0;
5314 d_column_counter(1) <= N_87_0;
5315 d_column_counter(2) <= N_88_0;
5316 d_column_counter(3) <= N_89_0;
5317 d_column_counter(4) <= N_90_0;
5318 d_column_counter(5) <= N_91_0;
5319 d_column_counter(6) <= N_92;
5320 d_column_counter(7) <= N_93;
5321 d_column_counter(8) <= N_94;
5322 d_column_counter(9) <= N_95;
5323 d_line_counter(0) <= N_96;
5324 d_line_counter(1) <= N_97;
5325 d_line_counter(2) <= N_98;
5326 d_line_counter(3) <= N_99;
5327 d_line_counter(4) <= N_100;
5328 d_line_counter(5) <= N_101;
5329 d_line_counter(6) <= N_102;
5330 d_line_counter(7) <= N_103;
5331 d_line_counter(8) <= N_104;
5332 d_set_column_counter <= N_105;
5333 d_set_line_counter <= N_106;
5334 d_hsync_counter(0) <= N_107;
5335 d_hsync_counter(1) <= N_108;
5336 d_hsync_counter(2) <= N_109;
5337 d_hsync_counter(3) <= N_110;
5338 d_hsync_counter(4) <= N_111;
5339 d_hsync_counter(5) <= N_112;
5340 d_hsync_counter(6) <= N_113;
5341 d_hsync_counter(7) <= N_114;
5342 d_hsync_counter(8) <= N_115;
5343 d_hsync_counter(9) <= N_116;
5344 d_vsync_counter(0) <= N_117;
5345 d_vsync_counter(1) <= N_118;
5346 d_vsync_counter(2) <= N_119;
5347 d_vsync_counter(3) <= N_120;
5348 d_vsync_counter(4) <= N_121;
5349 d_vsync_counter(5) <= N_122;
5350 d_vsync_counter(6) <= N_123;
5351 d_vsync_counter(7) <= N_124;
5352 d_vsync_counter(8) <= N_125;
5353 d_vsync_counter(9) <= N_126;
5354 d_set_hsync_counter <= N_127;
5355 d_set_vsync_counter <= N_128;
5356 d_h_enable <= N_129;
5357 d_v_enable <= N_130;
5361 d_hsync_state(6) <= N_134;
5362 d_hsync_state(5) <= N_135;
5363 d_hsync_state(4) <= N_136;
5364 d_hsync_state(3) <= N_137;
5365 d_hsync_state(2) <= N_138;
5366 d_hsync_state(1) <= N_139;
5367 d_hsync_state(0) <= N_140;
5368 d_vsync_state(6) <= N_141;
5369 d_vsync_state(5) <= N_142;
5370 d_vsync_state(4) <= N_143;
5371 d_vsync_state(3) <= N_144;
5372 d_vsync_state(2) <= N_145;
5373 d_vsync_state(1) <= N_146;
5374 d_vsync_state(0) <= N_147;
5375 d_state_clk <= N_148;
5376 CLK_PIN_INTERNAL <= clk_pin;
5377 RESET_PIN_INTERNAL <= reset_pin;