4er slot (3. bsp fertig)
[dide_16.git] / bsp3 / Designflow / src / vga_control_ent.vhd
1 -------------------------------------------------------------------------------\r
2 -- Title      : vga_control entity\r
3 -- Project    : LU Digital Design\r
4 -------------------------------------------------------------------------------\r
5 -- File       : vga_control_ent.vhd\r
6 -- Author     : Thomas Handl\r
7 -- Company    : TU Wien\r
8 -- Created    : 2004-12-15\r
9 -- Last update: 2006-02-24\r
10 -------------------------------------------------------------------------------\r
11 -- Description: generation of colors (RGB)\r
12 -------------------------------------------------------------------------------\r
13 -- Copyright (c) 2004 TU Wien\r
14 -------------------------------------------------------------------------------\r
15 -- Revisions  :\r
16 -- Date        Version  Author  Description\r
17 -- 2004-12-15  1.0      handl     Created\r
18 -- 2006-02-24  2.0      ST      revised\r
19 -------------------------------------------------------------------------------\r
20 \r
21 -------------------------------------------------------------------------------\r
22 -- LIBRARIES\r
23 -------------------------------------------------------------------------------\r
24 \r
25 library IEEE;\r
26 use IEEE.std_logic_1164.all;\r
27 use IEEE.std_logic_unsigned.all;\r
28 use IEEE.std_logic_arith.all;\r
29 \r
30 use work.vga_pak.all;\r
31 \r
32 -------------------------------------------------------------------------------\r
33 -- ENTITY\r
34 -------------------------------------------------------------------------------\r
35 \r
36 \r
37 entity vga_control is\r
38   port(clk            : in std_logic;\r
39        reset          : in  std_logic;\r
40        column_counter : in std_logic_vector(COL_CNT_WIDTH-1 downto 0);\r
41        line_counter   : in std_logic_vector(LINE_CNT_WIDTH-1 downto 0);\r
42        v_enable       : in std_logic;\r
43        h_enable       : in std_logic;\r
44        r, g, b        : out std_logic\r
45        );\r
46 \r
47 end vga_control;\r
48 \r
49 -------------------------------------------------------------------------------\r
50 -- END ENTITY\r
51 -------------------------------------------------------------------------------\r