4er slot (3. bsp fertig)
[dide_16.git] / bsp3 / Designflow / sim / beh / work / @_opt / vopt535hk5
1 m255
2 K3
3 cModel Technology Builtin Library
4 13
5 Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
6 Pmath_complex
7 Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
8 Z2 OL;C;6.5b;42
9 31
10 b1
11 Z3 Mx1 4 work 9 math_real
12 Z4 OP;C;6.5b;42
13 Z5 w1208391546
14 Z6 d$MODEL_TECH/..
15 Z7 8vhdl_src/ieee/1076-2code.vhd
16 Z8 Fvhdl_src/ieee/1076-2code.vhd
17 l0
18 L687
19 V1a;R8Z_kc3Q7^>9;gKVIV0
20 Z9 OE;C;6.5b;42
21 Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..}
22 Z11 tExplicit 1
23 !s100 j6YPGc@:alQm=gAZDnLd<2
24 Bbody
25 DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0
26 R1
27 R2
28 31
29 R3
30 R4
31 l0
32 L3719
33 VIMmI^hXJEW@Uoa4kJFX:K1
34 R9
35 R10
36 R11
37 nbody
38 !s100 GRUnO8ScI[9kFB=Ki3;5f2
39 Pmath_real
40 R2
41 31
42 b1
43 R4
44 R5
45 R6
46 R7
47 R8
48 l0
49 L55
50 VzjAF7SKfg_RPI0GT^n1N`1
51 R9
52 R10
53 R11
54 !s100 ?h[BJdc9h<H[IRQe:3oKI1
55 Bbody
56 DBx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
57 R2
58 31
59 R4
60 l0
61 L1772
62 V:TOmE?QHig?1Xi[gFIA[l1
63 R9
64 R10
65 R11
66 nbody
67 !s100 k8]3?:F=XKke_dV>AMLfn1
68 Pnumeric_bit
69 R2
70 31
71 b1
72 R4
73 Z12 w1242971927
74 R6
75 Z13 8vhdl_src/ieee/mti_numeric_bit.vhd
76 Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd
77 l0
78 L58
79 V0:R3B671ke]N`8]?lK_c_1
80 R9
81 Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3
82 R11
83 !s100 b164i8a]Ti[DoEJ?8VoH00
84 Bbody
85 DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1
86 R2
87 31
88 R4
89 l0
90 L1045
91 VMl`J4ca2be3ejNXY`>k4Y1
92 R9
93 R15
94 R11
95 nbody
96 !s100 G_bI[L810b3Q]LV2V2za01
97 Pnumeric_std
98 Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
99 R2
100 31
101 b1
102 Z17 Mx1 4 ieee 14 std_logic_1164
103 R4
104 R12
105 R6
106 Z18 8vhdl_src/ieee/mti_numeric_std.vhd
107 Z19 Fvhdl_src/ieee/mti_numeric_std.vhd
108 l0
109 L57
110 V=NSdli^?T5OD8;4F<blj<3
111 R9
112 R15
113 R11
114 !s100 VoXZ=H`a=49gQGdC[Y9Z21
115 Bbody
116 DBx4 work 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
117 R16
118 R2
119 31
120 R17
121 R4
122 l0
123 L1100
124 V;m@IM<mVXokEM:EdoJkM40
125 R9
126 R15
127 R11
128 nbody
129 !s100 1cgbZWo^oXbeE6NO65mZ=1
130 Pstd_logic_1164
131 R2
132 31
133 b1
134 R4
135 R12
136 R6
137 Z20 8vhdl_src/ieee/stdlogic.vhd
138 Z21 Fvhdl_src/ieee/stdlogic.vhd
139 l0
140 L36
141 VGH1=`jDDBJ=`LM;:Ak`kf2
142 R9
143 R10
144 R11
145 !s100 Z6;nC83Z4f^^XJaZ:TVAb1
146 Bbody
147 DBx4 work 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
148 R2
149 31
150 R4
151 l0
152 L169
153 V?YNEkS<^lY?<6LBZLFa8D0
154 R9
155 R10
156 R11
157 nbody
158 !s100 6leLR2`?2Fd;N4T0X@_oa3
159 Pstd_logic_arith
160 R16
161 R2
162 31
163 b1
164 R17
165 R4
166 R12
167 R6
168 Z22 8vhdl_src/synopsys/mti_std_logic_arith.vhd
169 Z23 Fvhdl_src/synopsys/mti_std_logic_arith.vhd
170 l0
171 L25
172 VGJbAT?7@hRQU9IQ702DT]2
173 R9
174 R10
175 R11
176 !s100 Sa7R1jMegK@3B0AV8`ReA0
177 Bbody
178 DBx4 work 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
179 R16
180 R2
181 31
182 R17
183 R4
184 l0
185 L620
186 V@]n`Xb_DgYnHKLT95S1dB1
187 R9
188 R10
189 R11
190 nbody
191 !s100 P1PiLbE11nL731z_^XjK92
192 Pstd_logic_misc
193 Z24 DPx8 synopsys 10 attributes 0 22 2Q8I4L@H0S1aHEXkjUYDC1
194 R16
195 R2
196 31
197 b1
198 Z25 Mx2 4 ieee 14 std_logic_1164
199 Z26 Mx1 8 synopsys 10 attributes
200 R4
201 R12
202 R6
203 Z27 8vhdl_src/synopsys/mti_std_logic_misc.vhd
204 Z28 Fvhdl_src/synopsys/mti_std_logic_misc.vhd
205 l0
206 L24
207 VD2f;@P3IKJA9T^H8HI[9K0
208 R9
209 R10
210 R11
211 !s100 1zB4YNJ<`YghL_A>3aVEY0
212 Bbody
213 DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0
214 R24
215 R16
216 R2
217 31
218 R25
219 R26
220 R4
221 l0
222 L173
223 Vd@dC3[2h4nN7HB2XD:8CM1
224 R9
225 R10
226 R11
227 nbody
228 !s100 Nh<M=F4GQcbj[<UaS33LA1
229 Pstd_logic_signed
230 Z29 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
231 R16
232 R2
233 31
234 b1
235 R25
236 Z30 Mx1 4 ieee 15 std_logic_arith
237 R4
238 R12
239 R6
240 Z31 8vhdl_src/synopsys/mti_std_logic_signed.vhd
241 Z32 Fvhdl_src/synopsys/mti_std_logic_signed.vhd
242 l0
243 L35
244 V<9<Kcl:S52:oW`F]FQhb20
245 R9
246 R10
247 R11
248 !s100 mSh:b6d=DKVg2KeEQH^kd0
249 Bbody
250 DBx4 work 16 std_logic_signed 0 22 <9<Kcl:S52:oW`F]FQhb20
251 R29
252 R16
253 R2
254 31
255 R25
256 R30
257 R4
258 l0
259 L232
260 VDR>6>65S7FR:e[I>ADUQO1
261 R9
262 R10
263 R11
264 nbody
265 !s100 ]?UNFEkZD:LZf;=G2=^OM3
266 Pstd_logic_textio
267 R16
268 Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
269 R2
270 31
271 b1
272 Z34 Mx2 3 std 6 textio
273 R17
274 R4
275 R12
276 R6
277 Z35 8vhdl_src/synopsys/std_logic_textio.vhd
278 Z36 Fvhdl_src/synopsys/std_logic_textio.vhd
279 l0
280 L22
281 V8YS?iX`WD1REQG`ZRYQGB2
282 R9
283 R10
284 R11
285 !s100 <34OlBOka?E186MPPbJ<F1
286 Bbody
287 DBx4 work 16 std_logic_textio 0 22 8YS?iX`WD1REQG`ZRYQGB2
288 R16
289 R33
290 R2
291 31
292 R34
293 R17
294 R4
295 l0
296 L70
297 Vj9DSczGXI>dbiF;m2[GMa2
298 R9
299 R10
300 R11
301 nbody
302 !s100 6OHe=[AFemLP2O5e01aCn1
303 Pstd_logic_unsigned
304 R29
305 R16
306 R2
307 31
308 b1
309 R25
310 R30
311 R4
312 R12
313 R6
314 Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd
315 Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd
316 l0
317 L34
318 VhEMVMlaNCR^<OOoVNV;m90
319 R9
320 R10
321 R11
322 !s100 m;ka?gIZQ?7M5D732VDkQ2
323 Bbody
324 DBx4 work 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
325 R29
326 R16
327 R2
328 31
329 R25
330 R30
331 R4
332 l0
333 L234
334 V1=Y]oOSl8JChnzj5R39ha2
335 R9
336 R10
337 R11
338 nbody
339 !s100 4k4oOhm[kk0Z>a:GNXQeK2
340 Pvital_primitives
341 Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
342 R16
343 R2
344 30
345 b1
346 R25
347 Mx1 4 ieee 12 vital_timing
348 R4
349 Z40 w1242971928
350 R6
351 8vhdl_src/vital95/prmtvs_p.vhd
352 Fvhdl_src/vital95/prmtvs_p.vhd
353 l0
354 L47
355 VE9g6AWKAc2T]enMfl94If3
356 R9
357 Z41 o-87 -novital -novital -work ieee -dirpath {$MODEL_TECH/..}
358 R11
359 !s100 j6nRfL18l=3@J0:=7g8GH0
360 Bbody
361 DBx4 work 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
362 R33
363 R39
364 R16
365 R2
366 30
367 Z42 Mx3 4 ieee 14 std_logic_1164
368 Mx2 4 ieee 12 vital_timing
369 Z43 Mx1 3 std 6 textio
370 R4
371 8vhdl_src/vital95/prmtvs_b.vhd
372 Fvhdl_src/vital95/prmtvs_b.vhd
373 l0
374 L26
375 V>[EMmIIzoCHn?@614I_=a3
376 R9
377 R41
378 R11
379 nbody
380 !s100 ccDc[]`DWjj?>mGBe93>82
381 Pvital_timing
382 R16
383 R2
384 30
385 b1
386 R17
387 R4
388 R40
389 R6
390 8vhdl_src/vital95/timing_p.vhd
391 Fvhdl_src/vital95/timing_p.vhd
392 l0
393 L46
394 VOBWK>;kUYmkG<OChK2lhV1
395 R9
396 R41
397 R11
398 !s100 0aicHc]@V^<Hc5ggAgIP82
399 Bbody
400 DBx4 work 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
401 R33
402 R16
403 R2
404 30
405 R25
406 R43
407 R4
408 8vhdl_src/vital95/timing_b.vhd
409 Fvhdl_src/vital95/timing_b.vhd
410 l0
411 L25
412 VfN[Pf:HE;^Z^LCeH6gGI81
413 R9
414 R41
415 R11
416 nbody
417 !s100 hhU`7L40D93Ij3b8NNlJ>1