4er slot (3. bsp fertig)
[dide_16.git] / bsp3 / Designflow / ppr / download / vga_pll_assignment_defaults.qdf
1 # -------------------------------------------------------------------------- #
2 #
3 # Copyright (C) 1991-2009 Altera Corporation
4 # Your use of Altera Corporation's design tools, logic functions 
5 # and other software and tools, and its AMPP partner logic 
6 # functions, and any output files from any of the foregoing 
7 # (including device programming or simulation files), and any 
8 # associated documentation or information are expressly subject 
9 # to the terms and conditions of the Altera Program License 
10 # Subscription Agreement, Altera MegaCore Function License 
11 # Agreement, or other applicable license agreement, including, 
12 # without limitation, that your use is for the sole purpose of 
13 # programming logic devices manufactured by Altera and sold by 
14 # Altera or its authorized distributors.  Please refer to the 
15 # applicable agreement for further details.
16 #
17 # -------------------------------------------------------------------------- #
18 #
19 # Quartus II
20 # Version 9.0 Build 132 02/25/2009 SJ Full Version
21 # Date created = 17:12:29  October 29, 2009
22 #
23 # -------------------------------------------------------------------------- #
24 #
25 # Note:
26 #
27 # 1) Do not modify this file. This file was generated
28 #    automatically by the Quartus II software and is used
29 #    to preserve global assignments across Quartus II versions.
30 #
31 # -------------------------------------------------------------------------- #
32
33 set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On
34 set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off
35 set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off
36 set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db
37 set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off
38 set_global_assignment -name SMART_RECOMPILE Off
39 set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off
40 set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off
41 set_global_assignment -name HC_OUTPUT_DIR hc_output
42 set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off
43 set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off
44 set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On
45 set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off
46 set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings"
47 set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On
48 set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle"
49 set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On
50 set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On
51 set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On
52 set_global_assignment -name DO_COMBINED_ANALYSIS Off
53 set_global_assignment -name IGNORE_CLOCK_SETTINGS Off
54 set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On
55 set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS Off
56 set_global_assignment -name ENABLE_CLOCK_LATENCY Off
57 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family ACEX1K
58 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000B
59 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy II"
60 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KA
61 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix IV"
62 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Cyclone III"
63 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy Stratix"
64 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KE
65 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000AE
66 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Cyclone
67 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II GX"
68 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10K
69 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "MAX II"
70 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KC
71 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria II GX"
72 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix GX"
73 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000S
74 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX6000
75 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "APEX II"
76 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KE
77 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Cyclone II"
78 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix III"
79 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria GX"
80 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX3000A
81 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II"
82 set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Stratix
83 set_global_assignment -name NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT 10
84 set_global_assignment -name NUMBER_OF_DESTINATION_TO_REPORT 10
85 set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 200
86 set_global_assignment -name DO_MIN_ANALYSIS Off
87 set_global_assignment -name DO_MIN_TIMING Off
88 set_global_assignment -name REPORT_IO_PATHS_SEPARATELY Off
89 set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK Off
90 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family ACEX1K
91 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B
92 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II"
93 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KA
94 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV"
95 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III"
96 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "HardCopy Stratix"
97 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KE
98 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE
99 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone
100 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX"
101 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10K
102 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II"
103 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KC
104 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX"
105 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX"
106 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S
107 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX6000
108 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "APEX II"
109 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KE
110 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II"
111 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III"
112 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX"
113 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A
114 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II"
115 set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix
116 set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off
117 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family ACEX1K
118 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000B
119 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy II"
120 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KA
121 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV"
122 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III"
123 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy Stratix"
124 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KE
125 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000AE
126 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Cyclone
127 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II GX"
128 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10K
129 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II"
130 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KC
131 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX"
132 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix GX"
133 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000S
134 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX6000
135 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "APEX II"
136 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KE
137 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Cyclone II"
138 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix III"
139 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Arria GX"
140 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX3000A
141 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II"
142 set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Stratix
143 set_global_assignment -name MUX_RESTRUCTURE Auto
144 set_global_assignment -name ENABLE_IP_DEBUG Off
145 set_global_assignment -name SAVE_DISK_SPACE On
146 set_global_assignment -name DISABLE_OCP_HW_EVAL Off
147 set_global_assignment -name DEVICE_FILTER_PACKAGE Any
148 set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any
149 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any
150 set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
151 set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001
152 set_global_assignment -name VHDL_INPUT_VERSION VHDL93
153 set_global_assignment -name FAMILY -value Stratix
154 set_global_assignment -name TRUE_WYSIWYG_FLOW Off
155 set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off
156 set_global_assignment -name STATE_MACHINE_PROCESSING Auto
157 set_global_assignment -name SAFE_STATE_MACHINE Off
158 set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On
159 set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On
160 set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off
161 set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000
162 set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250
163 set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On
164 set_global_assignment -name PARALLEL_SYNTHESIS Off
165 set_global_assignment -name DSP_BLOCK_BALANCING Auto
166 set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)"
167 set_global_assignment -name NOT_GATE_PUSH_BACK On
168 set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On
169 set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off
170 set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On
171 set_global_assignment -name IGNORE_CARRY_BUFFERS Off
172 set_global_assignment -name IGNORE_CASCADE_BUFFERS Off
173 set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off
174 set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off
175 set_global_assignment -name IGNORE_LCELL_BUFFERS Off
176 set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO
177 set_global_assignment -name IGNORE_SOFT_BUFFERS On
178 set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off
179 set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off
180 set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On
181 set_global_assignment -name AUTO_GLOBAL_OE_MAX On
182 set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On
183 set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off
184 set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut
185 set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced
186 set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced
187 set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced
188 set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced
189 set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced
190 set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced
191 set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed
192 set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced
193 set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area
194 set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area
195 set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area
196 set_global_assignment -name ALLOW_XOR_GATE_USAGE On
197 set_global_assignment -name AUTO_LCELL_INSERTION On
198 set_global_assignment -name CARRY_CHAIN_LENGTH 48
199 set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32
200 set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32
201 set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48
202 set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70
203 set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70
204 set_global_assignment -name CASCADE_CHAIN_LENGTH 2
205 set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16
206 set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4
207 set_global_assignment -name AUTO_CARRY_CHAINS On
208 set_global_assignment -name AUTO_CASCADE_CHAINS On
209 set_global_assignment -name AUTO_PARALLEL_EXPANDERS On
210 set_global_assignment -name AUTO_OPEN_DRAIN_PINS On
211 set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off
212 set_global_assignment -name AUTO_ROM_RECOGNITION On
213 set_global_assignment -name AUTO_RAM_RECOGNITION On
214 set_global_assignment -name AUTO_DSP_RECOGNITION On
215 set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto
216 set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On
217 set_global_assignment -name STRICT_RAM_RECOGNITION Off
218 set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On
219 set_global_assignment -name FORCE_SYNCH_CLEAR Off
220 set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On
221 set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off
222 set_global_assignment -name AUTO_RESOURCE_SHARING Off
223 set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off
224 set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off
225 set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off
226 set_global_assignment -name MAX7000_FANIN_PER_CELL 100
227 set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On
228 set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)"
229 set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)"
230 set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)"
231 set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off
232 set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off
233 set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off
234 set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On
235 set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off
236 set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2
237 set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation"
238 set_global_assignment -name HDL_MESSAGE_LEVEL Level2
239 set_global_assignment -name USE_HIGH_SPEED_ADDER Auto
240 set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100
241 set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100
242 set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On
243 set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off
244 set_global_assignment -name BLOCK_DESIGN_NAMING Auto
245 set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off
246 set_global_assignment -name SYNTHESIS_EFFORT Auto
247 set_global_assignment -name ALLOW_ACLR_FOR_SHIFT_REGISTER_RECOGNITION On
248 set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off
249 set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium
250 set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal
251 set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0
252 set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
253 set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0
254 set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off
255 set_global_assignment -name DEVICE AUTO
256 set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off
257 set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off
258 set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On
259 set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO"
260 set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin"
261 set_global_assignment -name STRATIXIII_UPDATE_MODE Standard
262 set_global_assignment -name STRATIX_UPDATE_MODE Standard
263 set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial"
264 set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial"
265 set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial"
266 set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial"
267 set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial"
268 set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial"
269 set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial"
270 set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial"
271 set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial"
272 set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial"
273 set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial"
274 set_global_assignment -name USER_START_UP_CLOCK Off
275 set_global_assignment -name ENABLE_VREFA_PIN Off
276 set_global_assignment -name ENABLE_VREFB_PIN Off
277 set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off
278 set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off
279 set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off
280 set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off
281 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground"
282 set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off
283 set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off
284 set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO"
285 set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO"
286 set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO"
287 set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated"
288 set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO"
289 set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated"
290 set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO"
291 set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated"
292 set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO"
293 set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin"
294 set_global_assignment -name CRC_ERROR_CHECKING Off
295 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX"
296 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II"
297 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II"
298 set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix IV"
299 set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Cyclone III"
300 set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix III"
301 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy Stratix"
302 set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria GX"
303 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX"
304 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone
305 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II"
306 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II"
307 set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix
308 set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria II GX"
309 set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off
310 set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On
311 set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto
312 set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care
313 set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "Force All Tiles with Failing Timing Paths to High Speed"
314 set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0
315 set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On
316 set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation"
317 set_global_assignment -name OPTIMIZE_SSN Off -family "Cyclone III"
318 set_global_assignment -name OPTIMIZE_SSN Off -family "Stratix III"
319 set_global_assignment -name OPTIMIZE_TIMING "Normal compilation"
320 set_global_assignment -name ECO_OPTIMIZE_TIMING Off
321 set_global_assignment -name ECO_REGENERATE_REPORT Off
322 set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On
323 set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off
324 set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically
325 set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically
326 set_global_assignment -name SEED 1
327 set_global_assignment -name SLOW_SLEW_RATE Off
328 set_global_assignment -name PCI_IO Off
329 set_global_assignment -name TURBO_BIT On
330 set_global_assignment -name WEAK_PULL_UP_RESISTOR Off
331 set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off
332 set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off
333 set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On
334 set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO
335 set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO
336 set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto
337 set_global_assignment -name AUTO_PACKED_REGISTERS Off
338 set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO
339 set_global_assignment -name NORMAL_LCELL_INSERT On
340 set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On
341 set_global_assignment -name AUTO_DELAY_CHAINS On
342 set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off
343 set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off
344 set_global_assignment -name AUTO_MERGE_PLLS On
345 set_global_assignment -name IGNORE_MODE_FOR_MERGE Off
346 set_global_assignment -name AUTO_TURBO_BIT ON
347 set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off
348 set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off
349 set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off
350 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off
351 set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off
352 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off
353 set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off
354 set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On
355 set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off
356 set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off
357 set_global_assignment -name FITTER_EFFORT "Auto Fit"
358 set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns
359 set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal
360 set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO
361 set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO
362 set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off
363 set_global_assignment -name AUTO_GLOBAL_CLOCK On
364 set_global_assignment -name AUTO_GLOBAL_OE On
365 set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On
366 set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic
367 set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off
368 set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off
369 set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off
370 set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off
371 set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off
372 set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
373 set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
374 set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
375 set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off
376 set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
377 set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off
378 set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off
379 set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off
380 set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off
381 set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off
382 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up"
383 set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off
384 set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off
385 set_global_assignment -name ENABLE_HOLD_BACK_OFF On
386 set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto
387 set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off
388 set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off
389 set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION -value OFF
390 set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On
391 set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off
392 set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)"
393 set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)"
394 set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)"
395 set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)"
396 set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz
397 set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On
398 set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off
399 set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
400 set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<None>"
401 set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "<None>"
402 set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "<None>"
403 set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "<None>"
404 set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "<None>"
405 set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<None>"
406 set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<None>"
407 set_global_assignment -name EDA_RESYNTHESIS_TOOL "<None>"
408 set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On
409 set_global_assignment -name COMPRESSION_MODE Off
410 set_global_assignment -name CLOCK_SOURCE Internal
411 set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz"
412 set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1
413 set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
414 set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off
415 set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
416 set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF
417 set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF
418 set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF
419 set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF
420 set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F
421 set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF
422 set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off
423 set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off
424 set_global_assignment -name SECURITY_BIT Off
425 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family ACEX1K
426 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B
427 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II"
428 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KA
429 set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV"
430 set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Cyclone III"
431 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy Stratix"
432 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KE
433 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE
434 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone
435 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX"
436 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10K
437 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II"
438 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KC
439 set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX"
440 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX"
441 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S
442 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX6000
443 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "APEX II"
444 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KE
445 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II"
446 set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Stratix III"
447 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX"
448 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A
449 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II"
450 set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix
451 set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto
452 set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto
453 set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto
454 set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto
455 set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto
456 set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto
457 set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto
458 set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto
459 set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
460 set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
461 set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
462 set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
463 set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off
464 set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On
465 set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off
466 set_global_assignment -name GENERATE_TTF_FILE Off
467 set_global_assignment -name GENERATE_RBF_FILE Off
468 set_global_assignment -name GENERATE_HEX_FILE Off
469 set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0
470 set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up
471 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal"
472 set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off
473 set_global_assignment -name AUTO_RESTART_CONFIGURATION On
474 set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off
475 set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off
476 set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On
477 set_global_assignment -name ENABLE_OCT_DONE Off
478 set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off
479 set_global_assignment -name START_TIME 0ns
480 set_global_assignment -name SIMULATION_MODE TIMING
481 set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off
482 set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On
483 set_global_assignment -name SETUP_HOLD_DETECTION Off
484 set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off
485 set_global_assignment -name CHECK_OUTPUTS Off
486 set_global_assignment -name SIMULATION_COVERAGE On
487 set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On
488 set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On
489 set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On
490 set_global_assignment -name GLITCH_DETECTION Off
491 set_global_assignment -name GLITCH_INTERVAL 1ns
492 set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off
493 set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On
494 set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off
495 set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On
496 set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE
497 set_global_assignment -name SIMULATION_NETLIST_VIEWER Off
498 set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT
499 set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT
500 set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off
501 set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO
502 set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO
503 set_global_assignment -name DRC_TOP_FANOUT 50
504 set_global_assignment -name DRC_FANOUT_EXCEEDING 30
505 set_global_assignment -name DRC_GATED_CLOCK_FEED 30
506 set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY
507 set_global_assignment -name ENABLE_DRC_SETTINGS Off
508 set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25
509 set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10
510 set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30
511 set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2
512 set_global_assignment -name MERGE_HEX_FILE Off
513 set_global_assignment -name GENERATE_SVF_FILE Off
514 set_global_assignment -name GENERATE_ISC_FILE Off
515 set_global_assignment -name GENERATE_JAM_FILE Off
516 set_global_assignment -name GENERATE_JBC_FILE Off
517 set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On
518 set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off
519 set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off
520 set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off
521 set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off
522 set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On
523 set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off
524 set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state"
525 set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off
526 set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off
527 set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off
528 set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5%
529 set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5%
530 set_global_assignment -name POWER_USE_PVA On
531 set_global_assignment -name POWER_USE_INPUT_FILE "No File"
532 set_global_assignment -name POWER_USE_INPUT_FILES Off
533 set_global_assignment -name POWER_VCD_FILTER_GLITCHES On
534 set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON
535 set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON
536 set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL
537 set_global_assignment -name POWER_AUTO_COMPUTE_TJ On
538 set_global_assignment -name POWER_TJ_VALUE 25
539 set_global_assignment -name POWER_USE_TA_VALUE 25
540 set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off
541 set_global_assignment -name POWER_BOARD_TEMPERATURE 25
542 set_global_assignment -name INCREMENTAL_COMPILATION -value OFF
543 set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off
544 set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT
545 set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End"
546 set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On
547 set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On
548 set_global_assignment -name RTLV_GROUP_RELATED_NODES On
549 set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off
550 set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off
551 set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On
552 set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On
553 set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On
554 set_global_assignment -name EQC_BBOX_MERGE On
555 set_global_assignment -name EQC_LVDS_MERGE On
556 set_global_assignment -name EQC_RAM_UNMERGING On
557 set_global_assignment -name EQC_DFF_SS_EMULATION On
558 set_global_assignment -name EQC_RAM_REGISTER_UNPACK On
559 set_global_assignment -name EQC_MAC_REGISTER_UNPACK On
560 set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On
561 set_global_assignment -name EQC_STRUCTURE_MATCHING On
562 set_global_assignment -name EQC_AUTO_BREAK_CONE On
563 set_global_assignment -name EQC_POWER_UP_COMPARE Off
564 set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On
565 set_global_assignment -name EQC_AUTO_INVERSION On
566 set_global_assignment -name EQC_AUTO_TERMINATE On
567 set_global_assignment -name EQC_SUB_CONE_REPORT Off
568 set_global_assignment -name EQC_RENAMING_RULES On
569 set_global_assignment -name EQC_PARAMETER_CHECK On
570 set_global_assignment -name EQC_AUTO_PORTSWAP On
571 set_global_assignment -name EQC_DETECT_DONT_CARES On
572 set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off
573 set_global_assignment -name DUTY_CYCLE 50 -section_id ?
574 set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ?
575 set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ?
576 set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ?
577 set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ?
578 set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ?
579 set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ?
580 set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ?
581 set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ?
582 set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ?
583 set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ?
584 set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ?
585 set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ?
586 set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ?
587 set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "<None>" -section_id ?
588 set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ?
589 set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ?
590 set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ?
591 set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ?
592 set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ?
593 set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ?
594 set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ?
595 set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ?
596 set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ?
597 set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ?
598 set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ?
599 set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ?
600 set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ?
601 set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ?
602 set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ?
603 set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ?
604 set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ?
605 set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ?
606 set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ?
607 set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ?
608 set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ?
609 set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ?
610 set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ?
611 set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ?
612 set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
613 set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
614 set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ?
615 set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ?
616 set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Cyclone III"
617 set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Stratix III"
618 set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ?
619 set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ?
620 set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ?
621 set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ?
622 set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ?
623 set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ?
624 set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ?
625 set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ?
626 set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ?