1 // Copyright (C) 1991-2009 Altera Corporation
2 // Your use of Altera Corporation's design tools, logic functions
3 // and other software and tools, and its AMPP partner logic
4 // functions, and any output files from any of the foregoing
5 // (including device programming or simulation files), and any
6 // associated documentation or information are expressly subject
7 // to the terms and conditions of the Altera Program License
8 // Subscription Agreement, Altera MegaCore Function License
9 // Agreement, or other applicable license agreement, including,
10 // without limitation, that your use is for the sole purpose of
11 // programming logic devices manufactured by Altera and sold by
12 // Altera or its authorized distributors. Please refer to the
13 // applicable agreement for further details.
16 // PROGRAM "Quartus II"
17 // VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version"
19 // DATE "10/29/2009 17:13:31"
22 // Device: Altera EP1S25F672C6 Package FBGA672
26 // This Verilog file should be used for ModelSim-Altera (Verilog) only
67 output d_set_column_counter;
68 output d_set_line_counter;
69 output d_set_hsync_counter;
70 output d_set_vsync_counter;
87 output [9:0] d_column_counter;
88 output [9:0] d_hsync_counter;
89 output [0:6] d_hsync_state;
90 output [8:0] d_line_counter;
91 output [9:0] d_vsync_counter;
92 output [0:6] d_vsync_state;
93 output [13:0] seven_seg_pin;
101 // synopsys translate_off
102 initial $sdf_annotate("vga_pll_v.sdo");
103 // synopsys translate_on
105 wire \inst1|altpll_component|pll~CLK1 ;
106 wire \inst1|altpll_component|pll~CLK2 ;
107 wire \inst1|altpll_component|pll~CLK3 ;
108 wire \inst1|altpll_component|pll~CLK4 ;
109 wire \inst1|altpll_component|pll~CLK5 ;
110 wire \inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ;
111 wire \inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ;
112 wire \board_clk~combout ;
113 wire \inst1|altpll_component|_clk0 ;
114 wire \reset~combout ;
115 wire \inst|vga_driver_unit|un6_dly_counter_0_x ;
116 wire \inst|vga_driver_unit|hsync_state_6 ;
117 wire \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ;
118 wire \inst|vga_driver_unit|hsync_counter_1 ;
119 wire \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ;
120 wire \inst|vga_driver_unit|hsync_counter_2 ;
121 wire \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ;
122 wire \inst|vga_driver_unit|hsync_counter_3 ;
123 wire \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ;
124 wire \inst|vga_driver_unit|hsync_counter_5 ;
125 wire \inst|vga_driver_unit|un13_hsync_counter_7 ;
126 wire \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ;
127 wire \inst|vga_driver_unit|hsync_counter_6 ;
128 wire \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ;
129 wire \inst|vga_driver_unit|hsync_counter_7 ;
130 wire \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ;
131 wire \inst|vga_driver_unit|hsync_counter_8 ;
132 wire \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ;
133 wire \inst|vga_driver_unit|hsync_counter_9 ;
134 wire \inst|vga_driver_unit|un9_hsync_counterlt9_3 ;
135 wire \inst|vga_driver_unit|un9_hsync_counterlt9 ;
136 wire \inst|vga_driver_unit|G_2_i ;
137 wire \inst|vga_driver_unit|hsync_counter_0 ;
138 wire \inst|vga_driver_unit|un12_hsync_counter_3 ;
139 wire \inst|vga_driver_unit|un12_hsync_counter_4 ;
140 wire \inst|vga_driver_unit|un12_hsync_counter ;
141 wire \inst|vga_driver_unit|un10_hsync_counter_1 ;
142 wire \inst|vga_driver_unit|un11_hsync_counter_3 ;
143 wire \inst|vga_driver_unit|un11_hsync_counter_2 ;
144 wire \inst|vga_driver_unit|un10_hsync_counter_4 ;
145 wire \inst|vga_driver_unit|un10_hsync_counter_3 ;
146 wire \inst|vga_driver_unit|hsync_state_5 ;
147 wire \inst|vga_driver_unit|hsync_state_4 ;
148 wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ;
149 wire \inst|vga_driver_unit|hsync_state_1 ;
150 wire \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ;
151 wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ;
152 wire \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ;
153 wire \inst|vga_driver_unit|hsync_state_2 ;
154 wire \inst|vga_driver_unit|hsync_state_0 ;
155 wire \inst|vga_driver_unit|d_set_hsync_counter ;
156 wire \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ;
157 wire \inst|vga_driver_unit|hsync_counter_4 ;
158 wire \inst|vga_driver_unit|un13_hsync_counter_2 ;
159 wire \inst|vga_driver_unit|un13_hsync_counter ;
160 wire \inst|vga_driver_unit|hsync_state_3 ;
161 wire \inst|vga_driver_unit|un1_hsync_state_3_0 ;
162 wire \inst|vga_driver_unit|h_sync_1_0_0_0_g1 ;
163 wire \inst|vga_driver_unit|h_sync ;
164 wire \inst|vga_driver_unit|vsync_state_6 ;
165 wire \inst|vga_driver_unit|vsync_counter_0 ;
166 wire \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ;
167 wire \inst|vga_driver_unit|vsync_counter_1 ;
168 wire \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ;
169 wire \inst|vga_driver_unit|vsync_counter_2 ;
170 wire \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ;
171 wire \inst|vga_driver_unit|vsync_counter_3 ;
172 wire \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ;
173 wire \inst|vga_driver_unit|vsync_counter_4 ;
174 wire \inst|vga_driver_unit|vsync_counter_5 ;
175 wire \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ;
176 wire \inst|vga_driver_unit|vsync_counter_6 ;
177 wire \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ;
178 wire \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ;
179 wire \inst|vga_driver_unit|vsync_counter_8 ;
180 wire \inst|vga_driver_unit|un9_vsync_counterlt9_5 ;
181 wire \inst|vga_driver_unit|un9_vsync_counterlt9_6 ;
182 wire \inst|vga_driver_unit|un9_vsync_counterlt9 ;
183 wire \inst|vga_driver_unit|G_16_i ;
184 wire \inst|vga_driver_unit|vsync_counter_7 ;
185 wire \inst|vga_driver_unit|un12_vsync_counter_6 ;
186 wire \inst|vga_driver_unit|un12_vsync_counter_7 ;
187 wire \inst|vga_driver_unit|un14_vsync_counter_8 ;
188 wire \inst|vga_driver_unit|un13_vsync_counter_3 ;
189 wire \inst|vga_driver_unit|un13_vsync_counter_4 ;
190 wire \inst|vga_driver_unit|vsync_state_1 ;
191 wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ;
192 wire \inst|vga_driver_unit|un15_vsync_counter_3 ;
193 wire \inst|vga_driver_unit|un15_vsync_counter_4 ;
194 wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ;
195 wire \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ;
196 wire \inst|vga_driver_unit|vsync_state_5 ;
197 wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ;
198 wire \inst|vga_driver_unit|vsync_state_next_2_sqmuxa ;
199 wire \inst|vga_driver_unit|vsync_state_3 ;
200 wire \inst|vga_driver_unit|vsync_state_2 ;
201 wire \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ;
202 wire \inst|vga_driver_unit|vsync_state_0 ;
203 wire \inst|vga_driver_unit|d_set_vsync_counter ;
204 wire \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ;
205 wire \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ;
206 wire \inst|vga_driver_unit|vsync_counter_9 ;
207 wire \inst|vga_driver_unit|vsync_state_4 ;
208 wire \inst|vga_driver_unit|un1_vsync_state_2_0 ;
209 wire \inst|vga_driver_unit|v_sync_1_0_0_0_g1 ;
210 wire \inst|vga_driver_unit|v_sync ;
211 wire \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ;
212 wire \inst|vga_driver_unit|column_counter_sig_0 ;
213 wire \inst|vga_driver_unit|column_counter_sig_1 ;
214 wire \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ;
215 wire \inst|vga_driver_unit|column_counter_sig_3 ;
216 wire \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ;
217 wire \inst|vga_driver_unit|column_counter_sig_2 ;
218 wire \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ;
219 wire \inst|vga_driver_unit|column_counter_sig_4 ;
220 wire \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ;
221 wire \inst|vga_driver_unit|column_counter_sig_5 ;
222 wire \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ;
223 wire \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ;
224 wire \inst|vga_driver_unit|column_counter_sig_8 ;
225 wire \inst|vga_driver_unit|un10_column_counter_siglt6_1 ;
226 wire \inst|vga_driver_unit|un10_column_counter_siglt6 ;
227 wire \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ;
228 wire \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ;
229 wire \inst|vga_driver_unit|column_counter_sig_9 ;
230 wire \inst|vga_driver_unit|un10_column_counter_siglto9 ;
231 wire \inst|vga_driver_unit|column_counter_sig_7 ;
232 wire \inst|vga_driver_unit|column_counter_sig_6 ;
233 wire \inst|vga_driver_unit|un10_column_counter_siglt6_3 ;
234 wire \inst|vga_control_unit|b_next_i_o3_0 ;
235 wire \inst|vga_control_unit|g_next_i_o3 ;
236 wire \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ;
237 wire \inst|vga_driver_unit|v_enable_sig ;
238 wire \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ;
239 wire \inst|vga_driver_unit|h_enable_sig ;
240 wire \inst|vga_control_unit|r_next_i_o7 ;
241 wire \inst|vga_control_unit|N_4_i_0_g0_1 ;
242 wire \inst|vga_control_unit|r ;
243 wire \inst|vga_control_unit|N_23_i_0_g0_a ;
244 wire \inst|vga_control_unit|g ;
245 wire \inst|vga_control_unit|N_6_i_0_g0_0 ;
246 wire \inst|vga_control_unit|b_next_i_a7_1 ;
247 wire \inst|vga_control_unit|b ;
248 wire \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ;
249 wire \inst|vga_driver_unit|line_counter_sig_0 ;
250 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ;
251 wire \inst|vga_driver_unit|line_counter_sig_2 ;
252 wire \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ;
253 wire \inst|vga_driver_unit|line_counter_sig_1 ;
254 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ;
255 wire \inst|vga_driver_unit|line_counter_sig_3 ;
256 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ;
257 wire \inst|vga_driver_unit|line_counter_sig_4 ;
258 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ;
259 wire \inst|vga_driver_unit|line_counter_sig_5 ;
260 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ;
261 wire \inst|vga_driver_unit|line_counter_sig_6 ;
262 wire \inst|vga_driver_unit|un10_line_counter_siglt4_2 ;
263 wire \inst|vga_driver_unit|un10_line_counter_siglto5 ;
264 wire \inst|vga_driver_unit|un10_line_counter_siglto8 ;
265 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ;
266 wire \inst|vga_driver_unit|line_counter_sig_7 ;
267 wire \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ;
268 wire \inst|vga_driver_unit|line_counter_sig_8 ;
269 wire \~STRATIX_FITTER_CREATED_GND~I_combout ;
270 wire [8:0] \inst|vga_driver_unit|hsync_counter_cout ;
271 wire [1:1] \inst|vga_driver_unit|un1_line_counter_sig_a_cout ;
272 wire [9:1] \inst|vga_driver_unit|un1_line_counter_sig_combout ;
273 wire [7:1] \inst|vga_driver_unit|un1_line_counter_sig_cout ;
274 wire [9:1] \inst|vga_driver_unit|un2_column_counter_next_combout ;
275 wire [7:0] \inst|vga_driver_unit|un2_column_counter_next_cout ;
276 wire [8:0] \inst|vga_driver_unit|vsync_counter_cout ;
277 wire [1:0] \inst|dly_counter ;
279 wire [5:0] \inst1|altpll_component|pll_CLK_bus ;
281 assign \inst1|altpll_component|_clk0 = \inst1|altpll_component|pll_CLK_bus [0];
282 assign \inst1|altpll_component|pll~CLK1 = \inst1|altpll_component|pll_CLK_bus [1];
283 assign \inst1|altpll_component|pll~CLK2 = \inst1|altpll_component|pll_CLK_bus [2];
284 assign \inst1|altpll_component|pll~CLK3 = \inst1|altpll_component|pll_CLK_bus [3];
285 assign \inst1|altpll_component|pll~CLK4 = \inst1|altpll_component|pll_CLK_bus [4];
286 assign \inst1|altpll_component|pll~CLK5 = \inst1|altpll_component|pll_CLK_bus [5];
289 stratix_io \board_clk~I (
303 .combout(\board_clk~combout ),
308 // synopsys translate_off
309 defparam \board_clk~I .ddio_mode = "none";
310 defparam \board_clk~I .input_async_reset = "none";
311 defparam \board_clk~I .input_power_up = "low";
312 defparam \board_clk~I .input_register_mode = "none";
313 defparam \board_clk~I .input_sync_reset = "none";
314 defparam \board_clk~I .oe_async_reset = "none";
315 defparam \board_clk~I .oe_power_up = "low";
316 defparam \board_clk~I .oe_register_mode = "none";
317 defparam \board_clk~I .oe_sync_reset = "none";
318 defparam \board_clk~I .operation_mode = "input";
319 defparam \board_clk~I .output_async_reset = "none";
320 defparam \board_clk~I .output_power_up = "low";
321 defparam \board_clk~I .output_register_mode = "none";
322 defparam \board_clk~I .output_sync_reset = "none";
323 // synopsys translate_on
326 stratix_pll \inst1|altpll_component|pll (
336 .inclk({gnd,\board_clk~combout }),
345 .clk(\inst1|altpll_component|pll_CLK_bus ),
348 // synopsys translate_off
349 defparam \inst1|altpll_component|pll .clk0_counter = "g0";
350 defparam \inst1|altpll_component|pll .clk0_divide_by = 38;
351 defparam \inst1|altpll_component|pll .clk0_duty_cycle = 50;
352 defparam \inst1|altpll_component|pll .clk0_multiply_by = 31;
353 defparam \inst1|altpll_component|pll .clk0_phase_shift = "-725";
354 defparam \inst1|altpll_component|pll .clk1_divide_by = 1;
355 defparam \inst1|altpll_component|pll .clk1_duty_cycle = 50;
356 defparam \inst1|altpll_component|pll .clk1_multiply_by = 1;
357 defparam \inst1|altpll_component|pll .clk1_phase_shift = "0";
358 defparam \inst1|altpll_component|pll .clk2_divide_by = 1;
359 defparam \inst1|altpll_component|pll .clk2_duty_cycle = 50;
360 defparam \inst1|altpll_component|pll .clk2_multiply_by = 1;
361 defparam \inst1|altpll_component|pll .clk2_phase_shift = "0";
362 defparam \inst1|altpll_component|pll .compensate_clock = "clk0";
363 defparam \inst1|altpll_component|pll .enable_switch_over_counter = "off";
364 defparam \inst1|altpll_component|pll .g0_high = 10;
365 defparam \inst1|altpll_component|pll .g0_initial = 1;
366 defparam \inst1|altpll_component|pll .g0_low = 9;
367 defparam \inst1|altpll_component|pll .g0_mode = "odd";
368 defparam \inst1|altpll_component|pll .g0_ph = 0;
369 defparam \inst1|altpll_component|pll .gate_lock_counter = 0;
370 defparam \inst1|altpll_component|pll .gate_lock_signal = "no";
371 defparam \inst1|altpll_component|pll .inclk0_input_frequency = 30003;
372 defparam \inst1|altpll_component|pll .inclk1_input_frequency = 30003;
373 defparam \inst1|altpll_component|pll .invalid_lock_multiplier = 5;
374 defparam \inst1|altpll_component|pll .l0_high = 13;
375 defparam \inst1|altpll_component|pll .l0_initial = 1;
376 defparam \inst1|altpll_component|pll .l0_low = 13;
377 defparam \inst1|altpll_component|pll .l0_mode = "even";
378 defparam \inst1|altpll_component|pll .l0_ph = 0;
379 defparam \inst1|altpll_component|pll .l1_mode = "bypass";
380 defparam \inst1|altpll_component|pll .l1_ph = 0;
381 defparam \inst1|altpll_component|pll .m = 31;
382 defparam \inst1|altpll_component|pll .m_initial = 1;
383 defparam \inst1|altpll_component|pll .m_ph = 3;
384 defparam \inst1|altpll_component|pll .n = 2;
385 defparam \inst1|altpll_component|pll .operation_mode = "normal";
386 defparam \inst1|altpll_component|pll .pfd_max = 100000;
387 defparam \inst1|altpll_component|pll .pfd_min = 2000;
388 defparam \inst1|altpll_component|pll .pll_compensation_delay = 1713;
389 defparam \inst1|altpll_component|pll .pll_type = "fast";
390 defparam \inst1|altpll_component|pll .primary_clock = "inclk0";
391 defparam \inst1|altpll_component|pll .qualify_conf_done = "off";
392 defparam \inst1|altpll_component|pll .simulation_type = "timing";
393 defparam \inst1|altpll_component|pll .skip_vco = "off";
394 defparam \inst1|altpll_component|pll .switch_over_counter = 1;
395 defparam \inst1|altpll_component|pll .switch_over_on_gated_lock = "off";
396 defparam \inst1|altpll_component|pll .switch_over_on_lossclk = "off";
397 defparam \inst1|altpll_component|pll .valid_lock_multiplier = 1;
398 defparam \inst1|altpll_component|pll .vco_center = 1250;
399 defparam \inst1|altpll_component|pll .vco_max = 3334;
400 defparam \inst1|altpll_component|pll .vco_min = 1000;
401 // synopsys translate_on
404 stratix_io \inst|reset_pin_in~I (
418 .combout(\reset~combout ),
423 // synopsys translate_off
424 defparam \inst|reset_pin_in~I .ddio_mode = "none";
425 defparam \inst|reset_pin_in~I .input_async_reset = "none";
426 defparam \inst|reset_pin_in~I .input_power_up = "low";
427 defparam \inst|reset_pin_in~I .input_register_mode = "none";
428 defparam \inst|reset_pin_in~I .input_sync_reset = "none";
429 defparam \inst|reset_pin_in~I .oe_async_reset = "none";
430 defparam \inst|reset_pin_in~I .oe_power_up = "low";
431 defparam \inst|reset_pin_in~I .oe_register_mode = "none";
432 defparam \inst|reset_pin_in~I .oe_sync_reset = "none";
433 defparam \inst|reset_pin_in~I .operation_mode = "input";
434 defparam \inst|reset_pin_in~I .output_async_reset = "none";
435 defparam \inst|reset_pin_in~I .output_power_up = "low";
436 defparam \inst|reset_pin_in~I .output_register_mode = "none";
437 defparam \inst|reset_pin_in~I .output_sync_reset = "none";
438 // synopsys translate_on
440 // atom is at LC_X36_Y33_N3
441 stratix_lcell \inst|dly_counter_0_ (
443 // \inst|dly_counter [0] = DFFEAS(\reset~combout & (\inst|dly_counter [1] # !\inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
445 .clk(\inst1|altpll_component|_clk0 ),
446 .dataa(\reset~combout ),
448 .datac(\inst|dly_counter [0]),
449 .datad(\inst|dly_counter [1]),
463 .regout(\inst|dly_counter [0]),
467 // synopsys translate_off
468 defparam \inst|dly_counter_0_ .lut_mask = "aa0a";
469 defparam \inst|dly_counter_0_ .operation_mode = "normal";
470 defparam \inst|dly_counter_0_ .output_mode = "reg_only";
471 defparam \inst|dly_counter_0_ .register_cascade_mode = "off";
472 defparam \inst|dly_counter_0_ .sum_lutc_input = "datac";
473 defparam \inst|dly_counter_0_ .synch_mode = "off";
474 // synopsys translate_on
476 // atom is at LC_X36_Y33_N9
477 stratix_lcell \inst|dly_counter_1_ (
479 // \inst|dly_counter [1] = DFFEAS(\reset~combout & (\inst|dly_counter [0] # \inst|dly_counter [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
481 .clk(\inst1|altpll_component|_clk0 ),
482 .dataa(\reset~combout ),
484 .datac(\inst|dly_counter [0]),
485 .datad(\inst|dly_counter [1]),
499 .regout(\inst|dly_counter [1]),
503 // synopsys translate_off
504 defparam \inst|dly_counter_1_ .lut_mask = "aaa0";
505 defparam \inst|dly_counter_1_ .operation_mode = "normal";
506 defparam \inst|dly_counter_1_ .output_mode = "reg_only";
507 defparam \inst|dly_counter_1_ .register_cascade_mode = "off";
508 defparam \inst|dly_counter_1_ .sum_lutc_input = "datac";
509 defparam \inst|dly_counter_1_ .synch_mode = "off";
510 // synopsys translate_on
512 // atom is at LC_X36_Y33_N7
513 stratix_lcell \inst|vga_driver_unit|vsync_state_6_ (
515 // \inst|vga_driver_unit|un6_dly_counter_0_x = !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout
516 // \inst|vga_driver_unit|vsync_state_6 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
518 .clk(\inst1|altpll_component|_clk0 ),
519 .dataa(\reset~combout ),
521 .datac(\inst|dly_counter [0]),
522 .datad(\inst|dly_counter [1]),
535 .combout(\inst|vga_driver_unit|un6_dly_counter_0_x ),
536 .regout(\inst|vga_driver_unit|vsync_state_6 ),
540 // synopsys translate_off
541 defparam \inst|vga_driver_unit|vsync_state_6_ .lut_mask = "5fff";
542 defparam \inst|vga_driver_unit|vsync_state_6_ .operation_mode = "normal";
543 defparam \inst|vga_driver_unit|vsync_state_6_ .output_mode = "reg_and_comb";
544 defparam \inst|vga_driver_unit|vsync_state_6_ .register_cascade_mode = "off";
545 defparam \inst|vga_driver_unit|vsync_state_6_ .sum_lutc_input = "datac";
546 defparam \inst|vga_driver_unit|vsync_state_6_ .synch_mode = "off";
547 // synopsys translate_on
549 // atom is at LC_X36_Y33_N2
550 stratix_lcell \inst|vga_driver_unit|hsync_state_6_ (
552 // \inst|vga_driver_unit|d_set_hsync_counter = E1_hsync_state_6 # \inst|vga_driver_unit|hsync_state_0
553 // \inst|vga_driver_unit|hsync_state_6 = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|un6_dly_counter_0_x , , , VCC)
555 .clk(\inst1|altpll_component|_clk0 ),
558 .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
559 .datad(\inst|vga_driver_unit|hsync_state_0 ),
572 .combout(\inst|vga_driver_unit|d_set_hsync_counter ),
573 .regout(\inst|vga_driver_unit|hsync_state_6 ),
577 // synopsys translate_off
578 defparam \inst|vga_driver_unit|hsync_state_6_ .lut_mask = "fff0";
579 defparam \inst|vga_driver_unit|hsync_state_6_ .operation_mode = "normal";
580 defparam \inst|vga_driver_unit|hsync_state_6_ .output_mode = "reg_and_comb";
581 defparam \inst|vga_driver_unit|hsync_state_6_ .register_cascade_mode = "off";
582 defparam \inst|vga_driver_unit|hsync_state_6_ .sum_lutc_input = "qfbk";
583 defparam \inst|vga_driver_unit|hsync_state_6_ .synch_mode = "on";
584 // synopsys translate_on
586 // atom is at LC_X56_Y43_N0
587 stratix_lcell \inst|vga_driver_unit|hsync_counter_0_ (
589 // \inst|vga_driver_unit|hsync_counter_0 = DFFEAS(!\inst|vga_driver_unit|hsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i ,
590 // !\inst|vga_driver_unit|un9_hsync_counterlt9 )
591 // \inst|vga_driver_unit|hsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|hsync_counter_0 )
592 // \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|hsync_counter_0 )
594 .clk(\inst1|altpll_component|_clk0 ),
596 .datab(\inst|vga_driver_unit|hsync_counter_0 ),
597 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
601 .sclr(!\inst|vga_driver_unit|G_2_i ),
602 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
612 .regout(\inst|vga_driver_unit|hsync_counter_0 ),
614 .cout0(\inst|vga_driver_unit|hsync_counter_cout [0]),
615 .cout1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ));
616 // synopsys translate_off
617 defparam \inst|vga_driver_unit|hsync_counter_0_ .lut_mask = "33cc";
618 defparam \inst|vga_driver_unit|hsync_counter_0_ .operation_mode = "arithmetic";
619 defparam \inst|vga_driver_unit|hsync_counter_0_ .output_mode = "reg_only";
620 defparam \inst|vga_driver_unit|hsync_counter_0_ .register_cascade_mode = "off";
621 defparam \inst|vga_driver_unit|hsync_counter_0_ .sum_lutc_input = "datac";
622 defparam \inst|vga_driver_unit|hsync_counter_0_ .synch_mode = "on";
623 // synopsys translate_on
625 // atom is at LC_X56_Y43_N1
626 stratix_lcell \inst|vga_driver_unit|hsync_counter_1_ (
628 // \inst|vga_driver_unit|hsync_counter_1 = DFFEAS(\inst|vga_driver_unit|hsync_counter_1 $ \inst|vga_driver_unit|hsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , ,
629 // !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
630 // \inst|vga_driver_unit|hsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [0] # !\inst|vga_driver_unit|hsync_counter_1 )
631 // \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|hsync_counter_1 )
633 .clk(\inst1|altpll_component|_clk0 ),
635 .datab(\inst|vga_driver_unit|hsync_counter_1 ),
636 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
640 .sclr(!\inst|vga_driver_unit|G_2_i ),
641 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
644 .cin0(\inst|vga_driver_unit|hsync_counter_cout [0]),
645 .cin1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ),
651 .regout(\inst|vga_driver_unit|hsync_counter_1 ),
653 .cout0(\inst|vga_driver_unit|hsync_counter_cout [1]),
654 .cout1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ));
655 // synopsys translate_off
656 defparam \inst|vga_driver_unit|hsync_counter_1_ .cin0_used = "true";
657 defparam \inst|vga_driver_unit|hsync_counter_1_ .cin1_used = "true";
658 defparam \inst|vga_driver_unit|hsync_counter_1_ .lut_mask = "3c3f";
659 defparam \inst|vga_driver_unit|hsync_counter_1_ .operation_mode = "arithmetic";
660 defparam \inst|vga_driver_unit|hsync_counter_1_ .output_mode = "reg_only";
661 defparam \inst|vga_driver_unit|hsync_counter_1_ .register_cascade_mode = "off";
662 defparam \inst|vga_driver_unit|hsync_counter_1_ .sum_lutc_input = "cin";
663 defparam \inst|vga_driver_unit|hsync_counter_1_ .synch_mode = "on";
664 // synopsys translate_on
666 // atom is at LC_X56_Y43_N2
667 stratix_lcell \inst|vga_driver_unit|hsync_counter_2_ (
669 // \inst|vga_driver_unit|hsync_counter_2 = DFFEAS(\inst|vga_driver_unit|hsync_counter_2 $ (!\inst|vga_driver_unit|hsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , ,
670 // !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
671 // \inst|vga_driver_unit|hsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout [1]))
672 // \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ))
674 .clk(\inst1|altpll_component|_clk0 ),
675 .dataa(\inst|vga_driver_unit|hsync_counter_2 ),
677 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
681 .sclr(!\inst|vga_driver_unit|G_2_i ),
682 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
685 .cin0(\inst|vga_driver_unit|hsync_counter_cout [1]),
686 .cin1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ),
692 .regout(\inst|vga_driver_unit|hsync_counter_2 ),
694 .cout0(\inst|vga_driver_unit|hsync_counter_cout [2]),
695 .cout1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ));
696 // synopsys translate_off
697 defparam \inst|vga_driver_unit|hsync_counter_2_ .cin0_used = "true";
698 defparam \inst|vga_driver_unit|hsync_counter_2_ .cin1_used = "true";
699 defparam \inst|vga_driver_unit|hsync_counter_2_ .lut_mask = "a50a";
700 defparam \inst|vga_driver_unit|hsync_counter_2_ .operation_mode = "arithmetic";
701 defparam \inst|vga_driver_unit|hsync_counter_2_ .output_mode = "reg_only";
702 defparam \inst|vga_driver_unit|hsync_counter_2_ .register_cascade_mode = "off";
703 defparam \inst|vga_driver_unit|hsync_counter_2_ .sum_lutc_input = "cin";
704 defparam \inst|vga_driver_unit|hsync_counter_2_ .synch_mode = "on";
705 // synopsys translate_on
707 // atom is at LC_X56_Y43_N3
708 stratix_lcell \inst|vga_driver_unit|hsync_counter_3_ (
710 // \inst|vga_driver_unit|hsync_counter_3 = DFFEAS(\inst|vga_driver_unit|hsync_counter_3 $ (\inst|vga_driver_unit|hsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , ,
711 // !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
712 // \inst|vga_driver_unit|hsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [2] # !\inst|vga_driver_unit|hsync_counter_3 )
713 // \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|hsync_counter_3 )
715 .clk(\inst1|altpll_component|_clk0 ),
716 .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
718 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
722 .sclr(!\inst|vga_driver_unit|G_2_i ),
723 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
726 .cin0(\inst|vga_driver_unit|hsync_counter_cout [2]),
727 .cin1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ),
733 .regout(\inst|vga_driver_unit|hsync_counter_3 ),
735 .cout0(\inst|vga_driver_unit|hsync_counter_cout [3]),
736 .cout1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ));
737 // synopsys translate_off
738 defparam \inst|vga_driver_unit|hsync_counter_3_ .cin0_used = "true";
739 defparam \inst|vga_driver_unit|hsync_counter_3_ .cin1_used = "true";
740 defparam \inst|vga_driver_unit|hsync_counter_3_ .lut_mask = "5a5f";
741 defparam \inst|vga_driver_unit|hsync_counter_3_ .operation_mode = "arithmetic";
742 defparam \inst|vga_driver_unit|hsync_counter_3_ .output_mode = "reg_only";
743 defparam \inst|vga_driver_unit|hsync_counter_3_ .register_cascade_mode = "off";
744 defparam \inst|vga_driver_unit|hsync_counter_3_ .sum_lutc_input = "cin";
745 defparam \inst|vga_driver_unit|hsync_counter_3_ .synch_mode = "on";
746 // synopsys translate_on
748 // atom is at LC_X56_Y43_N4
749 stratix_lcell \inst|vga_driver_unit|hsync_counter_4_ (
751 // \inst|vga_driver_unit|hsync_counter_4 = DFFEAS(\inst|vga_driver_unit|hsync_counter_4 $ (!\inst|vga_driver_unit|hsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , ,
752 // !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
753 // \inst|vga_driver_unit|hsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|hsync_counter_4 & (!\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ))
755 .clk(\inst1|altpll_component|_clk0 ),
756 .dataa(\inst|vga_driver_unit|hsync_counter_4 ),
758 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
762 .sclr(!\inst|vga_driver_unit|G_2_i ),
763 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
766 .cin0(\inst|vga_driver_unit|hsync_counter_cout [3]),
767 .cin1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ),
773 .regout(\inst|vga_driver_unit|hsync_counter_4 ),
774 .cout(\inst|vga_driver_unit|hsync_counter_cout [4]),
777 // synopsys translate_off
778 defparam \inst|vga_driver_unit|hsync_counter_4_ .cin0_used = "true";
779 defparam \inst|vga_driver_unit|hsync_counter_4_ .cin1_used = "true";
780 defparam \inst|vga_driver_unit|hsync_counter_4_ .lut_mask = "a50a";
781 defparam \inst|vga_driver_unit|hsync_counter_4_ .operation_mode = "arithmetic";
782 defparam \inst|vga_driver_unit|hsync_counter_4_ .output_mode = "reg_only";
783 defparam \inst|vga_driver_unit|hsync_counter_4_ .register_cascade_mode = "off";
784 defparam \inst|vga_driver_unit|hsync_counter_4_ .sum_lutc_input = "cin";
785 defparam \inst|vga_driver_unit|hsync_counter_4_ .synch_mode = "on";
786 // synopsys translate_on
788 // atom is at LC_X56_Y43_N5
789 stratix_lcell \inst|vga_driver_unit|hsync_counter_5_ (
791 // \inst|vga_driver_unit|hsync_counter_5 = DFFEAS(\inst|vga_driver_unit|hsync_counter_5 $ \inst|vga_driver_unit|hsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , ,
792 // !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
793 // \inst|vga_driver_unit|hsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 )
794 // \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 )
796 .clk(\inst1|altpll_component|_clk0 ),
798 .datab(\inst|vga_driver_unit|hsync_counter_5 ),
799 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
803 .sclr(!\inst|vga_driver_unit|G_2_i ),
804 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
806 .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
814 .regout(\inst|vga_driver_unit|hsync_counter_5 ),
816 .cout0(\inst|vga_driver_unit|hsync_counter_cout [5]),
817 .cout1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ));
818 // synopsys translate_off
819 defparam \inst|vga_driver_unit|hsync_counter_5_ .cin_used = "true";
820 defparam \inst|vga_driver_unit|hsync_counter_5_ .lut_mask = "3c3f";
821 defparam \inst|vga_driver_unit|hsync_counter_5_ .operation_mode = "arithmetic";
822 defparam \inst|vga_driver_unit|hsync_counter_5_ .output_mode = "reg_only";
823 defparam \inst|vga_driver_unit|hsync_counter_5_ .register_cascade_mode = "off";
824 defparam \inst|vga_driver_unit|hsync_counter_5_ .sum_lutc_input = "cin";
825 defparam \inst|vga_driver_unit|hsync_counter_5_ .synch_mode = "on";
826 // synopsys translate_on
828 // atom is at LC_X56_Y44_N1
829 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 (
831 // \inst|vga_driver_unit|un13_hsync_counter_7 = \inst|vga_driver_unit|hsync_counter_2 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_0
834 .dataa(\inst|vga_driver_unit|hsync_counter_2 ),
835 .datab(\inst|vga_driver_unit|hsync_counter_1 ),
836 .datac(\inst|vga_driver_unit|hsync_counter_3 ),
837 .datad(\inst|vga_driver_unit|hsync_counter_0 ),
850 .combout(\inst|vga_driver_unit|un13_hsync_counter_7 ),
855 // synopsys translate_off
856 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .lut_mask = "8000";
857 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .operation_mode = "normal";
858 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .output_mode = "comb_only";
859 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .register_cascade_mode = "off";
860 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .sum_lutc_input = "datac";
861 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .synch_mode = "off";
862 // synopsys translate_on
864 // atom is at LC_X56_Y43_N6
865 stratix_lcell \inst|vga_driver_unit|hsync_counter_6_ (
867 // \inst|vga_driver_unit|hsync_counter_6 = DFFEAS(\inst|vga_driver_unit|hsync_counter_6 $ !(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [5]) # (\inst|vga_driver_unit|hsync_counter_cout [4] &
868 // \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
869 // \inst|vga_driver_unit|hsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout [5])
870 // \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|hsync_counter_6 & !\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 )
872 .clk(\inst1|altpll_component|_clk0 ),
874 .datab(\inst|vga_driver_unit|hsync_counter_6 ),
875 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
879 .sclr(!\inst|vga_driver_unit|G_2_i ),
880 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
882 .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
883 .cin0(\inst|vga_driver_unit|hsync_counter_cout [5]),
884 .cin1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ),
890 .regout(\inst|vga_driver_unit|hsync_counter_6 ),
892 .cout0(\inst|vga_driver_unit|hsync_counter_cout [6]),
893 .cout1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ));
894 // synopsys translate_off
895 defparam \inst|vga_driver_unit|hsync_counter_6_ .cin0_used = "true";
896 defparam \inst|vga_driver_unit|hsync_counter_6_ .cin1_used = "true";
897 defparam \inst|vga_driver_unit|hsync_counter_6_ .cin_used = "true";
898 defparam \inst|vga_driver_unit|hsync_counter_6_ .lut_mask = "c30c";
899 defparam \inst|vga_driver_unit|hsync_counter_6_ .operation_mode = "arithmetic";
900 defparam \inst|vga_driver_unit|hsync_counter_6_ .output_mode = "reg_only";
901 defparam \inst|vga_driver_unit|hsync_counter_6_ .register_cascade_mode = "off";
902 defparam \inst|vga_driver_unit|hsync_counter_6_ .sum_lutc_input = "cin";
903 defparam \inst|vga_driver_unit|hsync_counter_6_ .synch_mode = "on";
904 // synopsys translate_on
906 // atom is at LC_X56_Y43_N7
907 stratix_lcell \inst|vga_driver_unit|hsync_counter_7_ (
909 // \inst|vga_driver_unit|hsync_counter_7 = DFFEAS(\inst|vga_driver_unit|hsync_counter_7 $ ((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [6]) # (\inst|vga_driver_unit|hsync_counter_cout [4] &
910 // \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
911 // \inst|vga_driver_unit|hsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [6] # !\inst|vga_driver_unit|hsync_counter_7 )
912 // \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|hsync_counter_7 )
914 .clk(\inst1|altpll_component|_clk0 ),
915 .dataa(\inst|vga_driver_unit|hsync_counter_7 ),
917 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
921 .sclr(!\inst|vga_driver_unit|G_2_i ),
922 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
924 .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
925 .cin0(\inst|vga_driver_unit|hsync_counter_cout [6]),
926 .cin1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ),
932 .regout(\inst|vga_driver_unit|hsync_counter_7 ),
934 .cout0(\inst|vga_driver_unit|hsync_counter_cout [7]),
935 .cout1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ));
936 // synopsys translate_off
937 defparam \inst|vga_driver_unit|hsync_counter_7_ .cin0_used = "true";
938 defparam \inst|vga_driver_unit|hsync_counter_7_ .cin1_used = "true";
939 defparam \inst|vga_driver_unit|hsync_counter_7_ .cin_used = "true";
940 defparam \inst|vga_driver_unit|hsync_counter_7_ .lut_mask = "5a5f";
941 defparam \inst|vga_driver_unit|hsync_counter_7_ .operation_mode = "arithmetic";
942 defparam \inst|vga_driver_unit|hsync_counter_7_ .output_mode = "reg_only";
943 defparam \inst|vga_driver_unit|hsync_counter_7_ .register_cascade_mode = "off";
944 defparam \inst|vga_driver_unit|hsync_counter_7_ .sum_lutc_input = "cin";
945 defparam \inst|vga_driver_unit|hsync_counter_7_ .synch_mode = "on";
946 // synopsys translate_on
948 // atom is at LC_X56_Y43_N8
949 stratix_lcell \inst|vga_driver_unit|hsync_counter_8_ (
951 // \inst|vga_driver_unit|hsync_counter_8 = DFFEAS(\inst|vga_driver_unit|hsync_counter_8 $ (!(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [7]) # (\inst|vga_driver_unit|hsync_counter_cout [4] &
952 // \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
953 // \inst|vga_driver_unit|hsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout [7]))
954 // \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|hsync_counter_8 & (!\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ))
956 .clk(\inst1|altpll_component|_clk0 ),
957 .dataa(\inst|vga_driver_unit|hsync_counter_8 ),
959 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
963 .sclr(!\inst|vga_driver_unit|G_2_i ),
964 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
966 .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
967 .cin0(\inst|vga_driver_unit|hsync_counter_cout [7]),
968 .cin1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ),
974 .regout(\inst|vga_driver_unit|hsync_counter_8 ),
976 .cout0(\inst|vga_driver_unit|hsync_counter_cout [8]),
977 .cout1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ));
978 // synopsys translate_off
979 defparam \inst|vga_driver_unit|hsync_counter_8_ .cin0_used = "true";
980 defparam \inst|vga_driver_unit|hsync_counter_8_ .cin1_used = "true";
981 defparam \inst|vga_driver_unit|hsync_counter_8_ .cin_used = "true";
982 defparam \inst|vga_driver_unit|hsync_counter_8_ .lut_mask = "a50a";
983 defparam \inst|vga_driver_unit|hsync_counter_8_ .operation_mode = "arithmetic";
984 defparam \inst|vga_driver_unit|hsync_counter_8_ .output_mode = "reg_only";
985 defparam \inst|vga_driver_unit|hsync_counter_8_ .register_cascade_mode = "off";
986 defparam \inst|vga_driver_unit|hsync_counter_8_ .sum_lutc_input = "cin";
987 defparam \inst|vga_driver_unit|hsync_counter_8_ .synch_mode = "on";
988 // synopsys translate_on
990 // atom is at LC_X56_Y43_N9
991 stratix_lcell \inst|vga_driver_unit|hsync_counter_9_ (
993 // \inst|vga_driver_unit|hsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [8]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ) $
994 // \inst|vga_driver_unit|hsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
996 .clk(\inst1|altpll_component|_clk0 ),
999 .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
1000 .datad(\inst|vga_driver_unit|hsync_counter_9 ),
1003 .sclr(!\inst|vga_driver_unit|G_2_i ),
1004 .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
1006 .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
1007 .cin0(\inst|vga_driver_unit|hsync_counter_cout [8]),
1008 .cin1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ),
1014 .regout(\inst|vga_driver_unit|hsync_counter_9 ),
1018 // synopsys translate_off
1019 defparam \inst|vga_driver_unit|hsync_counter_9_ .cin0_used = "true";
1020 defparam \inst|vga_driver_unit|hsync_counter_9_ .cin1_used = "true";
1021 defparam \inst|vga_driver_unit|hsync_counter_9_ .cin_used = "true";
1022 defparam \inst|vga_driver_unit|hsync_counter_9_ .lut_mask = "0ff0";
1023 defparam \inst|vga_driver_unit|hsync_counter_9_ .operation_mode = "normal";
1024 defparam \inst|vga_driver_unit|hsync_counter_9_ .output_mode = "reg_only";
1025 defparam \inst|vga_driver_unit|hsync_counter_9_ .register_cascade_mode = "off";
1026 defparam \inst|vga_driver_unit|hsync_counter_9_ .sum_lutc_input = "cin";
1027 defparam \inst|vga_driver_unit|hsync_counter_9_ .synch_mode = "on";
1028 // synopsys translate_on
1030 // atom is at LC_X55_Y44_N2
1031 stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 (
1033 // \inst|vga_driver_unit|un9_hsync_counterlt9_3 = !\inst|vga_driver_unit|hsync_counter_6 # !\inst|vga_driver_unit|hsync_counter_8 # !\inst|vga_driver_unit|hsync_counter_9 # !\inst|vga_driver_unit|hsync_counter_7
1036 .dataa(\inst|vga_driver_unit|hsync_counter_7 ),
1037 .datab(\inst|vga_driver_unit|hsync_counter_9 ),
1038 .datac(\inst|vga_driver_unit|hsync_counter_8 ),
1039 .datad(\inst|vga_driver_unit|hsync_counter_6 ),
1052 .combout(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ),
1057 // synopsys translate_off
1058 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .lut_mask = "7fff";
1059 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .operation_mode = "normal";
1060 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .output_mode = "comb_only";
1061 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .register_cascade_mode = "off";
1062 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .sum_lutc_input = "datac";
1063 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .synch_mode = "off";
1064 // synopsys translate_on
1066 // atom is at LC_X55_Y44_N4
1067 stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 (
1069 // \inst|vga_driver_unit|un9_hsync_counterlt9 = \inst|vga_driver_unit|un9_hsync_counterlt9_3 # !\inst|vga_driver_unit|un13_hsync_counter_7 # !\inst|vga_driver_unit|hsync_counter_4 # !\inst|vga_driver_unit|hsync_counter_5
1072 .dataa(\inst|vga_driver_unit|hsync_counter_5 ),
1073 .datab(\inst|vga_driver_unit|hsync_counter_4 ),
1074 .datac(\inst|vga_driver_unit|un13_hsync_counter_7 ),
1075 .datad(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ),
1088 .combout(\inst|vga_driver_unit|un9_hsync_counterlt9 ),
1093 // synopsys translate_off
1094 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .lut_mask = "ff7f";
1095 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .operation_mode = "normal";
1096 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .output_mode = "comb_only";
1097 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .register_cascade_mode = "off";
1098 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .sum_lutc_input = "datac";
1099 defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .synch_mode = "off";
1100 // synopsys translate_on
1102 // atom is at LC_X55_Y44_N5
1103 stratix_lcell \inst|vga_driver_unit|G_2 (
1105 // \inst|vga_driver_unit|G_2_i = !\inst|vga_driver_unit|hsync_state_6 & !\inst|vga_driver_unit|hsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_hsync_counterlt9
1108 .dataa(\inst|vga_driver_unit|hsync_state_6 ),
1109 .datab(\inst|vga_driver_unit|hsync_state_0 ),
1110 .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1111 .datad(\inst|vga_driver_unit|un9_hsync_counterlt9 ),
1124 .combout(\inst|vga_driver_unit|G_2_i ),
1129 // synopsys translate_off
1130 defparam \inst|vga_driver_unit|G_2 .lut_mask = "01ff";
1131 defparam \inst|vga_driver_unit|G_2 .operation_mode = "normal";
1132 defparam \inst|vga_driver_unit|G_2 .output_mode = "comb_only";
1133 defparam \inst|vga_driver_unit|G_2 .register_cascade_mode = "off";
1134 defparam \inst|vga_driver_unit|G_2 .sum_lutc_input = "datac";
1135 defparam \inst|vga_driver_unit|G_2 .synch_mode = "off";
1136 // synopsys translate_on
1138 // atom is at LC_X56_Y44_N5
1139 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 (
1141 // \inst|vga_driver_unit|un12_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_5
1144 .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
1145 .datab(\inst|vga_driver_unit|hsync_counter_9 ),
1146 .datac(\inst|vga_driver_unit|hsync_counter_2 ),
1147 .datad(\inst|vga_driver_unit|hsync_counter_5 ),
1160 .combout(\inst|vga_driver_unit|un12_hsync_counter_3 ),
1165 // synopsys translate_off
1166 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .lut_mask = "0040";
1167 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .operation_mode = "normal";
1168 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .output_mode = "comb_only";
1169 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .register_cascade_mode = "off";
1170 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .sum_lutc_input = "datac";
1171 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .synch_mode = "off";
1172 // synopsys translate_on
1174 // atom is at LC_X56_Y44_N6
1175 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 (
1177 // \inst|vga_driver_unit|un12_hsync_counter_4 = !\inst|vga_driver_unit|hsync_counter_4 & !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_8
1180 .dataa(\inst|vga_driver_unit|hsync_counter_4 ),
1181 .datab(\inst|vga_driver_unit|hsync_counter_7 ),
1182 .datac(\inst|vga_driver_unit|hsync_counter_6 ),
1183 .datad(\inst|vga_driver_unit|hsync_counter_8 ),
1196 .combout(\inst|vga_driver_unit|un12_hsync_counter_4 ),
1201 // synopsys translate_off
1202 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .lut_mask = "0100";
1203 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .operation_mode = "normal";
1204 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .output_mode = "comb_only";
1205 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .register_cascade_mode = "off";
1206 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .sum_lutc_input = "datac";
1207 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .synch_mode = "off";
1208 // synopsys translate_on
1210 // atom is at LC_X56_Y44_N8
1211 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter (
1213 // \inst|vga_driver_unit|un12_hsync_counter = \inst|vga_driver_unit|hsync_counter_0 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|un12_hsync_counter_3 & \inst|vga_driver_unit|un12_hsync_counter_4
1216 .dataa(\inst|vga_driver_unit|hsync_counter_0 ),
1217 .datab(\inst|vga_driver_unit|hsync_counter_1 ),
1218 .datac(\inst|vga_driver_unit|un12_hsync_counter_3 ),
1219 .datad(\inst|vga_driver_unit|un12_hsync_counter_4 ),
1232 .combout(\inst|vga_driver_unit|un12_hsync_counter ),
1237 // synopsys translate_off
1238 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .lut_mask = "8000";
1239 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .operation_mode = "normal";
1240 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .output_mode = "comb_only";
1241 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .register_cascade_mode = "off";
1242 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .sum_lutc_input = "datac";
1243 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .synch_mode = "off";
1244 // synopsys translate_on
1246 // atom is at LC_X56_Y45_N8
1247 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 (
1249 // \inst|vga_driver_unit|un10_hsync_counter_1 = !\inst|vga_driver_unit|hsync_counter_5 & !\inst|vga_driver_unit|hsync_counter_9 & !\inst|vga_driver_unit|hsync_counter_8
1253 .datab(\inst|vga_driver_unit|hsync_counter_5 ),
1254 .datac(\inst|vga_driver_unit|hsync_counter_9 ),
1255 .datad(\inst|vga_driver_unit|hsync_counter_8 ),
1268 .combout(\inst|vga_driver_unit|un10_hsync_counter_1 ),
1273 // synopsys translate_off
1274 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .lut_mask = "0003";
1275 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .operation_mode = "normal";
1276 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .output_mode = "comb_only";
1277 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .register_cascade_mode = "off";
1278 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .sum_lutc_input = "datac";
1279 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .synch_mode = "off";
1280 // synopsys translate_on
1282 // atom is at LC_X56_Y45_N3
1283 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 (
1285 // \inst|vga_driver_unit|un11_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_3 & !\inst|vga_driver_unit|hsync_counter_4 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_0
1288 .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
1289 .datab(\inst|vga_driver_unit|hsync_counter_4 ),
1290 .datac(\inst|vga_driver_unit|hsync_counter_1 ),
1291 .datad(\inst|vga_driver_unit|hsync_counter_0 ),
1304 .combout(\inst|vga_driver_unit|un11_hsync_counter_3 ),
1309 // synopsys translate_off
1310 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .lut_mask = "1000";
1311 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .operation_mode = "normal";
1312 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .output_mode = "comb_only";
1313 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .register_cascade_mode = "off";
1314 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .sum_lutc_input = "datac";
1315 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .synch_mode = "off";
1316 // synopsys translate_on
1318 // atom is at LC_X56_Y45_N1
1319 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 (
1321 // \inst|vga_driver_unit|un11_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_2 & (!\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_7 )
1324 .dataa(\inst|vga_driver_unit|hsync_counter_2 ),
1326 .datac(\inst|vga_driver_unit|hsync_counter_6 ),
1327 .datad(\inst|vga_driver_unit|hsync_counter_7 ),
1340 .combout(\inst|vga_driver_unit|un11_hsync_counter_2 ),
1345 // synopsys translate_off
1346 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .lut_mask = "0a00";
1347 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .operation_mode = "normal";
1348 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .output_mode = "comb_only";
1349 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .register_cascade_mode = "off";
1350 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .sum_lutc_input = "datac";
1351 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .synch_mode = "off";
1352 // synopsys translate_on
1354 // atom is at LC_X56_Y45_N7
1355 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 (
1357 // \inst|vga_driver_unit|un10_hsync_counter_4 = \inst|vga_driver_unit|hsync_counter_3 & \inst|vga_driver_unit|hsync_counter_1 & \inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|hsync_counter_4
1360 .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
1361 .datab(\inst|vga_driver_unit|hsync_counter_1 ),
1362 .datac(\inst|vga_driver_unit|hsync_counter_6 ),
1363 .datad(\inst|vga_driver_unit|hsync_counter_4 ),
1376 .combout(\inst|vga_driver_unit|un10_hsync_counter_4 ),
1381 // synopsys translate_off
1382 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .lut_mask = "8000";
1383 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .operation_mode = "normal";
1384 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .output_mode = "comb_only";
1385 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .register_cascade_mode = "off";
1386 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .sum_lutc_input = "datac";
1387 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .synch_mode = "off";
1388 // synopsys translate_on
1390 // atom is at LC_X56_Y45_N9
1391 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 (
1393 // \inst|vga_driver_unit|un10_hsync_counter_3 = !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_0
1397 .datab(\inst|vga_driver_unit|hsync_counter_7 ),
1398 .datac(\inst|vga_driver_unit|hsync_counter_2 ),
1399 .datad(\inst|vga_driver_unit|hsync_counter_0 ),
1412 .combout(\inst|vga_driver_unit|un10_hsync_counter_3 ),
1417 // synopsys translate_off
1418 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .lut_mask = "0003";
1419 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .operation_mode = "normal";
1420 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .output_mode = "comb_only";
1421 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .register_cascade_mode = "off";
1422 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .sum_lutc_input = "datac";
1423 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .synch_mode = "off";
1424 // synopsys translate_on
1426 // atom is at LC_X55_Y44_N8
1427 stratix_lcell \inst|vga_driver_unit|hsync_state_5_ (
1429 // \inst|vga_driver_unit|hsync_state_5 = DFFEAS(\inst|vga_driver_unit|hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , ,
1430 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
1432 .clk(\inst1|altpll_component|_clk0 ),
1433 .dataa(\inst|vga_driver_unit|hsync_state_6 ),
1436 .datad(\inst|vga_driver_unit|hsync_state_0 ),
1439 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1441 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1450 .regout(\inst|vga_driver_unit|hsync_state_5 ),
1454 // synopsys translate_off
1455 defparam \inst|vga_driver_unit|hsync_state_5_ .lut_mask = "ffaa";
1456 defparam \inst|vga_driver_unit|hsync_state_5_ .operation_mode = "normal";
1457 defparam \inst|vga_driver_unit|hsync_state_5_ .output_mode = "reg_only";
1458 defparam \inst|vga_driver_unit|hsync_state_5_ .register_cascade_mode = "off";
1459 defparam \inst|vga_driver_unit|hsync_state_5_ .sum_lutc_input = "datac";
1460 defparam \inst|vga_driver_unit|hsync_state_5_ .synch_mode = "on";
1461 // synopsys translate_on
1463 // atom is at LC_X56_Y45_N6
1464 stratix_lcell \inst|vga_driver_unit|hsync_state_4_ (
1466 // \inst|vga_driver_unit|hsync_state_4 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_4 & \inst|vga_driver_unit|un10_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_5 & \inst|vga_driver_unit|un10_hsync_counter_1 ,
1467 // GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
1469 .clk(\inst1|altpll_component|_clk0 ),
1470 .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ),
1471 .datab(\inst|vga_driver_unit|un10_hsync_counter_3 ),
1472 .datac(\inst|vga_driver_unit|hsync_state_5 ),
1473 .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ),
1476 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1478 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1487 .regout(\inst|vga_driver_unit|hsync_state_4 ),
1491 // synopsys translate_off
1492 defparam \inst|vga_driver_unit|hsync_state_4_ .lut_mask = "8000";
1493 defparam \inst|vga_driver_unit|hsync_state_4_ .operation_mode = "normal";
1494 defparam \inst|vga_driver_unit|hsync_state_4_ .output_mode = "reg_only";
1495 defparam \inst|vga_driver_unit|hsync_state_4_ .register_cascade_mode = "off";
1496 defparam \inst|vga_driver_unit|hsync_state_4_ .sum_lutc_input = "datac";
1497 defparam \inst|vga_driver_unit|hsync_state_4_ .synch_mode = "on";
1498 // synopsys translate_on
1500 // atom is at LC_X56_Y44_N4
1501 stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ (
1503 // \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|hsync_state_4 & (!\inst|vga_driver_unit|un11_hsync_counter_2 # !\inst|vga_driver_unit|un11_hsync_counter_3 # !\inst|vga_driver_unit|un10_hsync_counter_1 )
1506 .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ),
1507 .datab(\inst|vga_driver_unit|un11_hsync_counter_3 ),
1508 .datac(\inst|vga_driver_unit|un11_hsync_counter_2 ),
1509 .datad(\inst|vga_driver_unit|hsync_state_4 ),
1522 .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ),
1527 // synopsys translate_off
1528 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .lut_mask = "7f00";
1529 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal";
1530 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only";
1531 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off";
1532 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac";
1533 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off";
1534 // synopsys translate_on
1536 // atom is at LC_X56_Y45_N4
1537 stratix_lcell \inst|vga_driver_unit|hsync_state_1_ (
1539 // \inst|vga_driver_unit|hsync_state_1 = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_1 & \inst|vga_driver_unit|un11_hsync_counter_2 & \inst|vga_driver_unit|un11_hsync_counter_3 & \inst|vga_driver_unit|hsync_state_4 ,
1540 // GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
1542 .clk(\inst1|altpll_component|_clk0 ),
1543 .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ),
1544 .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ),
1545 .datac(\inst|vga_driver_unit|un11_hsync_counter_3 ),
1546 .datad(\inst|vga_driver_unit|hsync_state_4 ),
1549 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1551 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1560 .regout(\inst|vga_driver_unit|hsync_state_1 ),
1564 // synopsys translate_off
1565 defparam \inst|vga_driver_unit|hsync_state_1_ .lut_mask = "8000";
1566 defparam \inst|vga_driver_unit|hsync_state_1_ .operation_mode = "normal";
1567 defparam \inst|vga_driver_unit|hsync_state_1_ .output_mode = "reg_only";
1568 defparam \inst|vga_driver_unit|hsync_state_1_ .register_cascade_mode = "off";
1569 defparam \inst|vga_driver_unit|hsync_state_1_ .sum_lutc_input = "datac";
1570 defparam \inst|vga_driver_unit|hsync_state_1_ .synch_mode = "on";
1571 // synopsys translate_on
1573 // atom is at LC_X56_Y44_N9
1574 stratix_lcell \inst|vga_driver_unit|hsync_state_3_ (
1576 // \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|un13_hsync_counter & (E1_hsync_state_3 & !\inst|vga_driver_unit|un12_hsync_counter ) # !\inst|vga_driver_unit|un13_hsync_counter & (\inst|vga_driver_unit|hsync_state_2 #
1577 // E1_hsync_state_3 & !\inst|vga_driver_unit|un12_hsync_counter )
1578 // \inst|vga_driver_unit|hsync_state_3 = DFFEAS(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , \inst|vga_driver_unit|hsync_state_1 , ,
1579 // \inst|vga_driver_unit|un6_dly_counter_0_x , VCC)
1581 .clk(\inst1|altpll_component|_clk0 ),
1582 .dataa(\inst|vga_driver_unit|un13_hsync_counter ),
1583 .datab(\inst|vga_driver_unit|hsync_state_2 ),
1584 .datac(\inst|vga_driver_unit|hsync_state_1 ),
1585 .datad(\inst|vga_driver_unit|un12_hsync_counter ),
1588 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1590 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1598 .combout(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ),
1599 .regout(\inst|vga_driver_unit|hsync_state_3 ),
1603 // synopsys translate_off
1604 defparam \inst|vga_driver_unit|hsync_state_3_ .lut_mask = "44f4";
1605 defparam \inst|vga_driver_unit|hsync_state_3_ .operation_mode = "normal";
1606 defparam \inst|vga_driver_unit|hsync_state_3_ .output_mode = "reg_and_comb";
1607 defparam \inst|vga_driver_unit|hsync_state_3_ .register_cascade_mode = "off";
1608 defparam \inst|vga_driver_unit|hsync_state_3_ .sum_lutc_input = "qfbk";
1609 defparam \inst|vga_driver_unit|hsync_state_3_ .synch_mode = "on";
1610 // synopsys translate_on
1612 // atom is at LC_X56_Y44_N0
1613 stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ (
1615 // \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|hsync_state_5 & (!\inst|vga_driver_unit|un10_hsync_counter_1 # !\inst|vga_driver_unit|un10_hsync_counter_4 # !\inst|vga_driver_unit|un10_hsync_counter_3 )
1618 .dataa(\inst|vga_driver_unit|un10_hsync_counter_3 ),
1619 .datab(\inst|vga_driver_unit|hsync_state_5 ),
1620 .datac(\inst|vga_driver_unit|un10_hsync_counter_4 ),
1621 .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ),
1634 .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ),
1639 // synopsys translate_off
1640 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .lut_mask = "4ccc";
1641 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal";
1642 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only";
1643 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off";
1644 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac";
1645 defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off";
1646 // synopsys translate_on
1648 // atom is at LC_X56_Y44_N7
1649 stratix_lcell \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ (
1651 // \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 & !\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 &
1652 // !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1
1655 .dataa(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ),
1656 .datab(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ),
1657 .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1658 .datad(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ),
1671 .combout(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1676 // synopsys translate_off
1677 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .lut_mask = "f0f1";
1678 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .operation_mode = "normal";
1679 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .output_mode = "comb_only";
1680 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .register_cascade_mode = "off";
1681 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .sum_lutc_input = "datac";
1682 defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .synch_mode = "off";
1683 // synopsys translate_on
1685 // atom is at LC_X55_Y44_N6
1686 stratix_lcell \inst|vga_driver_unit|hsync_state_2_ (
1688 // \inst|vga_driver_unit|hsync_state_2 = DFFEAS(\inst|vga_driver_unit|un12_hsync_counter & \inst|vga_driver_unit|hsync_state_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , ,
1689 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
1691 .clk(\inst1|altpll_component|_clk0 ),
1694 .datac(\inst|vga_driver_unit|un12_hsync_counter ),
1695 .datad(\inst|vga_driver_unit|hsync_state_3 ),
1698 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1700 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1709 .regout(\inst|vga_driver_unit|hsync_state_2 ),
1713 // synopsys translate_off
1714 defparam \inst|vga_driver_unit|hsync_state_2_ .lut_mask = "f000";
1715 defparam \inst|vga_driver_unit|hsync_state_2_ .operation_mode = "normal";
1716 defparam \inst|vga_driver_unit|hsync_state_2_ .output_mode = "reg_only";
1717 defparam \inst|vga_driver_unit|hsync_state_2_ .register_cascade_mode = "off";
1718 defparam \inst|vga_driver_unit|hsync_state_2_ .sum_lutc_input = "datac";
1719 defparam \inst|vga_driver_unit|hsync_state_2_ .synch_mode = "on";
1720 // synopsys translate_on
1722 // atom is at LC_X55_Y44_N9
1723 stratix_lcell \inst|vga_driver_unit|hsync_state_0_ (
1725 // \inst|vga_driver_unit|hsync_state_0 = DFFEAS(\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|un13_hsync_counter ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , ,
1726 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
1728 .clk(\inst1|altpll_component|_clk0 ),
1730 .datab(\inst|vga_driver_unit|hsync_state_2 ),
1732 .datad(\inst|vga_driver_unit|un13_hsync_counter ),
1735 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
1737 .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
1746 .regout(\inst|vga_driver_unit|hsync_state_0 ),
1750 // synopsys translate_off
1751 defparam \inst|vga_driver_unit|hsync_state_0_ .lut_mask = "cc00";
1752 defparam \inst|vga_driver_unit|hsync_state_0_ .operation_mode = "normal";
1753 defparam \inst|vga_driver_unit|hsync_state_0_ .output_mode = "reg_only";
1754 defparam \inst|vga_driver_unit|hsync_state_0_ .register_cascade_mode = "off";
1755 defparam \inst|vga_driver_unit|hsync_state_0_ .sum_lutc_input = "datac";
1756 defparam \inst|vga_driver_unit|hsync_state_0_ .synch_mode = "on";
1757 // synopsys translate_on
1759 // atom is at LC_X36_Y33_N1
1760 stratix_lcell \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ (
1762 // \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa = \reset~combout & \inst|dly_counter [1] & \inst|dly_counter [0] & !\inst|vga_driver_unit|d_set_hsync_counter
1765 .dataa(\reset~combout ),
1766 .datab(\inst|dly_counter [1]),
1767 .datac(\inst|dly_counter [0]),
1768 .datad(\inst|vga_driver_unit|d_set_hsync_counter ),
1781 .combout(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
1786 // synopsys translate_off
1787 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080";
1788 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal";
1789 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only";
1790 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off";
1791 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac";
1792 defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .synch_mode = "off";
1793 // synopsys translate_on
1795 // atom is at LC_X56_Y44_N2
1796 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 (
1798 // \inst|vga_driver_unit|un13_hsync_counter_2 = \inst|vga_driver_unit|hsync_counter_4 & !\inst|vga_driver_unit|hsync_counter_5 & \inst|vga_driver_unit|hsync_counter_9 & \inst|vga_driver_unit|hsync_counter_8
1801 .dataa(\inst|vga_driver_unit|hsync_counter_4 ),
1802 .datab(\inst|vga_driver_unit|hsync_counter_5 ),
1803 .datac(\inst|vga_driver_unit|hsync_counter_9 ),
1804 .datad(\inst|vga_driver_unit|hsync_counter_8 ),
1817 .combout(\inst|vga_driver_unit|un13_hsync_counter_2 ),
1822 // synopsys translate_off
1823 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .lut_mask = "2000";
1824 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .operation_mode = "normal";
1825 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .output_mode = "comb_only";
1826 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .register_cascade_mode = "off";
1827 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .sum_lutc_input = "datac";
1828 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .synch_mode = "off";
1829 // synopsys translate_on
1831 // atom is at LC_X56_Y44_N3
1832 stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter (
1834 // \inst|vga_driver_unit|un13_hsync_counter = \inst|vga_driver_unit|un13_hsync_counter_2 & !\inst|vga_driver_unit|hsync_counter_7 & !\inst|vga_driver_unit|hsync_counter_6 & \inst|vga_driver_unit|un13_hsync_counter_7
1837 .dataa(\inst|vga_driver_unit|un13_hsync_counter_2 ),
1838 .datab(\inst|vga_driver_unit|hsync_counter_7 ),
1839 .datac(\inst|vga_driver_unit|hsync_counter_6 ),
1840 .datad(\inst|vga_driver_unit|un13_hsync_counter_7 ),
1853 .combout(\inst|vga_driver_unit|un13_hsync_counter ),
1858 // synopsys translate_off
1859 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .lut_mask = "0200";
1860 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .operation_mode = "normal";
1861 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .output_mode = "comb_only";
1862 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .register_cascade_mode = "off";
1863 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .sum_lutc_input = "datac";
1864 defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .synch_mode = "off";
1865 // synopsys translate_on
1867 // atom is at LC_X34_Y34_N3
1868 stratix_lcell \inst|vga_driver_unit|un1_hsync_state_3_0_cZ (
1870 // \inst|vga_driver_unit|un1_hsync_state_3_0 = \inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1
1874 .datab(\inst|vga_driver_unit|hsync_state_3 ),
1876 .datad(\inst|vga_driver_unit|hsync_state_1 ),
1889 .combout(\inst|vga_driver_unit|un1_hsync_state_3_0 ),
1894 // synopsys translate_off
1895 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .lut_mask = "ffcc";
1896 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .operation_mode = "normal";
1897 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .output_mode = "comb_only";
1898 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .register_cascade_mode = "off";
1899 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .sum_lutc_input = "datac";
1900 defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .synch_mode = "off";
1901 // synopsys translate_on
1903 // atom is at LC_X34_Y34_N2
1904 stratix_lcell \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ (
1906 // \inst|vga_driver_unit|h_sync_1_0_0_0_g1 = \inst|vga_driver_unit|un1_hsync_state_3_0 & \inst|vga_driver_unit|h_sync # !\inst|vga_driver_unit|un1_hsync_state_3_0 & (\inst|vga_driver_unit|hsync_state_2 & \inst|vga_driver_unit|h_sync #
1907 // !\inst|vga_driver_unit|hsync_state_2 & (\inst|vga_driver_unit|hsync_state_4 ))
1910 .dataa(\inst|vga_driver_unit|un1_hsync_state_3_0 ),
1911 .datab(\inst|vga_driver_unit|h_sync ),
1912 .datac(\inst|vga_driver_unit|hsync_state_2 ),
1913 .datad(\inst|vga_driver_unit|hsync_state_4 ),
1926 .combout(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ),
1931 // synopsys translate_off
1932 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .lut_mask = "cdc8";
1933 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .operation_mode = "normal";
1934 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .output_mode = "comb_only";
1935 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off";
1936 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac";
1937 defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .synch_mode = "off";
1938 // synopsys translate_on
1940 // atom is at LC_X34_Y34_N9
1941 stratix_lcell \inst|vga_driver_unit|h_sync_Z (
1943 // \inst|vga_driver_unit|h_sync = DFFEAS(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 # !\inst|dly_counter [0] # !\reset~combout # !\inst|dly_counter [1], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
1945 .clk(\inst1|altpll_component|_clk0 ),
1946 .dataa(\inst|dly_counter [1]),
1947 .datab(\reset~combout ),
1948 .datac(\inst|dly_counter [0]),
1949 .datad(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ),
1963 .regout(\inst|vga_driver_unit|h_sync ),
1967 // synopsys translate_off
1968 defparam \inst|vga_driver_unit|h_sync_Z .lut_mask = "ff7f";
1969 defparam \inst|vga_driver_unit|h_sync_Z .operation_mode = "normal";
1970 defparam \inst|vga_driver_unit|h_sync_Z .output_mode = "reg_only";
1971 defparam \inst|vga_driver_unit|h_sync_Z .register_cascade_mode = "off";
1972 defparam \inst|vga_driver_unit|h_sync_Z .sum_lutc_input = "datac";
1973 defparam \inst|vga_driver_unit|h_sync_Z .synch_mode = "off";
1974 // synopsys translate_on
1976 // atom is at LC_X35_Y33_N0
1977 stratix_lcell \inst|vga_driver_unit|vsync_counter_0_ (
1979 // \inst|vga_driver_unit|vsync_counter_0 = DFFEAS(\inst|vga_driver_unit|vsync_counter_0 $ \inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
1980 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
1981 // \inst|vga_driver_unit|vsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|d_set_hsync_counter )
1982 // \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 = CARRY(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|d_set_hsync_counter )
1984 .clk(\inst1|altpll_component|_clk0 ),
1985 .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
1986 .datab(\inst|vga_driver_unit|d_set_hsync_counter ),
1987 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
1991 .sclr(!\inst|vga_driver_unit|G_16_i ),
1992 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2002 .regout(\inst|vga_driver_unit|vsync_counter_0 ),
2004 .cout0(\inst|vga_driver_unit|vsync_counter_cout [0]),
2005 .cout1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ));
2006 // synopsys translate_off
2007 defparam \inst|vga_driver_unit|vsync_counter_0_ .lut_mask = "6688";
2008 defparam \inst|vga_driver_unit|vsync_counter_0_ .operation_mode = "arithmetic";
2009 defparam \inst|vga_driver_unit|vsync_counter_0_ .output_mode = "reg_only";
2010 defparam \inst|vga_driver_unit|vsync_counter_0_ .register_cascade_mode = "off";
2011 defparam \inst|vga_driver_unit|vsync_counter_0_ .sum_lutc_input = "datac";
2012 defparam \inst|vga_driver_unit|vsync_counter_0_ .synch_mode = "on";
2013 // synopsys translate_on
2015 // atom is at LC_X35_Y33_N1
2016 stratix_lcell \inst|vga_driver_unit|vsync_counter_1_ (
2018 // \inst|vga_driver_unit|vsync_counter_1 = DFFEAS(\inst|vga_driver_unit|vsync_counter_1 $ \inst|vga_driver_unit|vsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
2019 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2020 // \inst|vga_driver_unit|vsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [0] # !\inst|vga_driver_unit|vsync_counter_1 )
2021 // \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 # !\inst|vga_driver_unit|vsync_counter_1 )
2023 .clk(\inst1|altpll_component|_clk0 ),
2025 .datab(\inst|vga_driver_unit|vsync_counter_1 ),
2026 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2030 .sclr(!\inst|vga_driver_unit|G_16_i ),
2031 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2034 .cin0(\inst|vga_driver_unit|vsync_counter_cout [0]),
2035 .cin1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ),
2041 .regout(\inst|vga_driver_unit|vsync_counter_1 ),
2043 .cout0(\inst|vga_driver_unit|vsync_counter_cout [1]),
2044 .cout1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ));
2045 // synopsys translate_off
2046 defparam \inst|vga_driver_unit|vsync_counter_1_ .cin0_used = "true";
2047 defparam \inst|vga_driver_unit|vsync_counter_1_ .cin1_used = "true";
2048 defparam \inst|vga_driver_unit|vsync_counter_1_ .lut_mask = "3c3f";
2049 defparam \inst|vga_driver_unit|vsync_counter_1_ .operation_mode = "arithmetic";
2050 defparam \inst|vga_driver_unit|vsync_counter_1_ .output_mode = "reg_only";
2051 defparam \inst|vga_driver_unit|vsync_counter_1_ .register_cascade_mode = "off";
2052 defparam \inst|vga_driver_unit|vsync_counter_1_ .sum_lutc_input = "cin";
2053 defparam \inst|vga_driver_unit|vsync_counter_1_ .synch_mode = "on";
2054 // synopsys translate_on
2056 // atom is at LC_X35_Y33_N2
2057 stratix_lcell \inst|vga_driver_unit|vsync_counter_2_ (
2059 // \inst|vga_driver_unit|vsync_counter_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_2 $ (!\inst|vga_driver_unit|vsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
2060 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2061 // \inst|vga_driver_unit|vsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout [1]))
2062 // \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 = CARRY(\inst|vga_driver_unit|vsync_counter_2 & (!\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ))
2064 .clk(\inst1|altpll_component|_clk0 ),
2065 .dataa(\inst|vga_driver_unit|vsync_counter_2 ),
2067 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2071 .sclr(!\inst|vga_driver_unit|G_16_i ),
2072 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2075 .cin0(\inst|vga_driver_unit|vsync_counter_cout [1]),
2076 .cin1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ),
2082 .regout(\inst|vga_driver_unit|vsync_counter_2 ),
2084 .cout0(\inst|vga_driver_unit|vsync_counter_cout [2]),
2085 .cout1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ));
2086 // synopsys translate_off
2087 defparam \inst|vga_driver_unit|vsync_counter_2_ .cin0_used = "true";
2088 defparam \inst|vga_driver_unit|vsync_counter_2_ .cin1_used = "true";
2089 defparam \inst|vga_driver_unit|vsync_counter_2_ .lut_mask = "a50a";
2090 defparam \inst|vga_driver_unit|vsync_counter_2_ .operation_mode = "arithmetic";
2091 defparam \inst|vga_driver_unit|vsync_counter_2_ .output_mode = "reg_only";
2092 defparam \inst|vga_driver_unit|vsync_counter_2_ .register_cascade_mode = "off";
2093 defparam \inst|vga_driver_unit|vsync_counter_2_ .sum_lutc_input = "cin";
2094 defparam \inst|vga_driver_unit|vsync_counter_2_ .synch_mode = "on";
2095 // synopsys translate_on
2097 // atom is at LC_X35_Y33_N3
2098 stratix_lcell \inst|vga_driver_unit|vsync_counter_3_ (
2100 // \inst|vga_driver_unit|vsync_counter_3 = DFFEAS(\inst|vga_driver_unit|vsync_counter_3 $ (\inst|vga_driver_unit|vsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
2101 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2102 // \inst|vga_driver_unit|vsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [2] # !\inst|vga_driver_unit|vsync_counter_3 )
2103 // \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 # !\inst|vga_driver_unit|vsync_counter_3 )
2105 .clk(\inst1|altpll_component|_clk0 ),
2106 .dataa(\inst|vga_driver_unit|vsync_counter_3 ),
2108 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2112 .sclr(!\inst|vga_driver_unit|G_16_i ),
2113 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2116 .cin0(\inst|vga_driver_unit|vsync_counter_cout [2]),
2117 .cin1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ),
2123 .regout(\inst|vga_driver_unit|vsync_counter_3 ),
2125 .cout0(\inst|vga_driver_unit|vsync_counter_cout [3]),
2126 .cout1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ));
2127 // synopsys translate_off
2128 defparam \inst|vga_driver_unit|vsync_counter_3_ .cin0_used = "true";
2129 defparam \inst|vga_driver_unit|vsync_counter_3_ .cin1_used = "true";
2130 defparam \inst|vga_driver_unit|vsync_counter_3_ .lut_mask = "5a5f";
2131 defparam \inst|vga_driver_unit|vsync_counter_3_ .operation_mode = "arithmetic";
2132 defparam \inst|vga_driver_unit|vsync_counter_3_ .output_mode = "reg_only";
2133 defparam \inst|vga_driver_unit|vsync_counter_3_ .register_cascade_mode = "off";
2134 defparam \inst|vga_driver_unit|vsync_counter_3_ .sum_lutc_input = "cin";
2135 defparam \inst|vga_driver_unit|vsync_counter_3_ .synch_mode = "on";
2136 // synopsys translate_on
2138 // atom is at LC_X35_Y33_N4
2139 stratix_lcell \inst|vga_driver_unit|vsync_counter_4_ (
2141 // \inst|vga_driver_unit|vsync_counter_4 = DFFEAS(\inst|vga_driver_unit|vsync_counter_4 $ (!\inst|vga_driver_unit|vsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
2142 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2143 // \inst|vga_driver_unit|vsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|vsync_counter_4 & (!\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ))
2145 .clk(\inst1|altpll_component|_clk0 ),
2146 .dataa(\inst|vga_driver_unit|vsync_counter_4 ),
2148 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2152 .sclr(!\inst|vga_driver_unit|G_16_i ),
2153 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2156 .cin0(\inst|vga_driver_unit|vsync_counter_cout [3]),
2157 .cin1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ),
2163 .regout(\inst|vga_driver_unit|vsync_counter_4 ),
2164 .cout(\inst|vga_driver_unit|vsync_counter_cout [4]),
2167 // synopsys translate_off
2168 defparam \inst|vga_driver_unit|vsync_counter_4_ .cin0_used = "true";
2169 defparam \inst|vga_driver_unit|vsync_counter_4_ .cin1_used = "true";
2170 defparam \inst|vga_driver_unit|vsync_counter_4_ .lut_mask = "a50a";
2171 defparam \inst|vga_driver_unit|vsync_counter_4_ .operation_mode = "arithmetic";
2172 defparam \inst|vga_driver_unit|vsync_counter_4_ .output_mode = "reg_only";
2173 defparam \inst|vga_driver_unit|vsync_counter_4_ .register_cascade_mode = "off";
2174 defparam \inst|vga_driver_unit|vsync_counter_4_ .sum_lutc_input = "cin";
2175 defparam \inst|vga_driver_unit|vsync_counter_4_ .synch_mode = "on";
2176 // synopsys translate_on
2178 // atom is at LC_X35_Y33_N5
2179 stratix_lcell \inst|vga_driver_unit|vsync_counter_5_ (
2181 // \inst|vga_driver_unit|vsync_counter_5 = DFFEAS(\inst|vga_driver_unit|vsync_counter_5 $ \inst|vga_driver_unit|vsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , ,
2182 // !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2183 // \inst|vga_driver_unit|vsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 )
2184 // \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 )
2186 .clk(\inst1|altpll_component|_clk0 ),
2188 .datab(\inst|vga_driver_unit|vsync_counter_5 ),
2189 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2193 .sclr(!\inst|vga_driver_unit|G_16_i ),
2194 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2196 .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
2204 .regout(\inst|vga_driver_unit|vsync_counter_5 ),
2206 .cout0(\inst|vga_driver_unit|vsync_counter_cout [5]),
2207 .cout1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ));
2208 // synopsys translate_off
2209 defparam \inst|vga_driver_unit|vsync_counter_5_ .cin_used = "true";
2210 defparam \inst|vga_driver_unit|vsync_counter_5_ .lut_mask = "3c3f";
2211 defparam \inst|vga_driver_unit|vsync_counter_5_ .operation_mode = "arithmetic";
2212 defparam \inst|vga_driver_unit|vsync_counter_5_ .output_mode = "reg_only";
2213 defparam \inst|vga_driver_unit|vsync_counter_5_ .register_cascade_mode = "off";
2214 defparam \inst|vga_driver_unit|vsync_counter_5_ .sum_lutc_input = "cin";
2215 defparam \inst|vga_driver_unit|vsync_counter_5_ .synch_mode = "on";
2216 // synopsys translate_on
2218 // atom is at LC_X35_Y33_N6
2219 stratix_lcell \inst|vga_driver_unit|vsync_counter_6_ (
2221 // \inst|vga_driver_unit|vsync_counter_6 = DFFEAS(\inst|vga_driver_unit|vsync_counter_6 $ !(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [5]) # (\inst|vga_driver_unit|vsync_counter_cout [4] &
2222 // \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2223 // \inst|vga_driver_unit|vsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout [5])
2224 // \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 = CARRY(\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 )
2226 .clk(\inst1|altpll_component|_clk0 ),
2228 .datab(\inst|vga_driver_unit|vsync_counter_6 ),
2229 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2233 .sclr(!\inst|vga_driver_unit|G_16_i ),
2234 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2236 .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
2237 .cin0(\inst|vga_driver_unit|vsync_counter_cout [5]),
2238 .cin1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ),
2244 .regout(\inst|vga_driver_unit|vsync_counter_6 ),
2246 .cout0(\inst|vga_driver_unit|vsync_counter_cout [6]),
2247 .cout1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ));
2248 // synopsys translate_off
2249 defparam \inst|vga_driver_unit|vsync_counter_6_ .cin0_used = "true";
2250 defparam \inst|vga_driver_unit|vsync_counter_6_ .cin1_used = "true";
2251 defparam \inst|vga_driver_unit|vsync_counter_6_ .cin_used = "true";
2252 defparam \inst|vga_driver_unit|vsync_counter_6_ .lut_mask = "c30c";
2253 defparam \inst|vga_driver_unit|vsync_counter_6_ .operation_mode = "arithmetic";
2254 defparam \inst|vga_driver_unit|vsync_counter_6_ .output_mode = "reg_only";
2255 defparam \inst|vga_driver_unit|vsync_counter_6_ .register_cascade_mode = "off";
2256 defparam \inst|vga_driver_unit|vsync_counter_6_ .sum_lutc_input = "cin";
2257 defparam \inst|vga_driver_unit|vsync_counter_6_ .synch_mode = "on";
2258 // synopsys translate_on
2260 // atom is at LC_X35_Y33_N7
2261 stratix_lcell \inst|vga_driver_unit|vsync_counter_7_ (
2263 // \inst|vga_driver_unit|vsync_counter_7 = DFFEAS(\inst|vga_driver_unit|vsync_counter_7 $ ((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [6]) # (\inst|vga_driver_unit|vsync_counter_cout [4] &
2264 // \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2265 // \inst|vga_driver_unit|vsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [6] # !\inst|vga_driver_unit|vsync_counter_7 )
2266 // \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 # !\inst|vga_driver_unit|vsync_counter_7 )
2268 .clk(\inst1|altpll_component|_clk0 ),
2269 .dataa(\inst|vga_driver_unit|vsync_counter_7 ),
2271 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2275 .sclr(!\inst|vga_driver_unit|G_16_i ),
2276 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2278 .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
2279 .cin0(\inst|vga_driver_unit|vsync_counter_cout [6]),
2280 .cin1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ),
2286 .regout(\inst|vga_driver_unit|vsync_counter_7 ),
2288 .cout0(\inst|vga_driver_unit|vsync_counter_cout [7]),
2289 .cout1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ));
2290 // synopsys translate_off
2291 defparam \inst|vga_driver_unit|vsync_counter_7_ .cin0_used = "true";
2292 defparam \inst|vga_driver_unit|vsync_counter_7_ .cin1_used = "true";
2293 defparam \inst|vga_driver_unit|vsync_counter_7_ .cin_used = "true";
2294 defparam \inst|vga_driver_unit|vsync_counter_7_ .lut_mask = "5a5f";
2295 defparam \inst|vga_driver_unit|vsync_counter_7_ .operation_mode = "arithmetic";
2296 defparam \inst|vga_driver_unit|vsync_counter_7_ .output_mode = "reg_only";
2297 defparam \inst|vga_driver_unit|vsync_counter_7_ .register_cascade_mode = "off";
2298 defparam \inst|vga_driver_unit|vsync_counter_7_ .sum_lutc_input = "cin";
2299 defparam \inst|vga_driver_unit|vsync_counter_7_ .synch_mode = "on";
2300 // synopsys translate_on
2302 // atom is at LC_X35_Y33_N8
2303 stratix_lcell \inst|vga_driver_unit|vsync_counter_8_ (
2305 // \inst|vga_driver_unit|vsync_counter_8 = DFFEAS(\inst|vga_driver_unit|vsync_counter_8 $ (!(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [7]) # (\inst|vga_driver_unit|vsync_counter_cout [4] &
2306 // \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
2307 // \inst|vga_driver_unit|vsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout [7]))
2308 // \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 = CARRY(\inst|vga_driver_unit|vsync_counter_8 & (!\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ))
2310 .clk(\inst1|altpll_component|_clk0 ),
2311 .dataa(\inst|vga_driver_unit|vsync_counter_8 ),
2313 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
2317 .sclr(!\inst|vga_driver_unit|G_16_i ),
2318 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2320 .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
2321 .cin0(\inst|vga_driver_unit|vsync_counter_cout [7]),
2322 .cin1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ),
2328 .regout(\inst|vga_driver_unit|vsync_counter_8 ),
2330 .cout0(\inst|vga_driver_unit|vsync_counter_cout [8]),
2331 .cout1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ));
2332 // synopsys translate_off
2333 defparam \inst|vga_driver_unit|vsync_counter_8_ .cin0_used = "true";
2334 defparam \inst|vga_driver_unit|vsync_counter_8_ .cin1_used = "true";
2335 defparam \inst|vga_driver_unit|vsync_counter_8_ .cin_used = "true";
2336 defparam \inst|vga_driver_unit|vsync_counter_8_ .lut_mask = "a50a";
2337 defparam \inst|vga_driver_unit|vsync_counter_8_ .operation_mode = "arithmetic";
2338 defparam \inst|vga_driver_unit|vsync_counter_8_ .output_mode = "reg_only";
2339 defparam \inst|vga_driver_unit|vsync_counter_8_ .register_cascade_mode = "off";
2340 defparam \inst|vga_driver_unit|vsync_counter_8_ .sum_lutc_input = "cin";
2341 defparam \inst|vga_driver_unit|vsync_counter_8_ .synch_mode = "on";
2342 // synopsys translate_on
2344 // atom is at LC_X35_Y34_N9
2345 stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 (
2347 // \inst|vga_driver_unit|un9_vsync_counterlt9_5 = !\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_8 # !\inst|vga_driver_unit|vsync_counter_7 # !\inst|vga_driver_unit|vsync_counter_6
2350 .dataa(\inst|vga_driver_unit|vsync_counter_6 ),
2351 .datab(\inst|vga_driver_unit|vsync_counter_7 ),
2352 .datac(\inst|vga_driver_unit|vsync_counter_8 ),
2353 .datad(\inst|vga_driver_unit|vsync_counter_9 ),
2366 .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ),
2371 // synopsys translate_off
2372 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .lut_mask = "7fff";
2373 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .operation_mode = "normal";
2374 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .output_mode = "comb_only";
2375 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .register_cascade_mode = "off";
2376 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .sum_lutc_input = "datac";
2377 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .synch_mode = "off";
2378 // synopsys translate_on
2380 // atom is at LC_X35_Y34_N2
2381 stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 (
2383 // \inst|vga_driver_unit|un9_vsync_counterlt9_6 = !\inst|vga_driver_unit|vsync_counter_2 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|vsync_counter_3 # !\inst|vga_driver_unit|vsync_counter_1
2386 .dataa(\inst|vga_driver_unit|vsync_counter_1 ),
2387 .datab(\inst|vga_driver_unit|vsync_counter_3 ),
2388 .datac(\inst|vga_driver_unit|vsync_counter_0 ),
2389 .datad(\inst|vga_driver_unit|vsync_counter_2 ),
2402 .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ),
2407 // synopsys translate_off
2408 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .lut_mask = "7fff";
2409 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .operation_mode = "normal";
2410 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .output_mode = "comb_only";
2411 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .register_cascade_mode = "off";
2412 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .sum_lutc_input = "datac";
2413 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .synch_mode = "off";
2414 // synopsys translate_on
2416 // atom is at LC_X35_Y34_N5
2417 stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 (
2419 // \inst|vga_driver_unit|un9_vsync_counterlt9 = \inst|vga_driver_unit|un9_vsync_counterlt9_5 # \inst|vga_driver_unit|un9_vsync_counterlt9_6 # !\inst|vga_driver_unit|vsync_counter_5 # !\inst|vga_driver_unit|vsync_counter_4
2422 .dataa(\inst|vga_driver_unit|vsync_counter_4 ),
2423 .datab(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ),
2424 .datac(\inst|vga_driver_unit|vsync_counter_5 ),
2425 .datad(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ),
2438 .combout(\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2443 // synopsys translate_off
2444 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .lut_mask = "ffdf";
2445 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .operation_mode = "normal";
2446 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .output_mode = "comb_only";
2447 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .register_cascade_mode = "off";
2448 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .sum_lutc_input = "datac";
2449 defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .synch_mode = "off";
2450 // synopsys translate_on
2452 // atom is at LC_X35_Y34_N4
2453 stratix_lcell \inst|vga_driver_unit|G_16 (
2455 // \inst|vga_driver_unit|G_16_i = !\inst|vga_driver_unit|vsync_state_6 & !\inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|un9_vsync_counterlt9
2458 .dataa(\inst|vga_driver_unit|vsync_state_6 ),
2459 .datab(\inst|vga_driver_unit|vsync_state_0 ),
2460 .datac(\inst|vga_driver_unit|un9_vsync_counterlt9 ),
2461 .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
2474 .combout(\inst|vga_driver_unit|G_16_i ),
2479 // synopsys translate_off
2480 defparam \inst|vga_driver_unit|G_16 .lut_mask = "0f1f";
2481 defparam \inst|vga_driver_unit|G_16 .operation_mode = "normal";
2482 defparam \inst|vga_driver_unit|G_16 .output_mode = "comb_only";
2483 defparam \inst|vga_driver_unit|G_16 .register_cascade_mode = "off";
2484 defparam \inst|vga_driver_unit|G_16 .sum_lutc_input = "datac";
2485 defparam \inst|vga_driver_unit|G_16 .synch_mode = "off";
2486 // synopsys translate_on
2488 // atom is at LC_X36_Y34_N6
2489 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 (
2491 // \inst|vga_driver_unit|un12_vsync_counter_6 = !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_5 & !\inst|vga_driver_unit|vsync_counter_8
2494 .dataa(\inst|vga_driver_unit|vsync_counter_7 ),
2495 .datab(\inst|vga_driver_unit|vsync_counter_6 ),
2496 .datac(\inst|vga_driver_unit|vsync_counter_5 ),
2497 .datad(\inst|vga_driver_unit|vsync_counter_8 ),
2510 .combout(\inst|vga_driver_unit|un12_vsync_counter_6 ),
2515 // synopsys translate_off
2516 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .lut_mask = "0001";
2517 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .operation_mode = "normal";
2518 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .output_mode = "comb_only";
2519 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .register_cascade_mode = "off";
2520 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .sum_lutc_input = "datac";
2521 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .synch_mode = "off";
2522 // synopsys translate_on
2524 // atom is at LC_X36_Y34_N9
2525 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 (
2527 // \inst|vga_driver_unit|un12_vsync_counter_7 = !\inst|vga_driver_unit|vsync_counter_1 & !\inst|vga_driver_unit|vsync_counter_4 & !\inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_2
2530 .dataa(\inst|vga_driver_unit|vsync_counter_1 ),
2531 .datab(\inst|vga_driver_unit|vsync_counter_4 ),
2532 .datac(\inst|vga_driver_unit|vsync_counter_3 ),
2533 .datad(\inst|vga_driver_unit|vsync_counter_2 ),
2546 .combout(\inst|vga_driver_unit|un12_vsync_counter_7 ),
2551 // synopsys translate_off
2552 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .lut_mask = "0001";
2553 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .operation_mode = "normal";
2554 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .output_mode = "comb_only";
2555 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .register_cascade_mode = "off";
2556 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .sum_lutc_input = "datac";
2557 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .synch_mode = "off";
2558 // synopsys translate_on
2560 // atom is at LC_X36_Y34_N4
2561 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 (
2563 // \inst|vga_driver_unit|un14_vsync_counter_8 = \inst|vga_driver_unit|un12_vsync_counter_7 & (\inst|vga_driver_unit|un12_vsync_counter_6 )
2567 .datab(\inst|vga_driver_unit|un12_vsync_counter_7 ),
2569 .datad(\inst|vga_driver_unit|un12_vsync_counter_6 ),
2582 .combout(\inst|vga_driver_unit|un14_vsync_counter_8 ),
2587 // synopsys translate_off
2588 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .lut_mask = "cc00";
2589 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .operation_mode = "normal";
2590 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .output_mode = "comb_only";
2591 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .register_cascade_mode = "off";
2592 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .sum_lutc_input = "datac";
2593 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .synch_mode = "off";
2594 // synopsys translate_on
2596 // atom is at LC_X36_Y33_N5
2597 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 (
2599 // \inst|vga_driver_unit|un13_vsync_counter_3 = !\inst|vga_driver_unit|vsync_counter_7 & !\inst|vga_driver_unit|vsync_counter_6 & !\inst|vga_driver_unit|vsync_counter_9 & !\inst|vga_driver_unit|vsync_counter_8
2602 .dataa(\inst|vga_driver_unit|vsync_counter_7 ),
2603 .datab(\inst|vga_driver_unit|vsync_counter_6 ),
2604 .datac(\inst|vga_driver_unit|vsync_counter_9 ),
2605 .datad(\inst|vga_driver_unit|vsync_counter_8 ),
2618 .combout(\inst|vga_driver_unit|un13_vsync_counter_3 ),
2623 // synopsys translate_off
2624 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .lut_mask = "0001";
2625 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .operation_mode = "normal";
2626 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .output_mode = "comb_only";
2627 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .register_cascade_mode = "off";
2628 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .sum_lutc_input = "datac";
2629 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .synch_mode = "off";
2630 // synopsys translate_on
2632 // atom is at LC_X36_Y33_N0
2633 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 (
2635 // \inst|vga_driver_unit|un13_vsync_counter_4 = \inst|vga_driver_unit|vsync_counter_5 & (\inst|vga_driver_unit|un13_vsync_counter_3 & \inst|vga_driver_unit|vsync_counter_0 )
2638 .dataa(\inst|vga_driver_unit|vsync_counter_5 ),
2640 .datac(\inst|vga_driver_unit|un13_vsync_counter_3 ),
2641 .datad(\inst|vga_driver_unit|vsync_counter_0 ),
2654 .combout(\inst|vga_driver_unit|un13_vsync_counter_4 ),
2659 // synopsys translate_off
2660 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .lut_mask = "a000";
2661 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .operation_mode = "normal";
2662 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .output_mode = "comb_only";
2663 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .register_cascade_mode = "off";
2664 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .sum_lutc_input = "datac";
2665 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .synch_mode = "off";
2666 // synopsys translate_on
2668 // atom is at LC_X36_Y33_N4
2669 stratix_lcell \inst|vga_driver_unit|vsync_state_1_ (
2671 // \inst|vga_driver_unit|vsync_state_1 = DFFEAS(\inst|vga_driver_unit|un12_vsync_counter_7 & \inst|vga_driver_unit|un13_vsync_counter_4 & !\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|vsync_state_4 ,
2672 // GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
2674 .clk(\inst1|altpll_component|_clk0 ),
2675 .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ),
2676 .datab(\inst|vga_driver_unit|un13_vsync_counter_4 ),
2677 .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
2678 .datad(\inst|vga_driver_unit|vsync_state_4 ),
2692 .regout(\inst|vga_driver_unit|vsync_state_1 ),
2696 // synopsys translate_off
2697 defparam \inst|vga_driver_unit|vsync_state_1_ .lut_mask = "0800";
2698 defparam \inst|vga_driver_unit|vsync_state_1_ .operation_mode = "normal";
2699 defparam \inst|vga_driver_unit|vsync_state_1_ .output_mode = "reg_only";
2700 defparam \inst|vga_driver_unit|vsync_state_1_ .register_cascade_mode = "off";
2701 defparam \inst|vga_driver_unit|vsync_state_1_ .sum_lutc_input = "datac";
2702 defparam \inst|vga_driver_unit|vsync_state_1_ .synch_mode = "off";
2703 // synopsys translate_on
2705 // atom is at LC_X34_Y34_N0
2706 stratix_lcell \inst|vga_driver_unit|vsync_state_3_ (
2708 // \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 = E1_vsync_state_3 & (!\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|un14_vsync_counter_8 )
2709 // \inst|vga_driver_unit|vsync_state_3 = DFFEAS(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , \inst|vga_driver_unit|vsync_state_1 , ,
2710 // \inst|vga_driver_unit|un6_dly_counter_0_x , VCC)
2712 .clk(\inst1|altpll_component|_clk0 ),
2713 .dataa(\inst|vga_driver_unit|un14_vsync_counter_8 ),
2714 .datab(\inst|vga_driver_unit|vsync_counter_9 ),
2715 .datac(\inst|vga_driver_unit|vsync_state_1 ),
2716 .datad(\inst|vga_driver_unit|vsync_counter_0 ),
2719 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
2721 .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
2729 .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ),
2730 .regout(\inst|vga_driver_unit|vsync_state_3 ),
2734 // synopsys translate_off
2735 defparam \inst|vga_driver_unit|vsync_state_3_ .lut_mask = "70f0";
2736 defparam \inst|vga_driver_unit|vsync_state_3_ .operation_mode = "normal";
2737 defparam \inst|vga_driver_unit|vsync_state_3_ .output_mode = "reg_and_comb";
2738 defparam \inst|vga_driver_unit|vsync_state_3_ .register_cascade_mode = "off";
2739 defparam \inst|vga_driver_unit|vsync_state_3_ .sum_lutc_input = "qfbk";
2740 defparam \inst|vga_driver_unit|vsync_state_3_ .synch_mode = "on";
2741 // synopsys translate_on
2743 // atom is at LC_X35_Y34_N3
2744 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 (
2746 // \inst|vga_driver_unit|un15_vsync_counter_3 = \inst|vga_driver_unit|vsync_counter_9 & \inst|vga_driver_unit|vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_0 & !\inst|vga_driver_unit|vsync_counter_2
2749 .dataa(\inst|vga_driver_unit|vsync_counter_9 ),
2750 .datab(\inst|vga_driver_unit|vsync_counter_3 ),
2751 .datac(\inst|vga_driver_unit|vsync_counter_0 ),
2752 .datad(\inst|vga_driver_unit|vsync_counter_2 ),
2765 .combout(\inst|vga_driver_unit|un15_vsync_counter_3 ),
2770 // synopsys translate_off
2771 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .lut_mask = "0008";
2772 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .operation_mode = "normal";
2773 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .output_mode = "comb_only";
2774 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .register_cascade_mode = "off";
2775 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .sum_lutc_input = "datac";
2776 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .synch_mode = "off";
2777 // synopsys translate_on
2779 // atom is at LC_X35_Y34_N8
2780 stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 (
2782 // \inst|vga_driver_unit|un15_vsync_counter_4 = !\inst|vga_driver_unit|vsync_counter_4 & (\inst|vga_driver_unit|un15_vsync_counter_3 & !\inst|vga_driver_unit|vsync_counter_1 )
2785 .dataa(\inst|vga_driver_unit|vsync_counter_4 ),
2787 .datac(\inst|vga_driver_unit|un15_vsync_counter_3 ),
2788 .datad(\inst|vga_driver_unit|vsync_counter_1 ),
2801 .combout(\inst|vga_driver_unit|un15_vsync_counter_4 ),
2806 // synopsys translate_off
2807 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .lut_mask = "0050";
2808 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .operation_mode = "normal";
2809 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .output_mode = "comb_only";
2810 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .register_cascade_mode = "off";
2811 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .sum_lutc_input = "datac";
2812 defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .synch_mode = "off";
2813 // synopsys translate_on
2815 // atom is at LC_X36_Y34_N1
2816 stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ (
2818 // \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 = \inst|vga_driver_unit|vsync_state_4 & (!\inst|vga_driver_unit|un13_vsync_counter_4 # !\inst|vga_driver_unit|un12_vsync_counter_7 )
2822 .datab(\inst|vga_driver_unit|un12_vsync_counter_7 ),
2823 .datac(\inst|vga_driver_unit|vsync_state_4 ),
2824 .datad(\inst|vga_driver_unit|un13_vsync_counter_4 ),
2837 .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ),
2842 // synopsys translate_off
2843 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .lut_mask = "30f0";
2844 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal";
2845 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only";
2846 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off";
2847 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac";
2848 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off";
2849 // synopsys translate_on
2851 // atom is at LC_X36_Y34_N5
2852 stratix_lcell \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ (
2854 // \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 = \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 # \inst|vga_driver_unit|vsync_state_2 & (!\inst|vga_driver_unit|un12_vsync_counter_6 # !\inst|vga_driver_unit|un15_vsync_counter_4 )
2857 .dataa(\inst|vga_driver_unit|un15_vsync_counter_4 ),
2858 .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ),
2859 .datac(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ),
2860 .datad(\inst|vga_driver_unit|vsync_state_2 ),
2873 .combout(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ),
2878 // synopsys translate_off
2879 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .lut_mask = "f7f0";
2880 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .operation_mode = "normal";
2881 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .output_mode = "comb_only";
2882 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .register_cascade_mode = "off";
2883 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .sum_lutc_input = "datac";
2884 defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .synch_mode = "off";
2885 // synopsys translate_on
2887 // atom is at LC_X36_Y34_N3
2888 stratix_lcell \inst|vga_driver_unit|vsync_state_5_ (
2890 // \inst|vga_driver_unit|vsync_state_5 = DFFEAS(\inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , ,
2891 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
2893 .clk(\inst1|altpll_component|_clk0 ),
2895 .datab(\inst|vga_driver_unit|vsync_state_0 ),
2896 .datac(\inst|vga_driver_unit|vsync_state_6 ),
2900 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
2902 .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
2911 .regout(\inst|vga_driver_unit|vsync_state_5 ),
2915 // synopsys translate_off
2916 defparam \inst|vga_driver_unit|vsync_state_5_ .lut_mask = "fcfc";
2917 defparam \inst|vga_driver_unit|vsync_state_5_ .operation_mode = "normal";
2918 defparam \inst|vga_driver_unit|vsync_state_5_ .output_mode = "reg_only";
2919 defparam \inst|vga_driver_unit|vsync_state_5_ .register_cascade_mode = "off";
2920 defparam \inst|vga_driver_unit|vsync_state_5_ .sum_lutc_input = "datac";
2921 defparam \inst|vga_driver_unit|vsync_state_5_ .synch_mode = "on";
2922 // synopsys translate_on
2924 // atom is at LC_X36_Y34_N0
2925 stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ (
2927 // \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 = \inst|vga_driver_unit|vsync_state_5 & (\inst|vga_driver_unit|vsync_counter_9 # !\inst|vga_driver_unit|vsync_counter_0 # !\inst|vga_driver_unit|un14_vsync_counter_8 )
2930 .dataa(\inst|vga_driver_unit|vsync_counter_9 ),
2931 .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
2932 .datac(\inst|vga_driver_unit|vsync_state_5 ),
2933 .datad(\inst|vga_driver_unit|vsync_counter_0 ),
2946 .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ),
2951 // synopsys translate_off
2952 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .lut_mask = "b0f0";
2953 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal";
2954 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only";
2955 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off";
2956 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac";
2957 defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off";
2958 // synopsys translate_on
2960 // atom is at LC_X36_Y34_N2
2961 stratix_lcell \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ (
2963 // \inst|vga_driver_unit|vsync_state_next_2_sqmuxa = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 & !\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 &
2964 // !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1
2967 .dataa(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ),
2968 .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ),
2969 .datac(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ),
2970 .datad(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ),
2983 .combout(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
2988 // synopsys translate_off
2989 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .lut_mask = "cccd";
2990 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .operation_mode = "normal";
2991 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .output_mode = "comb_only";
2992 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .register_cascade_mode = "off";
2993 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .sum_lutc_input = "datac";
2994 defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .synch_mode = "off";
2995 // synopsys translate_on
2997 // atom is at LC_X36_Y34_N8
2998 stratix_lcell \inst|vga_driver_unit|vsync_state_2_ (
3000 // \inst|vga_driver_unit|vsync_state_2 = DFFEAS(\inst|vga_driver_unit|vsync_counter_0 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_state_3 & \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ),
3001 // VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
3003 .clk(\inst1|altpll_component|_clk0 ),
3004 .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
3005 .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
3006 .datac(\inst|vga_driver_unit|vsync_state_3 ),
3007 .datad(\inst|vga_driver_unit|vsync_counter_9 ),
3010 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
3012 .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
3021 .regout(\inst|vga_driver_unit|vsync_state_2 ),
3025 // synopsys translate_off
3026 defparam \inst|vga_driver_unit|vsync_state_2_ .lut_mask = "8000";
3027 defparam \inst|vga_driver_unit|vsync_state_2_ .operation_mode = "normal";
3028 defparam \inst|vga_driver_unit|vsync_state_2_ .output_mode = "reg_only";
3029 defparam \inst|vga_driver_unit|vsync_state_2_ .register_cascade_mode = "off";
3030 defparam \inst|vga_driver_unit|vsync_state_2_ .sum_lutc_input = "datac";
3031 defparam \inst|vga_driver_unit|vsync_state_2_ .synch_mode = "on";
3032 // synopsys translate_on
3034 // atom is at LC_X35_Y34_N7
3035 stratix_lcell \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ (
3037 // \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 = \inst|vga_driver_unit|un12_vsync_counter_6 & \inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|un15_vsync_counter_4
3041 .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ),
3042 .datac(\inst|vga_driver_unit|vsync_state_2 ),
3043 .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ),
3056 .combout(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ),
3061 // synopsys translate_off
3062 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .lut_mask = "c000";
3063 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .operation_mode = "normal";
3064 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .output_mode = "comb_only";
3065 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .register_cascade_mode = "off";
3066 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .sum_lutc_input = "datac";
3067 defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .synch_mode = "off";
3068 // synopsys translate_on
3070 // atom is at LC_X35_Y34_N6
3071 stratix_lcell \inst|vga_driver_unit|vsync_state_0_ (
3073 // \inst|vga_driver_unit|vsync_state_0 = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x & \inst|vga_driver_unit|vsync_state_0 & (!\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ) # !\inst|vga_driver_unit|un6_dly_counter_0_x &
3074 // (\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 # \inst|vga_driver_unit|vsync_state_0 & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
3076 .clk(\inst1|altpll_component|_clk0 ),
3077 .dataa(\inst|vga_driver_unit|un6_dly_counter_0_x ),
3078 .datab(\inst|vga_driver_unit|vsync_state_0 ),
3079 .datac(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ),
3080 .datad(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
3094 .regout(\inst|vga_driver_unit|vsync_state_0 ),
3098 // synopsys translate_off
3099 defparam \inst|vga_driver_unit|vsync_state_0_ .lut_mask = "50dc";
3100 defparam \inst|vga_driver_unit|vsync_state_0_ .operation_mode = "normal";
3101 defparam \inst|vga_driver_unit|vsync_state_0_ .output_mode = "reg_only";
3102 defparam \inst|vga_driver_unit|vsync_state_0_ .register_cascade_mode = "off";
3103 defparam \inst|vga_driver_unit|vsync_state_0_ .sum_lutc_input = "datac";
3104 defparam \inst|vga_driver_unit|vsync_state_0_ .synch_mode = "off";
3105 // synopsys translate_on
3107 // atom is at LC_X34_Y34_N1
3108 stratix_lcell \inst|vga_driver_unit|d_set_vsync_counter_cZ (
3110 // \inst|vga_driver_unit|d_set_vsync_counter = \inst|vga_driver_unit|vsync_state_0 # \inst|vga_driver_unit|vsync_state_6
3113 .dataa(\inst|vga_driver_unit|vsync_state_0 ),
3115 .datac(\inst|vga_driver_unit|vsync_state_6 ),
3129 .combout(\inst|vga_driver_unit|d_set_vsync_counter ),
3134 // synopsys translate_off
3135 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .lut_mask = "fafa";
3136 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .operation_mode = "normal";
3137 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .output_mode = "comb_only";
3138 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .register_cascade_mode = "off";
3139 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .sum_lutc_input = "datac";
3140 defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .synch_mode = "off";
3141 // synopsys translate_on
3143 // atom is at LC_X34_Y34_N4
3144 stratix_lcell \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ (
3146 // \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa = \inst|dly_counter [0] & \reset~combout & \inst|dly_counter [1] & !\inst|vga_driver_unit|d_set_vsync_counter
3149 .dataa(\inst|dly_counter [0]),
3150 .datab(\reset~combout ),
3151 .datac(\inst|dly_counter [1]),
3152 .datad(\inst|vga_driver_unit|d_set_vsync_counter ),
3165 .combout(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
3170 // synopsys translate_off
3171 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080";
3172 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal";
3173 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only";
3174 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off";
3175 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac";
3176 defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .synch_mode = "off";
3177 // synopsys translate_on
3179 // atom is at LC_X35_Y33_N9
3180 stratix_lcell \inst|vga_driver_unit|vsync_counter_9_ (
3182 // \inst|vga_driver_unit|vsync_counter_9 = DFFEAS((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [8]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ) $
3183 // \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
3185 .clk(\inst1|altpll_component|_clk0 ),
3188 .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
3189 .datad(\inst|vga_driver_unit|vsync_counter_9 ),
3192 .sclr(!\inst|vga_driver_unit|G_16_i ),
3193 .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
3195 .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
3196 .cin0(\inst|vga_driver_unit|vsync_counter_cout [8]),
3197 .cin1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ),
3203 .regout(\inst|vga_driver_unit|vsync_counter_9 ),
3207 // synopsys translate_off
3208 defparam \inst|vga_driver_unit|vsync_counter_9_ .cin0_used = "true";
3209 defparam \inst|vga_driver_unit|vsync_counter_9_ .cin1_used = "true";
3210 defparam \inst|vga_driver_unit|vsync_counter_9_ .cin_used = "true";
3211 defparam \inst|vga_driver_unit|vsync_counter_9_ .lut_mask = "0ff0";
3212 defparam \inst|vga_driver_unit|vsync_counter_9_ .operation_mode = "normal";
3213 defparam \inst|vga_driver_unit|vsync_counter_9_ .output_mode = "reg_only";
3214 defparam \inst|vga_driver_unit|vsync_counter_9_ .register_cascade_mode = "off";
3215 defparam \inst|vga_driver_unit|vsync_counter_9_ .sum_lutc_input = "cin";
3216 defparam \inst|vga_driver_unit|vsync_counter_9_ .synch_mode = "on";
3217 // synopsys translate_on
3219 // atom is at LC_X36_Y34_N7
3220 stratix_lcell \inst|vga_driver_unit|vsync_state_4_ (
3222 // \inst|vga_driver_unit|vsync_state_4 = DFFEAS(!\inst|vga_driver_unit|vsync_counter_9 & \inst|vga_driver_unit|un14_vsync_counter_8 & \inst|vga_driver_unit|vsync_state_5 & \inst|vga_driver_unit|vsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ),
3223 // VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
3225 .clk(\inst1|altpll_component|_clk0 ),
3226 .dataa(\inst|vga_driver_unit|vsync_counter_9 ),
3227 .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
3228 .datac(\inst|vga_driver_unit|vsync_state_5 ),
3229 .datad(\inst|vga_driver_unit|vsync_counter_0 ),
3232 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
3234 .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
3243 .regout(\inst|vga_driver_unit|vsync_state_4 ),
3247 // synopsys translate_off
3248 defparam \inst|vga_driver_unit|vsync_state_4_ .lut_mask = "4000";
3249 defparam \inst|vga_driver_unit|vsync_state_4_ .operation_mode = "normal";
3250 defparam \inst|vga_driver_unit|vsync_state_4_ .output_mode = "reg_only";
3251 defparam \inst|vga_driver_unit|vsync_state_4_ .register_cascade_mode = "off";
3252 defparam \inst|vga_driver_unit|vsync_state_4_ .sum_lutc_input = "datac";
3253 defparam \inst|vga_driver_unit|vsync_state_4_ .synch_mode = "on";
3254 // synopsys translate_on
3256 // atom is at LC_X34_Y34_N8
3257 stratix_lcell \inst|vga_driver_unit|un1_vsync_state_2_0_cZ (
3259 // \inst|vga_driver_unit|un1_vsync_state_2_0 = \inst|vga_driver_unit|vsync_state_1 # \inst|vga_driver_unit|vsync_state_3
3264 .datac(\inst|vga_driver_unit|vsync_state_1 ),
3265 .datad(\inst|vga_driver_unit|vsync_state_3 ),
3278 .combout(\inst|vga_driver_unit|un1_vsync_state_2_0 ),
3283 // synopsys translate_off
3284 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .lut_mask = "fff0";
3285 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .operation_mode = "normal";
3286 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .output_mode = "comb_only";
3287 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .register_cascade_mode = "off";
3288 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .sum_lutc_input = "datac";
3289 defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .synch_mode = "off";
3290 // synopsys translate_on
3292 // atom is at LC_X34_Y34_N5
3293 stratix_lcell \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ (
3295 // \inst|vga_driver_unit|v_sync_1_0_0_0_g1 = \inst|vga_driver_unit|vsync_state_2 & \inst|vga_driver_unit|v_sync # !\inst|vga_driver_unit|vsync_state_2 & (\inst|vga_driver_unit|un1_vsync_state_2_0 & \inst|vga_driver_unit|v_sync #
3296 // !\inst|vga_driver_unit|un1_vsync_state_2_0 & (\inst|vga_driver_unit|vsync_state_4 ))
3299 .dataa(\inst|vga_driver_unit|v_sync ),
3300 .datab(\inst|vga_driver_unit|vsync_state_4 ),
3301 .datac(\inst|vga_driver_unit|vsync_state_2 ),
3302 .datad(\inst|vga_driver_unit|un1_vsync_state_2_0 ),
3315 .combout(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ),
3320 // synopsys translate_off
3321 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .lut_mask = "aaac";
3322 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .operation_mode = "normal";
3323 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .output_mode = "comb_only";
3324 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off";
3325 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac";
3326 defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .synch_mode = "off";
3327 // synopsys translate_on
3329 // atom is at LC_X34_Y34_N7
3330 stratix_lcell \inst|vga_driver_unit|v_sync_Z (
3332 // \inst|vga_driver_unit|v_sync = DFFEAS(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 # !\inst|dly_counter [1] # !\reset~combout # !\inst|dly_counter [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
3334 .clk(\inst1|altpll_component|_clk0 ),
3335 .dataa(\inst|dly_counter [0]),
3336 .datab(\reset~combout ),
3337 .datac(\inst|dly_counter [1]),
3338 .datad(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ),
3352 .regout(\inst|vga_driver_unit|v_sync ),
3356 // synopsys translate_off
3357 defparam \inst|vga_driver_unit|v_sync_Z .lut_mask = "ff7f";
3358 defparam \inst|vga_driver_unit|v_sync_Z .operation_mode = "normal";
3359 defparam \inst|vga_driver_unit|v_sync_Z .output_mode = "reg_only";
3360 defparam \inst|vga_driver_unit|v_sync_Z .register_cascade_mode = "off";
3361 defparam \inst|vga_driver_unit|v_sync_Z .sum_lutc_input = "datac";
3362 defparam \inst|vga_driver_unit|v_sync_Z .synch_mode = "off";
3363 // synopsys translate_on
3365 // atom is at LC_X36_Y33_N8
3366 stratix_lcell \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ (
3368 // \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 = \reset~combout & \inst|dly_counter [1] & \inst|dly_counter [0] & !\inst|vga_driver_unit|hsync_state_1
3371 .dataa(\reset~combout ),
3372 .datab(\inst|dly_counter [1]),
3373 .datac(\inst|dly_counter [0]),
3374 .datad(\inst|vga_driver_unit|hsync_state_1 ),
3387 .combout(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3392 // synopsys translate_off
3393 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0080";
3394 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal";
3395 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only";
3396 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off";
3397 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac";
3398 defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off";
3399 // synopsys translate_on
3401 // atom is at LC_X77_Y33_N5
3402 stratix_lcell \inst|vga_driver_unit|column_counter_sig_0_ (
3404 // \inst|vga_driver_unit|column_counter_sig_0 = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_0 # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3405 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3407 .clk(\inst1|altpll_component|_clk0 ),
3410 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3411 .datad(\inst|vga_driver_unit|column_counter_sig_0 ),
3414 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3425 .regout(\inst|vga_driver_unit|column_counter_sig_0 ),
3429 // synopsys translate_off
3430 defparam \inst|vga_driver_unit|column_counter_sig_0_ .lut_mask = "0fff";
3431 defparam \inst|vga_driver_unit|column_counter_sig_0_ .operation_mode = "normal";
3432 defparam \inst|vga_driver_unit|column_counter_sig_0_ .output_mode = "reg_only";
3433 defparam \inst|vga_driver_unit|column_counter_sig_0_ .register_cascade_mode = "off";
3434 defparam \inst|vga_driver_unit|column_counter_sig_0_ .sum_lutc_input = "datac";
3435 defparam \inst|vga_driver_unit|column_counter_sig_0_ .synch_mode = "on";
3436 // synopsys translate_on
3438 // atom is at LC_X78_Y33_N5
3439 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_1_ (
3441 // \inst|vga_driver_unit|un2_column_counter_next_combout [1] = \inst|vga_driver_unit|column_counter_sig_0 $ \inst|vga_driver_unit|column_counter_sig_1
3442 // \inst|vga_driver_unit|un2_column_counter_next_cout [1] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 )
3443 // \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 )
3446 .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
3447 .datab(\inst|vga_driver_unit|column_counter_sig_1 ),
3462 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [1]),
3465 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]),
3466 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ));
3467 // synopsys translate_off
3468 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .lut_mask = "6688";
3469 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .operation_mode = "arithmetic";
3470 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .output_mode = "comb_only";
3471 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .register_cascade_mode = "off";
3472 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .sum_lutc_input = "datac";
3473 defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .synch_mode = "off";
3474 // synopsys translate_on
3476 // atom is at LC_X77_Y33_N2
3477 stratix_lcell \inst|vga_driver_unit|column_counter_sig_1_ (
3479 // \inst|vga_driver_unit|column_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [1] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3480 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3482 .clk(\inst1|altpll_component|_clk0 ),
3483 .dataa(\inst|vga_driver_unit|un2_column_counter_next_combout [1]),
3485 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3489 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3500 .regout(\inst|vga_driver_unit|column_counter_sig_1 ),
3504 // synopsys translate_off
3505 defparam \inst|vga_driver_unit|column_counter_sig_1_ .lut_mask = "afaf";
3506 defparam \inst|vga_driver_unit|column_counter_sig_1_ .operation_mode = "normal";
3507 defparam \inst|vga_driver_unit|column_counter_sig_1_ .output_mode = "reg_only";
3508 defparam \inst|vga_driver_unit|column_counter_sig_1_ .register_cascade_mode = "off";
3509 defparam \inst|vga_driver_unit|column_counter_sig_1_ .sum_lutc_input = "datac";
3510 defparam \inst|vga_driver_unit|column_counter_sig_1_ .synch_mode = "on";
3511 // synopsys translate_on
3513 // atom is at LC_X78_Y33_N6
3514 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_3_ (
3516 // \inst|vga_driver_unit|un2_column_counter_next_combout [3] = \inst|vga_driver_unit|column_counter_sig_3 $ (\inst|vga_driver_unit|column_counter_sig_2 & \inst|vga_driver_unit|un2_column_counter_next_cout [1])
3517 // \inst|vga_driver_unit|un2_column_counter_next_cout [3] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [1] # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_3 )
3518 // \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_3 )
3521 .dataa(\inst|vga_driver_unit|column_counter_sig_3 ),
3522 .datab(\inst|vga_driver_unit|column_counter_sig_2 ),
3531 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]),
3532 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ),
3537 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [3]),
3540 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]),
3541 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ));
3542 // synopsys translate_off
3543 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin0_used = "true";
3544 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin1_used = "true";
3545 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .lut_mask = "6a7f";
3546 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .operation_mode = "arithmetic";
3547 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .output_mode = "comb_only";
3548 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .register_cascade_mode = "off";
3549 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .sum_lutc_input = "cin";
3550 defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .synch_mode = "off";
3551 // synopsys translate_on
3553 // atom is at LC_X78_Y33_N2
3554 stratix_lcell \inst|vga_driver_unit|column_counter_sig_3_ (
3556 // \inst|vga_driver_unit|column_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [3] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3557 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3559 .clk(\inst1|altpll_component|_clk0 ),
3560 .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3563 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [3]),
3566 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3577 .regout(\inst|vga_driver_unit|column_counter_sig_3 ),
3581 // synopsys translate_off
3582 defparam \inst|vga_driver_unit|column_counter_sig_3_ .lut_mask = "ff55";
3583 defparam \inst|vga_driver_unit|column_counter_sig_3_ .operation_mode = "normal";
3584 defparam \inst|vga_driver_unit|column_counter_sig_3_ .output_mode = "reg_only";
3585 defparam \inst|vga_driver_unit|column_counter_sig_3_ .register_cascade_mode = "off";
3586 defparam \inst|vga_driver_unit|column_counter_sig_3_ .sum_lutc_input = "datac";
3587 defparam \inst|vga_driver_unit|column_counter_sig_3_ .synch_mode = "on";
3588 // synopsys translate_on
3590 // atom is at LC_X78_Y32_N5
3591 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_0_ (
3593 // \inst|vga_driver_unit|un2_column_counter_next_cout [0] = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 )
3594 // \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 = CARRY(\inst|vga_driver_unit|column_counter_sig_0 & \inst|vga_driver_unit|column_counter_sig_1 )
3597 .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
3598 .datab(\inst|vga_driver_unit|column_counter_sig_1 ),
3613 .combout(\inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ),
3616 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]),
3617 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ));
3618 // synopsys translate_off
3619 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .lut_mask = "ff88";
3620 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .operation_mode = "arithmetic";
3621 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .output_mode = "none";
3622 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .register_cascade_mode = "off";
3623 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .sum_lutc_input = "datac";
3624 defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .synch_mode = "off";
3625 // synopsys translate_on
3627 // atom is at LC_X78_Y32_N6
3628 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_2_ (
3630 // \inst|vga_driver_unit|un2_column_counter_next_combout [2] = \inst|vga_driver_unit|column_counter_sig_2 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [0])
3631 // \inst|vga_driver_unit|un2_column_counter_next_cout [2] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [0] # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 )
3632 // \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_2 )
3635 .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
3636 .datab(\inst|vga_driver_unit|column_counter_sig_3 ),
3645 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]),
3646 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ),
3651 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [2]),
3654 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]),
3655 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ));
3656 // synopsys translate_off
3657 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin0_used = "true";
3658 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin1_used = "true";
3659 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .lut_mask = "5a7f";
3660 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .operation_mode = "arithmetic";
3661 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .output_mode = "comb_only";
3662 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .register_cascade_mode = "off";
3663 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .sum_lutc_input = "cin";
3664 defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .synch_mode = "off";
3665 // synopsys translate_on
3667 // atom is at LC_X77_Y33_N4
3668 stratix_lcell \inst|vga_driver_unit|column_counter_sig_2_ (
3670 // \inst|vga_driver_unit|column_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [2] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3671 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3673 .clk(\inst1|altpll_component|_clk0 ),
3676 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3677 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [2]),
3680 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3691 .regout(\inst|vga_driver_unit|column_counter_sig_2 ),
3695 // synopsys translate_off
3696 defparam \inst|vga_driver_unit|column_counter_sig_2_ .lut_mask = "ff0f";
3697 defparam \inst|vga_driver_unit|column_counter_sig_2_ .operation_mode = "normal";
3698 defparam \inst|vga_driver_unit|column_counter_sig_2_ .output_mode = "reg_only";
3699 defparam \inst|vga_driver_unit|column_counter_sig_2_ .register_cascade_mode = "off";
3700 defparam \inst|vga_driver_unit|column_counter_sig_2_ .sum_lutc_input = "datac";
3701 defparam \inst|vga_driver_unit|column_counter_sig_2_ .synch_mode = "on";
3702 // synopsys translate_on
3704 // atom is at LC_X78_Y32_N7
3705 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_4_ (
3707 // \inst|vga_driver_unit|un2_column_counter_next_combout [4] = \inst|vga_driver_unit|column_counter_sig_4 $ !\inst|vga_driver_unit|un2_column_counter_next_cout [2]
3708 // \inst|vga_driver_unit|un2_column_counter_next_cout [4] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [2])
3709 // \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 )
3712 .dataa(\inst|vga_driver_unit|column_counter_sig_5 ),
3713 .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
3722 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]),
3723 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ),
3728 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [4]),
3731 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]),
3732 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ));
3733 // synopsys translate_off
3734 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin0_used = "true";
3735 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin1_used = "true";
3736 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .lut_mask = "c308";
3737 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .operation_mode = "arithmetic";
3738 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .output_mode = "comb_only";
3739 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .register_cascade_mode = "off";
3740 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .sum_lutc_input = "cin";
3741 defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .synch_mode = "off";
3742 // synopsys translate_on
3744 // atom is at LC_X77_Y33_N8
3745 stratix_lcell \inst|vga_driver_unit|column_counter_sig_4_ (
3747 // \inst|vga_driver_unit|column_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [4] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3748 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3750 .clk(\inst1|altpll_component|_clk0 ),
3753 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3754 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [4]),
3757 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3768 .regout(\inst|vga_driver_unit|column_counter_sig_4 ),
3772 // synopsys translate_off
3773 defparam \inst|vga_driver_unit|column_counter_sig_4_ .lut_mask = "ff0f";
3774 defparam \inst|vga_driver_unit|column_counter_sig_4_ .operation_mode = "normal";
3775 defparam \inst|vga_driver_unit|column_counter_sig_4_ .output_mode = "reg_only";
3776 defparam \inst|vga_driver_unit|column_counter_sig_4_ .register_cascade_mode = "off";
3777 defparam \inst|vga_driver_unit|column_counter_sig_4_ .sum_lutc_input = "datac";
3778 defparam \inst|vga_driver_unit|column_counter_sig_4_ .synch_mode = "on";
3779 // synopsys translate_on
3781 // atom is at LC_X78_Y33_N7
3782 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_5_ (
3784 // \inst|vga_driver_unit|un2_column_counter_next_combout [5] = \inst|vga_driver_unit|column_counter_sig_5 $ (\inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3])
3785 // \inst|vga_driver_unit|un2_column_counter_next_cout [5] = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout [3])
3786 // \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 = CARRY(\inst|vga_driver_unit|column_counter_sig_5 & \inst|vga_driver_unit|column_counter_sig_4 & !\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 )
3789 .dataa(\inst|vga_driver_unit|column_counter_sig_5 ),
3790 .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
3799 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]),
3800 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ),
3805 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [5]),
3808 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]),
3809 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ));
3810 // synopsys translate_off
3811 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin0_used = "true";
3812 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin1_used = "true";
3813 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .lut_mask = "a608";
3814 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .operation_mode = "arithmetic";
3815 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .output_mode = "comb_only";
3816 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .register_cascade_mode = "off";
3817 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .sum_lutc_input = "cin";
3818 defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .synch_mode = "off";
3819 // synopsys translate_on
3821 // atom is at LC_X77_Y33_N9
3822 stratix_lcell \inst|vga_driver_unit|column_counter_sig_5_ (
3824 // \inst|vga_driver_unit|column_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [5] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
3825 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
3827 .clk(\inst1|altpll_component|_clk0 ),
3829 .datab(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3830 .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [5]),
3834 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3845 .regout(\inst|vga_driver_unit|column_counter_sig_5 ),
3849 // synopsys translate_off
3850 defparam \inst|vga_driver_unit|column_counter_sig_5_ .lut_mask = "f3f3";
3851 defparam \inst|vga_driver_unit|column_counter_sig_5_ .operation_mode = "normal";
3852 defparam \inst|vga_driver_unit|column_counter_sig_5_ .output_mode = "reg_only";
3853 defparam \inst|vga_driver_unit|column_counter_sig_5_ .register_cascade_mode = "off";
3854 defparam \inst|vga_driver_unit|column_counter_sig_5_ .sum_lutc_input = "datac";
3855 defparam \inst|vga_driver_unit|column_counter_sig_5_ .synch_mode = "on";
3856 // synopsys translate_on
3858 // atom is at LC_X78_Y32_N8
3859 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_6_ (
3861 // \inst|vga_driver_unit|un2_column_counter_next_combout [6] = \inst|vga_driver_unit|column_counter_sig_6 $ (\inst|vga_driver_unit|un2_column_counter_next_cout [4])
3862 // \inst|vga_driver_unit|un2_column_counter_next_cout [6] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [4] # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 )
3863 // \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 # !\inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|column_counter_sig_6 )
3866 .dataa(\inst|vga_driver_unit|column_counter_sig_6 ),
3867 .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
3876 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]),
3877 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ),
3882 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [6]),
3885 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]),
3886 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ));
3887 // synopsys translate_off
3888 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin0_used = "true";
3889 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin1_used = "true";
3890 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .lut_mask = "5a7f";
3891 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .operation_mode = "arithmetic";
3892 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .output_mode = "comb_only";
3893 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .register_cascade_mode = "off";
3894 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .sum_lutc_input = "cin";
3895 defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .synch_mode = "off";
3896 // synopsys translate_on
3898 // atom is at LC_X78_Y32_N9
3899 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_8_ (
3901 // \inst|vga_driver_unit|un2_column_counter_next_combout [8] = \inst|vga_driver_unit|un2_column_counter_next_cout [6] $ !\inst|vga_driver_unit|column_counter_sig_8
3907 .datad(\inst|vga_driver_unit|column_counter_sig_8 ),
3914 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]),
3915 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ),
3920 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [8]),
3925 // synopsys translate_off
3926 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin0_used = "true";
3927 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin1_used = "true";
3928 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .lut_mask = "f00f";
3929 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .operation_mode = "normal";
3930 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .output_mode = "comb_only";
3931 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .register_cascade_mode = "off";
3932 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .sum_lutc_input = "cin";
3933 defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .synch_mode = "off";
3934 // synopsys translate_on
3936 // atom is at LC_X78_Y32_N2
3937 stratix_lcell \inst|vga_driver_unit|column_counter_sig_8_ (
3939 // \inst|vga_driver_unit|column_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_column_counter_siglto9 & \inst|vga_driver_unit|un2_column_counter_next_combout [8],
3940 // GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
3942 .clk(\inst1|altpll_component|_clk0 ),
3944 .datab(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
3945 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
3946 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [8]),
3960 .regout(\inst|vga_driver_unit|column_counter_sig_8 ),
3964 // synopsys translate_off
3965 defparam \inst|vga_driver_unit|column_counter_sig_8_ .lut_mask = "c000";
3966 defparam \inst|vga_driver_unit|column_counter_sig_8_ .operation_mode = "normal";
3967 defparam \inst|vga_driver_unit|column_counter_sig_8_ .output_mode = "reg_only";
3968 defparam \inst|vga_driver_unit|column_counter_sig_8_ .register_cascade_mode = "off";
3969 defparam \inst|vga_driver_unit|column_counter_sig_8_ .sum_lutc_input = "datac";
3970 defparam \inst|vga_driver_unit|column_counter_sig_8_ .synch_mode = "off";
3971 // synopsys translate_on
3973 // atom is at LC_X77_Y33_N7
3974 stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 (
3976 // \inst|vga_driver_unit|un10_column_counter_siglt6_1 = !\inst|vga_driver_unit|column_counter_sig_1 # !\inst|vga_driver_unit|column_counter_sig_2 # !\inst|vga_driver_unit|column_counter_sig_0
3979 .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
3981 .datac(\inst|vga_driver_unit|column_counter_sig_2 ),
3982 .datad(\inst|vga_driver_unit|column_counter_sig_1 ),
3995 .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
4000 // synopsys translate_off
4001 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .lut_mask = "5fff";
4002 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .operation_mode = "normal";
4003 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .output_mode = "comb_only";
4004 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .register_cascade_mode = "off";
4005 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .sum_lutc_input = "datac";
4006 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .synch_mode = "off";
4007 // synopsys translate_on
4009 // atom is at LC_X77_Y33_N3
4010 stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 (
4012 // \inst|vga_driver_unit|un10_column_counter_siglt6 = \inst|vga_driver_unit|un10_column_counter_siglt6_3 # \inst|vga_driver_unit|un10_column_counter_siglt6_1 # !\inst|vga_driver_unit|column_counter_sig_3 # !\inst|vga_driver_unit|column_counter_sig_4
4015 .dataa(\inst|vga_driver_unit|column_counter_sig_4 ),
4016 .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ),
4017 .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
4018 .datad(\inst|vga_driver_unit|column_counter_sig_3 ),
4031 .combout(\inst|vga_driver_unit|un10_column_counter_siglt6 ),
4036 // synopsys translate_off
4037 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .lut_mask = "fdff";
4038 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .operation_mode = "normal";
4039 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .output_mode = "comb_only";
4040 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .register_cascade_mode = "off";
4041 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .sum_lutc_input = "datac";
4042 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .synch_mode = "off";
4043 // synopsys translate_on
4045 // atom is at LC_X78_Y33_N8
4046 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_7_ (
4048 // \inst|vga_driver_unit|un2_column_counter_next_combout [7] = \inst|vga_driver_unit|column_counter_sig_7 $ (\inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|un2_column_counter_next_cout [5])
4049 // \inst|vga_driver_unit|un2_column_counter_next_cout [7] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [5] # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 )
4050 // \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 # !\inst|vga_driver_unit|column_counter_sig_6 # !\inst|vga_driver_unit|column_counter_sig_7 )
4053 .dataa(\inst|vga_driver_unit|column_counter_sig_7 ),
4054 .datab(\inst|vga_driver_unit|column_counter_sig_6 ),
4063 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]),
4064 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ),
4069 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [7]),
4072 .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]),
4073 .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ));
4074 // synopsys translate_off
4075 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin0_used = "true";
4076 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin1_used = "true";
4077 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .lut_mask = "6a7f";
4078 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .operation_mode = "arithmetic";
4079 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .output_mode = "comb_only";
4080 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .register_cascade_mode = "off";
4081 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .sum_lutc_input = "cin";
4082 defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .synch_mode = "off";
4083 // synopsys translate_on
4085 // atom is at LC_X78_Y33_N9
4086 stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_9_ (
4088 // \inst|vga_driver_unit|un2_column_counter_next_combout [9] = \inst|vga_driver_unit|column_counter_sig_9 $ (\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|un2_column_counter_next_cout [7])
4092 .datab(\inst|vga_driver_unit|column_counter_sig_8 ),
4094 .datad(\inst|vga_driver_unit|column_counter_sig_9 ),
4101 .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]),
4102 .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ),
4107 .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [9]),
4112 // synopsys translate_off
4113 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin0_used = "true";
4114 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin1_used = "true";
4115 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .lut_mask = "f30c";
4116 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .operation_mode = "normal";
4117 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .output_mode = "comb_only";
4118 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .register_cascade_mode = "off";
4119 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .sum_lutc_input = "cin";
4120 defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .synch_mode = "off";
4121 // synopsys translate_on
4123 // atom is at LC_X78_Y33_N0
4124 stratix_lcell \inst|vga_driver_unit|column_counter_sig_9_ (
4126 // \inst|vga_driver_unit|column_counter_sig_9 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [9] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
4127 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
4129 .clk(\inst1|altpll_component|_clk0 ),
4130 .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
4133 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [9]),
4136 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
4147 .regout(\inst|vga_driver_unit|column_counter_sig_9 ),
4151 // synopsys translate_off
4152 defparam \inst|vga_driver_unit|column_counter_sig_9_ .lut_mask = "ff55";
4153 defparam \inst|vga_driver_unit|column_counter_sig_9_ .operation_mode = "normal";
4154 defparam \inst|vga_driver_unit|column_counter_sig_9_ .output_mode = "reg_only";
4155 defparam \inst|vga_driver_unit|column_counter_sig_9_ .register_cascade_mode = "off";
4156 defparam \inst|vga_driver_unit|column_counter_sig_9_ .sum_lutc_input = "datac";
4157 defparam \inst|vga_driver_unit|column_counter_sig_9_ .synch_mode = "on";
4158 // synopsys translate_on
4160 // atom is at LC_X77_Y33_N1
4161 stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 (
4163 // \inst|vga_driver_unit|un10_column_counter_siglto9 = !\inst|vga_driver_unit|column_counter_sig_7 & !\inst|vga_driver_unit|column_counter_sig_8 & \inst|vga_driver_unit|un10_column_counter_siglt6 # !\inst|vga_driver_unit|column_counter_sig_9
4166 .dataa(\inst|vga_driver_unit|column_counter_sig_7 ),
4167 .datab(\inst|vga_driver_unit|column_counter_sig_8 ),
4168 .datac(\inst|vga_driver_unit|un10_column_counter_siglt6 ),
4169 .datad(\inst|vga_driver_unit|column_counter_sig_9 ),
4182 .combout(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
4187 // synopsys translate_off
4188 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .lut_mask = "10ff";
4189 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .operation_mode = "normal";
4190 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .output_mode = "comb_only";
4191 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .register_cascade_mode = "off";
4192 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .sum_lutc_input = "datac";
4193 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .synch_mode = "off";
4194 // synopsys translate_on
4196 // atom is at LC_X78_Y33_N4
4197 stratix_lcell \inst|vga_driver_unit|column_counter_sig_7_ (
4199 // \inst|vga_driver_unit|column_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un10_column_counter_siglto9 & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un2_column_counter_next_combout [7]),
4200 // GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
4202 .clk(\inst1|altpll_component|_clk0 ),
4203 .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
4205 .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
4206 .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [7]),
4220 .regout(\inst|vga_driver_unit|column_counter_sig_7 ),
4224 // synopsys translate_off
4225 defparam \inst|vga_driver_unit|column_counter_sig_7_ .lut_mask = "a000";
4226 defparam \inst|vga_driver_unit|column_counter_sig_7_ .operation_mode = "normal";
4227 defparam \inst|vga_driver_unit|column_counter_sig_7_ .output_mode = "reg_only";
4228 defparam \inst|vga_driver_unit|column_counter_sig_7_ .register_cascade_mode = "off";
4229 defparam \inst|vga_driver_unit|column_counter_sig_7_ .sum_lutc_input = "datac";
4230 defparam \inst|vga_driver_unit|column_counter_sig_7_ .synch_mode = "off";
4231 // synopsys translate_on
4233 // atom is at LC_X77_Y33_N6
4234 stratix_lcell \inst|vga_driver_unit|column_counter_sig_6_ (
4236 // \inst|vga_driver_unit|column_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [6] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
4237 // !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
4239 .clk(\inst1|altpll_component|_clk0 ),
4241 .datab(\inst|vga_driver_unit|un2_column_counter_next_combout [6]),
4242 .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
4246 .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
4257 .regout(\inst|vga_driver_unit|column_counter_sig_6 ),
4261 // synopsys translate_off
4262 defparam \inst|vga_driver_unit|column_counter_sig_6_ .lut_mask = "cfcf";
4263 defparam \inst|vga_driver_unit|column_counter_sig_6_ .operation_mode = "normal";
4264 defparam \inst|vga_driver_unit|column_counter_sig_6_ .output_mode = "reg_only";
4265 defparam \inst|vga_driver_unit|column_counter_sig_6_ .register_cascade_mode = "off";
4266 defparam \inst|vga_driver_unit|column_counter_sig_6_ .sum_lutc_input = "datac";
4267 defparam \inst|vga_driver_unit|column_counter_sig_6_ .synch_mode = "on";
4268 // synopsys translate_on
4270 // atom is at LC_X77_Y33_N0
4271 stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 (
4273 // \inst|vga_driver_unit|un10_column_counter_siglt6_3 = !\inst|vga_driver_unit|column_counter_sig_5 # !\inst|vga_driver_unit|column_counter_sig_6
4277 .datab(\inst|vga_driver_unit|column_counter_sig_6 ),
4279 .datad(\inst|vga_driver_unit|column_counter_sig_5 ),
4292 .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ),
4297 // synopsys translate_off
4298 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .lut_mask = "33ff";
4299 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .operation_mode = "normal";
4300 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .output_mode = "comb_only";
4301 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .register_cascade_mode = "off";
4302 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .sum_lutc_input = "datac";
4303 defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_3 .synch_mode = "off";
4304 // synopsys translate_on
4306 // atom is at LC_X78_Y32_N1
4307 stratix_lcell \inst|vga_control_unit|b_next_i_o3_0_cZ (
4309 // \inst|vga_control_unit|b_next_i_o3_0 = \inst|vga_driver_unit|column_counter_sig_7 # \inst|vga_driver_unit|column_counter_sig_6 & \inst|vga_driver_unit|column_counter_sig_4 & \inst|vga_driver_unit|column_counter_sig_5
4312 .dataa(\inst|vga_driver_unit|column_counter_sig_6 ),
4313 .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
4314 .datac(\inst|vga_driver_unit|column_counter_sig_4 ),
4315 .datad(\inst|vga_driver_unit|column_counter_sig_5 ),
4328 .combout(\inst|vga_control_unit|b_next_i_o3_0 ),
4333 // synopsys translate_off
4334 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .lut_mask = "eccc";
4335 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .operation_mode = "normal";
4336 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .output_mode = "comb_only";
4337 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .register_cascade_mode = "off";
4338 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .sum_lutc_input = "datac";
4339 defparam \inst|vga_control_unit|b_next_i_o3_0_cZ .synch_mode = "off";
4340 // synopsys translate_on
4342 // atom is at LC_X78_Y33_N3
4343 stratix_lcell \inst|vga_control_unit|g_next_i_o3_cZ (
4345 // \inst|vga_control_unit|g_next_i_o3 = \inst|vga_driver_unit|column_counter_sig_4 # \inst|vga_driver_unit|column_counter_sig_3
4349 .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
4351 .datad(\inst|vga_driver_unit|column_counter_sig_3 ),
4364 .combout(\inst|vga_control_unit|g_next_i_o3 ),
4369 // synopsys translate_off
4370 defparam \inst|vga_control_unit|g_next_i_o3_cZ .lut_mask = "ffcc";
4371 defparam \inst|vga_control_unit|g_next_i_o3_cZ .operation_mode = "normal";
4372 defparam \inst|vga_control_unit|g_next_i_o3_cZ .output_mode = "comb_only";
4373 defparam \inst|vga_control_unit|g_next_i_o3_cZ .register_cascade_mode = "off";
4374 defparam \inst|vga_control_unit|g_next_i_o3_cZ .sum_lutc_input = "datac";
4375 defparam \inst|vga_control_unit|g_next_i_o3_cZ .synch_mode = "off";
4376 // synopsys translate_on
4378 // atom is at LC_X56_Y45_N2
4379 stratix_lcell \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ (
4381 // \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|hsync_state_5 & !\inst|vga_driver_unit|hsync_state_4
4385 .datab(\inst|vga_driver_unit|hsync_state_5 ),
4386 .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4387 .datad(\inst|vga_driver_unit|hsync_state_4 ),
4400 .combout(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ),
4405 // synopsys translate_off
4406 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "f0f3";
4407 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal";
4408 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only";
4409 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off";
4410 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac";
4411 defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off";
4412 // synopsys translate_on
4414 // atom is at LC_X56_Y45_N0
4415 stratix_lcell \inst|vga_driver_unit|v_enable_sig_Z (
4417 // \inst|vga_driver_unit|v_enable_sig = DFFEAS(\inst|vga_driver_unit|hsync_state_3 # \inst|vga_driver_unit|hsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 , , ,
4418 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
4420 .clk(\inst1|altpll_component|_clk0 ),
4423 .datac(\inst|vga_driver_unit|hsync_state_3 ),
4424 .datad(\inst|vga_driver_unit|hsync_state_1 ),
4427 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4429 .ena(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ),
4438 .regout(\inst|vga_driver_unit|v_enable_sig ),
4442 // synopsys translate_off
4443 defparam \inst|vga_driver_unit|v_enable_sig_Z .lut_mask = "fff0";
4444 defparam \inst|vga_driver_unit|v_enable_sig_Z .operation_mode = "normal";
4445 defparam \inst|vga_driver_unit|v_enable_sig_Z .output_mode = "reg_only";
4446 defparam \inst|vga_driver_unit|v_enable_sig_Z .register_cascade_mode = "off";
4447 defparam \inst|vga_driver_unit|v_enable_sig_Z .sum_lutc_input = "datac";
4448 defparam \inst|vga_driver_unit|v_enable_sig_Z .synch_mode = "on";
4449 // synopsys translate_on
4451 // atom is at LC_X34_Y34_N6
4452 stratix_lcell \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ (
4454 // \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 = \inst|vga_driver_unit|un6_dly_counter_0_x # !\inst|vga_driver_unit|vsync_state_4 & !\inst|vga_driver_unit|vsync_state_5
4458 .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4459 .datac(\inst|vga_driver_unit|vsync_state_4 ),
4460 .datad(\inst|vga_driver_unit|vsync_state_5 ),
4473 .combout(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ),
4478 // synopsys translate_off
4479 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "cccf";
4480 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal";
4481 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only";
4482 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off";
4483 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac";
4484 defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off";
4485 // synopsys translate_on
4487 // atom is at LC_X52_Y35_N2
4488 stratix_lcell \inst|vga_driver_unit|h_enable_sig_Z (
4490 // \inst|vga_driver_unit|h_enable_sig = DFFEAS(\inst|vga_driver_unit|vsync_state_3 # \inst|vga_driver_unit|vsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 , , ,
4491 // \inst|vga_driver_unit|un6_dly_counter_0_x , )
4493 .clk(\inst1|altpll_component|_clk0 ),
4496 .datac(\inst|vga_driver_unit|vsync_state_3 ),
4497 .datad(\inst|vga_driver_unit|vsync_state_1 ),
4500 .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4502 .ena(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ),
4511 .regout(\inst|vga_driver_unit|h_enable_sig ),
4515 // synopsys translate_off
4516 defparam \inst|vga_driver_unit|h_enable_sig_Z .lut_mask = "fff0";
4517 defparam \inst|vga_driver_unit|h_enable_sig_Z .operation_mode = "normal";
4518 defparam \inst|vga_driver_unit|h_enable_sig_Z .output_mode = "reg_only";
4519 defparam \inst|vga_driver_unit|h_enable_sig_Z .register_cascade_mode = "off";
4520 defparam \inst|vga_driver_unit|h_enable_sig_Z .sum_lutc_input = "datac";
4521 defparam \inst|vga_driver_unit|h_enable_sig_Z .synch_mode = "on";
4522 // synopsys translate_on
4524 // atom is at LC_X56_Y45_N5
4525 stratix_lcell \inst|vga_control_unit|r_next_i_o7_cZ (
4527 // \inst|vga_control_unit|r_next_i_o7 = \inst|vga_driver_unit|column_counter_sig_9 # !\inst|vga_driver_unit|h_enable_sig # !\inst|vga_driver_unit|v_enable_sig
4531 .datab(\inst|vga_driver_unit|v_enable_sig ),
4532 .datac(\inst|vga_driver_unit|h_enable_sig ),
4533 .datad(\inst|vga_driver_unit|column_counter_sig_9 ),
4546 .combout(\inst|vga_control_unit|r_next_i_o7 ),
4551 // synopsys translate_off
4552 defparam \inst|vga_control_unit|r_next_i_o7_cZ .lut_mask = "ff3f";
4553 defparam \inst|vga_control_unit|r_next_i_o7_cZ .operation_mode = "normal";
4554 defparam \inst|vga_control_unit|r_next_i_o7_cZ .output_mode = "comb_only";
4555 defparam \inst|vga_control_unit|r_next_i_o7_cZ .register_cascade_mode = "off";
4556 defparam \inst|vga_control_unit|r_next_i_o7_cZ .sum_lutc_input = "datac";
4557 defparam \inst|vga_control_unit|r_next_i_o7_cZ .synch_mode = "off";
4558 // synopsys translate_on
4560 // atom is at LC_X78_Y32_N3
4561 stratix_lcell \inst|vga_control_unit|N_4_i_0_g0_1_cZ (
4563 // \inst|vga_control_unit|N_4_i_0_g0_1 = !\inst|vga_control_unit|r_next_i_o7 & (\inst|vga_driver_unit|column_counter_sig_8 # \inst|vga_control_unit|g_next_i_o3 & \inst|vga_driver_unit|column_counter_sig_7 )
4566 .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
4567 .datab(\inst|vga_control_unit|g_next_i_o3 ),
4568 .datac(\inst|vga_control_unit|r_next_i_o7 ),
4569 .datad(\inst|vga_driver_unit|column_counter_sig_7 ),
4582 .combout(\inst|vga_control_unit|N_4_i_0_g0_1 ),
4587 // synopsys translate_off
4588 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .lut_mask = "0e0a";
4589 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .operation_mode = "normal";
4590 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .output_mode = "comb_only";
4591 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .register_cascade_mode = "off";
4592 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .sum_lutc_input = "datac";
4593 defparam \inst|vga_control_unit|N_4_i_0_g0_1_cZ .synch_mode = "off";
4594 // synopsys translate_on
4596 // atom is at LC_X78_Y32_N0
4597 stratix_lcell \inst|vga_control_unit|r_Z (
4599 // \inst|vga_control_unit|r = DFFEAS(\inst|vga_control_unit|N_4_i_0_g0_1 & (\inst|vga_driver_unit|column_counter_sig_8 & (!\inst|vga_control_unit|b_next_i_o3_0 ) # !\inst|vga_driver_unit|column_counter_sig_8 &
4600 // !\inst|vga_driver_unit|un10_column_counter_siglt6_3 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , )
4602 .clk(\inst1|altpll_component|_clk0 ),
4603 .dataa(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ),
4604 .datab(\inst|vga_control_unit|b_next_i_o3_0 ),
4605 .datac(\inst|vga_control_unit|N_4_i_0_g0_1 ),
4606 .datad(\inst|vga_driver_unit|column_counter_sig_8 ),
4607 .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4620 .regout(\inst|vga_control_unit|r ),
4624 // synopsys translate_off
4625 defparam \inst|vga_control_unit|r_Z .lut_mask = "3050";
4626 defparam \inst|vga_control_unit|r_Z .operation_mode = "normal";
4627 defparam \inst|vga_control_unit|r_Z .output_mode = "reg_only";
4628 defparam \inst|vga_control_unit|r_Z .register_cascade_mode = "off";
4629 defparam \inst|vga_control_unit|r_Z .sum_lutc_input = "datac";
4630 defparam \inst|vga_control_unit|r_Z .synch_mode = "off";
4631 // synopsys translate_on
4633 // atom is at LC_X76_Y33_N5
4634 stratix_lcell \inst|vga_control_unit|N_23_i_0_g0_a_cZ (
4636 // \inst|vga_control_unit|N_23_i_0_g0_a = \inst|vga_driver_unit|column_counter_sig_5 & (\inst|vga_driver_unit|column_counter_sig_6 & (!\inst|vga_control_unit|g_next_i_o3 ) # !\inst|vga_driver_unit|column_counter_sig_6 &
4637 // (\inst|vga_control_unit|g_next_i_o3 # !\inst|vga_driver_unit|un10_column_counter_siglt6_1 )) # !\inst|vga_driver_unit|column_counter_sig_5 & (\inst|vga_driver_unit|column_counter_sig_6 )
4640 .dataa(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
4641 .datab(\inst|vga_driver_unit|column_counter_sig_5 ),
4642 .datac(\inst|vga_driver_unit|column_counter_sig_6 ),
4643 .datad(\inst|vga_control_unit|g_next_i_o3 ),
4656 .combout(\inst|vga_control_unit|N_23_i_0_g0_a ),
4661 // synopsys translate_off
4662 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .lut_mask = "3cf4";
4663 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .operation_mode = "normal";
4664 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .output_mode = "comb_only";
4665 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .register_cascade_mode = "off";
4666 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .sum_lutc_input = "datac";
4667 defparam \inst|vga_control_unit|N_23_i_0_g0_a_cZ .synch_mode = "off";
4668 // synopsys translate_on
4670 // atom is at LC_X76_Y33_N2
4671 stratix_lcell \inst|vga_control_unit|g_Z (
4673 // \inst|vga_control_unit|g = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_control_unit|r_next_i_o7 & \inst|vga_control_unit|N_23_i_0_g0_a & \inst|vga_driver_unit|column_counter_sig_7 , GLOBAL(\inst1|altpll_component|_clk0 ),
4674 // !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , )
4676 .clk(\inst1|altpll_component|_clk0 ),
4677 .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
4678 .datab(\inst|vga_control_unit|r_next_i_o7 ),
4679 .datac(\inst|vga_control_unit|N_23_i_0_g0_a ),
4680 .datad(\inst|vga_driver_unit|column_counter_sig_7 ),
4681 .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4694 .regout(\inst|vga_control_unit|g ),
4698 // synopsys translate_off
4699 defparam \inst|vga_control_unit|g_Z .lut_mask = "1000";
4700 defparam \inst|vga_control_unit|g_Z .operation_mode = "normal";
4701 defparam \inst|vga_control_unit|g_Z .output_mode = "reg_only";
4702 defparam \inst|vga_control_unit|g_Z .register_cascade_mode = "off";
4703 defparam \inst|vga_control_unit|g_Z .sum_lutc_input = "datac";
4704 defparam \inst|vga_control_unit|g_Z .synch_mode = "off";
4705 // synopsys translate_on
4707 // atom is at LC_X76_Y33_N4
4708 stratix_lcell \inst|vga_control_unit|N_6_i_0_g0_0_cZ (
4710 // \inst|vga_control_unit|N_6_i_0_g0_0 = !\inst|vga_control_unit|r_next_i_o7 & (\inst|vga_driver_unit|column_counter_sig_8 # \inst|vga_driver_unit|column_counter_sig_7 # !\inst|vga_driver_unit|un10_column_counter_siglt6_3 )
4713 .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
4714 .datab(\inst|vga_control_unit|r_next_i_o7 ),
4715 .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_3 ),
4716 .datad(\inst|vga_driver_unit|column_counter_sig_7 ),
4729 .combout(\inst|vga_control_unit|N_6_i_0_g0_0 ),
4734 // synopsys translate_off
4735 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .lut_mask = "3323";
4736 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .operation_mode = "normal";
4737 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .output_mode = "comb_only";
4738 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .register_cascade_mode = "off";
4739 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .sum_lutc_input = "datac";
4740 defparam \inst|vga_control_unit|N_6_i_0_g0_0_cZ .synch_mode = "off";
4741 // synopsys translate_on
4743 // atom is at LC_X78_Y33_N1
4744 stratix_lcell \inst|vga_control_unit|b_next_i_a7_1_cZ (
4746 // \inst|vga_control_unit|b_next_i_a7_1 = !\inst|vga_control_unit|g_next_i_o3 & !\inst|vga_driver_unit|column_counter_sig_2 & !\inst|vga_driver_unit|column_counter_sig_8 & !\inst|vga_driver_unit|column_counter_sig_7
4749 .dataa(\inst|vga_control_unit|g_next_i_o3 ),
4750 .datab(\inst|vga_driver_unit|column_counter_sig_2 ),
4751 .datac(\inst|vga_driver_unit|column_counter_sig_8 ),
4752 .datad(\inst|vga_driver_unit|column_counter_sig_7 ),
4765 .combout(\inst|vga_control_unit|b_next_i_a7_1 ),
4770 // synopsys translate_off
4771 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .lut_mask = "0001";
4772 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .operation_mode = "normal";
4773 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .output_mode = "comb_only";
4774 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .register_cascade_mode = "off";
4775 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .sum_lutc_input = "datac";
4776 defparam \inst|vga_control_unit|b_next_i_a7_1_cZ .synch_mode = "off";
4777 // synopsys translate_on
4779 // atom is at LC_X78_Y32_N4
4780 stratix_lcell \inst|vga_control_unit|b_Z (
4782 // \inst|vga_control_unit|b = DFFEAS(\inst|vga_control_unit|N_6_i_0_g0_0 & !\inst|vga_control_unit|b_next_i_a7_1 & (!\inst|vga_control_unit|b_next_i_o3_0 # !\inst|vga_driver_unit|column_counter_sig_8 ), GLOBAL(\inst1|altpll_component|_clk0 ),
4783 // !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , )
4785 .clk(\inst1|altpll_component|_clk0 ),
4786 .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
4787 .datab(\inst|vga_control_unit|b_next_i_o3_0 ),
4788 .datac(\inst|vga_control_unit|N_6_i_0_g0_0 ),
4789 .datad(\inst|vga_control_unit|b_next_i_a7_1 ),
4790 .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
4803 .regout(\inst|vga_control_unit|b ),
4807 // synopsys translate_off
4808 defparam \inst|vga_control_unit|b_Z .lut_mask = "0070";
4809 defparam \inst|vga_control_unit|b_Z .operation_mode = "normal";
4810 defparam \inst|vga_control_unit|b_Z .output_mode = "reg_only";
4811 defparam \inst|vga_control_unit|b_Z .register_cascade_mode = "off";
4812 defparam \inst|vga_control_unit|b_Z .sum_lutc_input = "datac";
4813 defparam \inst|vga_control_unit|b_Z .synch_mode = "off";
4814 // synopsys translate_on
4816 // atom is at LC_X54_Y31_N5
4817 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_1_ (
4819 // \inst|vga_driver_unit|un1_line_counter_sig_combout [1] = \inst|vga_driver_unit|d_set_hsync_counter $ \inst|vga_driver_unit|line_counter_sig_0
4820 // \inst|vga_driver_unit|un1_line_counter_sig_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 )
4821 // \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 )
4824 .dataa(\inst|vga_driver_unit|d_set_hsync_counter ),
4825 .datab(\inst|vga_driver_unit|line_counter_sig_0 ),
4840 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]),
4843 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]),
4844 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ));
4845 // synopsys translate_off
4846 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .lut_mask = "6688";
4847 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .operation_mode = "arithmetic";
4848 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .output_mode = "comb_only";
4849 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .register_cascade_mode = "off";
4850 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .sum_lutc_input = "datac";
4851 defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .synch_mode = "off";
4852 // synopsys translate_on
4854 // atom is at LC_X36_Y33_N6
4855 stratix_lcell \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ (
4857 // \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 = \reset~combout & !\inst|vga_driver_unit|vsync_state_1 & \inst|dly_counter [0] & \inst|dly_counter [1]
4860 .dataa(\reset~combout ),
4861 .datab(\inst|vga_driver_unit|vsync_state_1 ),
4862 .datac(\inst|dly_counter [0]),
4863 .datad(\inst|dly_counter [1]),
4876 .combout(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
4881 // synopsys translate_off
4882 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "2000";
4883 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal";
4884 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only";
4885 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off";
4886 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac";
4887 defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off";
4888 // synopsys translate_on
4890 // atom is at LC_X54_Y32_N6
4891 stratix_lcell \inst|vga_driver_unit|line_counter_sig_0_ (
4893 // \inst|vga_driver_unit|line_counter_sig_0 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [1] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
4894 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
4896 .clk(\inst1|altpll_component|_clk0 ),
4897 .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]),
4899 .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
4903 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
4914 .regout(\inst|vga_driver_unit|line_counter_sig_0 ),
4918 // synopsys translate_off
4919 defparam \inst|vga_driver_unit|line_counter_sig_0_ .lut_mask = "afaf";
4920 defparam \inst|vga_driver_unit|line_counter_sig_0_ .operation_mode = "normal";
4921 defparam \inst|vga_driver_unit|line_counter_sig_0_ .output_mode = "reg_only";
4922 defparam \inst|vga_driver_unit|line_counter_sig_0_ .register_cascade_mode = "off";
4923 defparam \inst|vga_driver_unit|line_counter_sig_0_ .sum_lutc_input = "datac";
4924 defparam \inst|vga_driver_unit|line_counter_sig_0_ .synch_mode = "on";
4925 // synopsys translate_on
4927 // atom is at LC_X54_Y31_N6
4928 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_3_ (
4930 // \inst|vga_driver_unit|un1_line_counter_sig_combout [3] = \inst|vga_driver_unit|line_counter_sig_2 $ (\inst|vga_driver_unit|line_counter_sig_1 & \inst|vga_driver_unit|un1_line_counter_sig_cout [1])
4931 // \inst|vga_driver_unit|un1_line_counter_sig_cout [3] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 )
4932 // \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 )
4935 .dataa(\inst|vga_driver_unit|line_counter_sig_1 ),
4936 .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
4945 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]),
4946 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ),
4951 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]),
4954 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]),
4955 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ));
4956 // synopsys translate_off
4957 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin0_used = "true";
4958 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin1_used = "true";
4959 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .lut_mask = "6c7f";
4960 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .operation_mode = "arithmetic";
4961 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .output_mode = "comb_only";
4962 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .register_cascade_mode = "off";
4963 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .sum_lutc_input = "cin";
4964 defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .synch_mode = "off";
4965 // synopsys translate_on
4967 // atom is at LC_X55_Y31_N2
4968 stratix_lcell \inst|vga_driver_unit|line_counter_sig_2_ (
4970 // \inst|vga_driver_unit|line_counter_sig_2 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [3] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
4971 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
4973 .clk(\inst1|altpll_component|_clk0 ),
4975 .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]),
4977 .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
4980 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
4991 .regout(\inst|vga_driver_unit|line_counter_sig_2 ),
4995 // synopsys translate_off
4996 defparam \inst|vga_driver_unit|line_counter_sig_2_ .lut_mask = "ccff";
4997 defparam \inst|vga_driver_unit|line_counter_sig_2_ .operation_mode = "normal";
4998 defparam \inst|vga_driver_unit|line_counter_sig_2_ .output_mode = "reg_only";
4999 defparam \inst|vga_driver_unit|line_counter_sig_2_ .register_cascade_mode = "off";
5000 defparam \inst|vga_driver_unit|line_counter_sig_2_ .sum_lutc_input = "datac";
5001 defparam \inst|vga_driver_unit|line_counter_sig_2_ .synch_mode = "on";
5002 // synopsys translate_on
5004 // atom is at LC_X54_Y32_N0
5005 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_a_1_ (
5007 // \inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 )
5008 // \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 = CARRY(\inst|vga_driver_unit|d_set_hsync_counter & \inst|vga_driver_unit|line_counter_sig_0 )
5011 .dataa(\inst|vga_driver_unit|d_set_hsync_counter ),
5012 .datab(\inst|vga_driver_unit|line_counter_sig_0 ),
5027 .combout(\inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ),
5030 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]),
5031 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ));
5032 // synopsys translate_off
5033 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .lut_mask = "ff88";
5034 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .operation_mode = "arithmetic";
5035 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .output_mode = "none";
5036 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .register_cascade_mode = "off";
5037 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .sum_lutc_input = "datac";
5038 defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .synch_mode = "off";
5039 // synopsys translate_on
5041 // atom is at LC_X54_Y32_N1
5042 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_2_ (
5044 // \inst|vga_driver_unit|un1_line_counter_sig_combout [2] = \inst|vga_driver_unit|line_counter_sig_1 $ (\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1])
5045 // \inst|vga_driver_unit|un1_line_counter_sig_cout [2] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 )
5046 // \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 )
5049 .dataa(\inst|vga_driver_unit|line_counter_sig_1 ),
5050 .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
5059 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]),
5060 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ),
5065 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]),
5068 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]),
5069 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ));
5070 // synopsys translate_off
5071 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin0_used = "true";
5072 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin1_used = "true";
5073 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .lut_mask = "5a7f";
5074 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .operation_mode = "arithmetic";
5075 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .output_mode = "comb_only";
5076 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .register_cascade_mode = "off";
5077 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .sum_lutc_input = "cin";
5078 defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .synch_mode = "off";
5079 // synopsys translate_on
5081 // atom is at LC_X54_Y32_N7
5082 stratix_lcell \inst|vga_driver_unit|line_counter_sig_1_ (
5084 // \inst|vga_driver_unit|line_counter_sig_1 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [2] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5085 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5087 .clk(\inst1|altpll_component|_clk0 ),
5089 .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]),
5090 .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5094 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5105 .regout(\inst|vga_driver_unit|line_counter_sig_1 ),
5109 // synopsys translate_off
5110 defparam \inst|vga_driver_unit|line_counter_sig_1_ .lut_mask = "cfcf";
5111 defparam \inst|vga_driver_unit|line_counter_sig_1_ .operation_mode = "normal";
5112 defparam \inst|vga_driver_unit|line_counter_sig_1_ .output_mode = "reg_only";
5113 defparam \inst|vga_driver_unit|line_counter_sig_1_ .register_cascade_mode = "off";
5114 defparam \inst|vga_driver_unit|line_counter_sig_1_ .sum_lutc_input = "datac";
5115 defparam \inst|vga_driver_unit|line_counter_sig_1_ .synch_mode = "on";
5116 // synopsys translate_on
5118 // atom is at LC_X54_Y32_N2
5119 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_4_ (
5121 // \inst|vga_driver_unit|un1_line_counter_sig_combout [4] = \inst|vga_driver_unit|line_counter_sig_3 $ !\inst|vga_driver_unit|un1_line_counter_sig_cout [2]
5122 // \inst|vga_driver_unit|un1_line_counter_sig_cout [4] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [2])
5123 // \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 )
5126 .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
5127 .datab(\inst|vga_driver_unit|line_counter_sig_3 ),
5136 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]),
5137 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ),
5142 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]),
5145 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]),
5146 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ));
5147 // synopsys translate_off
5148 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin0_used = "true";
5149 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin1_used = "true";
5150 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .lut_mask = "c308";
5151 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .operation_mode = "arithmetic";
5152 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .output_mode = "comb_only";
5153 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .register_cascade_mode = "off";
5154 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .sum_lutc_input = "cin";
5155 defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .synch_mode = "off";
5156 // synopsys translate_on
5158 // atom is at LC_X54_Y32_N5
5159 stratix_lcell \inst|vga_driver_unit|line_counter_sig_3_ (
5161 // \inst|vga_driver_unit|line_counter_sig_3 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [4] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5162 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5164 .clk(\inst1|altpll_component|_clk0 ),
5167 .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5168 .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]),
5171 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5182 .regout(\inst|vga_driver_unit|line_counter_sig_3 ),
5186 // synopsys translate_off
5187 defparam \inst|vga_driver_unit|line_counter_sig_3_ .lut_mask = "ff0f";
5188 defparam \inst|vga_driver_unit|line_counter_sig_3_ .operation_mode = "normal";
5189 defparam \inst|vga_driver_unit|line_counter_sig_3_ .output_mode = "reg_only";
5190 defparam \inst|vga_driver_unit|line_counter_sig_3_ .register_cascade_mode = "off";
5191 defparam \inst|vga_driver_unit|line_counter_sig_3_ .sum_lutc_input = "datac";
5192 defparam \inst|vga_driver_unit|line_counter_sig_3_ .synch_mode = "on";
5193 // synopsys translate_on
5195 // atom is at LC_X54_Y31_N7
5196 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_5_ (
5198 // \inst|vga_driver_unit|un1_line_counter_sig_combout [5] = \inst|vga_driver_unit|line_counter_sig_4 $ (\inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3])
5199 // \inst|vga_driver_unit|un1_line_counter_sig_cout [5] = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3])
5200 // \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 = CARRY(\inst|vga_driver_unit|line_counter_sig_4 & \inst|vga_driver_unit|line_counter_sig_3 & !\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 )
5203 .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
5204 .datab(\inst|vga_driver_unit|line_counter_sig_3 ),
5213 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]),
5214 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ),
5219 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]),
5222 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]),
5223 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ));
5224 // synopsys translate_off
5225 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin0_used = "true";
5226 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin1_used = "true";
5227 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .lut_mask = "a608";
5228 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .operation_mode = "arithmetic";
5229 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .output_mode = "comb_only";
5230 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .register_cascade_mode = "off";
5231 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .sum_lutc_input = "cin";
5232 defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .synch_mode = "off";
5233 // synopsys translate_on
5235 // atom is at LC_X54_Y31_N4
5236 stratix_lcell \inst|vga_driver_unit|line_counter_sig_4_ (
5238 // \inst|vga_driver_unit|line_counter_sig_4 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [5] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5239 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5241 .clk(\inst1|altpll_component|_clk0 ),
5244 .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]),
5245 .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5248 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5259 .regout(\inst|vga_driver_unit|line_counter_sig_4 ),
5263 // synopsys translate_off
5264 defparam \inst|vga_driver_unit|line_counter_sig_4_ .lut_mask = "f0ff";
5265 defparam \inst|vga_driver_unit|line_counter_sig_4_ .operation_mode = "normal";
5266 defparam \inst|vga_driver_unit|line_counter_sig_4_ .output_mode = "reg_only";
5267 defparam \inst|vga_driver_unit|line_counter_sig_4_ .register_cascade_mode = "off";
5268 defparam \inst|vga_driver_unit|line_counter_sig_4_ .sum_lutc_input = "datac";
5269 defparam \inst|vga_driver_unit|line_counter_sig_4_ .synch_mode = "on";
5270 // synopsys translate_on
5272 // atom is at LC_X54_Y32_N3
5273 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_6_ (
5275 // \inst|vga_driver_unit|un1_line_counter_sig_combout [6] = \inst|vga_driver_unit|line_counter_sig_5 $ (\inst|vga_driver_unit|un1_line_counter_sig_cout [4])
5276 // \inst|vga_driver_unit|un1_line_counter_sig_cout [6] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [4] # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 )
5277 // \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 # !\inst|vga_driver_unit|line_counter_sig_6 # !\inst|vga_driver_unit|line_counter_sig_5 )
5280 .dataa(\inst|vga_driver_unit|line_counter_sig_5 ),
5281 .datab(\inst|vga_driver_unit|line_counter_sig_6 ),
5290 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]),
5291 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ),
5296 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]),
5299 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]),
5300 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ));
5301 // synopsys translate_off
5302 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin0_used = "true";
5303 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin1_used = "true";
5304 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .lut_mask = "5a7f";
5305 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .operation_mode = "arithmetic";
5306 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .output_mode = "comb_only";
5307 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .register_cascade_mode = "off";
5308 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .sum_lutc_input = "cin";
5309 defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .synch_mode = "off";
5310 // synopsys translate_on
5312 // atom is at LC_X54_Y32_N8
5313 stratix_lcell \inst|vga_driver_unit|line_counter_sig_5_ (
5315 // \inst|vga_driver_unit|line_counter_sig_5 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [6] & \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 & \inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ),
5318 .clk(\inst1|altpll_component|_clk0 ),
5319 .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]),
5320 .datab(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5321 .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5336 .regout(\inst|vga_driver_unit|line_counter_sig_5 ),
5340 // synopsys translate_off
5341 defparam \inst|vga_driver_unit|line_counter_sig_5_ .lut_mask = "8080";
5342 defparam \inst|vga_driver_unit|line_counter_sig_5_ .operation_mode = "normal";
5343 defparam \inst|vga_driver_unit|line_counter_sig_5_ .output_mode = "reg_only";
5344 defparam \inst|vga_driver_unit|line_counter_sig_5_ .register_cascade_mode = "off";
5345 defparam \inst|vga_driver_unit|line_counter_sig_5_ .sum_lutc_input = "datac";
5346 defparam \inst|vga_driver_unit|line_counter_sig_5_ .synch_mode = "off";
5347 // synopsys translate_on
5349 // atom is at LC_X54_Y31_N8
5350 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_7_ (
5352 // \inst|vga_driver_unit|un1_line_counter_sig_combout [7] = \inst|vga_driver_unit|line_counter_sig_6 $ (\inst|vga_driver_unit|line_counter_sig_5 & \inst|vga_driver_unit|un1_line_counter_sig_cout [5])
5353 // \inst|vga_driver_unit|un1_line_counter_sig_cout [7] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [5] # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 )
5354 // \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 # !\inst|vga_driver_unit|line_counter_sig_5 # !\inst|vga_driver_unit|line_counter_sig_6 )
5357 .dataa(\inst|vga_driver_unit|line_counter_sig_6 ),
5358 .datab(\inst|vga_driver_unit|line_counter_sig_5 ),
5367 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]),
5368 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ),
5373 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]),
5376 .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]),
5377 .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ));
5378 // synopsys translate_off
5379 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin0_used = "true";
5380 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin1_used = "true";
5381 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .lut_mask = "6a7f";
5382 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .operation_mode = "arithmetic";
5383 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .output_mode = "comb_only";
5384 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .register_cascade_mode = "off";
5385 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .sum_lutc_input = "cin";
5386 defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .synch_mode = "off";
5387 // synopsys translate_on
5389 // atom is at LC_X55_Y31_N4
5390 stratix_lcell \inst|vga_driver_unit|line_counter_sig_6_ (
5392 // \inst|vga_driver_unit|line_counter_sig_6 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [7] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5393 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5395 .clk(\inst1|altpll_component|_clk0 ),
5397 .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]),
5399 .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5402 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5413 .regout(\inst|vga_driver_unit|line_counter_sig_6 ),
5417 // synopsys translate_off
5418 defparam \inst|vga_driver_unit|line_counter_sig_6_ .lut_mask = "ccff";
5419 defparam \inst|vga_driver_unit|line_counter_sig_6_ .operation_mode = "normal";
5420 defparam \inst|vga_driver_unit|line_counter_sig_6_ .output_mode = "reg_only";
5421 defparam \inst|vga_driver_unit|line_counter_sig_6_ .register_cascade_mode = "off";
5422 defparam \inst|vga_driver_unit|line_counter_sig_6_ .sum_lutc_input = "datac";
5423 defparam \inst|vga_driver_unit|line_counter_sig_6_ .synch_mode = "on";
5424 // synopsys translate_on
5426 // atom is at LC_X54_Y31_N1
5427 stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 (
5429 // \inst|vga_driver_unit|un10_line_counter_siglt4_2 = !\inst|vga_driver_unit|line_counter_sig_3 # !\inst|vga_driver_unit|line_counter_sig_0 # !\inst|vga_driver_unit|line_counter_sig_4
5432 .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
5433 .datab(\inst|vga_driver_unit|line_counter_sig_0 ),
5434 .datac(\inst|vga_driver_unit|line_counter_sig_3 ),
5448 .combout(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ),
5453 // synopsys translate_off
5454 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .lut_mask = "7f7f";
5455 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .operation_mode = "normal";
5456 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .output_mode = "comb_only";
5457 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .register_cascade_mode = "off";
5458 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .sum_lutc_input = "datac";
5459 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .synch_mode = "off";
5460 // synopsys translate_on
5462 // atom is at LC_X54_Y31_N3
5463 stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 (
5465 // \inst|vga_driver_unit|un10_line_counter_siglto5 = !\inst|vga_driver_unit|line_counter_sig_5 & (\inst|vga_driver_unit|un10_line_counter_siglt4_2 # !\inst|vga_driver_unit|line_counter_sig_2 # !\inst|vga_driver_unit|line_counter_sig_1 )
5468 .dataa(\inst|vga_driver_unit|line_counter_sig_1 ),
5469 .datab(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ),
5470 .datac(\inst|vga_driver_unit|line_counter_sig_5 ),
5471 .datad(\inst|vga_driver_unit|line_counter_sig_2 ),
5484 .combout(\inst|vga_driver_unit|un10_line_counter_siglto5 ),
5489 // synopsys translate_off
5490 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .lut_mask = "0d0f";
5491 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .operation_mode = "normal";
5492 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .output_mode = "comb_only";
5493 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .register_cascade_mode = "off";
5494 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .sum_lutc_input = "datac";
5495 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .synch_mode = "off";
5496 // synopsys translate_on
5498 // atom is at LC_X54_Y31_N2
5499 stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 (
5501 // \inst|vga_driver_unit|un10_line_counter_siglto8 = \inst|vga_driver_unit|un10_line_counter_siglto5 # !\inst|vga_driver_unit|line_counter_sig_7 # !\inst|vga_driver_unit|line_counter_sig_8 # !\inst|vga_driver_unit|line_counter_sig_6
5504 .dataa(\inst|vga_driver_unit|line_counter_sig_6 ),
5505 .datab(\inst|vga_driver_unit|line_counter_sig_8 ),
5506 .datac(\inst|vga_driver_unit|un10_line_counter_siglto5 ),
5507 .datad(\inst|vga_driver_unit|line_counter_sig_7 ),
5520 .combout(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5525 // synopsys translate_off
5526 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .lut_mask = "f7ff";
5527 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .operation_mode = "normal";
5528 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .output_mode = "comb_only";
5529 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .register_cascade_mode = "off";
5530 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .sum_lutc_input = "datac";
5531 defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .synch_mode = "off";
5532 // synopsys translate_on
5534 // atom is at LC_X54_Y32_N4
5535 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_8_ (
5537 // \inst|vga_driver_unit|un1_line_counter_sig_combout [8] = \inst|vga_driver_unit|un1_line_counter_sig_cout [6] $ !\inst|vga_driver_unit|line_counter_sig_7
5543 .datad(\inst|vga_driver_unit|line_counter_sig_7 ),
5550 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]),
5551 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ),
5556 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]),
5561 // synopsys translate_off
5562 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin0_used = "true";
5563 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin1_used = "true";
5564 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .lut_mask = "f00f";
5565 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .operation_mode = "normal";
5566 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .output_mode = "comb_only";
5567 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .register_cascade_mode = "off";
5568 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .sum_lutc_input = "cin";
5569 defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .synch_mode = "off";
5570 // synopsys translate_on
5572 // atom is at LC_X54_Y32_N9
5573 stratix_lcell \inst|vga_driver_unit|line_counter_sig_7_ (
5575 // \inst|vga_driver_unit|line_counter_sig_7 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [8] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5576 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5578 .clk(\inst1|altpll_component|_clk0 ),
5581 .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5582 .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]),
5585 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5596 .regout(\inst|vga_driver_unit|line_counter_sig_7 ),
5600 // synopsys translate_off
5601 defparam \inst|vga_driver_unit|line_counter_sig_7_ .lut_mask = "ff0f";
5602 defparam \inst|vga_driver_unit|line_counter_sig_7_ .operation_mode = "normal";
5603 defparam \inst|vga_driver_unit|line_counter_sig_7_ .output_mode = "reg_only";
5604 defparam \inst|vga_driver_unit|line_counter_sig_7_ .register_cascade_mode = "off";
5605 defparam \inst|vga_driver_unit|line_counter_sig_7_ .sum_lutc_input = "datac";
5606 defparam \inst|vga_driver_unit|line_counter_sig_7_ .synch_mode = "on";
5607 // synopsys translate_on
5609 // atom is at LC_X54_Y31_N9
5610 stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_9_ (
5612 // \inst|vga_driver_unit|un1_line_counter_sig_combout [9] = \inst|vga_driver_unit|line_counter_sig_8 $ (!\inst|vga_driver_unit|un1_line_counter_sig_cout [7] & \inst|vga_driver_unit|line_counter_sig_7 )
5616 .datab(\inst|vga_driver_unit|line_counter_sig_8 ),
5618 .datad(\inst|vga_driver_unit|line_counter_sig_7 ),
5625 .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]),
5626 .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ),
5631 .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]),
5636 // synopsys translate_off
5637 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin0_used = "true";
5638 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin1_used = "true";
5639 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .lut_mask = "c3cc";
5640 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .operation_mode = "normal";
5641 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .output_mode = "comb_only";
5642 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .register_cascade_mode = "off";
5643 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .sum_lutc_input = "cin";
5644 defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .synch_mode = "off";
5645 // synopsys translate_on
5647 // atom is at LC_X54_Y31_N0
5648 stratix_lcell \inst|vga_driver_unit|line_counter_sig_8_ (
5650 // \inst|vga_driver_unit|line_counter_sig_8 = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [9] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , ,
5651 // !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
5653 .clk(\inst1|altpll_component|_clk0 ),
5655 .datab(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]),
5657 .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
5660 .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
5671 .regout(\inst|vga_driver_unit|line_counter_sig_8 ),
5675 // synopsys translate_off
5676 defparam \inst|vga_driver_unit|line_counter_sig_8_ .lut_mask = "ccff";
5677 defparam \inst|vga_driver_unit|line_counter_sig_8_ .operation_mode = "normal";
5678 defparam \inst|vga_driver_unit|line_counter_sig_8_ .output_mode = "reg_only";
5679 defparam \inst|vga_driver_unit|line_counter_sig_8_ .register_cascade_mode = "off";
5680 defparam \inst|vga_driver_unit|line_counter_sig_8_ .sum_lutc_input = "datac";
5681 defparam \inst|vga_driver_unit|line_counter_sig_8_ .synch_mode = "on";
5682 // synopsys translate_on
5684 // atom is at LC_X41_Y19_N2
5685 stratix_lcell \~STRATIX_FITTER_CREATED_GND~I (
5687 // \~STRATIX_FITTER_CREATED_GND~I_combout = GND
5706 .combout(\~STRATIX_FITTER_CREATED_GND~I_combout ),
5711 // synopsys translate_off
5712 defparam \~STRATIX_FITTER_CREATED_GND~I .lut_mask = "0000";
5713 defparam \~STRATIX_FITTER_CREATED_GND~I .operation_mode = "normal";
5714 defparam \~STRATIX_FITTER_CREATED_GND~I .output_mode = "comb_only";
5715 defparam \~STRATIX_FITTER_CREATED_GND~I .register_cascade_mode = "off";
5716 defparam \~STRATIX_FITTER_CREATED_GND~I .sum_lutc_input = "datac";
5717 defparam \~STRATIX_FITTER_CREATED_GND~I .synch_mode = "off";
5718 // synopsys translate_on
5720 // atom is at PIN_L7
5721 stratix_io \inst|d_hsync_out~I (
5722 .datain(\inst|vga_driver_unit|h_sync ),
5739 .dqsundelayedout());
5740 // synopsys translate_off
5741 defparam \inst|d_hsync_out~I .ddio_mode = "none";
5742 defparam \inst|d_hsync_out~I .input_async_reset = "none";
5743 defparam \inst|d_hsync_out~I .input_power_up = "low";
5744 defparam \inst|d_hsync_out~I .input_register_mode = "none";
5745 defparam \inst|d_hsync_out~I .input_sync_reset = "none";
5746 defparam \inst|d_hsync_out~I .oe_async_reset = "none";
5747 defparam \inst|d_hsync_out~I .oe_power_up = "low";
5748 defparam \inst|d_hsync_out~I .oe_register_mode = "none";
5749 defparam \inst|d_hsync_out~I .oe_sync_reset = "none";
5750 defparam \inst|d_hsync_out~I .operation_mode = "output";
5751 defparam \inst|d_hsync_out~I .output_async_reset = "none";
5752 defparam \inst|d_hsync_out~I .output_power_up = "low";
5753 defparam \inst|d_hsync_out~I .output_register_mode = "none";
5754 defparam \inst|d_hsync_out~I .output_sync_reset = "none";
5755 // synopsys translate_on
5757 // atom is at PIN_L5
5758 stratix_io \inst|d_vsync_out~I (
5759 .datain(\inst|vga_driver_unit|v_sync ),
5776 .dqsundelayedout());
5777 // synopsys translate_off
5778 defparam \inst|d_vsync_out~I .ddio_mode = "none";
5779 defparam \inst|d_vsync_out~I .input_async_reset = "none";
5780 defparam \inst|d_vsync_out~I .input_power_up = "low";
5781 defparam \inst|d_vsync_out~I .input_register_mode = "none";
5782 defparam \inst|d_vsync_out~I .input_sync_reset = "none";
5783 defparam \inst|d_vsync_out~I .oe_async_reset = "none";
5784 defparam \inst|d_vsync_out~I .oe_power_up = "low";
5785 defparam \inst|d_vsync_out~I .oe_register_mode = "none";
5786 defparam \inst|d_vsync_out~I .oe_sync_reset = "none";
5787 defparam \inst|d_vsync_out~I .operation_mode = "output";
5788 defparam \inst|d_vsync_out~I .output_async_reset = "none";
5789 defparam \inst|d_vsync_out~I .output_power_up = "low";
5790 defparam \inst|d_vsync_out~I .output_register_mode = "none";
5791 defparam \inst|d_vsync_out~I .output_sync_reset = "none";
5792 // synopsys translate_on
5794 // atom is at PIN_Y23
5795 stratix_io \inst|d_set_column_counter_out~I (
5796 .datain(\inst|vga_driver_unit|hsync_state_1 ),
5812 .padio(d_set_column_counter),
5813 .dqsundelayedout());
5814 // synopsys translate_off
5815 defparam \inst|d_set_column_counter_out~I .ddio_mode = "none";
5816 defparam \inst|d_set_column_counter_out~I .input_async_reset = "none";
5817 defparam \inst|d_set_column_counter_out~I .input_power_up = "low";
5818 defparam \inst|d_set_column_counter_out~I .input_register_mode = "none";
5819 defparam \inst|d_set_column_counter_out~I .input_sync_reset = "none";
5820 defparam \inst|d_set_column_counter_out~I .oe_async_reset = "none";
5821 defparam \inst|d_set_column_counter_out~I .oe_power_up = "low";
5822 defparam \inst|d_set_column_counter_out~I .oe_register_mode = "none";
5823 defparam \inst|d_set_column_counter_out~I .oe_sync_reset = "none";
5824 defparam \inst|d_set_column_counter_out~I .operation_mode = "output";
5825 defparam \inst|d_set_column_counter_out~I .output_async_reset = "none";
5826 defparam \inst|d_set_column_counter_out~I .output_power_up = "low";
5827 defparam \inst|d_set_column_counter_out~I .output_register_mode = "none";
5828 defparam \inst|d_set_column_counter_out~I .output_sync_reset = "none";
5829 // synopsys translate_on
5831 // atom is at PIN_F21
5832 stratix_io \inst|d_set_line_counter_out~I (
5833 .datain(\inst|vga_driver_unit|vsync_state_1 ),
5849 .padio(d_set_line_counter),
5850 .dqsundelayedout());
5851 // synopsys translate_off
5852 defparam \inst|d_set_line_counter_out~I .ddio_mode = "none";
5853 defparam \inst|d_set_line_counter_out~I .input_async_reset = "none";
5854 defparam \inst|d_set_line_counter_out~I .input_power_up = "low";
5855 defparam \inst|d_set_line_counter_out~I .input_register_mode = "none";
5856 defparam \inst|d_set_line_counter_out~I .input_sync_reset = "none";
5857 defparam \inst|d_set_line_counter_out~I .oe_async_reset = "none";
5858 defparam \inst|d_set_line_counter_out~I .oe_power_up = "low";
5859 defparam \inst|d_set_line_counter_out~I .oe_register_mode = "none";
5860 defparam \inst|d_set_line_counter_out~I .oe_sync_reset = "none";
5861 defparam \inst|d_set_line_counter_out~I .operation_mode = "output";
5862 defparam \inst|d_set_line_counter_out~I .output_async_reset = "none";
5863 defparam \inst|d_set_line_counter_out~I .output_power_up = "low";
5864 defparam \inst|d_set_line_counter_out~I .output_register_mode = "none";
5865 defparam \inst|d_set_line_counter_out~I .output_sync_reset = "none";
5866 // synopsys translate_on
5868 // atom is at PIN_F26
5869 stratix_io \inst|d_set_hsync_counter_out~I (
5870 .datain(\inst|vga_driver_unit|d_set_hsync_counter ),
5886 .padio(d_set_hsync_counter),
5887 .dqsundelayedout());
5888 // synopsys translate_off
5889 defparam \inst|d_set_hsync_counter_out~I .ddio_mode = "none";
5890 defparam \inst|d_set_hsync_counter_out~I .input_async_reset = "none";
5891 defparam \inst|d_set_hsync_counter_out~I .input_power_up = "low";
5892 defparam \inst|d_set_hsync_counter_out~I .input_register_mode = "none";
5893 defparam \inst|d_set_hsync_counter_out~I .input_sync_reset = "none";
5894 defparam \inst|d_set_hsync_counter_out~I .oe_async_reset = "none";
5895 defparam \inst|d_set_hsync_counter_out~I .oe_power_up = "low";
5896 defparam \inst|d_set_hsync_counter_out~I .oe_register_mode = "none";
5897 defparam \inst|d_set_hsync_counter_out~I .oe_sync_reset = "none";
5898 defparam \inst|d_set_hsync_counter_out~I .operation_mode = "output";
5899 defparam \inst|d_set_hsync_counter_out~I .output_async_reset = "none";
5900 defparam \inst|d_set_hsync_counter_out~I .output_power_up = "low";
5901 defparam \inst|d_set_hsync_counter_out~I .output_register_mode = "none";
5902 defparam \inst|d_set_hsync_counter_out~I .output_sync_reset = "none";
5903 // synopsys translate_on
5905 // atom is at PIN_F24
5906 stratix_io \inst|d_set_vsync_counter_out~I (
5907 .datain(\inst|vga_driver_unit|d_set_vsync_counter ),
5923 .padio(d_set_vsync_counter),
5924 .dqsundelayedout());
5925 // synopsys translate_off
5926 defparam \inst|d_set_vsync_counter_out~I .ddio_mode = "none";
5927 defparam \inst|d_set_vsync_counter_out~I .input_async_reset = "none";
5928 defparam \inst|d_set_vsync_counter_out~I .input_power_up = "low";
5929 defparam \inst|d_set_vsync_counter_out~I .input_register_mode = "none";
5930 defparam \inst|d_set_vsync_counter_out~I .input_sync_reset = "none";
5931 defparam \inst|d_set_vsync_counter_out~I .oe_async_reset = "none";
5932 defparam \inst|d_set_vsync_counter_out~I .oe_power_up = "low";
5933 defparam \inst|d_set_vsync_counter_out~I .oe_register_mode = "none";
5934 defparam \inst|d_set_vsync_counter_out~I .oe_sync_reset = "none";
5935 defparam \inst|d_set_vsync_counter_out~I .operation_mode = "output";
5936 defparam \inst|d_set_vsync_counter_out~I .output_async_reset = "none";
5937 defparam \inst|d_set_vsync_counter_out~I .output_power_up = "low";
5938 defparam \inst|d_set_vsync_counter_out~I .output_register_mode = "none";
5939 defparam \inst|d_set_vsync_counter_out~I .output_sync_reset = "none";
5940 // synopsys translate_on
5942 // atom is at PIN_L3
5943 stratix_io \inst|d_r_out~I (
5944 .datain(\inst|vga_control_unit|r ),
5961 .dqsundelayedout());
5962 // synopsys translate_off
5963 defparam \inst|d_r_out~I .ddio_mode = "none";
5964 defparam \inst|d_r_out~I .input_async_reset = "none";
5965 defparam \inst|d_r_out~I .input_power_up = "low";
5966 defparam \inst|d_r_out~I .input_register_mode = "none";
5967 defparam \inst|d_r_out~I .input_sync_reset = "none";
5968 defparam \inst|d_r_out~I .oe_async_reset = "none";
5969 defparam \inst|d_r_out~I .oe_power_up = "low";
5970 defparam \inst|d_r_out~I .oe_register_mode = "none";
5971 defparam \inst|d_r_out~I .oe_sync_reset = "none";
5972 defparam \inst|d_r_out~I .operation_mode = "output";
5973 defparam \inst|d_r_out~I .output_async_reset = "none";
5974 defparam \inst|d_r_out~I .output_power_up = "low";
5975 defparam \inst|d_r_out~I .output_register_mode = "none";
5976 defparam \inst|d_r_out~I .output_sync_reset = "none";
5977 // synopsys translate_on
5979 // atom is at PIN_K24
5980 stratix_io \inst|d_g_out~I (
5981 .datain(\inst|vga_control_unit|g ),
5998 .dqsundelayedout());
5999 // synopsys translate_off
6000 defparam \inst|d_g_out~I .ddio_mode = "none";
6001 defparam \inst|d_g_out~I .input_async_reset = "none";
6002 defparam \inst|d_g_out~I .input_power_up = "low";
6003 defparam \inst|d_g_out~I .input_register_mode = "none";
6004 defparam \inst|d_g_out~I .input_sync_reset = "none";
6005 defparam \inst|d_g_out~I .oe_async_reset = "none";
6006 defparam \inst|d_g_out~I .oe_power_up = "low";
6007 defparam \inst|d_g_out~I .oe_register_mode = "none";
6008 defparam \inst|d_g_out~I .oe_sync_reset = "none";
6009 defparam \inst|d_g_out~I .operation_mode = "output";
6010 defparam \inst|d_g_out~I .output_async_reset = "none";
6011 defparam \inst|d_g_out~I .output_power_up = "low";
6012 defparam \inst|d_g_out~I .output_register_mode = "none";
6013 defparam \inst|d_g_out~I .output_sync_reset = "none";
6014 // synopsys translate_on
6016 // atom is at PIN_K20
6017 stratix_io \inst|d_b_out~I (
6018 .datain(\inst|vga_control_unit|b ),
6035 .dqsundelayedout());
6036 // synopsys translate_off
6037 defparam \inst|d_b_out~I .ddio_mode = "none";
6038 defparam \inst|d_b_out~I .input_async_reset = "none";
6039 defparam \inst|d_b_out~I .input_power_up = "low";
6040 defparam \inst|d_b_out~I .input_register_mode = "none";
6041 defparam \inst|d_b_out~I .input_sync_reset = "none";
6042 defparam \inst|d_b_out~I .oe_async_reset = "none";
6043 defparam \inst|d_b_out~I .oe_power_up = "low";
6044 defparam \inst|d_b_out~I .oe_register_mode = "none";
6045 defparam \inst|d_b_out~I .oe_sync_reset = "none";
6046 defparam \inst|d_b_out~I .operation_mode = "output";
6047 defparam \inst|d_b_out~I .output_async_reset = "none";
6048 defparam \inst|d_b_out~I .output_power_up = "low";
6049 defparam \inst|d_b_out~I .output_register_mode = "none";
6050 defparam \inst|d_b_out~I .output_sync_reset = "none";
6051 // synopsys translate_on
6053 // atom is at PIN_J21
6054 stratix_io \inst|d_h_enable_out~I (
6055 .datain(\inst|vga_driver_unit|h_enable_sig ),
6072 .dqsundelayedout());
6073 // synopsys translate_off
6074 defparam \inst|d_h_enable_out~I .ddio_mode = "none";
6075 defparam \inst|d_h_enable_out~I .input_async_reset = "none";
6076 defparam \inst|d_h_enable_out~I .input_power_up = "low";
6077 defparam \inst|d_h_enable_out~I .input_register_mode = "none";
6078 defparam \inst|d_h_enable_out~I .input_sync_reset = "none";
6079 defparam \inst|d_h_enable_out~I .oe_async_reset = "none";
6080 defparam \inst|d_h_enable_out~I .oe_power_up = "low";
6081 defparam \inst|d_h_enable_out~I .oe_register_mode = "none";
6082 defparam \inst|d_h_enable_out~I .oe_sync_reset = "none";
6083 defparam \inst|d_h_enable_out~I .operation_mode = "output";
6084 defparam \inst|d_h_enable_out~I .output_async_reset = "none";
6085 defparam \inst|d_h_enable_out~I .output_power_up = "low";
6086 defparam \inst|d_h_enable_out~I .output_register_mode = "none";
6087 defparam \inst|d_h_enable_out~I .output_sync_reset = "none";
6088 // synopsys translate_on
6090 // atom is at PIN_H18
6091 stratix_io \inst|d_v_enable_out~I (
6092 .datain(\inst|vga_driver_unit|v_enable_sig ),
6109 .dqsundelayedout());
6110 // synopsys translate_off
6111 defparam \inst|d_v_enable_out~I .ddio_mode = "none";
6112 defparam \inst|d_v_enable_out~I .input_async_reset = "none";
6113 defparam \inst|d_v_enable_out~I .input_power_up = "low";
6114 defparam \inst|d_v_enable_out~I .input_register_mode = "none";
6115 defparam \inst|d_v_enable_out~I .input_sync_reset = "none";
6116 defparam \inst|d_v_enable_out~I .oe_async_reset = "none";
6117 defparam \inst|d_v_enable_out~I .oe_power_up = "low";
6118 defparam \inst|d_v_enable_out~I .oe_register_mode = "none";
6119 defparam \inst|d_v_enable_out~I .oe_sync_reset = "none";
6120 defparam \inst|d_v_enable_out~I .operation_mode = "output";
6121 defparam \inst|d_v_enable_out~I .output_async_reset = "none";
6122 defparam \inst|d_v_enable_out~I .output_power_up = "low";
6123 defparam \inst|d_v_enable_out~I .output_register_mode = "none";
6124 defparam \inst|d_v_enable_out~I .output_sync_reset = "none";
6125 // synopsys translate_on
6127 // atom is at PIN_K3
6128 stratix_io \inst|d_state_clk_out~I (
6129 .datain(\inst1|altpll_component|_clk0 ),
6145 .padio(d_state_clk),
6146 .dqsundelayedout());
6147 // synopsys translate_off
6148 defparam \inst|d_state_clk_out~I .ddio_mode = "none";
6149 defparam \inst|d_state_clk_out~I .input_async_reset = "none";
6150 defparam \inst|d_state_clk_out~I .input_power_up = "low";
6151 defparam \inst|d_state_clk_out~I .input_register_mode = "none";
6152 defparam \inst|d_state_clk_out~I .input_sync_reset = "none";
6153 defparam \inst|d_state_clk_out~I .oe_async_reset = "none";
6154 defparam \inst|d_state_clk_out~I .oe_power_up = "low";
6155 defparam \inst|d_state_clk_out~I .oe_register_mode = "none";
6156 defparam \inst|d_state_clk_out~I .oe_sync_reset = "none";
6157 defparam \inst|d_state_clk_out~I .operation_mode = "output";
6158 defparam \inst|d_state_clk_out~I .output_async_reset = "none";
6159 defparam \inst|d_state_clk_out~I .output_power_up = "low";
6160 defparam \inst|d_state_clk_out~I .output_register_mode = "none";
6161 defparam \inst|d_state_clk_out~I .output_sync_reset = "none";
6162 // synopsys translate_on
6164 // atom is at PIN_E22
6165 stratix_io \inst|r0_pin_out~I (
6166 .datain(\inst|vga_control_unit|r ),
6183 .dqsundelayedout());
6184 // synopsys translate_off
6185 defparam \inst|r0_pin_out~I .ddio_mode = "none";
6186 defparam \inst|r0_pin_out~I .input_async_reset = "none";
6187 defparam \inst|r0_pin_out~I .input_power_up = "low";
6188 defparam \inst|r0_pin_out~I .input_register_mode = "none";
6189 defparam \inst|r0_pin_out~I .input_sync_reset = "none";
6190 defparam \inst|r0_pin_out~I .oe_async_reset = "none";
6191 defparam \inst|r0_pin_out~I .oe_power_up = "low";
6192 defparam \inst|r0_pin_out~I .oe_register_mode = "none";
6193 defparam \inst|r0_pin_out~I .oe_sync_reset = "none";
6194 defparam \inst|r0_pin_out~I .operation_mode = "output";
6195 defparam \inst|r0_pin_out~I .output_async_reset = "none";
6196 defparam \inst|r0_pin_out~I .output_power_up = "low";
6197 defparam \inst|r0_pin_out~I .output_register_mode = "none";
6198 defparam \inst|r0_pin_out~I .output_sync_reset = "none";
6199 // synopsys translate_on
6201 // atom is at PIN_T4
6202 stratix_io \inst|r1_pin_out~I (
6203 .datain(\inst|vga_control_unit|r ),
6220 .dqsundelayedout());
6221 // synopsys translate_off
6222 defparam \inst|r1_pin_out~I .ddio_mode = "none";
6223 defparam \inst|r1_pin_out~I .input_async_reset = "none";
6224 defparam \inst|r1_pin_out~I .input_power_up = "low";
6225 defparam \inst|r1_pin_out~I .input_register_mode = "none";
6226 defparam \inst|r1_pin_out~I .input_sync_reset = "none";
6227 defparam \inst|r1_pin_out~I .oe_async_reset = "none";
6228 defparam \inst|r1_pin_out~I .oe_power_up = "low";
6229 defparam \inst|r1_pin_out~I .oe_register_mode = "none";
6230 defparam \inst|r1_pin_out~I .oe_sync_reset = "none";
6231 defparam \inst|r1_pin_out~I .operation_mode = "output";
6232 defparam \inst|r1_pin_out~I .output_async_reset = "none";
6233 defparam \inst|r1_pin_out~I .output_power_up = "low";
6234 defparam \inst|r1_pin_out~I .output_register_mode = "none";
6235 defparam \inst|r1_pin_out~I .output_sync_reset = "none";
6236 // synopsys translate_on
6238 // atom is at PIN_T7
6239 stratix_io \inst|r2_pin_out~I (
6240 .datain(\inst|vga_control_unit|r ),
6257 .dqsundelayedout());
6258 // synopsys translate_off
6259 defparam \inst|r2_pin_out~I .ddio_mode = "none";
6260 defparam \inst|r2_pin_out~I .input_async_reset = "none";
6261 defparam \inst|r2_pin_out~I .input_power_up = "low";
6262 defparam \inst|r2_pin_out~I .input_register_mode = "none";
6263 defparam \inst|r2_pin_out~I .input_sync_reset = "none";
6264 defparam \inst|r2_pin_out~I .oe_async_reset = "none";
6265 defparam \inst|r2_pin_out~I .oe_power_up = "low";
6266 defparam \inst|r2_pin_out~I .oe_register_mode = "none";
6267 defparam \inst|r2_pin_out~I .oe_sync_reset = "none";
6268 defparam \inst|r2_pin_out~I .operation_mode = "output";
6269 defparam \inst|r2_pin_out~I .output_async_reset = "none";
6270 defparam \inst|r2_pin_out~I .output_power_up = "low";
6271 defparam \inst|r2_pin_out~I .output_register_mode = "none";
6272 defparam \inst|r2_pin_out~I .output_sync_reset = "none";
6273 // synopsys translate_on
6275 // atom is at PIN_E23
6276 stratix_io \inst|g0_pin_out~I (
6277 .datain(\inst|vga_control_unit|g ),
6294 .dqsundelayedout());
6295 // synopsys translate_off
6296 defparam \inst|g0_pin_out~I .ddio_mode = "none";
6297 defparam \inst|g0_pin_out~I .input_async_reset = "none";
6298 defparam \inst|g0_pin_out~I .input_power_up = "low";
6299 defparam \inst|g0_pin_out~I .input_register_mode = "none";
6300 defparam \inst|g0_pin_out~I .input_sync_reset = "none";
6301 defparam \inst|g0_pin_out~I .oe_async_reset = "none";
6302 defparam \inst|g0_pin_out~I .oe_power_up = "low";
6303 defparam \inst|g0_pin_out~I .oe_register_mode = "none";
6304 defparam \inst|g0_pin_out~I .oe_sync_reset = "none";
6305 defparam \inst|g0_pin_out~I .operation_mode = "output";
6306 defparam \inst|g0_pin_out~I .output_async_reset = "none";
6307 defparam \inst|g0_pin_out~I .output_power_up = "low";
6308 defparam \inst|g0_pin_out~I .output_register_mode = "none";
6309 defparam \inst|g0_pin_out~I .output_sync_reset = "none";
6310 // synopsys translate_on
6312 // atom is at PIN_T5
6313 stratix_io \inst|g1_pin_out~I (
6314 .datain(\inst|vga_control_unit|g ),
6331 .dqsundelayedout());
6332 // synopsys translate_off
6333 defparam \inst|g1_pin_out~I .ddio_mode = "none";
6334 defparam \inst|g1_pin_out~I .input_async_reset = "none";
6335 defparam \inst|g1_pin_out~I .input_power_up = "low";
6336 defparam \inst|g1_pin_out~I .input_register_mode = "none";
6337 defparam \inst|g1_pin_out~I .input_sync_reset = "none";
6338 defparam \inst|g1_pin_out~I .oe_async_reset = "none";
6339 defparam \inst|g1_pin_out~I .oe_power_up = "low";
6340 defparam \inst|g1_pin_out~I .oe_register_mode = "none";
6341 defparam \inst|g1_pin_out~I .oe_sync_reset = "none";
6342 defparam \inst|g1_pin_out~I .operation_mode = "output";
6343 defparam \inst|g1_pin_out~I .output_async_reset = "none";
6344 defparam \inst|g1_pin_out~I .output_power_up = "low";
6345 defparam \inst|g1_pin_out~I .output_register_mode = "none";
6346 defparam \inst|g1_pin_out~I .output_sync_reset = "none";
6347 // synopsys translate_on
6349 // atom is at PIN_T24
6350 stratix_io \inst|g2_pin_out~I (
6351 .datain(\inst|vga_control_unit|g ),
6368 .dqsundelayedout());
6369 // synopsys translate_off
6370 defparam \inst|g2_pin_out~I .ddio_mode = "none";
6371 defparam \inst|g2_pin_out~I .input_async_reset = "none";
6372 defparam \inst|g2_pin_out~I .input_power_up = "low";
6373 defparam \inst|g2_pin_out~I .input_register_mode = "none";
6374 defparam \inst|g2_pin_out~I .input_sync_reset = "none";
6375 defparam \inst|g2_pin_out~I .oe_async_reset = "none";
6376 defparam \inst|g2_pin_out~I .oe_power_up = "low";
6377 defparam \inst|g2_pin_out~I .oe_register_mode = "none";
6378 defparam \inst|g2_pin_out~I .oe_sync_reset = "none";
6379 defparam \inst|g2_pin_out~I .operation_mode = "output";
6380 defparam \inst|g2_pin_out~I .output_async_reset = "none";
6381 defparam \inst|g2_pin_out~I .output_power_up = "low";
6382 defparam \inst|g2_pin_out~I .output_register_mode = "none";
6383 defparam \inst|g2_pin_out~I .output_sync_reset = "none";
6384 // synopsys translate_on
6386 // atom is at PIN_E24
6387 stratix_io \inst|b0_pin_out~I (
6388 .datain(\inst|vga_control_unit|b ),
6405 .dqsundelayedout());
6406 // synopsys translate_off
6407 defparam \inst|b0_pin_out~I .ddio_mode = "none";
6408 defparam \inst|b0_pin_out~I .input_async_reset = "none";
6409 defparam \inst|b0_pin_out~I .input_power_up = "low";
6410 defparam \inst|b0_pin_out~I .input_register_mode = "none";
6411 defparam \inst|b0_pin_out~I .input_sync_reset = "none";
6412 defparam \inst|b0_pin_out~I .oe_async_reset = "none";
6413 defparam \inst|b0_pin_out~I .oe_power_up = "low";
6414 defparam \inst|b0_pin_out~I .oe_register_mode = "none";
6415 defparam \inst|b0_pin_out~I .oe_sync_reset = "none";
6416 defparam \inst|b0_pin_out~I .operation_mode = "output";
6417 defparam \inst|b0_pin_out~I .output_async_reset = "none";
6418 defparam \inst|b0_pin_out~I .output_power_up = "low";
6419 defparam \inst|b0_pin_out~I .output_register_mode = "none";
6420 defparam \inst|b0_pin_out~I .output_sync_reset = "none";
6421 // synopsys translate_on
6423 // atom is at PIN_T6
6424 stratix_io \inst|b1_pin_out~I (
6425 .datain(\inst|vga_control_unit|b ),
6442 .dqsundelayedout());
6443 // synopsys translate_off
6444 defparam \inst|b1_pin_out~I .ddio_mode = "none";
6445 defparam \inst|b1_pin_out~I .input_async_reset = "none";
6446 defparam \inst|b1_pin_out~I .input_power_up = "low";
6447 defparam \inst|b1_pin_out~I .input_register_mode = "none";
6448 defparam \inst|b1_pin_out~I .input_sync_reset = "none";
6449 defparam \inst|b1_pin_out~I .oe_async_reset = "none";
6450 defparam \inst|b1_pin_out~I .oe_power_up = "low";
6451 defparam \inst|b1_pin_out~I .oe_register_mode = "none";
6452 defparam \inst|b1_pin_out~I .oe_sync_reset = "none";
6453 defparam \inst|b1_pin_out~I .operation_mode = "output";
6454 defparam \inst|b1_pin_out~I .output_async_reset = "none";
6455 defparam \inst|b1_pin_out~I .output_power_up = "low";
6456 defparam \inst|b1_pin_out~I .output_register_mode = "none";
6457 defparam \inst|b1_pin_out~I .output_sync_reset = "none";
6458 // synopsys translate_on
6460 // atom is at PIN_F1
6461 stratix_io \inst|hsync_pin_out~I (
6462 .datain(\inst|vga_driver_unit|h_sync ),
6479 .dqsundelayedout());
6480 // synopsys translate_off
6481 defparam \inst|hsync_pin_out~I .ddio_mode = "none";
6482 defparam \inst|hsync_pin_out~I .input_async_reset = "none";
6483 defparam \inst|hsync_pin_out~I .input_power_up = "low";
6484 defparam \inst|hsync_pin_out~I .input_register_mode = "none";
6485 defparam \inst|hsync_pin_out~I .input_sync_reset = "none";
6486 defparam \inst|hsync_pin_out~I .oe_async_reset = "none";
6487 defparam \inst|hsync_pin_out~I .oe_power_up = "low";
6488 defparam \inst|hsync_pin_out~I .oe_register_mode = "none";
6489 defparam \inst|hsync_pin_out~I .oe_sync_reset = "none";
6490 defparam \inst|hsync_pin_out~I .operation_mode = "output";
6491 defparam \inst|hsync_pin_out~I .output_async_reset = "none";
6492 defparam \inst|hsync_pin_out~I .output_power_up = "low";
6493 defparam \inst|hsync_pin_out~I .output_register_mode = "none";
6494 defparam \inst|hsync_pin_out~I .output_sync_reset = "none";
6495 // synopsys translate_on
6497 // atom is at PIN_F2
6498 stratix_io \inst|vsync_pin_out~I (
6499 .datain(\inst|vga_driver_unit|v_sync ),
6516 .dqsundelayedout());
6517 // synopsys translate_off
6518 defparam \inst|vsync_pin_out~I .ddio_mode = "none";
6519 defparam \inst|vsync_pin_out~I .input_async_reset = "none";
6520 defparam \inst|vsync_pin_out~I .input_power_up = "low";
6521 defparam \inst|vsync_pin_out~I .input_register_mode = "none";
6522 defparam \inst|vsync_pin_out~I .input_sync_reset = "none";
6523 defparam \inst|vsync_pin_out~I .oe_async_reset = "none";
6524 defparam \inst|vsync_pin_out~I .oe_power_up = "low";
6525 defparam \inst|vsync_pin_out~I .oe_register_mode = "none";
6526 defparam \inst|vsync_pin_out~I .oe_sync_reset = "none";
6527 defparam \inst|vsync_pin_out~I .operation_mode = "output";
6528 defparam \inst|vsync_pin_out~I .output_async_reset = "none";
6529 defparam \inst|vsync_pin_out~I .output_power_up = "low";
6530 defparam \inst|vsync_pin_out~I .output_register_mode = "none";
6531 defparam \inst|vsync_pin_out~I .output_sync_reset = "none";
6532 // synopsys translate_on
6534 // atom is at PIN_K5
6535 stratix_io \inst|d_column_counter_out_9_~I (
6536 .datain(\inst|vga_driver_unit|column_counter_sig_9 ),
6552 .padio(d_column_counter[9]),
6553 .dqsundelayedout());
6554 // synopsys translate_off
6555 defparam \inst|d_column_counter_out_9_~I .ddio_mode = "none";
6556 defparam \inst|d_column_counter_out_9_~I .input_async_reset = "none";
6557 defparam \inst|d_column_counter_out_9_~I .input_power_up = "low";
6558 defparam \inst|d_column_counter_out_9_~I .input_register_mode = "none";
6559 defparam \inst|d_column_counter_out_9_~I .input_sync_reset = "none";
6560 defparam \inst|d_column_counter_out_9_~I .oe_async_reset = "none";
6561 defparam \inst|d_column_counter_out_9_~I .oe_power_up = "low";
6562 defparam \inst|d_column_counter_out_9_~I .oe_register_mode = "none";
6563 defparam \inst|d_column_counter_out_9_~I .oe_sync_reset = "none";
6564 defparam \inst|d_column_counter_out_9_~I .operation_mode = "output";
6565 defparam \inst|d_column_counter_out_9_~I .output_async_reset = "none";
6566 defparam \inst|d_column_counter_out_9_~I .output_power_up = "low";
6567 defparam \inst|d_column_counter_out_9_~I .output_register_mode = "none";
6568 defparam \inst|d_column_counter_out_9_~I .output_sync_reset = "none";
6569 // synopsys translate_on
6571 // atom is at PIN_K19
6572 stratix_io \inst|d_column_counter_out_8_~I (
6573 .datain(\inst|vga_driver_unit|column_counter_sig_8 ),
6589 .padio(d_column_counter[8]),
6590 .dqsundelayedout());
6591 // synopsys translate_off
6592 defparam \inst|d_column_counter_out_8_~I .ddio_mode = "none";
6593 defparam \inst|d_column_counter_out_8_~I .input_async_reset = "none";
6594 defparam \inst|d_column_counter_out_8_~I .input_power_up = "low";
6595 defparam \inst|d_column_counter_out_8_~I .input_register_mode = "none";
6596 defparam \inst|d_column_counter_out_8_~I .input_sync_reset = "none";
6597 defparam \inst|d_column_counter_out_8_~I .oe_async_reset = "none";
6598 defparam \inst|d_column_counter_out_8_~I .oe_power_up = "low";
6599 defparam \inst|d_column_counter_out_8_~I .oe_register_mode = "none";
6600 defparam \inst|d_column_counter_out_8_~I .oe_sync_reset = "none";
6601 defparam \inst|d_column_counter_out_8_~I .operation_mode = "output";
6602 defparam \inst|d_column_counter_out_8_~I .output_async_reset = "none";
6603 defparam \inst|d_column_counter_out_8_~I .output_power_up = "low";
6604 defparam \inst|d_column_counter_out_8_~I .output_register_mode = "none";
6605 defparam \inst|d_column_counter_out_8_~I .output_sync_reset = "none";
6606 // synopsys translate_on
6608 // atom is at PIN_K23
6609 stratix_io \inst|d_column_counter_out_7_~I (
6610 .datain(\inst|vga_driver_unit|column_counter_sig_7 ),
6626 .padio(d_column_counter[7]),
6627 .dqsundelayedout());
6628 // synopsys translate_off
6629 defparam \inst|d_column_counter_out_7_~I .ddio_mode = "none";
6630 defparam \inst|d_column_counter_out_7_~I .input_async_reset = "none";
6631 defparam \inst|d_column_counter_out_7_~I .input_power_up = "low";
6632 defparam \inst|d_column_counter_out_7_~I .input_register_mode = "none";
6633 defparam \inst|d_column_counter_out_7_~I .input_sync_reset = "none";
6634 defparam \inst|d_column_counter_out_7_~I .oe_async_reset = "none";
6635 defparam \inst|d_column_counter_out_7_~I .oe_power_up = "low";
6636 defparam \inst|d_column_counter_out_7_~I .oe_register_mode = "none";
6637 defparam \inst|d_column_counter_out_7_~I .oe_sync_reset = "none";
6638 defparam \inst|d_column_counter_out_7_~I .operation_mode = "output";
6639 defparam \inst|d_column_counter_out_7_~I .output_async_reset = "none";
6640 defparam \inst|d_column_counter_out_7_~I .output_power_up = "low";
6641 defparam \inst|d_column_counter_out_7_~I .output_register_mode = "none";
6642 defparam \inst|d_column_counter_out_7_~I .output_sync_reset = "none";
6643 // synopsys translate_on
6645 // atom is at PIN_L2
6646 stratix_io \inst|d_column_counter_out_6_~I (
6647 .datain(\inst|vga_driver_unit|column_counter_sig_6 ),
6663 .padio(d_column_counter[6]),
6664 .dqsundelayedout());
6665 // synopsys translate_off
6666 defparam \inst|d_column_counter_out_6_~I .ddio_mode = "none";
6667 defparam \inst|d_column_counter_out_6_~I .input_async_reset = "none";
6668 defparam \inst|d_column_counter_out_6_~I .input_power_up = "low";
6669 defparam \inst|d_column_counter_out_6_~I .input_register_mode = "none";
6670 defparam \inst|d_column_counter_out_6_~I .input_sync_reset = "none";
6671 defparam \inst|d_column_counter_out_6_~I .oe_async_reset = "none";
6672 defparam \inst|d_column_counter_out_6_~I .oe_power_up = "low";
6673 defparam \inst|d_column_counter_out_6_~I .oe_register_mode = "none";
6674 defparam \inst|d_column_counter_out_6_~I .oe_sync_reset = "none";
6675 defparam \inst|d_column_counter_out_6_~I .operation_mode = "output";
6676 defparam \inst|d_column_counter_out_6_~I .output_async_reset = "none";
6677 defparam \inst|d_column_counter_out_6_~I .output_power_up = "low";
6678 defparam \inst|d_column_counter_out_6_~I .output_register_mode = "none";
6679 defparam \inst|d_column_counter_out_6_~I .output_sync_reset = "none";
6680 // synopsys translate_on
6682 // atom is at PIN_L4
6683 stratix_io \inst|d_column_counter_out_5_~I (
6684 .datain(\inst|vga_driver_unit|column_counter_sig_5 ),
6700 .padio(d_column_counter[5]),
6701 .dqsundelayedout());
6702 // synopsys translate_off
6703 defparam \inst|d_column_counter_out_5_~I .ddio_mode = "none";
6704 defparam \inst|d_column_counter_out_5_~I .input_async_reset = "none";
6705 defparam \inst|d_column_counter_out_5_~I .input_power_up = "low";
6706 defparam \inst|d_column_counter_out_5_~I .input_register_mode = "none";
6707 defparam \inst|d_column_counter_out_5_~I .input_sync_reset = "none";
6708 defparam \inst|d_column_counter_out_5_~I .oe_async_reset = "none";
6709 defparam \inst|d_column_counter_out_5_~I .oe_power_up = "low";
6710 defparam \inst|d_column_counter_out_5_~I .oe_register_mode = "none";
6711 defparam \inst|d_column_counter_out_5_~I .oe_sync_reset = "none";
6712 defparam \inst|d_column_counter_out_5_~I .operation_mode = "output";
6713 defparam \inst|d_column_counter_out_5_~I .output_async_reset = "none";
6714 defparam \inst|d_column_counter_out_5_~I .output_power_up = "low";
6715 defparam \inst|d_column_counter_out_5_~I .output_register_mode = "none";
6716 defparam \inst|d_column_counter_out_5_~I .output_sync_reset = "none";
6717 // synopsys translate_on
6719 // atom is at PIN_L6
6720 stratix_io \inst|d_column_counter_out_4_~I (
6721 .datain(\inst|vga_driver_unit|column_counter_sig_4 ),
6737 .padio(d_column_counter[4]),
6738 .dqsundelayedout());
6739 // synopsys translate_off
6740 defparam \inst|d_column_counter_out_4_~I .ddio_mode = "none";
6741 defparam \inst|d_column_counter_out_4_~I .input_async_reset = "none";
6742 defparam \inst|d_column_counter_out_4_~I .input_power_up = "low";
6743 defparam \inst|d_column_counter_out_4_~I .input_register_mode = "none";
6744 defparam \inst|d_column_counter_out_4_~I .input_sync_reset = "none";
6745 defparam \inst|d_column_counter_out_4_~I .oe_async_reset = "none";
6746 defparam \inst|d_column_counter_out_4_~I .oe_power_up = "low";
6747 defparam \inst|d_column_counter_out_4_~I .oe_register_mode = "none";
6748 defparam \inst|d_column_counter_out_4_~I .oe_sync_reset = "none";
6749 defparam \inst|d_column_counter_out_4_~I .operation_mode = "output";
6750 defparam \inst|d_column_counter_out_4_~I .output_async_reset = "none";
6751 defparam \inst|d_column_counter_out_4_~I .output_power_up = "low";
6752 defparam \inst|d_column_counter_out_4_~I .output_register_mode = "none";
6753 defparam \inst|d_column_counter_out_4_~I .output_sync_reset = "none";
6754 // synopsys translate_on
6756 // atom is at PIN_L20
6757 stratix_io \inst|d_column_counter_out_3_~I (
6758 .datain(\inst|vga_driver_unit|column_counter_sig_3 ),
6774 .padio(d_column_counter[3]),
6775 .dqsundelayedout());
6776 // synopsys translate_off
6777 defparam \inst|d_column_counter_out_3_~I .ddio_mode = "none";
6778 defparam \inst|d_column_counter_out_3_~I .input_async_reset = "none";
6779 defparam \inst|d_column_counter_out_3_~I .input_power_up = "low";
6780 defparam \inst|d_column_counter_out_3_~I .input_register_mode = "none";
6781 defparam \inst|d_column_counter_out_3_~I .input_sync_reset = "none";
6782 defparam \inst|d_column_counter_out_3_~I .oe_async_reset = "none";
6783 defparam \inst|d_column_counter_out_3_~I .oe_power_up = "low";
6784 defparam \inst|d_column_counter_out_3_~I .oe_register_mode = "none";
6785 defparam \inst|d_column_counter_out_3_~I .oe_sync_reset = "none";
6786 defparam \inst|d_column_counter_out_3_~I .operation_mode = "output";
6787 defparam \inst|d_column_counter_out_3_~I .output_async_reset = "none";
6788 defparam \inst|d_column_counter_out_3_~I .output_power_up = "low";
6789 defparam \inst|d_column_counter_out_3_~I .output_register_mode = "none";
6790 defparam \inst|d_column_counter_out_3_~I .output_sync_reset = "none";
6791 // synopsys translate_on
6793 // atom is at PIN_L21
6794 stratix_io \inst|d_column_counter_out_2_~I (
6795 .datain(\inst|vga_driver_unit|column_counter_sig_2 ),
6811 .padio(d_column_counter[2]),
6812 .dqsundelayedout());
6813 // synopsys translate_off
6814 defparam \inst|d_column_counter_out_2_~I .ddio_mode = "none";
6815 defparam \inst|d_column_counter_out_2_~I .input_async_reset = "none";
6816 defparam \inst|d_column_counter_out_2_~I .input_power_up = "low";
6817 defparam \inst|d_column_counter_out_2_~I .input_register_mode = "none";
6818 defparam \inst|d_column_counter_out_2_~I .input_sync_reset = "none";
6819 defparam \inst|d_column_counter_out_2_~I .oe_async_reset = "none";
6820 defparam \inst|d_column_counter_out_2_~I .oe_power_up = "low";
6821 defparam \inst|d_column_counter_out_2_~I .oe_register_mode = "none";
6822 defparam \inst|d_column_counter_out_2_~I .oe_sync_reset = "none";
6823 defparam \inst|d_column_counter_out_2_~I .operation_mode = "output";
6824 defparam \inst|d_column_counter_out_2_~I .output_async_reset = "none";
6825 defparam \inst|d_column_counter_out_2_~I .output_power_up = "low";
6826 defparam \inst|d_column_counter_out_2_~I .output_register_mode = "none";
6827 defparam \inst|d_column_counter_out_2_~I .output_sync_reset = "none";
6828 // synopsys translate_on
6830 // atom is at PIN_L22
6831 stratix_io \inst|d_column_counter_out_1_~I (
6832 .datain(\inst|vga_driver_unit|column_counter_sig_1 ),
6848 .padio(d_column_counter[1]),
6849 .dqsundelayedout());
6850 // synopsys translate_off
6851 defparam \inst|d_column_counter_out_1_~I .ddio_mode = "none";
6852 defparam \inst|d_column_counter_out_1_~I .input_async_reset = "none";
6853 defparam \inst|d_column_counter_out_1_~I .input_power_up = "low";
6854 defparam \inst|d_column_counter_out_1_~I .input_register_mode = "none";
6855 defparam \inst|d_column_counter_out_1_~I .input_sync_reset = "none";
6856 defparam \inst|d_column_counter_out_1_~I .oe_async_reset = "none";
6857 defparam \inst|d_column_counter_out_1_~I .oe_power_up = "low";
6858 defparam \inst|d_column_counter_out_1_~I .oe_register_mode = "none";
6859 defparam \inst|d_column_counter_out_1_~I .oe_sync_reset = "none";
6860 defparam \inst|d_column_counter_out_1_~I .operation_mode = "output";
6861 defparam \inst|d_column_counter_out_1_~I .output_async_reset = "none";
6862 defparam \inst|d_column_counter_out_1_~I .output_power_up = "low";
6863 defparam \inst|d_column_counter_out_1_~I .output_register_mode = "none";
6864 defparam \inst|d_column_counter_out_1_~I .output_sync_reset = "none";
6865 // synopsys translate_on
6867 // atom is at PIN_L23
6868 stratix_io \inst|d_column_counter_out_0_~I (
6869 .datain(\inst|vga_driver_unit|column_counter_sig_0 ),
6885 .padio(d_column_counter[0]),
6886 .dqsundelayedout());
6887 // synopsys translate_off
6888 defparam \inst|d_column_counter_out_0_~I .ddio_mode = "none";
6889 defparam \inst|d_column_counter_out_0_~I .input_async_reset = "none";
6890 defparam \inst|d_column_counter_out_0_~I .input_power_up = "low";
6891 defparam \inst|d_column_counter_out_0_~I .input_register_mode = "none";
6892 defparam \inst|d_column_counter_out_0_~I .input_sync_reset = "none";
6893 defparam \inst|d_column_counter_out_0_~I .oe_async_reset = "none";
6894 defparam \inst|d_column_counter_out_0_~I .oe_power_up = "low";
6895 defparam \inst|d_column_counter_out_0_~I .oe_register_mode = "none";
6896 defparam \inst|d_column_counter_out_0_~I .oe_sync_reset = "none";
6897 defparam \inst|d_column_counter_out_0_~I .operation_mode = "output";
6898 defparam \inst|d_column_counter_out_0_~I .output_async_reset = "none";
6899 defparam \inst|d_column_counter_out_0_~I .output_power_up = "low";
6900 defparam \inst|d_column_counter_out_0_~I .output_register_mode = "none";
6901 defparam \inst|d_column_counter_out_0_~I .output_sync_reset = "none";
6902 // synopsys translate_on
6904 // atom is at PIN_G18
6905 stratix_io \inst|d_hsync_counter_out_9_~I (
6906 .datain(\inst|vga_driver_unit|hsync_counter_9 ),
6922 .padio(d_hsync_counter[9]),
6923 .dqsundelayedout());
6924 // synopsys translate_off
6925 defparam \inst|d_hsync_counter_out_9_~I .ddio_mode = "none";
6926 defparam \inst|d_hsync_counter_out_9_~I .input_async_reset = "none";
6927 defparam \inst|d_hsync_counter_out_9_~I .input_power_up = "low";
6928 defparam \inst|d_hsync_counter_out_9_~I .input_register_mode = "none";
6929 defparam \inst|d_hsync_counter_out_9_~I .input_sync_reset = "none";
6930 defparam \inst|d_hsync_counter_out_9_~I .oe_async_reset = "none";
6931 defparam \inst|d_hsync_counter_out_9_~I .oe_power_up = "low";
6932 defparam \inst|d_hsync_counter_out_9_~I .oe_register_mode = "none";
6933 defparam \inst|d_hsync_counter_out_9_~I .oe_sync_reset = "none";
6934 defparam \inst|d_hsync_counter_out_9_~I .operation_mode = "output";
6935 defparam \inst|d_hsync_counter_out_9_~I .output_async_reset = "none";
6936 defparam \inst|d_hsync_counter_out_9_~I .output_power_up = "low";
6937 defparam \inst|d_hsync_counter_out_9_~I .output_register_mode = "none";
6938 defparam \inst|d_hsync_counter_out_9_~I .output_sync_reset = "none";
6939 // synopsys translate_on
6941 // atom is at PIN_G22
6942 stratix_io \inst|d_hsync_counter_out_8_~I (
6943 .datain(\inst|vga_driver_unit|hsync_counter_8 ),
6959 .padio(d_hsync_counter[8]),
6960 .dqsundelayedout());
6961 // synopsys translate_off
6962 defparam \inst|d_hsync_counter_out_8_~I .ddio_mode = "none";
6963 defparam \inst|d_hsync_counter_out_8_~I .input_async_reset = "none";
6964 defparam \inst|d_hsync_counter_out_8_~I .input_power_up = "low";
6965 defparam \inst|d_hsync_counter_out_8_~I .input_register_mode = "none";
6966 defparam \inst|d_hsync_counter_out_8_~I .input_sync_reset = "none";
6967 defparam \inst|d_hsync_counter_out_8_~I .oe_async_reset = "none";
6968 defparam \inst|d_hsync_counter_out_8_~I .oe_power_up = "low";
6969 defparam \inst|d_hsync_counter_out_8_~I .oe_register_mode = "none";
6970 defparam \inst|d_hsync_counter_out_8_~I .oe_sync_reset = "none";
6971 defparam \inst|d_hsync_counter_out_8_~I .operation_mode = "output";
6972 defparam \inst|d_hsync_counter_out_8_~I .output_async_reset = "none";
6973 defparam \inst|d_hsync_counter_out_8_~I .output_power_up = "low";
6974 defparam \inst|d_hsync_counter_out_8_~I .output_register_mode = "none";
6975 defparam \inst|d_hsync_counter_out_8_~I .output_sync_reset = "none";
6976 // synopsys translate_on
6978 // atom is at PIN_G25
6979 stratix_io \inst|d_hsync_counter_out_7_~I (
6980 .datain(\inst|vga_driver_unit|hsync_counter_7 ),
6996 .padio(d_hsync_counter[7]),
6997 .dqsundelayedout());
6998 // synopsys translate_off
6999 defparam \inst|d_hsync_counter_out_7_~I .ddio_mode = "none";
7000 defparam \inst|d_hsync_counter_out_7_~I .input_async_reset = "none";
7001 defparam \inst|d_hsync_counter_out_7_~I .input_power_up = "low";
7002 defparam \inst|d_hsync_counter_out_7_~I .input_register_mode = "none";
7003 defparam \inst|d_hsync_counter_out_7_~I .input_sync_reset = "none";
7004 defparam \inst|d_hsync_counter_out_7_~I .oe_async_reset = "none";
7005 defparam \inst|d_hsync_counter_out_7_~I .oe_power_up = "low";
7006 defparam \inst|d_hsync_counter_out_7_~I .oe_register_mode = "none";
7007 defparam \inst|d_hsync_counter_out_7_~I .oe_sync_reset = "none";
7008 defparam \inst|d_hsync_counter_out_7_~I .operation_mode = "output";
7009 defparam \inst|d_hsync_counter_out_7_~I .output_async_reset = "none";
7010 defparam \inst|d_hsync_counter_out_7_~I .output_power_up = "low";
7011 defparam \inst|d_hsync_counter_out_7_~I .output_register_mode = "none";
7012 defparam \inst|d_hsync_counter_out_7_~I .output_sync_reset = "none";
7013 // synopsys translate_on
7015 // atom is at PIN_A17
7016 stratix_io \inst|d_hsync_counter_out_6_~I (
7017 .datain(\inst|vga_driver_unit|hsync_counter_6 ),
7033 .padio(d_hsync_counter[6]),
7034 .dqsundelayedout());
7035 // synopsys translate_off
7036 defparam \inst|d_hsync_counter_out_6_~I .ddio_mode = "none";
7037 defparam \inst|d_hsync_counter_out_6_~I .input_async_reset = "none";
7038 defparam \inst|d_hsync_counter_out_6_~I .input_power_up = "low";
7039 defparam \inst|d_hsync_counter_out_6_~I .input_register_mode = "none";
7040 defparam \inst|d_hsync_counter_out_6_~I .input_sync_reset = "none";
7041 defparam \inst|d_hsync_counter_out_6_~I .oe_async_reset = "none";
7042 defparam \inst|d_hsync_counter_out_6_~I .oe_power_up = "low";
7043 defparam \inst|d_hsync_counter_out_6_~I .oe_register_mode = "none";
7044 defparam \inst|d_hsync_counter_out_6_~I .oe_sync_reset = "none";
7045 defparam \inst|d_hsync_counter_out_6_~I .operation_mode = "output";
7046 defparam \inst|d_hsync_counter_out_6_~I .output_async_reset = "none";
7047 defparam \inst|d_hsync_counter_out_6_~I .output_power_up = "low";
7048 defparam \inst|d_hsync_counter_out_6_~I .output_register_mode = "none";
7049 defparam \inst|d_hsync_counter_out_6_~I .output_sync_reset = "none";
7050 // synopsys translate_on
7052 // atom is at PIN_F25
7053 stratix_io \inst|d_hsync_counter_out_5_~I (
7054 .datain(\inst|vga_driver_unit|hsync_counter_5 ),
7070 .padio(d_hsync_counter[5]),
7071 .dqsundelayedout());
7072 // synopsys translate_off
7073 defparam \inst|d_hsync_counter_out_5_~I .ddio_mode = "none";
7074 defparam \inst|d_hsync_counter_out_5_~I .input_async_reset = "none";
7075 defparam \inst|d_hsync_counter_out_5_~I .input_power_up = "low";
7076 defparam \inst|d_hsync_counter_out_5_~I .input_register_mode = "none";
7077 defparam \inst|d_hsync_counter_out_5_~I .input_sync_reset = "none";
7078 defparam \inst|d_hsync_counter_out_5_~I .oe_async_reset = "none";
7079 defparam \inst|d_hsync_counter_out_5_~I .oe_power_up = "low";
7080 defparam \inst|d_hsync_counter_out_5_~I .oe_register_mode = "none";
7081 defparam \inst|d_hsync_counter_out_5_~I .oe_sync_reset = "none";
7082 defparam \inst|d_hsync_counter_out_5_~I .operation_mode = "output";
7083 defparam \inst|d_hsync_counter_out_5_~I .output_async_reset = "none";
7084 defparam \inst|d_hsync_counter_out_5_~I .output_power_up = "low";
7085 defparam \inst|d_hsync_counter_out_5_~I .output_register_mode = "none";
7086 defparam \inst|d_hsync_counter_out_5_~I .output_sync_reset = "none";
7087 // synopsys translate_on
7089 // atom is at PIN_D17
7090 stratix_io \inst|d_hsync_counter_out_4_~I (
7091 .datain(\inst|vga_driver_unit|hsync_counter_4 ),
7107 .padio(d_hsync_counter[4]),
7108 .dqsundelayedout());
7109 // synopsys translate_off
7110 defparam \inst|d_hsync_counter_out_4_~I .ddio_mode = "none";
7111 defparam \inst|d_hsync_counter_out_4_~I .input_async_reset = "none";
7112 defparam \inst|d_hsync_counter_out_4_~I .input_power_up = "low";
7113 defparam \inst|d_hsync_counter_out_4_~I .input_register_mode = "none";
7114 defparam \inst|d_hsync_counter_out_4_~I .input_sync_reset = "none";
7115 defparam \inst|d_hsync_counter_out_4_~I .oe_async_reset = "none";
7116 defparam \inst|d_hsync_counter_out_4_~I .oe_power_up = "low";
7117 defparam \inst|d_hsync_counter_out_4_~I .oe_register_mode = "none";
7118 defparam \inst|d_hsync_counter_out_4_~I .oe_sync_reset = "none";
7119 defparam \inst|d_hsync_counter_out_4_~I .operation_mode = "output";
7120 defparam \inst|d_hsync_counter_out_4_~I .output_async_reset = "none";
7121 defparam \inst|d_hsync_counter_out_4_~I .output_power_up = "low";
7122 defparam \inst|d_hsync_counter_out_4_~I .output_register_mode = "none";
7123 defparam \inst|d_hsync_counter_out_4_~I .output_sync_reset = "none";
7124 // synopsys translate_on
7126 // atom is at PIN_AE16
7127 stratix_io \inst|d_hsync_counter_out_3_~I (
7128 .datain(\inst|vga_driver_unit|hsync_counter_3 ),
7144 .padio(d_hsync_counter[3]),
7145 .dqsundelayedout());
7146 // synopsys translate_off
7147 defparam \inst|d_hsync_counter_out_3_~I .ddio_mode = "none";
7148 defparam \inst|d_hsync_counter_out_3_~I .input_async_reset = "none";
7149 defparam \inst|d_hsync_counter_out_3_~I .input_power_up = "low";
7150 defparam \inst|d_hsync_counter_out_3_~I .input_register_mode = "none";
7151 defparam \inst|d_hsync_counter_out_3_~I .input_sync_reset = "none";
7152 defparam \inst|d_hsync_counter_out_3_~I .oe_async_reset = "none";
7153 defparam \inst|d_hsync_counter_out_3_~I .oe_power_up = "low";
7154 defparam \inst|d_hsync_counter_out_3_~I .oe_register_mode = "none";
7155 defparam \inst|d_hsync_counter_out_3_~I .oe_sync_reset = "none";
7156 defparam \inst|d_hsync_counter_out_3_~I .operation_mode = "output";
7157 defparam \inst|d_hsync_counter_out_3_~I .output_async_reset = "none";
7158 defparam \inst|d_hsync_counter_out_3_~I .output_power_up = "low";
7159 defparam \inst|d_hsync_counter_out_3_~I .output_register_mode = "none";
7160 defparam \inst|d_hsync_counter_out_3_~I .output_sync_reset = "none";
7161 // synopsys translate_on
7163 // atom is at PIN_G17
7164 stratix_io \inst|d_hsync_counter_out_2_~I (
7165 .datain(\inst|vga_driver_unit|hsync_counter_2 ),
7181 .padio(d_hsync_counter[2]),
7182 .dqsundelayedout());
7183 // synopsys translate_off
7184 defparam \inst|d_hsync_counter_out_2_~I .ddio_mode = "none";
7185 defparam \inst|d_hsync_counter_out_2_~I .input_async_reset = "none";
7186 defparam \inst|d_hsync_counter_out_2_~I .input_power_up = "low";
7187 defparam \inst|d_hsync_counter_out_2_~I .input_register_mode = "none";
7188 defparam \inst|d_hsync_counter_out_2_~I .input_sync_reset = "none";
7189 defparam \inst|d_hsync_counter_out_2_~I .oe_async_reset = "none";
7190 defparam \inst|d_hsync_counter_out_2_~I .oe_power_up = "low";
7191 defparam \inst|d_hsync_counter_out_2_~I .oe_register_mode = "none";
7192 defparam \inst|d_hsync_counter_out_2_~I .oe_sync_reset = "none";
7193 defparam \inst|d_hsync_counter_out_2_~I .operation_mode = "output";
7194 defparam \inst|d_hsync_counter_out_2_~I .output_async_reset = "none";
7195 defparam \inst|d_hsync_counter_out_2_~I .output_power_up = "low";
7196 defparam \inst|d_hsync_counter_out_2_~I .output_register_mode = "none";
7197 defparam \inst|d_hsync_counter_out_2_~I .output_sync_reset = "none";
7198 // synopsys translate_on
7200 // atom is at PIN_AA17
7201 stratix_io \inst|d_hsync_counter_out_1_~I (
7202 .datain(\inst|vga_driver_unit|hsync_counter_1 ),
7218 .padio(d_hsync_counter[1]),
7219 .dqsundelayedout());
7220 // synopsys translate_off
7221 defparam \inst|d_hsync_counter_out_1_~I .ddio_mode = "none";
7222 defparam \inst|d_hsync_counter_out_1_~I .input_async_reset = "none";
7223 defparam \inst|d_hsync_counter_out_1_~I .input_power_up = "low";
7224 defparam \inst|d_hsync_counter_out_1_~I .input_register_mode = "none";
7225 defparam \inst|d_hsync_counter_out_1_~I .input_sync_reset = "none";
7226 defparam \inst|d_hsync_counter_out_1_~I .oe_async_reset = "none";
7227 defparam \inst|d_hsync_counter_out_1_~I .oe_power_up = "low";
7228 defparam \inst|d_hsync_counter_out_1_~I .oe_register_mode = "none";
7229 defparam \inst|d_hsync_counter_out_1_~I .oe_sync_reset = "none";
7230 defparam \inst|d_hsync_counter_out_1_~I .operation_mode = "output";
7231 defparam \inst|d_hsync_counter_out_1_~I .output_async_reset = "none";
7232 defparam \inst|d_hsync_counter_out_1_~I .output_power_up = "low";
7233 defparam \inst|d_hsync_counter_out_1_~I .output_register_mode = "none";
7234 defparam \inst|d_hsync_counter_out_1_~I .output_sync_reset = "none";
7235 // synopsys translate_on
7237 // atom is at PIN_H4
7238 stratix_io \inst|d_hsync_counter_out_0_~I (
7239 .datain(\inst|vga_driver_unit|hsync_counter_0 ),
7255 .padio(d_hsync_counter[0]),
7256 .dqsundelayedout());
7257 // synopsys translate_off
7258 defparam \inst|d_hsync_counter_out_0_~I .ddio_mode = "none";
7259 defparam \inst|d_hsync_counter_out_0_~I .input_async_reset = "none";
7260 defparam \inst|d_hsync_counter_out_0_~I .input_power_up = "low";
7261 defparam \inst|d_hsync_counter_out_0_~I .input_register_mode = "none";
7262 defparam \inst|d_hsync_counter_out_0_~I .input_sync_reset = "none";
7263 defparam \inst|d_hsync_counter_out_0_~I .oe_async_reset = "none";
7264 defparam \inst|d_hsync_counter_out_0_~I .oe_power_up = "low";
7265 defparam \inst|d_hsync_counter_out_0_~I .oe_register_mode = "none";
7266 defparam \inst|d_hsync_counter_out_0_~I .oe_sync_reset = "none";
7267 defparam \inst|d_hsync_counter_out_0_~I .operation_mode = "output";
7268 defparam \inst|d_hsync_counter_out_0_~I .output_async_reset = "none";
7269 defparam \inst|d_hsync_counter_out_0_~I .output_power_up = "low";
7270 defparam \inst|d_hsync_counter_out_0_~I .output_register_mode = "none";
7271 defparam \inst|d_hsync_counter_out_0_~I .output_sync_reset = "none";
7272 // synopsys translate_on
7274 // atom is at PIN_Y5
7275 stratix_io \inst|d_hsync_state_out_0_~I (
7276 .datain(\inst|vga_driver_unit|hsync_state_0 ),
7292 .padio(d_hsync_state[0]),
7293 .dqsundelayedout());
7294 // synopsys translate_off
7295 defparam \inst|d_hsync_state_out_0_~I .ddio_mode = "none";
7296 defparam \inst|d_hsync_state_out_0_~I .input_async_reset = "none";
7297 defparam \inst|d_hsync_state_out_0_~I .input_power_up = "low";
7298 defparam \inst|d_hsync_state_out_0_~I .input_register_mode = "none";
7299 defparam \inst|d_hsync_state_out_0_~I .input_sync_reset = "none";
7300 defparam \inst|d_hsync_state_out_0_~I .oe_async_reset = "none";
7301 defparam \inst|d_hsync_state_out_0_~I .oe_power_up = "low";
7302 defparam \inst|d_hsync_state_out_0_~I .oe_register_mode = "none";
7303 defparam \inst|d_hsync_state_out_0_~I .oe_sync_reset = "none";
7304 defparam \inst|d_hsync_state_out_0_~I .operation_mode = "output";
7305 defparam \inst|d_hsync_state_out_0_~I .output_async_reset = "none";
7306 defparam \inst|d_hsync_state_out_0_~I .output_power_up = "low";
7307 defparam \inst|d_hsync_state_out_0_~I .output_register_mode = "none";
7308 defparam \inst|d_hsync_state_out_0_~I .output_sync_reset = "none";
7309 // synopsys translate_on
7311 // atom is at PIN_F19
7312 stratix_io \inst|d_hsync_state_out_1_~I (
7313 .datain(\inst|vga_driver_unit|hsync_state_1 ),
7329 .padio(d_hsync_state[1]),
7330 .dqsundelayedout());
7331 // synopsys translate_off
7332 defparam \inst|d_hsync_state_out_1_~I .ddio_mode = "none";
7333 defparam \inst|d_hsync_state_out_1_~I .input_async_reset = "none";
7334 defparam \inst|d_hsync_state_out_1_~I .input_power_up = "low";
7335 defparam \inst|d_hsync_state_out_1_~I .input_register_mode = "none";
7336 defparam \inst|d_hsync_state_out_1_~I .input_sync_reset = "none";
7337 defparam \inst|d_hsync_state_out_1_~I .oe_async_reset = "none";
7338 defparam \inst|d_hsync_state_out_1_~I .oe_power_up = "low";
7339 defparam \inst|d_hsync_state_out_1_~I .oe_register_mode = "none";
7340 defparam \inst|d_hsync_state_out_1_~I .oe_sync_reset = "none";
7341 defparam \inst|d_hsync_state_out_1_~I .operation_mode = "output";
7342 defparam \inst|d_hsync_state_out_1_~I .output_async_reset = "none";
7343 defparam \inst|d_hsync_state_out_1_~I .output_power_up = "low";
7344 defparam \inst|d_hsync_state_out_1_~I .output_register_mode = "none";
7345 defparam \inst|d_hsync_state_out_1_~I .output_sync_reset = "none";
7346 // synopsys translate_on
7348 // atom is at PIN_F17
7349 stratix_io \inst|d_hsync_state_out_2_~I (
7350 .datain(\inst|vga_driver_unit|hsync_state_2 ),
7366 .padio(d_hsync_state[2]),
7367 .dqsundelayedout());
7368 // synopsys translate_off
7369 defparam \inst|d_hsync_state_out_2_~I .ddio_mode = "none";
7370 defparam \inst|d_hsync_state_out_2_~I .input_async_reset = "none";
7371 defparam \inst|d_hsync_state_out_2_~I .input_power_up = "low";
7372 defparam \inst|d_hsync_state_out_2_~I .input_register_mode = "none";
7373 defparam \inst|d_hsync_state_out_2_~I .input_sync_reset = "none";
7374 defparam \inst|d_hsync_state_out_2_~I .oe_async_reset = "none";
7375 defparam \inst|d_hsync_state_out_2_~I .oe_power_up = "low";
7376 defparam \inst|d_hsync_state_out_2_~I .oe_register_mode = "none";
7377 defparam \inst|d_hsync_state_out_2_~I .oe_sync_reset = "none";
7378 defparam \inst|d_hsync_state_out_2_~I .operation_mode = "output";
7379 defparam \inst|d_hsync_state_out_2_~I .output_async_reset = "none";
7380 defparam \inst|d_hsync_state_out_2_~I .output_power_up = "low";
7381 defparam \inst|d_hsync_state_out_2_~I .output_register_mode = "none";
7382 defparam \inst|d_hsync_state_out_2_~I .output_sync_reset = "none";
7383 // synopsys translate_on
7385 // atom is at PIN_Y2
7386 stratix_io \inst|d_hsync_state_out_3_~I (
7387 .datain(\inst|vga_driver_unit|hsync_state_3 ),
7403 .padio(d_hsync_state[3]),
7404 .dqsundelayedout());
7405 // synopsys translate_off
7406 defparam \inst|d_hsync_state_out_3_~I .ddio_mode = "none";
7407 defparam \inst|d_hsync_state_out_3_~I .input_async_reset = "none";
7408 defparam \inst|d_hsync_state_out_3_~I .input_power_up = "low";
7409 defparam \inst|d_hsync_state_out_3_~I .input_register_mode = "none";
7410 defparam \inst|d_hsync_state_out_3_~I .input_sync_reset = "none";
7411 defparam \inst|d_hsync_state_out_3_~I .oe_async_reset = "none";
7412 defparam \inst|d_hsync_state_out_3_~I .oe_power_up = "low";
7413 defparam \inst|d_hsync_state_out_3_~I .oe_register_mode = "none";
7414 defparam \inst|d_hsync_state_out_3_~I .oe_sync_reset = "none";
7415 defparam \inst|d_hsync_state_out_3_~I .operation_mode = "output";
7416 defparam \inst|d_hsync_state_out_3_~I .output_async_reset = "none";
7417 defparam \inst|d_hsync_state_out_3_~I .output_power_up = "low";
7418 defparam \inst|d_hsync_state_out_3_~I .output_register_mode = "none";
7419 defparam \inst|d_hsync_state_out_3_~I .output_sync_reset = "none";
7420 // synopsys translate_on
7422 // atom is at PIN_F10
7423 stratix_io \inst|d_hsync_state_out_4_~I (
7424 .datain(\inst|vga_driver_unit|hsync_state_4 ),
7440 .padio(d_hsync_state[4]),
7441 .dqsundelayedout());
7442 // synopsys translate_off
7443 defparam \inst|d_hsync_state_out_4_~I .ddio_mode = "none";
7444 defparam \inst|d_hsync_state_out_4_~I .input_async_reset = "none";
7445 defparam \inst|d_hsync_state_out_4_~I .input_power_up = "low";
7446 defparam \inst|d_hsync_state_out_4_~I .input_register_mode = "none";
7447 defparam \inst|d_hsync_state_out_4_~I .input_sync_reset = "none";
7448 defparam \inst|d_hsync_state_out_4_~I .oe_async_reset = "none";
7449 defparam \inst|d_hsync_state_out_4_~I .oe_power_up = "low";
7450 defparam \inst|d_hsync_state_out_4_~I .oe_register_mode = "none";
7451 defparam \inst|d_hsync_state_out_4_~I .oe_sync_reset = "none";
7452 defparam \inst|d_hsync_state_out_4_~I .operation_mode = "output";
7453 defparam \inst|d_hsync_state_out_4_~I .output_async_reset = "none";
7454 defparam \inst|d_hsync_state_out_4_~I .output_power_up = "low";
7455 defparam \inst|d_hsync_state_out_4_~I .output_register_mode = "none";
7456 defparam \inst|d_hsync_state_out_4_~I .output_sync_reset = "none";
7457 // synopsys translate_on
7459 // atom is at PIN_F9
7460 stratix_io \inst|d_hsync_state_out_5_~I (
7461 .datain(\inst|vga_driver_unit|hsync_state_5 ),
7477 .padio(d_hsync_state[5]),
7478 .dqsundelayedout());
7479 // synopsys translate_off
7480 defparam \inst|d_hsync_state_out_5_~I .ddio_mode = "none";
7481 defparam \inst|d_hsync_state_out_5_~I .input_async_reset = "none";
7482 defparam \inst|d_hsync_state_out_5_~I .input_power_up = "low";
7483 defparam \inst|d_hsync_state_out_5_~I .input_register_mode = "none";
7484 defparam \inst|d_hsync_state_out_5_~I .input_sync_reset = "none";
7485 defparam \inst|d_hsync_state_out_5_~I .oe_async_reset = "none";
7486 defparam \inst|d_hsync_state_out_5_~I .oe_power_up = "low";
7487 defparam \inst|d_hsync_state_out_5_~I .oe_register_mode = "none";
7488 defparam \inst|d_hsync_state_out_5_~I .oe_sync_reset = "none";
7489 defparam \inst|d_hsync_state_out_5_~I .operation_mode = "output";
7490 defparam \inst|d_hsync_state_out_5_~I .output_async_reset = "none";
7491 defparam \inst|d_hsync_state_out_5_~I .output_power_up = "low";
7492 defparam \inst|d_hsync_state_out_5_~I .output_register_mode = "none";
7493 defparam \inst|d_hsync_state_out_5_~I .output_sync_reset = "none";
7494 // synopsys translate_on
7496 // atom is at PIN_F6
7497 stratix_io \inst|d_hsync_state_out_6_~I (
7498 .datain(\inst|vga_driver_unit|hsync_state_6 ),
7514 .padio(d_hsync_state[6]),
7515 .dqsundelayedout());
7516 // synopsys translate_off
7517 defparam \inst|d_hsync_state_out_6_~I .ddio_mode = "none";
7518 defparam \inst|d_hsync_state_out_6_~I .input_async_reset = "none";
7519 defparam \inst|d_hsync_state_out_6_~I .input_power_up = "low";
7520 defparam \inst|d_hsync_state_out_6_~I .input_register_mode = "none";
7521 defparam \inst|d_hsync_state_out_6_~I .input_sync_reset = "none";
7522 defparam \inst|d_hsync_state_out_6_~I .oe_async_reset = "none";
7523 defparam \inst|d_hsync_state_out_6_~I .oe_power_up = "low";
7524 defparam \inst|d_hsync_state_out_6_~I .oe_register_mode = "none";
7525 defparam \inst|d_hsync_state_out_6_~I .oe_sync_reset = "none";
7526 defparam \inst|d_hsync_state_out_6_~I .operation_mode = "output";
7527 defparam \inst|d_hsync_state_out_6_~I .output_async_reset = "none";
7528 defparam \inst|d_hsync_state_out_6_~I .output_power_up = "low";
7529 defparam \inst|d_hsync_state_out_6_~I .output_register_mode = "none";
7530 defparam \inst|d_hsync_state_out_6_~I .output_sync_reset = "none";
7531 // synopsys translate_on
7533 // atom is at PIN_L25
7534 stratix_io \inst|d_line_counter_out_8_~I (
7535 .datain(\inst|vga_driver_unit|line_counter_sig_8 ),
7551 .padio(d_line_counter[8]),
7552 .dqsundelayedout());
7553 // synopsys translate_off
7554 defparam \inst|d_line_counter_out_8_~I .ddio_mode = "none";
7555 defparam \inst|d_line_counter_out_8_~I .input_async_reset = "none";
7556 defparam \inst|d_line_counter_out_8_~I .input_power_up = "low";
7557 defparam \inst|d_line_counter_out_8_~I .input_register_mode = "none";
7558 defparam \inst|d_line_counter_out_8_~I .input_sync_reset = "none";
7559 defparam \inst|d_line_counter_out_8_~I .oe_async_reset = "none";
7560 defparam \inst|d_line_counter_out_8_~I .oe_power_up = "low";
7561 defparam \inst|d_line_counter_out_8_~I .oe_register_mode = "none";
7562 defparam \inst|d_line_counter_out_8_~I .oe_sync_reset = "none";
7563 defparam \inst|d_line_counter_out_8_~I .operation_mode = "output";
7564 defparam \inst|d_line_counter_out_8_~I .output_async_reset = "none";
7565 defparam \inst|d_line_counter_out_8_~I .output_power_up = "low";
7566 defparam \inst|d_line_counter_out_8_~I .output_register_mode = "none";
7567 defparam \inst|d_line_counter_out_8_~I .output_sync_reset = "none";
7568 // synopsys translate_on
7570 // atom is at PIN_L24
7571 stratix_io \inst|d_line_counter_out_7_~I (
7572 .datain(\inst|vga_driver_unit|line_counter_sig_7 ),
7588 .padio(d_line_counter[7]),
7589 .dqsundelayedout());
7590 // synopsys translate_off
7591 defparam \inst|d_line_counter_out_7_~I .ddio_mode = "none";
7592 defparam \inst|d_line_counter_out_7_~I .input_async_reset = "none";
7593 defparam \inst|d_line_counter_out_7_~I .input_power_up = "low";
7594 defparam \inst|d_line_counter_out_7_~I .input_register_mode = "none";
7595 defparam \inst|d_line_counter_out_7_~I .input_sync_reset = "none";
7596 defparam \inst|d_line_counter_out_7_~I .oe_async_reset = "none";
7597 defparam \inst|d_line_counter_out_7_~I .oe_power_up = "low";
7598 defparam \inst|d_line_counter_out_7_~I .oe_register_mode = "none";
7599 defparam \inst|d_line_counter_out_7_~I .oe_sync_reset = "none";
7600 defparam \inst|d_line_counter_out_7_~I .operation_mode = "output";
7601 defparam \inst|d_line_counter_out_7_~I .output_async_reset = "none";
7602 defparam \inst|d_line_counter_out_7_~I .output_power_up = "low";
7603 defparam \inst|d_line_counter_out_7_~I .output_register_mode = "none";
7604 defparam \inst|d_line_counter_out_7_~I .output_sync_reset = "none";
7605 // synopsys translate_on
7607 // atom is at PIN_M5
7608 stratix_io \inst|d_line_counter_out_6_~I (
7609 .datain(\inst|vga_driver_unit|line_counter_sig_6 ),
7625 .padio(d_line_counter[6]),
7626 .dqsundelayedout());
7627 // synopsys translate_off
7628 defparam \inst|d_line_counter_out_6_~I .ddio_mode = "none";
7629 defparam \inst|d_line_counter_out_6_~I .input_async_reset = "none";
7630 defparam \inst|d_line_counter_out_6_~I .input_power_up = "low";
7631 defparam \inst|d_line_counter_out_6_~I .input_register_mode = "none";
7632 defparam \inst|d_line_counter_out_6_~I .input_sync_reset = "none";
7633 defparam \inst|d_line_counter_out_6_~I .oe_async_reset = "none";
7634 defparam \inst|d_line_counter_out_6_~I .oe_power_up = "low";
7635 defparam \inst|d_line_counter_out_6_~I .oe_register_mode = "none";
7636 defparam \inst|d_line_counter_out_6_~I .oe_sync_reset = "none";
7637 defparam \inst|d_line_counter_out_6_~I .operation_mode = "output";
7638 defparam \inst|d_line_counter_out_6_~I .output_async_reset = "none";
7639 defparam \inst|d_line_counter_out_6_~I .output_power_up = "low";
7640 defparam \inst|d_line_counter_out_6_~I .output_register_mode = "none";
7641 defparam \inst|d_line_counter_out_6_~I .output_sync_reset = "none";
7642 // synopsys translate_on
7644 // atom is at PIN_M6
7645 stratix_io \inst|d_line_counter_out_5_~I (
7646 .datain(\inst|vga_driver_unit|line_counter_sig_5 ),
7662 .padio(d_line_counter[5]),
7663 .dqsundelayedout());
7664 // synopsys translate_off
7665 defparam \inst|d_line_counter_out_5_~I .ddio_mode = "none";
7666 defparam \inst|d_line_counter_out_5_~I .input_async_reset = "none";
7667 defparam \inst|d_line_counter_out_5_~I .input_power_up = "low";
7668 defparam \inst|d_line_counter_out_5_~I .input_register_mode = "none";
7669 defparam \inst|d_line_counter_out_5_~I .input_sync_reset = "none";
7670 defparam \inst|d_line_counter_out_5_~I .oe_async_reset = "none";
7671 defparam \inst|d_line_counter_out_5_~I .oe_power_up = "low";
7672 defparam \inst|d_line_counter_out_5_~I .oe_register_mode = "none";
7673 defparam \inst|d_line_counter_out_5_~I .oe_sync_reset = "none";
7674 defparam \inst|d_line_counter_out_5_~I .operation_mode = "output";
7675 defparam \inst|d_line_counter_out_5_~I .output_async_reset = "none";
7676 defparam \inst|d_line_counter_out_5_~I .output_power_up = "low";
7677 defparam \inst|d_line_counter_out_5_~I .output_register_mode = "none";
7678 defparam \inst|d_line_counter_out_5_~I .output_sync_reset = "none";
7679 // synopsys translate_on
7681 // atom is at PIN_M8
7682 stratix_io \inst|d_line_counter_out_4_~I (
7683 .datain(\inst|vga_driver_unit|line_counter_sig_4 ),
7699 .padio(d_line_counter[4]),
7700 .dqsundelayedout());
7701 // synopsys translate_off
7702 defparam \inst|d_line_counter_out_4_~I .ddio_mode = "none";
7703 defparam \inst|d_line_counter_out_4_~I .input_async_reset = "none";
7704 defparam \inst|d_line_counter_out_4_~I .input_power_up = "low";
7705 defparam \inst|d_line_counter_out_4_~I .input_register_mode = "none";
7706 defparam \inst|d_line_counter_out_4_~I .input_sync_reset = "none";
7707 defparam \inst|d_line_counter_out_4_~I .oe_async_reset = "none";
7708 defparam \inst|d_line_counter_out_4_~I .oe_power_up = "low";
7709 defparam \inst|d_line_counter_out_4_~I .oe_register_mode = "none";
7710 defparam \inst|d_line_counter_out_4_~I .oe_sync_reset = "none";
7711 defparam \inst|d_line_counter_out_4_~I .operation_mode = "output";
7712 defparam \inst|d_line_counter_out_4_~I .output_async_reset = "none";
7713 defparam \inst|d_line_counter_out_4_~I .output_power_up = "low";
7714 defparam \inst|d_line_counter_out_4_~I .output_register_mode = "none";
7715 defparam \inst|d_line_counter_out_4_~I .output_sync_reset = "none";
7716 // synopsys translate_on
7718 // atom is at PIN_M9
7719 stratix_io \inst|d_line_counter_out_3_~I (
7720 .datain(\inst|vga_driver_unit|line_counter_sig_3 ),
7736 .padio(d_line_counter[3]),
7737 .dqsundelayedout());
7738 // synopsys translate_off
7739 defparam \inst|d_line_counter_out_3_~I .ddio_mode = "none";
7740 defparam \inst|d_line_counter_out_3_~I .input_async_reset = "none";
7741 defparam \inst|d_line_counter_out_3_~I .input_power_up = "low";
7742 defparam \inst|d_line_counter_out_3_~I .input_register_mode = "none";
7743 defparam \inst|d_line_counter_out_3_~I .input_sync_reset = "none";
7744 defparam \inst|d_line_counter_out_3_~I .oe_async_reset = "none";
7745 defparam \inst|d_line_counter_out_3_~I .oe_power_up = "low";
7746 defparam \inst|d_line_counter_out_3_~I .oe_register_mode = "none";
7747 defparam \inst|d_line_counter_out_3_~I .oe_sync_reset = "none";
7748 defparam \inst|d_line_counter_out_3_~I .operation_mode = "output";
7749 defparam \inst|d_line_counter_out_3_~I .output_async_reset = "none";
7750 defparam \inst|d_line_counter_out_3_~I .output_power_up = "low";
7751 defparam \inst|d_line_counter_out_3_~I .output_register_mode = "none";
7752 defparam \inst|d_line_counter_out_3_~I .output_sync_reset = "none";
7753 // synopsys translate_on
7755 // atom is at PIN_J22
7756 stratix_io \inst|d_line_counter_out_2_~I (
7757 .datain(\inst|vga_driver_unit|line_counter_sig_2 ),
7773 .padio(d_line_counter[2]),
7774 .dqsundelayedout());
7775 // synopsys translate_off
7776 defparam \inst|d_line_counter_out_2_~I .ddio_mode = "none";
7777 defparam \inst|d_line_counter_out_2_~I .input_async_reset = "none";
7778 defparam \inst|d_line_counter_out_2_~I .input_power_up = "low";
7779 defparam \inst|d_line_counter_out_2_~I .input_register_mode = "none";
7780 defparam \inst|d_line_counter_out_2_~I .input_sync_reset = "none";
7781 defparam \inst|d_line_counter_out_2_~I .oe_async_reset = "none";
7782 defparam \inst|d_line_counter_out_2_~I .oe_power_up = "low";
7783 defparam \inst|d_line_counter_out_2_~I .oe_register_mode = "none";
7784 defparam \inst|d_line_counter_out_2_~I .oe_sync_reset = "none";
7785 defparam \inst|d_line_counter_out_2_~I .operation_mode = "output";
7786 defparam \inst|d_line_counter_out_2_~I .output_async_reset = "none";
7787 defparam \inst|d_line_counter_out_2_~I .output_power_up = "low";
7788 defparam \inst|d_line_counter_out_2_~I .output_register_mode = "none";
7789 defparam \inst|d_line_counter_out_2_~I .output_sync_reset = "none";
7790 // synopsys translate_on
7792 // atom is at PIN_K4
7793 stratix_io \inst|d_line_counter_out_1_~I (
7794 .datain(\inst|vga_driver_unit|line_counter_sig_1 ),
7810 .padio(d_line_counter[1]),
7811 .dqsundelayedout());
7812 // synopsys translate_off
7813 defparam \inst|d_line_counter_out_1_~I .ddio_mode = "none";
7814 defparam \inst|d_line_counter_out_1_~I .input_async_reset = "none";
7815 defparam \inst|d_line_counter_out_1_~I .input_power_up = "low";
7816 defparam \inst|d_line_counter_out_1_~I .input_register_mode = "none";
7817 defparam \inst|d_line_counter_out_1_~I .input_sync_reset = "none";
7818 defparam \inst|d_line_counter_out_1_~I .oe_async_reset = "none";
7819 defparam \inst|d_line_counter_out_1_~I .oe_power_up = "low";
7820 defparam \inst|d_line_counter_out_1_~I .oe_register_mode = "none";
7821 defparam \inst|d_line_counter_out_1_~I .oe_sync_reset = "none";
7822 defparam \inst|d_line_counter_out_1_~I .operation_mode = "output";
7823 defparam \inst|d_line_counter_out_1_~I .output_async_reset = "none";
7824 defparam \inst|d_line_counter_out_1_~I .output_power_up = "low";
7825 defparam \inst|d_line_counter_out_1_~I .output_register_mode = "none";
7826 defparam \inst|d_line_counter_out_1_~I .output_sync_reset = "none";
7827 // synopsys translate_on
7829 // atom is at PIN_K6
7830 stratix_io \inst|d_line_counter_out_0_~I (
7831 .datain(\inst|vga_driver_unit|line_counter_sig_0 ),
7847 .padio(d_line_counter[0]),
7848 .dqsundelayedout());
7849 // synopsys translate_off
7850 defparam \inst|d_line_counter_out_0_~I .ddio_mode = "none";
7851 defparam \inst|d_line_counter_out_0_~I .input_async_reset = "none";
7852 defparam \inst|d_line_counter_out_0_~I .input_power_up = "low";
7853 defparam \inst|d_line_counter_out_0_~I .input_register_mode = "none";
7854 defparam \inst|d_line_counter_out_0_~I .input_sync_reset = "none";
7855 defparam \inst|d_line_counter_out_0_~I .oe_async_reset = "none";
7856 defparam \inst|d_line_counter_out_0_~I .oe_power_up = "low";
7857 defparam \inst|d_line_counter_out_0_~I .oe_register_mode = "none";
7858 defparam \inst|d_line_counter_out_0_~I .oe_sync_reset = "none";
7859 defparam \inst|d_line_counter_out_0_~I .operation_mode = "output";
7860 defparam \inst|d_line_counter_out_0_~I .output_async_reset = "none";
7861 defparam \inst|d_line_counter_out_0_~I .output_power_up = "low";
7862 defparam \inst|d_line_counter_out_0_~I .output_register_mode = "none";
7863 defparam \inst|d_line_counter_out_0_~I .output_sync_reset = "none";
7864 // synopsys translate_on
7866 // atom is at PIN_G2
7867 stratix_io \inst|d_vsync_counter_out_9_~I (
7868 .datain(\inst|vga_driver_unit|vsync_counter_9 ),
7884 .padio(d_vsync_counter[9]),
7885 .dqsundelayedout());
7886 // synopsys translate_off
7887 defparam \inst|d_vsync_counter_out_9_~I .ddio_mode = "none";
7888 defparam \inst|d_vsync_counter_out_9_~I .input_async_reset = "none";
7889 defparam \inst|d_vsync_counter_out_9_~I .input_power_up = "low";
7890 defparam \inst|d_vsync_counter_out_9_~I .input_register_mode = "none";
7891 defparam \inst|d_vsync_counter_out_9_~I .input_sync_reset = "none";
7892 defparam \inst|d_vsync_counter_out_9_~I .oe_async_reset = "none";
7893 defparam \inst|d_vsync_counter_out_9_~I .oe_power_up = "low";
7894 defparam \inst|d_vsync_counter_out_9_~I .oe_register_mode = "none";
7895 defparam \inst|d_vsync_counter_out_9_~I .oe_sync_reset = "none";
7896 defparam \inst|d_vsync_counter_out_9_~I .operation_mode = "output";
7897 defparam \inst|d_vsync_counter_out_9_~I .output_async_reset = "none";
7898 defparam \inst|d_vsync_counter_out_9_~I .output_power_up = "low";
7899 defparam \inst|d_vsync_counter_out_9_~I .output_register_mode = "none";
7900 defparam \inst|d_vsync_counter_out_9_~I .output_sync_reset = "none";
7901 // synopsys translate_on
7903 // atom is at PIN_G4
7904 stratix_io \inst|d_vsync_counter_out_8_~I (
7905 .datain(\inst|vga_driver_unit|vsync_counter_8 ),
7921 .padio(d_vsync_counter[8]),
7922 .dqsundelayedout());
7923 // synopsys translate_off
7924 defparam \inst|d_vsync_counter_out_8_~I .ddio_mode = "none";
7925 defparam \inst|d_vsync_counter_out_8_~I .input_async_reset = "none";
7926 defparam \inst|d_vsync_counter_out_8_~I .input_power_up = "low";
7927 defparam \inst|d_vsync_counter_out_8_~I .input_register_mode = "none";
7928 defparam \inst|d_vsync_counter_out_8_~I .input_sync_reset = "none";
7929 defparam \inst|d_vsync_counter_out_8_~I .oe_async_reset = "none";
7930 defparam \inst|d_vsync_counter_out_8_~I .oe_power_up = "low";
7931 defparam \inst|d_vsync_counter_out_8_~I .oe_register_mode = "none";
7932 defparam \inst|d_vsync_counter_out_8_~I .oe_sync_reset = "none";
7933 defparam \inst|d_vsync_counter_out_8_~I .operation_mode = "output";
7934 defparam \inst|d_vsync_counter_out_8_~I .output_async_reset = "none";
7935 defparam \inst|d_vsync_counter_out_8_~I .output_power_up = "low";
7936 defparam \inst|d_vsync_counter_out_8_~I .output_register_mode = "none";
7937 defparam \inst|d_vsync_counter_out_8_~I .output_sync_reset = "none";
7938 // synopsys translate_on
7940 // atom is at PIN_G6
7941 stratix_io \inst|d_vsync_counter_out_7_~I (
7942 .datain(\inst|vga_driver_unit|vsync_counter_7 ),
7958 .padio(d_vsync_counter[7]),
7959 .dqsundelayedout());
7960 // synopsys translate_off
7961 defparam \inst|d_vsync_counter_out_7_~I .ddio_mode = "none";
7962 defparam \inst|d_vsync_counter_out_7_~I .input_async_reset = "none";
7963 defparam \inst|d_vsync_counter_out_7_~I .input_power_up = "low";
7964 defparam \inst|d_vsync_counter_out_7_~I .input_register_mode = "none";
7965 defparam \inst|d_vsync_counter_out_7_~I .input_sync_reset = "none";
7966 defparam \inst|d_vsync_counter_out_7_~I .oe_async_reset = "none";
7967 defparam \inst|d_vsync_counter_out_7_~I .oe_power_up = "low";
7968 defparam \inst|d_vsync_counter_out_7_~I .oe_register_mode = "none";
7969 defparam \inst|d_vsync_counter_out_7_~I .oe_sync_reset = "none";
7970 defparam \inst|d_vsync_counter_out_7_~I .operation_mode = "output";
7971 defparam \inst|d_vsync_counter_out_7_~I .output_async_reset = "none";
7972 defparam \inst|d_vsync_counter_out_7_~I .output_power_up = "low";
7973 defparam \inst|d_vsync_counter_out_7_~I .output_register_mode = "none";
7974 defparam \inst|d_vsync_counter_out_7_~I .output_sync_reset = "none";
7975 // synopsys translate_on
7977 // atom is at PIN_K21
7978 stratix_io \inst|d_vsync_counter_out_6_~I (
7979 .datain(\inst|vga_driver_unit|vsync_counter_6 ),
7995 .padio(d_vsync_counter[6]),
7996 .dqsundelayedout());
7997 // synopsys translate_off
7998 defparam \inst|d_vsync_counter_out_6_~I .ddio_mode = "none";
7999 defparam \inst|d_vsync_counter_out_6_~I .input_async_reset = "none";
8000 defparam \inst|d_vsync_counter_out_6_~I .input_power_up = "low";
8001 defparam \inst|d_vsync_counter_out_6_~I .input_register_mode = "none";
8002 defparam \inst|d_vsync_counter_out_6_~I .input_sync_reset = "none";
8003 defparam \inst|d_vsync_counter_out_6_~I .oe_async_reset = "none";
8004 defparam \inst|d_vsync_counter_out_6_~I .oe_power_up = "low";
8005 defparam \inst|d_vsync_counter_out_6_~I .oe_register_mode = "none";
8006 defparam \inst|d_vsync_counter_out_6_~I .oe_sync_reset = "none";
8007 defparam \inst|d_vsync_counter_out_6_~I .operation_mode = "output";
8008 defparam \inst|d_vsync_counter_out_6_~I .output_async_reset = "none";
8009 defparam \inst|d_vsync_counter_out_6_~I .output_power_up = "low";
8010 defparam \inst|d_vsync_counter_out_6_~I .output_register_mode = "none";
8011 defparam \inst|d_vsync_counter_out_6_~I .output_sync_reset = "none";
8012 // synopsys translate_on
8014 // atom is at PIN_AA14
8015 stratix_io \inst|d_vsync_counter_out_5_~I (
8016 .datain(\inst|vga_driver_unit|vsync_counter_5 ),
8032 .padio(d_vsync_counter[5]),
8033 .dqsundelayedout());
8034 // synopsys translate_off
8035 defparam \inst|d_vsync_counter_out_5_~I .ddio_mode = "none";
8036 defparam \inst|d_vsync_counter_out_5_~I .input_async_reset = "none";
8037 defparam \inst|d_vsync_counter_out_5_~I .input_power_up = "low";
8038 defparam \inst|d_vsync_counter_out_5_~I .input_register_mode = "none";
8039 defparam \inst|d_vsync_counter_out_5_~I .input_sync_reset = "none";
8040 defparam \inst|d_vsync_counter_out_5_~I .oe_async_reset = "none";
8041 defparam \inst|d_vsync_counter_out_5_~I .oe_power_up = "low";
8042 defparam \inst|d_vsync_counter_out_5_~I .oe_register_mode = "none";
8043 defparam \inst|d_vsync_counter_out_5_~I .oe_sync_reset = "none";
8044 defparam \inst|d_vsync_counter_out_5_~I .operation_mode = "output";
8045 defparam \inst|d_vsync_counter_out_5_~I .output_async_reset = "none";
8046 defparam \inst|d_vsync_counter_out_5_~I .output_power_up = "low";
8047 defparam \inst|d_vsync_counter_out_5_~I .output_register_mode = "none";
8048 defparam \inst|d_vsync_counter_out_5_~I .output_sync_reset = "none";
8049 // synopsys translate_on
8051 // atom is at PIN_AB12
8052 stratix_io \inst|d_vsync_counter_out_4_~I (
8053 .datain(\inst|vga_driver_unit|vsync_counter_4 ),
8069 .padio(d_vsync_counter[4]),
8070 .dqsundelayedout());
8071 // synopsys translate_off
8072 defparam \inst|d_vsync_counter_out_4_~I .ddio_mode = "none";
8073 defparam \inst|d_vsync_counter_out_4_~I .input_async_reset = "none";
8074 defparam \inst|d_vsync_counter_out_4_~I .input_power_up = "low";
8075 defparam \inst|d_vsync_counter_out_4_~I .input_register_mode = "none";
8076 defparam \inst|d_vsync_counter_out_4_~I .input_sync_reset = "none";
8077 defparam \inst|d_vsync_counter_out_4_~I .oe_async_reset = "none";
8078 defparam \inst|d_vsync_counter_out_4_~I .oe_power_up = "low";
8079 defparam \inst|d_vsync_counter_out_4_~I .oe_register_mode = "none";
8080 defparam \inst|d_vsync_counter_out_4_~I .oe_sync_reset = "none";
8081 defparam \inst|d_vsync_counter_out_4_~I .operation_mode = "output";
8082 defparam \inst|d_vsync_counter_out_4_~I .output_async_reset = "none";
8083 defparam \inst|d_vsync_counter_out_4_~I .output_power_up = "low";
8084 defparam \inst|d_vsync_counter_out_4_~I .output_register_mode = "none";
8085 defparam \inst|d_vsync_counter_out_4_~I .output_sync_reset = "none";
8086 // synopsys translate_on
8088 // atom is at PIN_K7
8089 stratix_io \inst|d_vsync_counter_out_3_~I (
8090 .datain(\inst|vga_driver_unit|vsync_counter_3 ),
8106 .padio(d_vsync_counter[3]),
8107 .dqsundelayedout());
8108 // synopsys translate_off
8109 defparam \inst|d_vsync_counter_out_3_~I .ddio_mode = "none";
8110 defparam \inst|d_vsync_counter_out_3_~I .input_async_reset = "none";
8111 defparam \inst|d_vsync_counter_out_3_~I .input_power_up = "low";
8112 defparam \inst|d_vsync_counter_out_3_~I .input_register_mode = "none";
8113 defparam \inst|d_vsync_counter_out_3_~I .input_sync_reset = "none";
8114 defparam \inst|d_vsync_counter_out_3_~I .oe_async_reset = "none";
8115 defparam \inst|d_vsync_counter_out_3_~I .oe_power_up = "low";
8116 defparam \inst|d_vsync_counter_out_3_~I .oe_register_mode = "none";
8117 defparam \inst|d_vsync_counter_out_3_~I .oe_sync_reset = "none";
8118 defparam \inst|d_vsync_counter_out_3_~I .operation_mode = "output";
8119 defparam \inst|d_vsync_counter_out_3_~I .output_async_reset = "none";
8120 defparam \inst|d_vsync_counter_out_3_~I .output_power_up = "low";
8121 defparam \inst|d_vsync_counter_out_3_~I .output_register_mode = "none";
8122 defparam \inst|d_vsync_counter_out_3_~I .output_sync_reset = "none";
8123 // synopsys translate_on
8125 // atom is at PIN_E12
8126 stratix_io \inst|d_vsync_counter_out_2_~I (
8127 .datain(\inst|vga_driver_unit|vsync_counter_2 ),
8143 .padio(d_vsync_counter[2]),
8144 .dqsundelayedout());
8145 // synopsys translate_off
8146 defparam \inst|d_vsync_counter_out_2_~I .ddio_mode = "none";
8147 defparam \inst|d_vsync_counter_out_2_~I .input_async_reset = "none";
8148 defparam \inst|d_vsync_counter_out_2_~I .input_power_up = "low";
8149 defparam \inst|d_vsync_counter_out_2_~I .input_register_mode = "none";
8150 defparam \inst|d_vsync_counter_out_2_~I .input_sync_reset = "none";
8151 defparam \inst|d_vsync_counter_out_2_~I .oe_async_reset = "none";
8152 defparam \inst|d_vsync_counter_out_2_~I .oe_power_up = "low";
8153 defparam \inst|d_vsync_counter_out_2_~I .oe_register_mode = "none";
8154 defparam \inst|d_vsync_counter_out_2_~I .oe_sync_reset = "none";
8155 defparam \inst|d_vsync_counter_out_2_~I .operation_mode = "output";
8156 defparam \inst|d_vsync_counter_out_2_~I .output_async_reset = "none";
8157 defparam \inst|d_vsync_counter_out_2_~I .output_power_up = "low";
8158 defparam \inst|d_vsync_counter_out_2_~I .output_register_mode = "none";
8159 defparam \inst|d_vsync_counter_out_2_~I .output_sync_reset = "none";
8160 // synopsys translate_on
8162 // atom is at PIN_F14
8163 stratix_io \inst|d_vsync_counter_out_1_~I (
8164 .datain(\inst|vga_driver_unit|vsync_counter_1 ),
8180 .padio(d_vsync_counter[1]),
8181 .dqsundelayedout());
8182 // synopsys translate_off
8183 defparam \inst|d_vsync_counter_out_1_~I .ddio_mode = "none";
8184 defparam \inst|d_vsync_counter_out_1_~I .input_async_reset = "none";
8185 defparam \inst|d_vsync_counter_out_1_~I .input_power_up = "low";
8186 defparam \inst|d_vsync_counter_out_1_~I .input_register_mode = "none";
8187 defparam \inst|d_vsync_counter_out_1_~I .input_sync_reset = "none";
8188 defparam \inst|d_vsync_counter_out_1_~I .oe_async_reset = "none";
8189 defparam \inst|d_vsync_counter_out_1_~I .oe_power_up = "low";
8190 defparam \inst|d_vsync_counter_out_1_~I .oe_register_mode = "none";
8191 defparam \inst|d_vsync_counter_out_1_~I .oe_sync_reset = "none";
8192 defparam \inst|d_vsync_counter_out_1_~I .operation_mode = "output";
8193 defparam \inst|d_vsync_counter_out_1_~I .output_async_reset = "none";
8194 defparam \inst|d_vsync_counter_out_1_~I .output_power_up = "low";
8195 defparam \inst|d_vsync_counter_out_1_~I .output_register_mode = "none";
8196 defparam \inst|d_vsync_counter_out_1_~I .output_sync_reset = "none";
8197 // synopsys translate_on
8199 // atom is at PIN_G9
8200 stratix_io \inst|d_vsync_counter_out_0_~I (
8201 .datain(\inst|vga_driver_unit|vsync_counter_0 ),
8217 .padio(d_vsync_counter[0]),
8218 .dqsundelayedout());
8219 // synopsys translate_off
8220 defparam \inst|d_vsync_counter_out_0_~I .ddio_mode = "none";
8221 defparam \inst|d_vsync_counter_out_0_~I .input_async_reset = "none";
8222 defparam \inst|d_vsync_counter_out_0_~I .input_power_up = "low";
8223 defparam \inst|d_vsync_counter_out_0_~I .input_register_mode = "none";
8224 defparam \inst|d_vsync_counter_out_0_~I .input_sync_reset = "none";
8225 defparam \inst|d_vsync_counter_out_0_~I .oe_async_reset = "none";
8226 defparam \inst|d_vsync_counter_out_0_~I .oe_power_up = "low";
8227 defparam \inst|d_vsync_counter_out_0_~I .oe_register_mode = "none";
8228 defparam \inst|d_vsync_counter_out_0_~I .oe_sync_reset = "none";
8229 defparam \inst|d_vsync_counter_out_0_~I .operation_mode = "output";
8230 defparam \inst|d_vsync_counter_out_0_~I .output_async_reset = "none";
8231 defparam \inst|d_vsync_counter_out_0_~I .output_power_up = "low";
8232 defparam \inst|d_vsync_counter_out_0_~I .output_register_mode = "none";
8233 defparam \inst|d_vsync_counter_out_0_~I .output_sync_reset = "none";
8234 // synopsys translate_on
8236 // atom is at PIN_F5
8237 stratix_io \inst|d_vsync_state_out_0_~I (
8238 .datain(\inst|vga_driver_unit|vsync_state_0 ),
8254 .padio(d_vsync_state[0]),
8255 .dqsundelayedout());
8256 // synopsys translate_off
8257 defparam \inst|d_vsync_state_out_0_~I .ddio_mode = "none";
8258 defparam \inst|d_vsync_state_out_0_~I .input_async_reset = "none";
8259 defparam \inst|d_vsync_state_out_0_~I .input_power_up = "low";
8260 defparam \inst|d_vsync_state_out_0_~I .input_register_mode = "none";
8261 defparam \inst|d_vsync_state_out_0_~I .input_sync_reset = "none";
8262 defparam \inst|d_vsync_state_out_0_~I .oe_async_reset = "none";
8263 defparam \inst|d_vsync_state_out_0_~I .oe_power_up = "low";
8264 defparam \inst|d_vsync_state_out_0_~I .oe_register_mode = "none";
8265 defparam \inst|d_vsync_state_out_0_~I .oe_sync_reset = "none";
8266 defparam \inst|d_vsync_state_out_0_~I .operation_mode = "output";
8267 defparam \inst|d_vsync_state_out_0_~I .output_async_reset = "none";
8268 defparam \inst|d_vsync_state_out_0_~I .output_power_up = "low";
8269 defparam \inst|d_vsync_state_out_0_~I .output_register_mode = "none";
8270 defparam \inst|d_vsync_state_out_0_~I .output_sync_reset = "none";
8271 // synopsys translate_on
8273 // atom is at PIN_F4
8274 stratix_io \inst|d_vsync_state_out_1_~I (
8275 .datain(\inst|vga_driver_unit|vsync_state_1 ),
8291 .padio(d_vsync_state[1]),
8292 .dqsundelayedout());
8293 // synopsys translate_off
8294 defparam \inst|d_vsync_state_out_1_~I .ddio_mode = "none";
8295 defparam \inst|d_vsync_state_out_1_~I .input_async_reset = "none";
8296 defparam \inst|d_vsync_state_out_1_~I .input_power_up = "low";
8297 defparam \inst|d_vsync_state_out_1_~I .input_register_mode = "none";
8298 defparam \inst|d_vsync_state_out_1_~I .input_sync_reset = "none";
8299 defparam \inst|d_vsync_state_out_1_~I .oe_async_reset = "none";
8300 defparam \inst|d_vsync_state_out_1_~I .oe_power_up = "low";
8301 defparam \inst|d_vsync_state_out_1_~I .oe_register_mode = "none";
8302 defparam \inst|d_vsync_state_out_1_~I .oe_sync_reset = "none";
8303 defparam \inst|d_vsync_state_out_1_~I .operation_mode = "output";
8304 defparam \inst|d_vsync_state_out_1_~I .output_async_reset = "none";
8305 defparam \inst|d_vsync_state_out_1_~I .output_power_up = "low";
8306 defparam \inst|d_vsync_state_out_1_~I .output_register_mode = "none";
8307 defparam \inst|d_vsync_state_out_1_~I .output_sync_reset = "none";
8308 // synopsys translate_on
8310 // atom is at PIN_F3
8311 stratix_io \inst|d_vsync_state_out_2_~I (
8312 .datain(\inst|vga_driver_unit|vsync_state_2 ),
8328 .padio(d_vsync_state[2]),
8329 .dqsundelayedout());
8330 // synopsys translate_off
8331 defparam \inst|d_vsync_state_out_2_~I .ddio_mode = "none";
8332 defparam \inst|d_vsync_state_out_2_~I .input_async_reset = "none";
8333 defparam \inst|d_vsync_state_out_2_~I .input_power_up = "low";
8334 defparam \inst|d_vsync_state_out_2_~I .input_register_mode = "none";
8335 defparam \inst|d_vsync_state_out_2_~I .input_sync_reset = "none";
8336 defparam \inst|d_vsync_state_out_2_~I .oe_async_reset = "none";
8337 defparam \inst|d_vsync_state_out_2_~I .oe_power_up = "low";
8338 defparam \inst|d_vsync_state_out_2_~I .oe_register_mode = "none";
8339 defparam \inst|d_vsync_state_out_2_~I .oe_sync_reset = "none";
8340 defparam \inst|d_vsync_state_out_2_~I .operation_mode = "output";
8341 defparam \inst|d_vsync_state_out_2_~I .output_async_reset = "none";
8342 defparam \inst|d_vsync_state_out_2_~I .output_power_up = "low";
8343 defparam \inst|d_vsync_state_out_2_~I .output_register_mode = "none";
8344 defparam \inst|d_vsync_state_out_2_~I .output_sync_reset = "none";
8345 // synopsys translate_on
8347 // atom is at PIN_M19
8348 stratix_io \inst|d_vsync_state_out_3_~I (
8349 .datain(\inst|vga_driver_unit|vsync_state_3 ),
8365 .padio(d_vsync_state[3]),
8366 .dqsundelayedout());
8367 // synopsys translate_off
8368 defparam \inst|d_vsync_state_out_3_~I .ddio_mode = "none";
8369 defparam \inst|d_vsync_state_out_3_~I .input_async_reset = "none";
8370 defparam \inst|d_vsync_state_out_3_~I .input_power_up = "low";
8371 defparam \inst|d_vsync_state_out_3_~I .input_register_mode = "none";
8372 defparam \inst|d_vsync_state_out_3_~I .input_sync_reset = "none";
8373 defparam \inst|d_vsync_state_out_3_~I .oe_async_reset = "none";
8374 defparam \inst|d_vsync_state_out_3_~I .oe_power_up = "low";
8375 defparam \inst|d_vsync_state_out_3_~I .oe_register_mode = "none";
8376 defparam \inst|d_vsync_state_out_3_~I .oe_sync_reset = "none";
8377 defparam \inst|d_vsync_state_out_3_~I .operation_mode = "output";
8378 defparam \inst|d_vsync_state_out_3_~I .output_async_reset = "none";
8379 defparam \inst|d_vsync_state_out_3_~I .output_power_up = "low";
8380 defparam \inst|d_vsync_state_out_3_~I .output_register_mode = "none";
8381 defparam \inst|d_vsync_state_out_3_~I .output_sync_reset = "none";
8382 // synopsys translate_on
8384 // atom is at PIN_M18
8385 stratix_io \inst|d_vsync_state_out_4_~I (
8386 .datain(\inst|vga_driver_unit|vsync_state_4 ),
8402 .padio(d_vsync_state[4]),
8403 .dqsundelayedout());
8404 // synopsys translate_off
8405 defparam \inst|d_vsync_state_out_4_~I .ddio_mode = "none";
8406 defparam \inst|d_vsync_state_out_4_~I .input_async_reset = "none";
8407 defparam \inst|d_vsync_state_out_4_~I .input_power_up = "low";
8408 defparam \inst|d_vsync_state_out_4_~I .input_register_mode = "none";
8409 defparam \inst|d_vsync_state_out_4_~I .input_sync_reset = "none";
8410 defparam \inst|d_vsync_state_out_4_~I .oe_async_reset = "none";
8411 defparam \inst|d_vsync_state_out_4_~I .oe_power_up = "low";
8412 defparam \inst|d_vsync_state_out_4_~I .oe_register_mode = "none";
8413 defparam \inst|d_vsync_state_out_4_~I .oe_sync_reset = "none";
8414 defparam \inst|d_vsync_state_out_4_~I .operation_mode = "output";
8415 defparam \inst|d_vsync_state_out_4_~I .output_async_reset = "none";
8416 defparam \inst|d_vsync_state_out_4_~I .output_power_up = "low";
8417 defparam \inst|d_vsync_state_out_4_~I .output_register_mode = "none";
8418 defparam \inst|d_vsync_state_out_4_~I .output_sync_reset = "none";
8419 // synopsys translate_on
8421 // atom is at PIN_M7
8422 stratix_io \inst|d_vsync_state_out_5_~I (
8423 .datain(\inst|vga_driver_unit|vsync_state_5 ),
8439 .padio(d_vsync_state[5]),
8440 .dqsundelayedout());
8441 // synopsys translate_off
8442 defparam \inst|d_vsync_state_out_5_~I .ddio_mode = "none";
8443 defparam \inst|d_vsync_state_out_5_~I .input_async_reset = "none";
8444 defparam \inst|d_vsync_state_out_5_~I .input_power_up = "low";
8445 defparam \inst|d_vsync_state_out_5_~I .input_register_mode = "none";
8446 defparam \inst|d_vsync_state_out_5_~I .input_sync_reset = "none";
8447 defparam \inst|d_vsync_state_out_5_~I .oe_async_reset = "none";
8448 defparam \inst|d_vsync_state_out_5_~I .oe_power_up = "low";
8449 defparam \inst|d_vsync_state_out_5_~I .oe_register_mode = "none";
8450 defparam \inst|d_vsync_state_out_5_~I .oe_sync_reset = "none";
8451 defparam \inst|d_vsync_state_out_5_~I .operation_mode = "output";
8452 defparam \inst|d_vsync_state_out_5_~I .output_async_reset = "none";
8453 defparam \inst|d_vsync_state_out_5_~I .output_power_up = "low";
8454 defparam \inst|d_vsync_state_out_5_~I .output_register_mode = "none";
8455 defparam \inst|d_vsync_state_out_5_~I .output_sync_reset = "none";
8456 // synopsys translate_on
8458 // atom is at PIN_M4
8459 stratix_io \inst|d_vsync_state_out_6_~I (
8460 .datain(\inst|vga_driver_unit|vsync_state_6 ),
8476 .padio(d_vsync_state[6]),
8477 .dqsundelayedout());
8478 // synopsys translate_off
8479 defparam \inst|d_vsync_state_out_6_~I .ddio_mode = "none";
8480 defparam \inst|d_vsync_state_out_6_~I .input_async_reset = "none";
8481 defparam \inst|d_vsync_state_out_6_~I .input_power_up = "low";
8482 defparam \inst|d_vsync_state_out_6_~I .input_register_mode = "none";
8483 defparam \inst|d_vsync_state_out_6_~I .input_sync_reset = "none";
8484 defparam \inst|d_vsync_state_out_6_~I .oe_async_reset = "none";
8485 defparam \inst|d_vsync_state_out_6_~I .oe_power_up = "low";
8486 defparam \inst|d_vsync_state_out_6_~I .oe_register_mode = "none";
8487 defparam \inst|d_vsync_state_out_6_~I .oe_sync_reset = "none";
8488 defparam \inst|d_vsync_state_out_6_~I .operation_mode = "output";
8489 defparam \inst|d_vsync_state_out_6_~I .output_async_reset = "none";
8490 defparam \inst|d_vsync_state_out_6_~I .output_power_up = "low";
8491 defparam \inst|d_vsync_state_out_6_~I .output_register_mode = "none";
8492 defparam \inst|d_vsync_state_out_6_~I .output_sync_reset = "none";
8493 // synopsys translate_on
8495 // atom is at PIN_T2
8496 stratix_io \inst|seven_seg_pin_tri_13_~I (
8497 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8513 .padio(seven_seg_pin[13]),
8514 .dqsundelayedout());
8515 // synopsys translate_off
8516 defparam \inst|seven_seg_pin_tri_13_~I .ddio_mode = "none";
8517 defparam \inst|seven_seg_pin_tri_13_~I .input_async_reset = "none";
8518 defparam \inst|seven_seg_pin_tri_13_~I .input_power_up = "low";
8519 defparam \inst|seven_seg_pin_tri_13_~I .input_register_mode = "none";
8520 defparam \inst|seven_seg_pin_tri_13_~I .input_sync_reset = "none";
8521 defparam \inst|seven_seg_pin_tri_13_~I .oe_async_reset = "none";
8522 defparam \inst|seven_seg_pin_tri_13_~I .oe_power_up = "low";
8523 defparam \inst|seven_seg_pin_tri_13_~I .oe_register_mode = "none";
8524 defparam \inst|seven_seg_pin_tri_13_~I .oe_sync_reset = "none";
8525 defparam \inst|seven_seg_pin_tri_13_~I .operation_mode = "output";
8526 defparam \inst|seven_seg_pin_tri_13_~I .output_async_reset = "none";
8527 defparam \inst|seven_seg_pin_tri_13_~I .output_power_up = "low";
8528 defparam \inst|seven_seg_pin_tri_13_~I .output_register_mode = "none";
8529 defparam \inst|seven_seg_pin_tri_13_~I .output_sync_reset = "none";
8530 // synopsys translate_on
8532 // atom is at PIN_AA11
8533 stratix_io \inst|seven_seg_pin_out_12_~I (
8534 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8550 .padio(seven_seg_pin[12]),
8551 .dqsundelayedout());
8552 // synopsys translate_off
8553 defparam \inst|seven_seg_pin_out_12_~I .ddio_mode = "none";
8554 defparam \inst|seven_seg_pin_out_12_~I .input_async_reset = "none";
8555 defparam \inst|seven_seg_pin_out_12_~I .input_power_up = "low";
8556 defparam \inst|seven_seg_pin_out_12_~I .input_register_mode = "none";
8557 defparam \inst|seven_seg_pin_out_12_~I .input_sync_reset = "none";
8558 defparam \inst|seven_seg_pin_out_12_~I .oe_async_reset = "none";
8559 defparam \inst|seven_seg_pin_out_12_~I .oe_power_up = "low";
8560 defparam \inst|seven_seg_pin_out_12_~I .oe_register_mode = "none";
8561 defparam \inst|seven_seg_pin_out_12_~I .oe_sync_reset = "none";
8562 defparam \inst|seven_seg_pin_out_12_~I .operation_mode = "output";
8563 defparam \inst|seven_seg_pin_out_12_~I .output_async_reset = "none";
8564 defparam \inst|seven_seg_pin_out_12_~I .output_power_up = "low";
8565 defparam \inst|seven_seg_pin_out_12_~I .output_register_mode = "none";
8566 defparam \inst|seven_seg_pin_out_12_~I .output_sync_reset = "none";
8567 // synopsys translate_on
8569 // atom is at PIN_R6
8570 stratix_io \inst|seven_seg_pin_out_11_~I (
8571 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8587 .padio(seven_seg_pin[11]),
8588 .dqsundelayedout());
8589 // synopsys translate_off
8590 defparam \inst|seven_seg_pin_out_11_~I .ddio_mode = "none";
8591 defparam \inst|seven_seg_pin_out_11_~I .input_async_reset = "none";
8592 defparam \inst|seven_seg_pin_out_11_~I .input_power_up = "low";
8593 defparam \inst|seven_seg_pin_out_11_~I .input_register_mode = "none";
8594 defparam \inst|seven_seg_pin_out_11_~I .input_sync_reset = "none";
8595 defparam \inst|seven_seg_pin_out_11_~I .oe_async_reset = "none";
8596 defparam \inst|seven_seg_pin_out_11_~I .oe_power_up = "low";
8597 defparam \inst|seven_seg_pin_out_11_~I .oe_register_mode = "none";
8598 defparam \inst|seven_seg_pin_out_11_~I .oe_sync_reset = "none";
8599 defparam \inst|seven_seg_pin_out_11_~I .operation_mode = "output";
8600 defparam \inst|seven_seg_pin_out_11_~I .output_async_reset = "none";
8601 defparam \inst|seven_seg_pin_out_11_~I .output_power_up = "low";
8602 defparam \inst|seven_seg_pin_out_11_~I .output_register_mode = "none";
8603 defparam \inst|seven_seg_pin_out_11_~I .output_sync_reset = "none";
8604 // synopsys translate_on
8606 // atom is at PIN_R4
8607 stratix_io \inst|seven_seg_pin_out_10_~I (
8608 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8624 .padio(seven_seg_pin[10]),
8625 .dqsundelayedout());
8626 // synopsys translate_off
8627 defparam \inst|seven_seg_pin_out_10_~I .ddio_mode = "none";
8628 defparam \inst|seven_seg_pin_out_10_~I .input_async_reset = "none";
8629 defparam \inst|seven_seg_pin_out_10_~I .input_power_up = "low";
8630 defparam \inst|seven_seg_pin_out_10_~I .input_register_mode = "none";
8631 defparam \inst|seven_seg_pin_out_10_~I .input_sync_reset = "none";
8632 defparam \inst|seven_seg_pin_out_10_~I .oe_async_reset = "none";
8633 defparam \inst|seven_seg_pin_out_10_~I .oe_power_up = "low";
8634 defparam \inst|seven_seg_pin_out_10_~I .oe_register_mode = "none";
8635 defparam \inst|seven_seg_pin_out_10_~I .oe_sync_reset = "none";
8636 defparam \inst|seven_seg_pin_out_10_~I .operation_mode = "output";
8637 defparam \inst|seven_seg_pin_out_10_~I .output_async_reset = "none";
8638 defparam \inst|seven_seg_pin_out_10_~I .output_power_up = "low";
8639 defparam \inst|seven_seg_pin_out_10_~I .output_register_mode = "none";
8640 defparam \inst|seven_seg_pin_out_10_~I .output_sync_reset = "none";
8641 // synopsys translate_on
8643 // atom is at PIN_N8
8644 stratix_io \inst|seven_seg_pin_out_9_~I (
8645 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8661 .padio(seven_seg_pin[9]),
8662 .dqsundelayedout());
8663 // synopsys translate_off
8664 defparam \inst|seven_seg_pin_out_9_~I .ddio_mode = "none";
8665 defparam \inst|seven_seg_pin_out_9_~I .input_async_reset = "none";
8666 defparam \inst|seven_seg_pin_out_9_~I .input_power_up = "low";
8667 defparam \inst|seven_seg_pin_out_9_~I .input_register_mode = "none";
8668 defparam \inst|seven_seg_pin_out_9_~I .input_sync_reset = "none";
8669 defparam \inst|seven_seg_pin_out_9_~I .oe_async_reset = "none";
8670 defparam \inst|seven_seg_pin_out_9_~I .oe_power_up = "low";
8671 defparam \inst|seven_seg_pin_out_9_~I .oe_register_mode = "none";
8672 defparam \inst|seven_seg_pin_out_9_~I .oe_sync_reset = "none";
8673 defparam \inst|seven_seg_pin_out_9_~I .operation_mode = "output";
8674 defparam \inst|seven_seg_pin_out_9_~I .output_async_reset = "none";
8675 defparam \inst|seven_seg_pin_out_9_~I .output_power_up = "low";
8676 defparam \inst|seven_seg_pin_out_9_~I .output_register_mode = "none";
8677 defparam \inst|seven_seg_pin_out_9_~I .output_sync_reset = "none";
8678 // synopsys translate_on
8680 // atom is at PIN_N7
8681 stratix_io \inst|seven_seg_pin_out_8_~I (
8682 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8698 .padio(seven_seg_pin[8]),
8699 .dqsundelayedout());
8700 // synopsys translate_off
8701 defparam \inst|seven_seg_pin_out_8_~I .ddio_mode = "none";
8702 defparam \inst|seven_seg_pin_out_8_~I .input_async_reset = "none";
8703 defparam \inst|seven_seg_pin_out_8_~I .input_power_up = "low";
8704 defparam \inst|seven_seg_pin_out_8_~I .input_register_mode = "none";
8705 defparam \inst|seven_seg_pin_out_8_~I .input_sync_reset = "none";
8706 defparam \inst|seven_seg_pin_out_8_~I .oe_async_reset = "none";
8707 defparam \inst|seven_seg_pin_out_8_~I .oe_power_up = "low";
8708 defparam \inst|seven_seg_pin_out_8_~I .oe_register_mode = "none";
8709 defparam \inst|seven_seg_pin_out_8_~I .oe_sync_reset = "none";
8710 defparam \inst|seven_seg_pin_out_8_~I .operation_mode = "output";
8711 defparam \inst|seven_seg_pin_out_8_~I .output_async_reset = "none";
8712 defparam \inst|seven_seg_pin_out_8_~I .output_power_up = "low";
8713 defparam \inst|seven_seg_pin_out_8_~I .output_register_mode = "none";
8714 defparam \inst|seven_seg_pin_out_8_~I .output_sync_reset = "none";
8715 // synopsys translate_on
8717 // atom is at PIN_Y11
8718 stratix_io \inst|seven_seg_pin_out_7_~I (
8719 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8735 .padio(seven_seg_pin[7]),
8736 .dqsundelayedout());
8737 // synopsys translate_off
8738 defparam \inst|seven_seg_pin_out_7_~I .ddio_mode = "none";
8739 defparam \inst|seven_seg_pin_out_7_~I .input_async_reset = "none";
8740 defparam \inst|seven_seg_pin_out_7_~I .input_power_up = "low";
8741 defparam \inst|seven_seg_pin_out_7_~I .input_register_mode = "none";
8742 defparam \inst|seven_seg_pin_out_7_~I .input_sync_reset = "none";
8743 defparam \inst|seven_seg_pin_out_7_~I .oe_async_reset = "none";
8744 defparam \inst|seven_seg_pin_out_7_~I .oe_power_up = "low";
8745 defparam \inst|seven_seg_pin_out_7_~I .oe_register_mode = "none";
8746 defparam \inst|seven_seg_pin_out_7_~I .oe_sync_reset = "none";
8747 defparam \inst|seven_seg_pin_out_7_~I .operation_mode = "output";
8748 defparam \inst|seven_seg_pin_out_7_~I .output_async_reset = "none";
8749 defparam \inst|seven_seg_pin_out_7_~I .output_power_up = "low";
8750 defparam \inst|seven_seg_pin_out_7_~I .output_register_mode = "none";
8751 defparam \inst|seven_seg_pin_out_7_~I .output_sync_reset = "none";
8752 // synopsys translate_on
8754 // atom is at PIN_R23
8755 stratix_io \inst|seven_seg_pin_tri_6_~I (
8756 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8772 .padio(seven_seg_pin[6]),
8773 .dqsundelayedout());
8774 // synopsys translate_off
8775 defparam \inst|seven_seg_pin_tri_6_~I .ddio_mode = "none";
8776 defparam \inst|seven_seg_pin_tri_6_~I .input_async_reset = "none";
8777 defparam \inst|seven_seg_pin_tri_6_~I .input_power_up = "low";
8778 defparam \inst|seven_seg_pin_tri_6_~I .input_register_mode = "none";
8779 defparam \inst|seven_seg_pin_tri_6_~I .input_sync_reset = "none";
8780 defparam \inst|seven_seg_pin_tri_6_~I .oe_async_reset = "none";
8781 defparam \inst|seven_seg_pin_tri_6_~I .oe_power_up = "low";
8782 defparam \inst|seven_seg_pin_tri_6_~I .oe_register_mode = "none";
8783 defparam \inst|seven_seg_pin_tri_6_~I .oe_sync_reset = "none";
8784 defparam \inst|seven_seg_pin_tri_6_~I .operation_mode = "output";
8785 defparam \inst|seven_seg_pin_tri_6_~I .output_async_reset = "none";
8786 defparam \inst|seven_seg_pin_tri_6_~I .output_power_up = "low";
8787 defparam \inst|seven_seg_pin_tri_6_~I .output_register_mode = "none";
8788 defparam \inst|seven_seg_pin_tri_6_~I .output_sync_reset = "none";
8789 // synopsys translate_on
8791 // atom is at PIN_R22
8792 stratix_io \inst|seven_seg_pin_tri_5_~I (
8793 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8809 .padio(seven_seg_pin[5]),
8810 .dqsundelayedout());
8811 // synopsys translate_off
8812 defparam \inst|seven_seg_pin_tri_5_~I .ddio_mode = "none";
8813 defparam \inst|seven_seg_pin_tri_5_~I .input_async_reset = "none";
8814 defparam \inst|seven_seg_pin_tri_5_~I .input_power_up = "low";
8815 defparam \inst|seven_seg_pin_tri_5_~I .input_register_mode = "none";
8816 defparam \inst|seven_seg_pin_tri_5_~I .input_sync_reset = "none";
8817 defparam \inst|seven_seg_pin_tri_5_~I .oe_async_reset = "none";
8818 defparam \inst|seven_seg_pin_tri_5_~I .oe_power_up = "low";
8819 defparam \inst|seven_seg_pin_tri_5_~I .oe_register_mode = "none";
8820 defparam \inst|seven_seg_pin_tri_5_~I .oe_sync_reset = "none";
8821 defparam \inst|seven_seg_pin_tri_5_~I .operation_mode = "output";
8822 defparam \inst|seven_seg_pin_tri_5_~I .output_async_reset = "none";
8823 defparam \inst|seven_seg_pin_tri_5_~I .output_power_up = "low";
8824 defparam \inst|seven_seg_pin_tri_5_~I .output_register_mode = "none";
8825 defparam \inst|seven_seg_pin_tri_5_~I .output_sync_reset = "none";
8826 // synopsys translate_on
8828 // atom is at PIN_R21
8829 stratix_io \inst|seven_seg_pin_tri_4_~I (
8830 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8846 .padio(seven_seg_pin[4]),
8847 .dqsundelayedout());
8848 // synopsys translate_off
8849 defparam \inst|seven_seg_pin_tri_4_~I .ddio_mode = "none";
8850 defparam \inst|seven_seg_pin_tri_4_~I .input_async_reset = "none";
8851 defparam \inst|seven_seg_pin_tri_4_~I .input_power_up = "low";
8852 defparam \inst|seven_seg_pin_tri_4_~I .input_register_mode = "none";
8853 defparam \inst|seven_seg_pin_tri_4_~I .input_sync_reset = "none";
8854 defparam \inst|seven_seg_pin_tri_4_~I .oe_async_reset = "none";
8855 defparam \inst|seven_seg_pin_tri_4_~I .oe_power_up = "low";
8856 defparam \inst|seven_seg_pin_tri_4_~I .oe_register_mode = "none";
8857 defparam \inst|seven_seg_pin_tri_4_~I .oe_sync_reset = "none";
8858 defparam \inst|seven_seg_pin_tri_4_~I .operation_mode = "output";
8859 defparam \inst|seven_seg_pin_tri_4_~I .output_async_reset = "none";
8860 defparam \inst|seven_seg_pin_tri_4_~I .output_power_up = "low";
8861 defparam \inst|seven_seg_pin_tri_4_~I .output_register_mode = "none";
8862 defparam \inst|seven_seg_pin_tri_4_~I .output_sync_reset = "none";
8863 // synopsys translate_on
8865 // atom is at PIN_R20
8866 stratix_io \inst|seven_seg_pin_tri_3_~I (
8867 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8883 .padio(seven_seg_pin[3]),
8884 .dqsundelayedout());
8885 // synopsys translate_off
8886 defparam \inst|seven_seg_pin_tri_3_~I .ddio_mode = "none";
8887 defparam \inst|seven_seg_pin_tri_3_~I .input_async_reset = "none";
8888 defparam \inst|seven_seg_pin_tri_3_~I .input_power_up = "low";
8889 defparam \inst|seven_seg_pin_tri_3_~I .input_register_mode = "none";
8890 defparam \inst|seven_seg_pin_tri_3_~I .input_sync_reset = "none";
8891 defparam \inst|seven_seg_pin_tri_3_~I .oe_async_reset = "none";
8892 defparam \inst|seven_seg_pin_tri_3_~I .oe_power_up = "low";
8893 defparam \inst|seven_seg_pin_tri_3_~I .oe_register_mode = "none";
8894 defparam \inst|seven_seg_pin_tri_3_~I .oe_sync_reset = "none";
8895 defparam \inst|seven_seg_pin_tri_3_~I .operation_mode = "output";
8896 defparam \inst|seven_seg_pin_tri_3_~I .output_async_reset = "none";
8897 defparam \inst|seven_seg_pin_tri_3_~I .output_power_up = "low";
8898 defparam \inst|seven_seg_pin_tri_3_~I .output_register_mode = "none";
8899 defparam \inst|seven_seg_pin_tri_3_~I .output_sync_reset = "none";
8900 // synopsys translate_on
8902 // atom is at PIN_R19
8903 stratix_io \inst|seven_seg_pin_out_2_~I (
8904 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8920 .padio(seven_seg_pin[2]),
8921 .dqsundelayedout());
8922 // synopsys translate_off
8923 defparam \inst|seven_seg_pin_out_2_~I .ddio_mode = "none";
8924 defparam \inst|seven_seg_pin_out_2_~I .input_async_reset = "none";
8925 defparam \inst|seven_seg_pin_out_2_~I .input_power_up = "low";
8926 defparam \inst|seven_seg_pin_out_2_~I .input_register_mode = "none";
8927 defparam \inst|seven_seg_pin_out_2_~I .input_sync_reset = "none";
8928 defparam \inst|seven_seg_pin_out_2_~I .oe_async_reset = "none";
8929 defparam \inst|seven_seg_pin_out_2_~I .oe_power_up = "low";
8930 defparam \inst|seven_seg_pin_out_2_~I .oe_register_mode = "none";
8931 defparam \inst|seven_seg_pin_out_2_~I .oe_sync_reset = "none";
8932 defparam \inst|seven_seg_pin_out_2_~I .operation_mode = "output";
8933 defparam \inst|seven_seg_pin_out_2_~I .output_async_reset = "none";
8934 defparam \inst|seven_seg_pin_out_2_~I .output_power_up = "low";
8935 defparam \inst|seven_seg_pin_out_2_~I .output_register_mode = "none";
8936 defparam \inst|seven_seg_pin_out_2_~I .output_sync_reset = "none";
8937 // synopsys translate_on
8939 // atom is at PIN_R9
8940 stratix_io \inst|seven_seg_pin_out_1_~I (
8941 .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
8957 .padio(seven_seg_pin[1]),
8958 .dqsundelayedout());
8959 // synopsys translate_off
8960 defparam \inst|seven_seg_pin_out_1_~I .ddio_mode = "none";
8961 defparam \inst|seven_seg_pin_out_1_~I .input_async_reset = "none";
8962 defparam \inst|seven_seg_pin_out_1_~I .input_power_up = "low";
8963 defparam \inst|seven_seg_pin_out_1_~I .input_register_mode = "none";
8964 defparam \inst|seven_seg_pin_out_1_~I .input_sync_reset = "none";
8965 defparam \inst|seven_seg_pin_out_1_~I .oe_async_reset = "none";
8966 defparam \inst|seven_seg_pin_out_1_~I .oe_power_up = "low";
8967 defparam \inst|seven_seg_pin_out_1_~I .oe_register_mode = "none";
8968 defparam \inst|seven_seg_pin_out_1_~I .oe_sync_reset = "none";
8969 defparam \inst|seven_seg_pin_out_1_~I .operation_mode = "output";
8970 defparam \inst|seven_seg_pin_out_1_~I .output_async_reset = "none";
8971 defparam \inst|seven_seg_pin_out_1_~I .output_power_up = "low";
8972 defparam \inst|seven_seg_pin_out_1_~I .output_register_mode = "none";
8973 defparam \inst|seven_seg_pin_out_1_~I .output_sync_reset = "none";
8974 // synopsys translate_on
8976 // atom is at PIN_R8
8977 stratix_io \inst|seven_seg_pin_tri_0_~I (
8978 .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
8994 .padio(seven_seg_pin[0]),
8995 .dqsundelayedout());
8996 // synopsys translate_off
8997 defparam \inst|seven_seg_pin_tri_0_~I .ddio_mode = "none";
8998 defparam \inst|seven_seg_pin_tri_0_~I .input_async_reset = "none";
8999 defparam \inst|seven_seg_pin_tri_0_~I .input_power_up = "low";
9000 defparam \inst|seven_seg_pin_tri_0_~I .input_register_mode = "none";
9001 defparam \inst|seven_seg_pin_tri_0_~I .input_sync_reset = "none";
9002 defparam \inst|seven_seg_pin_tri_0_~I .oe_async_reset = "none";
9003 defparam \inst|seven_seg_pin_tri_0_~I .oe_power_up = "low";
9004 defparam \inst|seven_seg_pin_tri_0_~I .oe_register_mode = "none";
9005 defparam \inst|seven_seg_pin_tri_0_~I .oe_sync_reset = "none";
9006 defparam \inst|seven_seg_pin_tri_0_~I .operation_mode = "output";
9007 defparam \inst|seven_seg_pin_tri_0_~I .output_async_reset = "none";
9008 defparam \inst|seven_seg_pin_tri_0_~I .output_power_up = "low";
9009 defparam \inst|seven_seg_pin_tri_0_~I .output_register_mode = "none";
9010 defparam \inst|seven_seg_pin_tri_0_~I .output_sync_reset = "none";
9011 // synopsys translate_on