From f8e429a38c3349e4b5578c367e5494a30b42e662 Mon Sep 17 00:00:00 2001 From: Stefan REBERNIG Date: Fri, 17 Dec 2010 12:29:21 +0100 Subject: [PATCH] =?utf8?q?writeback=5Fstage:=20differenzieren=20zwischen?= =?utf8?q?=20memory=20und=20extension=20geht=20(=20btw=20wer=20sich=20?= =?utf8?q?=C3=BCber=20die=20eigenaritgen=20commit=20messages=20wunder=20de?= =?utf8?q?s=20is=20net=20der=20stefan=20sondern=20da=20mani=20der=20grad?= =?utf8?q?=20mit=20seim=20account=20arbeitet=20:D=20)?= MIME-Version: 1.0 Content-Type: text/plain; charset=utf8 Content-Transfer-Encoding: 8bit --- cpu/sim/testcore.do | 9 + cpu/sim/testcore1.do | 4 +- cpu/src/extension_pkg.vhd | 2 +- cpu/src/extension_uart_b.vhd | 12 +- cpu/src/r_w_ram_b.vhd | 13 +- cpu/src/writeback_stage_b.vhd | 21 +- dt/dt.asm.rpt | 14 +- dt/dt.done | 2 +- dt/dt.fit.rpt | 415 +++++++-------- dt/dt.fit.summary | 4 +- dt/dt.flow.rpt | 20 +- dt/dt.map.rpt | 748 ++++++++++++++++----------- dt/dt.map.summary | 4 +- dt/dt.pof | Bin 524489 -> 524489 bytes dt/dt.qsf | 44 +- dt/dt.rbf | Bin 290405 -> 290405 bytes dt/dt.sof | Bin 281523 -> 281523 bytes dt/dt.tan.rpt | 921 +++++++++++++++++----------------- dt/dt.tan.summary | 14 +- dt/output_file.rbf | Bin 290405 -> 290405 bytes 20 files changed, 1215 insertions(+), 1032 deletions(-) diff --git a/cpu/sim/testcore.do b/cpu/sim/testcore.do index aeb7491..9a4c3fe 100644 --- a/cpu/sim/testcore.do +++ b/cpu/sim/testcore.do @@ -9,6 +9,13 @@ vcom -work work ../src/r2_w_ram_b.vhd vcom -work work ../src/common_pkg.vhd vcom -work work ../src/extension_pkg.vhd vcom -work work ../src/extension_uart_pkg.vhd +vcom -work work ../src/extension_uart.vhd +vcom -work work ../src/extension_uart_b.vhd +vcom -work work ../src/rs232_tx.vhd +vcom -work work ../src/rs232_tx_arc.vhd +vcom -work work ../src/rs232_rx.vhd +vcom -work work ../src/rs232_rx_arc.vhd + vcom -work work ../src/core_pkg.vhd vcom -work work ../src/decoder.vhd vcom -work work ../src/decoder_b.vhd @@ -73,6 +80,8 @@ add wave -radix hexadecimal /pipeline_tb/addr_pin add wave -radix hexadecimal /pipeline_tb/data_pin add wave -radix hexadecimal /pipeline_tb/writeback_st/data_ram_read add wave -radix hexadecimal /pipeline_tb/dmem_wr_en_pin +add wave -radix hexadecimal /pipeline_tb/writeback_st/dmem_we +add wave -radix hexadecimal /pipeline_tb/writeback_st/data_addr add wave -radix decimal /pipeline_tb/cycle_cnt run 10000 ns diff --git a/cpu/sim/testcore1.do b/cpu/sim/testcore1.do index a4baa8d..5ef159a 100644 --- a/cpu/sim/testcore1.do +++ b/cpu/sim/testcore1.do @@ -120,8 +120,10 @@ add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writebac add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_addr add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/regfile_val - +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/ram_data add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/ext_uart +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg_nxt +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/ext_reg add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w1_st_co_nxt add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w2_uart_config diff --git a/cpu/src/extension_pkg.vhd b/cpu/src/extension_pkg.vhd index da172cc..89cc7a7 100644 --- a/cpu/src/extension_pkg.vhd +++ b/cpu/src/extension_pkg.vhd @@ -39,7 +39,7 @@ constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB"; constant EXT_TIMER_ADDR: ext_addrid_t := x"FFFFFFC"; constant EXT_AC97_ADDR: ext_addrid_t := x"FFFFFFD"; -- constant EXT_UART_ADDR: ext_addrid_t := x"FFFFFFE"; -constant EXT_UART_ADDR: ext_addrid_t := x"0000100"; +constant EXT_UART_ADDR: ext_addrid_t := x"0000200"; constant EXT_GPMP_ADDR: ext_addrid_t := x"FFFFFFF"; component extension_gpm is diff --git a/cpu/src/extension_uart_b.vhd b/cpu/src/extension_uart_b.vhd index 7dc2892..5dd8774 100644 --- a/cpu/src/extension_uart_b.vhd +++ b/cpu/src/extension_uart_b.vhd @@ -12,9 +12,9 @@ use work.extension_uart_pkg.all; architecture behav of extension_uart is signal w1_st_co, w1_st_co_nxt, w2_uart_config, w2_uart_config_nxt, w3_uart_send, w3_uart_send_nxt, w4_uart_receive, w4_uart_receive_nxt : gp_register_t; -signal new_wb_data, new_wb_data_nxt, new_tx_data, new_tx_data_nxt, tx_rdy, tx_rdy_int : std_logic; +signal new_bus_rx,new_wb_data, new_wb_data_nxt, new_tx_data, new_tx_data_nxt, tx_rdy, tx_rdy_int : std_logic; signal bd_rate : baud_rate_l; - +signal rx_data : std_logic_vector(7 downto 0); begin @@ -51,9 +51,8 @@ port map( bus_rx, --From/to sendlogic - w1_st_co(17), - w4_uart_receive(byte_t'range) - + new_bus_rx, + rx_data ); @@ -66,7 +65,8 @@ begin w2_uart_config <= (others=>'0'); w3_uart_send <= (others=>'0'); w4_uart_receive <= (others=>'0'); - + tx_rdy_int <= '0'; + new_tx_data <= '0'; elsif rising_edge(clk) then w1_st_co <= w1_st_co_nxt; diff --git a/cpu/src/r_w_ram_b.vhd b/cpu/src/r_w_ram_b.vhd index 22e32f6..81682bb 100644 --- a/cpu/src/r_w_ram_b.vhd +++ b/cpu/src/r_w_ram_b.vhd @@ -13,10 +13,11 @@ architecture behaviour of r_w_ram is -- r0 = 0, r1 = 1, r2 = 3, r3 = A signal ram : RAM_TYPE := ( --- 0 => x"ed2802d0", -- ldi r5, 0x5a;; --- 1 => x"ed008058", -- ldi r0, 0x100b;; --- 2 => x"e7a80000", -- stw r5, 0(r0);; --- 3 => "11101011000000000000000000000010", + -- 0 => x"ed2802d0", -- ldi r5, 0x5a;; + -- 1 => x"ed010058", -- ldi r0, 0x200b;; + -- 2 => x"e7a80000", -- stw r5, 0(r0);; + -- 3 => x"e7828000", -- stw r0, 0(r5);; + -- 4 => "11101011000000000000000000000010", --8 => "11100111100010000000000000000000", --stw -- 0 => "11101101000000000000000000000000", --ldi @@ -128,10 +129,10 @@ begin process(clk) begin if rising_edge(clk) then - --data_out <= ram(to_integer(UNSIGNED(rd_addr))); + -- data_out <= ram(to_integer(UNSIGNED(rd_addr))); case rd_addr is when "00000000000" => data_out <= x"ed2802d0"; -- ldi r5, 0x5a;; - when "00000000001" => data_out <= x"ed008058"; -- ldi r0, 0x100b;; + when "00000000001" => data_out <= x"ed010058"; -- ldi r0, 0x200b;; when "00000000010" => data_out <= x"e7a80000"; -- stw r5, 0(r0);; when others => data_out <= "11101011000000000000000000000010"; end case; diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index 7cf1548..77fdf20 100644 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -18,7 +18,7 @@ signal wb_reg, wb_reg_nxt : writeback_rec; signal ext_uart,ext_timer,ext_gpmp : extmod_rec; -signal sel_nxt :std_logic; +signal sel_nxt, dmem_we, bus_rx :std_logic; @@ -35,7 +35,7 @@ begin clk, data_addr(DATA_ADDR_WIDTH+1 downto 2), data_addr(DATA_ADDR_WIDTH+1 downto 2), - wb_reg_nxt.dmem_write_en, + dmem_we, ram_data, data_ram_read ); @@ -49,6 +49,7 @@ uart : extension_uart reset, ext_uart, data_ram_read_ext, + bus_rx, bus_tx ); @@ -63,8 +64,10 @@ begin wb_reg.dmem_write_en <= '0'; wb_reg.hword <= '0'; wb_reg.byte_s <= '0'; + bus_rx <= '1'; elsif rising_edge(clk) then wb_reg <= wb_reg_nxt; + bus_rx <= '1'; end if; end process; @@ -149,9 +152,11 @@ begin reg_addr <= result_addr; data_addr <= (others => '0'); + dmem_we <= '0'; - if (wb_reg_nxt.address(DATA_ADDR_WIDTH+2) = '1') then + if (wb_reg_nxt.address(DATA_ADDR_WIDTH+2) /= '1') then data_addr(DATA_ADDR_WIDTH+1 downto 0) <= wb_reg_nxt.address(DATA_ADDR_WIDTH+1 downto 0); + dmem_we <= wb_reg_nxt.dmem_write_en; end if; end process; @@ -159,7 +164,7 @@ end process; addr_de_mult: process(wb_reg_nxt.address, ram_data, wb_reg,sel_nxt,wb_reg_nxt.dmem_write_en) begin - ext_uart.sel <='0'; + ext_uart.sel <='0'; ext_uart.wr_en <= wb_reg_nxt.dmem_write_en; ext_uart.byte_en <= (others => '0'); ext_uart.data <= (others => '0'); @@ -176,13 +181,13 @@ begin ext_gpmp.byte_en <= (others => '0'); ext_gpmp.data <= (others => '0'); ext_gpmp.addr <= (others => '0'); - -- wenn ich hier statt dem 4rer die konstante nehme dann gibts an fehler wegen nicht lokaler variable -.- - case wb_reg_nxt.address(wb_reg_nxt.address'high downto 4) is + -- wenn ich hier statt dem 4rer die konstante nehme dann gibts an fehler wegen nicht lokaler variable -.- + case wb_reg_nxt.address(31 downto 4) is when EXT_UART_ADDR => ext_uart.sel <='1'; ext_timer.wr_en <= wb_reg_nxt.dmem_write_en; ext_uart.data <= ram_data; - ext_uart.addr <= wb_reg_nxt.address(wb_reg_nxt.address'high downto BYTEADDR); + ext_uart.addr <= wb_reg_nxt.address(31 downto 2); case wb_reg.address(1 downto 0) is when "00" => ext_uart.byte_en <= "0001"; when "01" => ext_uart.byte_en <= "0010"; @@ -191,6 +196,8 @@ begin when "11" => ext_uart.byte_en <= "1111"; when others => null; end case; + + when EXT_TIMER_ADDR => ext_timer.sel <='1'; ext_timer.wr_en <= wb_reg_nxt.dmem_write_en; diff --git a/dt/dt.asm.rpt b/dt/dt.asm.rpt index ebf135e..1305fb1 100644 --- a/dt/dt.asm.rpt +++ b/dt/dt.asm.rpt @@ -1,5 +1,5 @@ Assembler report for dt -Fri Dec 17 10:10:39 2010 +Fri Dec 17 12:27:16 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Dec 17 10:10:39 2010 ; +; Assembler Status ; Successful - Fri Dec 17 12:27:16 2010 ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; @@ -97,7 +97,7 @@ applicable agreement for further details. +----------------+-----------------+ ; Device ; EP1C12Q240C8 ; ; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x001F1EC3 ; +; Checksum ; 0x001EAC07 ; +----------------+-----------------+ @@ -108,7 +108,7 @@ applicable agreement for further details. +--------------------+-------------+ ; Device ; EPCS4 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x03ACAE9A ; +; Checksum ; 0x03AFD4AC ; ; Compression Ratio ; 1 ; +--------------------+-------------+ @@ -129,13 +129,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Dec 17 10:10:37 2010 + Info: Processing started: Fri Dec 17 12:27:13 2010 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off dt -c dt Info: Assembler is generating device programming files Info: Quartus II Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 230 megabytes - Info: Processing ended: Fri Dec 17 10:10:39 2010 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Fri Dec 17 12:27:16 2010 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:02 diff --git a/dt/dt.done b/dt/dt.done index 13a94ed..1d92292 100644 --- a/dt/dt.done +++ b/dt/dt.done @@ -1 +1 @@ -Fri Dec 17 10:10:43 2010 +Fri Dec 17 12:27:19 2010 diff --git a/dt/dt.fit.rpt b/dt/dt.fit.rpt index 25561ec..61065c9 100644 --- a/dt/dt.fit.rpt +++ b/dt/dt.fit.rpt @@ -1,5 +1,5 @@ Fitter report for dt -Fri Dec 17 10:10:33 2010 +Fri Dec 17 12:27:10 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -63,14 +63,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-----------------------------------------------+ -; Fitter Status ; Successful - Fri Dec 17 10:10:33 2010 ; +; Fitter Status ; Successful - Fri Dec 17 12:27:10 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; ; Device ; EP1C12Q240C8 ; ; Timing Models ; Final ; -; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; +; Total logic elements ; 1,058 / 12,060 ( 9 % ) ; ; Total pins ; 3 / 173 ( 2 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; @@ -143,8 +143,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; Type ; Value ; +---------------------+------------------------+ ; Placement (by node) ; ; -; -- Requested ; 0 / 1125 ( 0.00 % ) ; -; -- Achieved ; 0 / 1125 ( 0.00 % ) ; +; -- Requested ; 0 / 1127 ( 0.00 % ) ; +; -- Achieved ; 0 / 1127 ( 0.00 % ) ; ; ; ; ; Routing (by net) ; ; ; -- Requested ; 0 / 0 ( 0.00 % ) ; @@ -167,7 +167,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ -; Top ; 1123 ; 0 ; N/A ; Source File ; +; Top ; 1125 ; 0 ; N/A ; Source File ; ; hard_block:auto_generated_inst ; 2 ; 0 ; N/A ; Source File ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ @@ -183,28 +183,28 @@ The pin-out file can be found in /homes/c0726283/calu/dt/dt.pin. +---------------------------------------------+-------------------------------------------------+ ; Resource ; Usage ; +---------------------------------------------+-------------------------------------------------+ -; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; -; -- Combinational with no register ; 841 ; +; Total logic elements ; 1,058 / 12,060 ( 9 % ) ; +; -- Combinational with no register ; 843 ; ; -- Register only ; 0 ; ; -- Combinational with a register ; 215 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 467 ; -; -- 3 input functions ; 447 ; +; -- 4 input functions ; 473 ; +; -- 3 input functions ; 443 ; ; -- 2 input functions ; 123 ; ; -- 1 input functions ; 18 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 850 ; +; -- normal mode ; 852 ; ; -- arithmetic mode ; 206 ; -; -- qfbk mode ; 77 ; +; -- qfbk mode ; 76 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 84 ; -; -- asynchronous clear/load mode ; 202 ; +; -- synchronous clear/load mode ; 83 ; +; -- asynchronous clear/load mode ; 203 ; ; ; ; ; Total registers ; 215 / 12,567 ( 2 % ) ; -; Total LABs ; 114 / 1,206 ( 9 % ) ; +; Total LABs ; 111 / 1,206 ( 9 % ) ; ; Logic elements in carry chains ; 214 ; ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; @@ -219,14 +219,14 @@ The pin-out file can be found in /homes/c0726283/calu/dt/dt.pin. ; JTAGs ; 0 / 1 ( 0 % ) ; ; ASMI Blocks ; 0 / 1 ( 0 % ) ; ; CRC blocks ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 5% / 5% / 5% ; -; Peak interconnect usage (total/H/V) ; 31% / 32% / 30% ; +; Average interconnect usage (total/H/V) ; 5% / 5% / 4% ; +; Peak interconnect usage (total/H/V) ; 17% / 19% / 14% ; ; Maximum fan-out node ; sys_clk ; ; Maximum fan-out ; 217 ; ; Highest non-global fan-out signal ; execute_stage:exec_st|alu:alu_inst|Selector76~0 ; -; Highest non-global fan-out ; 115 ; -; Total fan-out ; 4170 ; -; Average fan-out ; 3.92 ; +; Highest non-global fan-out ; 114 ; +; Total fan-out ; 4182 ; +; Average fan-out ; 3.93 ; +---------------------------------------------+-------------------------------------------------+ @@ -237,8 +237,8 @@ The pin-out file can be found in /homes/c0726283/calu/dt/dt.pin. +---------------------------------------------+--------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; -; Total logic elements ; 1056 ; 0 ; -; -- Combinational with no register ; 841 ; 0 ; +; Total logic elements ; 1058 ; 0 ; +; -- Combinational with no register ; 843 ; 0 ; ; -- Register only ; 0 ; 0 ; ; -- Combinational with a register ; 215 ; 0 ; ; ; ; ; @@ -272,8 +272,8 @@ The pin-out file can be found in /homes/c0726283/calu/dt/dt.pin. ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 4343 ; 0 ; -; -- Registered Connections ; 813 ; 0 ; +; -- Total Connections ; 4355 ; 0 ; +; -- Registered Connections ; 809 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 0 ; 0 ; @@ -607,26 +607,26 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M4Ks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ -; |core_top ; 1056 (1) ; 215 ; 512 ; 2 ; 3 ; 0 ; 841 (1) ; 0 (0) ; 215 (0) ; 214 (0) ; 77 (0) ; |core_top ; ; -; |decode_stage:decode_st| ; 103 (96) ; 72 ; 512 ; 2 ; 0 ; 0 ; 31 (24) ; 0 (0) ; 72 (72) ; 11 (11) ; 5 (5) ; |core_top|decode_stage:decode_st ; ; -; |decoder:decoder_inst| ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; +; |core_top ; 1058 (1) ; 215 ; 512 ; 2 ; 3 ; 0 ; 843 (1) ; 0 (0) ; 215 (0) ; 214 (0) ; 76 (0) ; |core_top ; ; +; |decode_stage:decode_st| ; 100 (94) ; 72 ; 512 ; 2 ; 0 ; 0 ; 28 (22) ; 0 (0) ; 72 (72) ; 11 (11) ; 5 (5) ; |core_top|decode_stage:decode_st ; ; +; |decoder:decoder_inst| ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; ; |r2_w_ram:register_ram| ; 0 (0) ; 0 ; 512 ; 2 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram ; ; ; |altsyncram:ram_rtl_0| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ; ; ; |altsyncram:ram_rtl_1| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ; ; -; |execute_stage:exec_st| ; 755 (145) ; 67 ; 0 ; 0 ; 0 ; 0 ; 688 (109) ; 0 (0) ; 67 (36) ; 171 (0) ; 71 (40) ; |core_top|execute_stage:exec_st ; ; -; |alu:alu_inst| ; 545 (224) ; 0 ; 0 ; 0 ; 0 ; 0 ; 545 (224) ; 0 (0) ; 0 (0) ; 141 (43) ; 31 (31) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; +; |execute_stage:exec_st| ; 761 (146) ; 67 ; 0 ; 0 ; 0 ; 0 ; 694 (110) ; 0 (0) ; 67 (36) ; 171 (0) ; 70 (39) ; |core_top|execute_stage:exec_st ; ; +; |alu:alu_inst| ; 550 (228) ; 0 ; 0 ; 0 ; 0 ; 0 ; 550 (228) ; 0 (0) ; 0 (0) ; 141 (43) ; 31 (31) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; ; |exec_op:add_inst| ; 100 (100) ; 0 ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 0 (0) ; 0 (0) ; 98 (98) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; -; |exec_op:or_inst| ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; +; |exec_op:or_inst| ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (14) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; ; |exec_op:shift_inst| ; 208 (208) ; 0 ; 0 ; 0 ; 0 ; 0 ; 208 (208) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst ; ; ; |extension_gpm:gpmp_inst| ; 65 (65) ; 31 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 0 (0) ; 31 (31) ; 30 (30) ; 0 (0) ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst ; ; ; |fetch_stage:fetch_st| ; 33 (24) ; 17 ; 0 ; 0 ; 0 ; 0 ; 16 (13) ; 0 (0) ; 17 (11) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; ; |r_w_ram:instruction_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; -; |writeback_stage:writeback_st| ; 164 (52) ; 59 ; 0 ; 0 ; 0 ; 0 ; 105 (48) ; 0 (0) ; 59 (4) ; 32 (0) ; 1 (1) ; |core_top|writeback_stage:writeback_st ; ; +; |writeback_stage:writeback_st| ; 163 (48) ; 59 ; 0 ; 0 ; 0 ; 0 ; 104 (44) ; 0 (0) ; 59 (4) ; 32 (0) ; 1 (1) ; |core_top|writeback_stage:writeback_st ; ; ; |extension_uart:uart| ; 106 (12) ; 49 ; 0 ; 0 ; 0 ; 0 ; 57 (2) ; 0 (0) ; 49 (10) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; ; |rs232_tx:rs232_tx_inst| ; 94 (94) ; 39 ; 0 ; 0 ; 0 ; 0 ; 55 (55) ; 0 (0) ; 39 (39) ; 32 (32) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ; ; -; |r_w_ram:data_ram| ; 6 (6) ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +; |r_w_ram:data_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -711,15 +711,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - decode_stage:decode_st|dec_op_inst.op_detail[3] ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.brpr ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.condition[0] ; 0 ; OFF ; ; - execute_stage:exec_st|alu:alu_inst|\calc:cond_met~0 ; 1 ; ON ; @@ -747,34 +747,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - decode_stage:decode_st|dec_op_inst.brpr ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.displacement[3] ; 0 ; OFF ; -; - decode_stage:decode_st|dec_op_inst.displacement[9] ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.displacement[6] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[21] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[22] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[23] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[24] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[25] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.displacement[9] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[26] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[27] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[28] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[31] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[19] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[18] ; 0 ; OFF ; ; - execute_stage:exec_st|reg.result[20] ; 0 ; OFF ; -; - execute_stage:exec_st|reg.result[19] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[21] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[22] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[23] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[24] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[25] ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP ; 0 ; OFF ; -; - fetch_stage:fetch_st|instr_r_addr_nxt[3]~3 ; 1 ; ON ; +; - fetch_stage:fetch_st|instr_r_addr_nxt[6]~3 ; 1 ; ON ; ; - decode_stage:decode_st|dec_op_inst.op_detail[2] ; 0 ; OFF ; -; - decode_stage:decode_st|rtw_rec.immediate[12] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[14] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[13] ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.displacement[1] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.op_detail[4] ; 0 ; OFF ; -; - decode_stage:decode_st|dec_op_inst.saddr1[0] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.saddr1[1] ; 0 ; OFF ; ; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; 0 ; OFF ; -; - writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; 1 ; ON ; +; - writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; 0 ; OFF ; ; - decode_stage:decode_st|rtw_rec.immediate[6] ; 0 ; OFF ; ; - decode_stage:decode_st|rtw_rec.immediate[2] ; 0 ; OFF ; ; - decode_stage:decode_st|rtw_rec.immediate[4] ; 0 ; OFF ; -; - decode_stage:decode_st|rtw_rec.immediate[14] ; 0 ; OFF ; ; - decode_stage:decode_st|rtw_rec.imm_set ; 0 ; OFF ; ; - writeback_stage:writeback_st|wb_reg.dmem_write_en ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.saddr2[2] ; 0 ; OFF ; @@ -824,56 +824,56 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - decode_stage:decode_st|rtw_rec.rtw_reg[30] ; 0 ; OFF ; ; - decode_stage:decode_st|rtw_rec.rtw_reg[31] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[10] ; 0 ; OFF ; -; - fetch_stage:fetch_st|instr_r_addr[9] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[0] ; 0 ; OFF ; -; - fetch_stage:fetch_st|instr_r_addr[8] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[9] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[1] ; 0 ; OFF ; -; - fetch_stage:fetch_st|instr_r_addr[7] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[8] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[2] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[6] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[7] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[3] ; 0 ; OFF ; -; - fetch_stage:fetch_st|instr_r_addr[5] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; 0 ; OFF ; ; - fetch_stage:fetch_st|instr_r_addr[4] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[5] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; 0 ; OFF ; -; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; 0 ; OFF ; ; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; 0 ; OFF ; ; - decode_stage:decode_st|dec_op_inst.saddr1[2] ; 0 ; OFF ; +---------------------------------------------------------------------------------------------+-------------------+---------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ -; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; LC_X27_Y17_N9 ; 58 ; Sync. load ; no ; -- ; -- ; -; execute_stage:exec_st|alu:alu_inst|calc~0 ; LC_X36_Y17_N6 ; 32 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; execute_stage:exec_st|alu:alu_inst|pwr_en ; LC_X29_Y15_N2 ; 30 ; Clock enable ; no ; -- ; -- ; -; execute_stage:exec_st|reg.result[1]~9 ; LC_X27_Y16_N4 ; 12 ; Sync. load ; no ; -- ; -- ; -; sys_clk ; PIN_152 ; 217 ; Clock ; yes ; Global Clock ; GCLK7 ; -; sys_res ; PIN_42 ; 205 ; Async. clear, Async. load, Clock enable ; yes ; Global Clock ; GCLK3 ; -; writeback_stage:writeback_st|Mux9~0 ; LC_X26_Y19_N7 ; 7 ; Sync. clear ; no ; -- ; -- ; -; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0 ; LC_X40_Y20_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; LC_X40_Y19_N5 ; 35 ; Sync. clear ; no ; -- ; -- ; -; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0 ; LC_X27_Y19_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; writeback_stage:writeback_st|reg_we~0 ; LC_X31_Y18_N0 ; 8 ; Write enable ; no ; -- ; -- ; -+--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------------------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++--------------------------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; LC_X39_Y14_N6 ; 57 ; Sync. load ; no ; -- ; -- ; +; execute_stage:exec_st|alu:alu_inst|calc~0 ; LC_X38_Y18_N6 ; 32 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; execute_stage:exec_st|alu:alu_inst|pwr_en ; LC_X36_Y12_N2 ; 30 ; Clock enable ; no ; -- ; -- ; +; execute_stage:exec_st|reg.result[1]~9 ; LC_X32_Y12_N1 ; 12 ; Sync. load ; no ; -- ; -- ; +; sys_clk ; PIN_152 ; 217 ; Clock ; yes ; Global Clock ; GCLK7 ; +; sys_res ; PIN_42 ; 205 ; Async. clear, Async. load ; yes ; Global Clock ; GCLK3 ; +; writeback_stage:writeback_st|Mux9~0 ; LC_X37_Y15_N8 ; 7 ; Sync. clear ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0 ; LC_X32_Y9_N2 ; 5 ; Clock enable ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; LC_X31_Y8_N3 ; 35 ; Sync. clear ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0 ; LC_X36_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; writeback_stage:writeback_st|reg_we~0 ; LC_X35_Y14_N9 ; 8 ; Write enable ; no ; -- ; -- ; ++--------------------------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+ +------------------------------------------------------------------------+ @@ -891,56 +891,56 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-----------------------------------------------------------------------------------+---------+ -; execute_stage:exec_st|alu:alu_inst|Selector76~0 ; 115 ; -; execute_stage:exec_st|right_operand[0]~10 ; 89 ; +; execute_stage:exec_st|alu:alu_inst|Selector76~0 ; 114 ; +; execute_stage:exec_st|right_operand[0]~10 ; 90 ; ; execute_stage:exec_st|right_operand[1]~6 ; 77 ; -; execute_stage:exec_st|right_operand[2]~4 ; 63 ; -; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; 58 ; -; execute_stage:exec_st|alu:alu_inst|Selector53~0 ; 53 ; +; execute_stage:exec_st|right_operand[2]~4 ; 64 ; +; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; 57 ; +; execute_stage:exec_st|alu:alu_inst|Selector48~0 ; 55 ; ; decode_stage:decode_st|dec_op_inst.op_detail[3] ; 49 ; ; execute_stage:exec_st|right_operand[3]~8 ; 48 ; ; decode_stage:decode_st|dec_op_inst.op_detail[2] ; 41 ; -; execute_stage:exec_st|left_operand[13]~1 ; 40 ; -; execute_stage:exec_st|right_operand[14]~1 ; 38 ; +; execute_stage:exec_st|left_operand[19]~1 ; 41 ; +; execute_stage:exec_st|right_operand[30]~2 ; 39 ; +; execute_stage:exec_st|right_operand[30]~1 ; 39 ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; 37 ; -; execute_stage:exec_st|right_operand[14]~2 ; 37 ; ; writeback_stage:writeback_st|wb_reg.dmem_en ; 35 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; 35 ; ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; 34 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|Equal0~10 ; 34 ; ; execute_stage:exec_st|alu:alu_inst|calc~0 ; 32 ; ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; 32 ; +; execute_stage:exec_st|reg.result[7]~12 ; 30 ; ; execute_stage:exec_st|alu:alu_inst|pwr_en ; 30 ; -; execute_stage:exec_st|reg.result[11]~12 ; 29 ; ; execute_stage:exec_st|alu:alu_inst|pinc~0 ; 29 ; ; writeback_stage:writeback_st|jump ; 25 ; ; decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; 25 ; +; execute_stage:exec_st|reg.result[7]~13 ; 24 ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; 24 ; -; execute_stage:exec_st|reg.result[11]~13 ; 23 ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; 23 ; -; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP ; 21 ; +; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP ; 20 ; ; decode_stage:decode_st|decoder:decoder_inst|instr_s~5 ; 15 ; -; decode_stage:decode_st|rtw_rec.imm_set ; 15 ; -; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 13 ; ; execute_stage:exec_st|reg.result[1]~9 ; 12 ; +; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 12 ; +; decode_stage:decode_st|rtw_rec.imm_set ; 12 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1] ; 12 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[0] ; 10 ; ; execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|tmp_sb~0 ; 9 ; -; execute_stage:exec_st|left_operand[30]~56 ; 9 ; -; execute_stage:exec_st|left_operand[29]~54 ; 9 ; -; execute_stage:exec_st|left_operand[28]~52 ; 9 ; +; execute_stage:exec_st|alu:alu_inst|Selector76~1 ; 9 ; +; execute_stage:exec_st|left_operand[30]~46 ; 9 ; +; execute_stage:exec_st|left_operand[29]~44 ; 9 ; +; execute_stage:exec_st|left_operand[28]~42 ; 9 ; +; execute_stage:exec_st|alu:alu_inst|Selector107~0 ; 9 ; ; execute_stage:exec_st|reg.res_addr[2] ; 9 ; -; execute_stage:exec_st|reg.result[6]~21 ; 8 ; +; execute_stage:exec_st|reg.result[4]~21 ; 8 ; ; execute_stage:exec_st|reg.result[25]~14 ; 8 ; -; execute_stage:exec_st|alu:alu_inst|Selector76~1 ; 8 ; -; execute_stage:exec_st|left_operand[27]~50 ; 8 ; -; execute_stage:exec_st|left_operand[26]~48 ; 8 ; +; execute_stage:exec_st|left_operand[27]~40 ; 8 ; +; execute_stage:exec_st|left_operand[26]~38 ; 8 ; ; execute_stage:exec_st|alu:alu_inst|Selector97~0 ; 8 ; ; execute_stage:exec_st|left_operand[12]~34 ; 8 ; ; execute_stage:exec_st|left_operand[11]~32 ; 8 ; ; execute_stage:exec_st|alu:alu_inst|Selector98~0 ; 8 ; -; execute_stage:exec_st|alu:alu_inst|Selector107~0 ; 8 ; -; execute_stage:exec_st|right_operand[14]~13 ; 8 ; +; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0 ; 8 ; +-----------------------------------------------------------------------------------+---------+ @@ -949,8 +949,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF ; Location ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ -; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y18 ; -; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y19 ; +; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y15 ; +; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y14 ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -960,121 +960,122 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +----------------------------+------------------------+ ; Interconnect Resource Type ; Usage ; +----------------------------+------------------------+ -; C4s ; 1,397 / 30,600 ( 5 % ) ; -; Direct links ; 137 / 43,552 ( < 1 % ) ; +; C4s ; 1,302 / 30,600 ( 4 % ) ; +; Direct links ; 132 / 43,552 ( < 1 % ) ; ; Global clocks ; 2 / 8 ( 25 % ) ; -; LAB clocks ; 32 / 312 ( 10 % ) ; -; LUT chains ; 146 / 10,854 ( 1 % ) ; -; Local interconnects ; 1,899 / 43,552 ( 4 % ) ; +; LAB clocks ; 29 / 312 ( 9 % ) ; +; LUT chains ; 139 / 10,854 ( 1 % ) ; +; Local interconnects ; 1,864 / 43,552 ( 4 % ) ; ; M4K buffers ; 64 / 1,872 ( 3 % ) ; -; R4s ; 1,532 / 28,560 ( 5 % ) ; +; R4s ; 1,504 / 28,560 ( 5 % ) ; +----------------------------+------------------------+ +----------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 9.26) ; Number of LABs (Total = 114) ; +; Number of Logic Elements (Average = 9.53) ; Number of LABs (Total = 111) ; +--------------------------------------------+-------------------------------+ -; 1 ; 6 ; -; 2 ; 1 ; -; 3 ; 1 ; +; 1 ; 3 ; +; 2 ; 2 ; +; 3 ; 0 ; ; 4 ; 0 ; -; 5 ; 2 ; +; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 101 ; +; 8 ; 1 ; +; 9 ; 4 ; +; 10 ; 100 ; +--------------------------------------------+-------------------------------+ +--------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 1.44) ; Number of LABs (Total = 114) ; +; LAB-wide Signals (Average = 1.49) ; Number of LABs (Total = 111) ; +------------------------------------+-------------------------------+ -; 1 Async. clear ; 69 ; +; 1 Async. clear ; 70 ; ; 1 Async. load ; 2 ; ; 1 Clock ; 72 ; -; 1 Clock enable ; 13 ; -; 1 Sync. clear ; 3 ; +; 1 Clock enable ; 14 ; +; 1 Sync. clear ; 2 ; ; 1 Sync. load ; 5 ; +------------------------------------+-------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 9.97) ; Number of LABs (Total = 114) ; -+---------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 6 ; -; 2 ; 1 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 2 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 60 ; -; 11 ; 21 ; -; 12 ; 7 ; -; 13 ; 7 ; -; 14 ; 6 ; -+---------------------------------------------+-------------------------------+ ++------------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-------------------------------+ +; Number of Signals Sourced (Average = 10.26) ; Number of LABs (Total = 111) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 2 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 5 ; +; 10 ; 60 ; +; 11 ; 19 ; +; 12 ; 13 ; +; 13 ; 4 ; +; 14 ; 5 ; ++----------------------------------------------+-------------------------------+ +---------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 6.84) ; Number of LABs (Total = 114) ; +; Number of Signals Sourced Out (Average = 6.93) ; Number of LABs (Total = 111) ; +-------------------------------------------------+-------------------------------+ ; 0 ; 0 ; -; 1 ; 6 ; -; 2 ; 1 ; -; 3 ; 7 ; -; 4 ; 7 ; -; 5 ; 14 ; -; 6 ; 15 ; -; 7 ; 14 ; -; 8 ; 17 ; -; 9 ; 10 ; -; 10 ; 18 ; +; 1 ; 4 ; +; 2 ; 2 ; +; 3 ; 8 ; +; 4 ; 5 ; +; 5 ; 11 ; +; 6 ; 19 ; +; 7 ; 13 ; +; 8 ; 15 ; +; 9 ; 11 ; +; 10 ; 19 ; ; 11 ; 2 ; -; 12 ; 2 ; -; 13 ; 1 ; +; 12 ; 1 ; +; 13 ; 0 ; +; 14 ; 1 ; +-------------------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 16.04) ; Number of LABs (Total = 114) ; +; Number of Distinct Inputs (Average = 16.28) ; Number of LABs (Total = 111) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 3 ; -; 4 ; 1 ; +; 3 ; 1 ; +; 4 ; 0 ; ; 5 ; 2 ; -; 6 ; 1 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 0 ; -; 10 ; 5 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 4 ; ; 11 ; 8 ; -; 12 ; 7 ; -; 13 ; 3 ; -; 14 ; 9 ; -; 15 ; 5 ; +; 12 ; 10 ; +; 13 ; 4 ; +; 14 ; 4 ; +; 15 ; 6 ; ; 16 ; 5 ; -; 17 ; 6 ; -; 18 ; 7 ; -; 19 ; 4 ; -; 20 ; 18 ; -; 21 ; 16 ; +; 17 ; 4 ; +; 18 ; 10 ; +; 19 ; 5 ; +; 20 ; 19 ; +; 21 ; 13 ; ; 22 ; 11 ; +----------------------------------------------+-------------------------------+ @@ -1116,7 +1117,7 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Dec 17 10:10:15 2010 + Info: Processing started: Fri Dec 17 12:26:52 2010 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off dt -c dt Info: Selected device EP1C12Q240C8 for design "dt" Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time @@ -1136,8 +1137,7 @@ Info: DQS I/O pins require 0 global routing resources Info: Automatically promoted signal "sys_clk" to use Global clock in PIN 152 Info: Automatically promoted some destinations of signal "sys_res" to use Global clock Info: Destination "execute_stage:exec_st|alu:alu_inst|\calc:cond_met~0" may be non-global or may not use global clock - Info: Destination "writeback_stage:writeback_st|extension_uart:uart|new_tx_data" may be non-global or may not use global clock - Info: Destination "fetch_stage:fetch_st|instr_r_addr_nxt[3]~3" may be non-global or may not use global clock + Info: Destination "fetch_stage:fetch_st|instr_r_addr_nxt[6]~3" may be non-global or may not use global clock Info: Pin "sys_res" drives global clock, but is not placed in a dedicated clock pin position Info: Completed Auto Global Promotion Operation Info: Starting register packing @@ -1154,33 +1154,38 @@ Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement operations beginning Info: Fitter placement was successful Info: Fitter placement operations ending: elapsed time is 00:00:02 -Info: Estimated most critical path is memory to register delay of 20.863 ns - Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y18; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3~portb_address_reg2' - Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y18; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3' - Info: 3: + IC(1.586 ns) + CELL(0.442 ns) = 6.345 ns; Loc. = LAB_X28_Y22; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[3]~19' - Info: 4: + IC(0.063 ns) + CELL(0.590 ns) = 6.998 ns; Loc. = LAB_X28_Y22; Fanout = 4; COMB Node = 'execute_stage:exec_st|left_operand[3]~20' - Info: 5: + IC(0.117 ns) + CELL(0.590 ns) = 7.705 ns; Loc. = LAB_X28_Y22; Fanout = 8; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector104~0' - Info: 6: + IC(0.995 ns) + CELL(0.575 ns) = 9.275 ns; Loc. = LAB_X31_Y22; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~2COUT1_196' - Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 9.355 ns; Loc. = LAB_X31_Y22; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~12COUT1_198' - Info: 8: + IC(0.000 ns) + CELL(0.258 ns) = 9.613 ns; Loc. = LAB_X31_Y22; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~17' - Info: 9: + IC(0.000 ns) + CELL(0.679 ns) = 10.292 ns; Loc. = LAB_X31_Y21; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~20' - Info: 10: + IC(0.771 ns) + CELL(0.432 ns) = 11.495 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[6]~22COUT1_195' - Info: 11: + IC(0.000 ns) + CELL(0.080 ns) = 11.575 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[7]~27COUT1_197' - Info: 12: + IC(0.000 ns) + CELL(0.080 ns) = 11.655 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[8]~32COUT1_199' - Info: 13: + IC(0.000 ns) + CELL(0.608 ns) = 12.263 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[9]~5' - Info: 14: + IC(1.264 ns) + CELL(0.114 ns) = 13.641 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector65~0' - Info: 15: + IC(0.361 ns) + CELL(0.292 ns) = 14.294 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector65~1' - Info: 16: + IC(0.063 ns) + CELL(0.590 ns) = 14.947 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~0' - Info: 17: + IC(0.303 ns) + CELL(0.590 ns) = 15.840 ns; Loc. = LAB_X30_Y17; Fanout = 7; COMB Node = 'writeback_stage:writeback_st|Equal0~5' - Info: 18: + IC(1.093 ns) + CELL(0.590 ns) = 17.523 ns; Loc. = LAB_X27_Y19; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~8' - Info: 19: + IC(0.063 ns) + CELL(0.590 ns) = 18.176 ns; Loc. = LAB_X27_Y19; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~12' - Info: 20: + IC(0.211 ns) + CELL(0.442 ns) = 18.829 ns; Loc. = LAB_X27_Y19; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0' - Info: 21: + IC(1.167 ns) + CELL(0.867 ns) = 20.863 ns; Loc. = LAB_X28_Y21; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' - Info: Total cell delay = 12.806 ns ( 61.38 % ) - Info: Total interconnect delay = 8.057 ns ( 38.62 % ) +Info: Estimated most critical path is memory to register delay of 21.050 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y15; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a6~portb_address_reg2' + Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y15; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a6' + Info: 3: + IC(1.222 ns) + CELL(0.442 ns) = 5.981 ns; Loc. = LAB_X38_Y16; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[6]~17' + Info: 4: + IC(0.063 ns) + CELL(0.590 ns) = 6.634 ns; Loc. = LAB_X38_Y16; Fanout = 4; COMB Node = 'execute_stage:exec_st|left_operand[6]~18' + Info: 5: + IC(0.117 ns) + CELL(0.590 ns) = 7.341 ns; Loc. = LAB_X38_Y16; Fanout = 9; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector101~0' + Info: 6: + IC(1.338 ns) + CELL(0.575 ns) = 9.254 ns; Loc. = LAB_X30_Y16; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~37COUT1_200' + Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 9.334 ns; Loc. = LAB_X30_Y16; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~27COUT1_202' + Info: 8: + IC(0.000 ns) + CELL(0.080 ns) = 9.414 ns; Loc. = LAB_X30_Y16; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~17COUT1_204' + Info: 9: + IC(0.000 ns) + CELL(0.080 ns) = 9.494 ns; Loc. = LAB_X30_Y16; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~32COUT1_206' + Info: 10: + IC(0.000 ns) + CELL(0.258 ns) = 9.752 ns; Loc. = LAB_X30_Y16; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~52' + Info: 11: + IC(0.000 ns) + CELL(0.136 ns) = 9.888 ns; Loc. = LAB_X30_Y16; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~87' + Info: 12: + IC(0.000 ns) + CELL(0.136 ns) = 10.024 ns; Loc. = LAB_X30_Y15; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~117' + Info: 13: + IC(0.000 ns) + CELL(0.679 ns) = 10.703 ns; Loc. = LAB_X30_Y15; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~120' + Info: 14: + IC(0.771 ns) + CELL(0.432 ns) = 11.906 ns; Loc. = LAB_X31_Y15; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[21]~122COUT1_219' + Info: 15: + IC(0.000 ns) + CELL(0.080 ns) = 11.986 ns; Loc. = LAB_X31_Y15; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[22]~127COUT1_221' + Info: 16: + IC(0.000 ns) + CELL(0.080 ns) = 12.066 ns; Loc. = LAB_X31_Y15; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[23]~132COUT1_223' + Info: 17: + IC(0.000 ns) + CELL(0.080 ns) = 12.146 ns; Loc. = LAB_X31_Y15; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[24]~137COUT1_225' + Info: 18: + IC(0.000 ns) + CELL(0.258 ns) = 12.404 ns; Loc. = LAB_X31_Y15; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[25]~142' + Info: 19: + IC(0.000 ns) + CELL(0.679 ns) = 13.083 ns; Loc. = LAB_X31_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[28]~65' + Info: 20: + IC(1.640 ns) + CELL(0.114 ns) = 14.837 ns; Loc. = LAB_X36_Y12; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~0' + Info: 21: + IC(1.086 ns) + CELL(0.292 ns) = 16.215 ns; Loc. = LAB_X36_Y16; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~1' + Info: 22: + IC(0.752 ns) + CELL(0.590 ns) = 17.557 ns; Loc. = LAB_X36_Y15; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~3' + Info: 23: + IC(0.539 ns) + CELL(0.114 ns) = 18.210 ns; Loc. = LAB_X36_Y15; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4' + Info: 24: + IC(0.063 ns) + CELL(0.590 ns) = 18.863 ns; Loc. = LAB_X36_Y15; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~8' + Info: 25: + IC(0.211 ns) + CELL(0.442 ns) = 19.516 ns; Loc. = LAB_X36_Y15; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0' + Info: 26: + IC(0.667 ns) + CELL(0.867 ns) = 21.050 ns; Loc. = LAB_X37_Y15; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]' + Info: Total cell delay = 12.581 ns ( 59.77 % ) + Info: Total interconnect delay = 8.469 ns ( 40.23 % ) Info: Fitter routing operations beginning Info: Router estimated average interconnect usage is 4% of the available device resources - Info: Router estimated peak interconnect usage is 25% of the available device resources in the region that extends from location X21_Y14 to location X31_Y27 + Info: Router estimated peak interconnect usage is 14% of the available device resources in the region that extends from location X32_Y14 to location X42_Y27 Info: Fitter routing operations ending: elapsed time is 00:00:04 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped @@ -1192,7 +1197,7 @@ Info: Completed Auto Delay Chain Operation Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info: Quartus II Fitter was successful. 0 errors, 2 warnings Info: Peak virtual memory: 269 megabytes - Info: Processing ended: Fri Dec 17 10:10:34 2010 + Info: Processing ended: Fri Dec 17 12:27:11 2010 Info: Elapsed time: 00:00:19 Info: Total CPU time (on all processors): 00:00:19 diff --git a/dt/dt.fit.summary b/dt/dt.fit.summary index 20c6921..f616063 100644 --- a/dt/dt.fit.summary +++ b/dt/dt.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Fri Dec 17 10:10:33 2010 +Fitter Status : Successful - Fri Dec 17 12:27:10 2010 Quartus II Version : 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition Revision Name : dt Top-level Entity Name : core_top Family : Cyclone Device : EP1C12Q240C8 Timing Models : Final -Total logic elements : 1,056 / 12,060 ( 9 % ) +Total logic elements : 1,058 / 12,060 ( 9 % ) Total pins : 3 / 173 ( 2 % ) Total virtual pins : 0 Total memory bits : 512 / 239,616 ( < 1 % ) diff --git a/dt/dt.flow.rpt b/dt/dt.flow.rpt index 2415abd..53ee143 100644 --- a/dt/dt.flow.rpt +++ b/dt/dt.flow.rpt @@ -1,5 +1,5 @@ Flow report for dt -Fri Dec 17 10:10:42 2010 +Fri Dec 17 12:27:19 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------+ ; Flow Summary ; +-------------------------+-----------------------------------------------+ -; Flow Status ; Successful - Fri Dec 17 10:10:42 2010 ; +; Flow Status ; Successful - Fri Dec 17 12:27:18 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; @@ -46,7 +46,7 @@ applicable agreement for further details. ; Device ; EP1C12Q240C8 ; ; Timing Models ; Final ; ; Met timing requirements ; Yes ; -; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; +; Total logic elements ; 1,058 / 12,060 ( 9 % ) ; ; Total pins ; 3 / 173 ( 2 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 12/17/2010 10:09:48 ; +; Start date & time ; 12/17/2010 12:26:26 ; ; Main task ; Compilation ; ; Revision Name ; dt ; +-------------------+---------------------+ @@ -70,9 +70,7 @@ applicable agreement for further details. +-------------------------------------+--------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+--------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 91815333562.129257698817483 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 91815333562.129258518625184 ; -- ; -- ; -- ; ; MISC_FILE ; /homes/burban/dt/dt.dpf ; -- ; -- ; -- ; ; MISC_FILE ; /homes/c0726283/calu/dt/dt.dpf ; -- ; -- ; -- ; ; PARTITION_COLOR ; 16764057 ; -- ; core_top ; Top ; @@ -87,11 +85,11 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:24 ; 1.0 ; -- ; 00:00:20 ; +; Analysis & Synthesis ; 00:00:23 ; 1.0 ; -- ; 00:00:21 ; ; Fitter ; 00:00:18 ; 1.0 ; -- ; 00:00:18 ; -; Assembler ; 00:00:02 ; 1.0 ; -- ; 00:00:02 ; -; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; -; Total ; 00:00:45 ; -- ; -- ; 00:00:41 ; +; Assembler ; 00:00:03 ; 1.0 ; -- ; 00:00:02 ; +; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:44 ; -- ; -- ; 00:00:42 ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/dt/dt.map.rpt b/dt/dt.map.rpt index 36139c0..a9e4e1a 100644 --- a/dt/dt.map.rpt +++ b/dt/dt.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for dt -Fri Dec 17 10:10:12 2010 +Fri Dec 17 12:26:49 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -14,38 +14,41 @@ Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition 6. Analysis & Synthesis Resource Usage Summary 7. Analysis & Synthesis Resource Utilization by Entity 8. Analysis & Synthesis RAM Summary - 9. State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group - 10. Registers Removed During Synthesis - 11. Removed Registers Triggering Further Register Optimizations - 12. General Register Statistics - 13. Inverted Register Statistics - 14. Registers Packed Into Inferred Megafunctions - 15. Multiplexer Restructuring Statistics (Restructuring Performed) - 16. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated - 17. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated - 18. Parameter Settings for User Entity Instance: fetch_stage:fetch_st - 19. Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram - 20. Parameter Settings for User Entity Instance: decode_stage:decode_st - 21. Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram - 22. Parameter Settings for User Entity Instance: execute_stage:exec_st - 23. Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst - 24. Parameter Settings for User Entity Instance: writeback_stage:writeback_st - 25. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram - 26. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart - 27. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst - 28. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 - 29. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 - 30. altsyncram Parameter Settings by Entity Instance - 31. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart" - 32. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst" - 33. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst" - 34. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst" - 35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst" - 36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst" - 37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst" - 38. Port Connectivity Checks: "execute_stage:exec_st" - 39. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst" - 40. Analysis & Synthesis Messages + 9. State Machine - |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state + 10. State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group + 11. Registers Removed During Synthesis + 12. Removed Registers Triggering Further Register Optimizations + 13. General Register Statistics + 14. Inverted Register Statistics + 15. Registers Packed Into Inferred Megafunctions + 16. Multiplexer Restructuring Statistics (Restructuring Performed) + 17. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated + 18. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated + 19. Parameter Settings for User Entity Instance: fetch_stage:fetch_st + 20. Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram + 21. Parameter Settings for User Entity Instance: decode_stage:decode_st + 22. Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram + 23. Parameter Settings for User Entity Instance: execute_stage:exec_st + 24. Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst + 25. Parameter Settings for User Entity Instance: writeback_stage:writeback_st + 26. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram + 27. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart + 28. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst + 29. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst + 30. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 + 31. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 + 32. altsyncram Parameter Settings by Entity Instance + 33. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst" + 34. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart" + 35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst" + 36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst" + 37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst" + 38. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst" + 39. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst" + 40. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst" + 41. Port Connectivity Checks: "execute_stage:exec_st" + 42. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst" + 43. Analysis & Synthesis Messages @@ -71,12 +74,12 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Dec 17 10:10:12 2010 ; +; Analysis & Synthesis Status ; Successful - Fri Dec 17 12:26:49 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; -; Total logic elements ; 1,142 ; +; Total logic elements ; 1,143 ; ; Total pins ; 3 ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 ; @@ -178,6 +181,8 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+ +; ../cpu/src/rs232_rx_arc.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/rs232_rx_arc.vhd ; +; ../cpu/src/rs232_rx.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/rs232_rx.vhd ; ; ../cpu/src/writeback_stage_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd ; ; ../cpu/src/writeback_stage.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/writeback_stage.vhd ; ; ../cpu/src/rs232_tx_arc.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd ; @@ -224,25 +229,25 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+---------+ ; Resource ; Usage ; +---------------------------------------------+---------+ -; Total logic elements ; 1142 ; -; -- Combinational with no register ; 927 ; -; -- Register only ; 86 ; -; -- Combinational with a register ; 129 ; +; Total logic elements ; 1143 ; +; -- Combinational with no register ; 928 ; +; -- Register only ; 85 ; +; -- Combinational with a register ; 130 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 467 ; -; -- 3 input functions ; 447 ; +; -- 4 input functions ; 473 ; +; -- 3 input functions ; 443 ; ; -- 2 input functions ; 123 ; ; -- 1 input functions ; 18 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 936 ; +; -- normal mode ; 937 ; ; -- arithmetic mode ; 206 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 7 ; -; -- asynchronous clear/load mode ; 202 ; +; -- asynchronous clear/load mode ; 203 ; ; ; ; ; Total registers ; 215 ; ; Total logic cells in carry chains ; 214 ; @@ -250,8 +255,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; Total memory bits ; 512 ; ; Maximum fan-out node ; sys_clk ; ; Maximum fan-out ; 279 ; -; Total fan-out ; 4453 ; -; Average fan-out ; 3.68 ; +; Total fan-out ; 4464 ; +; Average fan-out ; 3.69 ; +---------------------------------------------+---------+ @@ -260,26 +265,26 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ -; |core_top ; 1142 (1) ; 215 ; 512 ; 3 ; 0 ; 927 (1) ; 86 (0) ; 129 (0) ; 214 (0) ; 0 (0) ; |core_top ; ; -; |decode_stage:decode_st| ; 109 (101) ; 72 ; 512 ; 0 ; 0 ; 37 (29) ; 52 (52) ; 20 (20) ; 11 (11) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; -; |decoder:decoder_inst| ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; +; |core_top ; 1143 (1) ; 215 ; 512 ; 3 ; 0 ; 928 (1) ; 85 (0) ; 130 (0) ; 214 (0) ; 0 (0) ; |core_top ; ; +; |decode_stage:decode_st| ; 106 (99) ; 72 ; 512 ; 0 ; 0 ; 34 (27) ; 51 (51) ; 21 (21) ; 11 (11) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; +; |decoder:decoder_inst| ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; ; |r2_w_ram:register_ram| ; 0 (0) ; 0 ; 512 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram ; ; ; |altsyncram:ram_rtl_0| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ; ; ; |altsyncram:ram_rtl_1| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ; ; -; |execute_stage:exec_st| ; 826 (185) ; 67 ; 0 ; 0 ; 0 ; 759 (149) ; 20 (1) ; 47 (35) ; 171 (0) ; 0 (0) ; |core_top|execute_stage:exec_st ; ; -; |alu:alu_inst| ; 576 (255) ; 0 ; 0 ; 0 ; 0 ; 576 (255) ; 0 (0) ; 0 (0) ; 141 (43) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; +; |execute_stage:exec_st| ; 831 (185) ; 67 ; 0 ; 0 ; 0 ; 764 (149) ; 20 (1) ; 47 (35) ; 171 (0) ; 0 (0) ; |core_top|execute_stage:exec_st ; ; +; |alu:alu_inst| ; 581 (259) ; 0 ; 0 ; 0 ; 0 ; 581 (259) ; 0 (0) ; 0 (0) ; 141 (43) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; ; |exec_op:add_inst| ; 100 (100) ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 0 (0) ; 0 (0) ; 98 (98) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; -; |exec_op:or_inst| ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; +; |exec_op:or_inst| ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 14 (14) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; ; |exec_op:shift_inst| ; 208 (208) ; 0 ; 0 ; 0 ; 0 ; 208 (208) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst ; ; ; |extension_gpm:gpmp_inst| ; 65 (65) ; 31 ; 0 ; 0 ; 0 ; 34 (34) ; 19 (19) ; 12 (12) ; 30 (30) ; 0 (0) ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst ; ; ; |fetch_stage:fetch_st| ; 39 (30) ; 17 ; 0 ; 0 ; 0 ; 22 (19) ; 11 (11) ; 6 (0) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; ; |r_w_ram:instruction_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; -; |writeback_stage:writeback_st| ; 167 (53) ; 59 ; 0 ; 0 ; 0 ; 108 (49) ; 3 (1) ; 56 (3) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; +; |writeback_stage:writeback_st| ; 166 (49) ; 59 ; 0 ; 0 ; 0 ; 107 (45) ; 3 (1) ; 56 (3) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; ; |extension_uart:uart| ; 108 (14) ; 49 ; 0 ; 0 ; 0 ; 59 (4) ; 2 (2) ; 47 (8) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; ; |rs232_tx:rs232_tx_inst| ; 94 (94) ; 39 ; 0 ; 0 ; 0 ; 55 (55) ; 0 (0) ; 39 (39) ; 32 (32) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ; ; -; |r_w_ram:data_ram| ; 6 (6) ; 6 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +; |r_w_ram:data_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -294,6 +299,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+ +Encoding Type: One-Hot ++---------------------------------------------------------------------------------------------------------+ +; State Machine - |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state ; ++------------------+-----------------+-----------------+----------------+------------------+--------------+ +; Name ; state.POST_STOP ; state.READ_STOP ; state.READ_BIT ; state.READ_START ; state.IDLE ; ++------------------+-----------------+-----------------+----------------+------------------+--------------+ +; state.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; +; state.READ_START ; 0 ; 0 ; 0 ; 1 ; 1 ; +; state.READ_BIT ; 0 ; 0 ; 1 ; 0 ; 1 ; +; state.READ_STOP ; 0 ; 1 ; 0 ; 0 ; 1 ; +; state.POST_STOP ; 1 ; 0 ; 0 ; 0 ; 1 ; ++------------------+-----------------+-----------------+----------------+------------------+--------------+ + + Encoding Type: One-Hot +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group ; @@ -311,204 +330,221 @@ Encoding Type: One-Hot +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; -+-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0,2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31] ; Stuck at GND due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0,2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|wb_reg.hword ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|wb_reg.byte_s ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.condition[1..3] ; Stuck at VCC due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.op_detail[5] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.displacement[0,2,5,8,10..31] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.saddr1[1,3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.saddr2[1,3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.daddr[1,3] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|reg.res_addr[1,3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|rtw_rec.immediate[5,7,9..11,13,15] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0] ; Lost fanout ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[23] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[1] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[19] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[15] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; -; decode_stage:decode_st|dec_op_inst.op_detail[0] ; Merged with decode_stage:decode_st|rtw_rec.imm_set ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[23] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[19] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[15] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; -; decode_stage:decode_st|dec_op_inst.daddr[2] ; Lost fanout ; -; execute_stage:exec_st|reg.res_addr[0] ; Merged with execute_stage:exec_st|reg.res_addr[2] ; -; decode_stage:decode_st|rtw_rec.immediate[18,21,23..27,29..30] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; -; decode_stage:decode_st|rtw_rec.immediate[16] ; Merged with decode_stage:decode_st|rtw_rec.immediate[28] ; -; decode_stage:decode_st|rtw_rec.immediate[20] ; Merged with decode_stage:decode_st|rtw_rec.immediate[22] ; -; decode_stage:decode_st|rtw_rec.immediate[17] ; Merged with decode_stage:decode_st|rtw_rec.immediate[19] ; -; decode_stage:decode_st|rtw_rec.immediate[1] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; -; decode_stage:decode_st|dec_op_inst.displacement[7] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9] ; -; decode_stage:decode_st|dec_op_inst.displacement[4] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6] ; -; decode_stage:decode_st|dec_op_inst.saddr2[0] ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2] ; -; decode_stage:decode_st|dec_op_inst.op_detail[1] ; Merged with decode_stage:decode_st|dec_op_inst.op_detail[2] ; -; decode_stage:decode_st|rtw_rec.immediate[19,22,28] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; -; decode_stage:decode_st|dec_op_inst.op_group.AND_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP ; Lost fanout ; -; decode_stage:decode_st|rtw_rec.immediate[31] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; -; decode_stage:decode_st|rtw_rec.immediate[8] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; -; fetch_stage:fetch_st|instr_r_addr[11..31] ; Lost fanout ; -; Total Number of Removed Registers = 261 ; ; -+-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Removed Registers Triggering Further Register Optimizations ; -+-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; Registers Removed due to This Register ; -+-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+ -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.op_detail[5], ; -; ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.saddr1[3], ; -; ; ; decode_stage:decode_st|dec_op_inst.saddr1[1], ; -; ; ; decode_stage:decode_st|dec_op_inst.saddr2[3], ; -; ; ; decode_stage:decode_st|dec_op_inst.saddr2[1], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[15], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[13], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[11], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[10], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[9], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[7], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[5] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[3], ; -; ; due to stuck port data_in ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo, ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[30] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[2] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[1] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[14] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[13] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[13] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[12] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[12] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[11] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[11] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[10] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[10] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[8] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[8] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[5] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[5] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[2] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[2] ; -; ; due to stuck port data_in ; ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[0] ; -; ; due to stuck port data_in ; ; -+-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++------------------------------------------------------------------------------------------+------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; ++------------------------------------------------------------------------------------------+------------------------------------------------------------------------+ +; writeback_stage:writeback_st|bus_rx ; Stuck at VCC due to stuck port data_in ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int ; Lost fanout ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0,2,5,8,10..15,17..18,20,22] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31] ; Stuck at GND due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0,2,5,8,10..15,17..18,20,22] ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|wb_reg.hword ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|wb_reg.byte_s ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.condition[1..3] ; Stuck at VCC due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.op_detail[5] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.displacement[0,2,5,8,10..31] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.saddr1[3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.saddr2[1,3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.daddr[1,3] ; Stuck at GND due to stuck port data_in ; +; execute_stage:exec_st|reg.res_addr[1,3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|rtw_rec.immediate[5,7..8,10..11,15] ; Stuck at GND due to stuck port data_in ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0] ; Lost fanout ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[23] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[1] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[19] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; +; decode_stage:decode_st|dec_op_inst.op_detail[0] ; Merged with decode_stage:decode_st|rtw_rec.imm_set ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[23] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[19] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; +; decode_stage:decode_st|dec_op_inst.daddr[2] ; Lost fanout ; +; execute_stage:exec_st|reg.res_addr[0] ; Merged with execute_stage:exec_st|reg.res_addr[2] ; +; decode_stage:decode_st|rtw_rec.immediate[18,21,23..28,30] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; +; decode_stage:decode_st|rtw_rec.immediate[16] ; Merged with decode_stage:decode_st|rtw_rec.immediate[29] ; +; decode_stage:decode_st|rtw_rec.immediate[20] ; Merged with decode_stage:decode_st|rtw_rec.immediate[22] ; +; decode_stage:decode_st|rtw_rec.immediate[17] ; Merged with decode_stage:decode_st|rtw_rec.immediate[19] ; +; decode_stage:decode_st|rtw_rec.immediate[12] ; Merged with decode_stage:decode_st|rtw_rec.immediate[14] ; +; decode_stage:decode_st|rtw_rec.immediate[1] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; +; decode_stage:decode_st|dec_op_inst.displacement[7] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9] ; +; decode_stage:decode_st|dec_op_inst.displacement[4] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6] ; +; decode_stage:decode_st|dec_op_inst.saddr1[0] ; Merged with decode_stage:decode_st|dec_op_inst.saddr1[2] ; +; decode_stage:decode_st|dec_op_inst.saddr2[0] ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2] ; +; decode_stage:decode_st|dec_op_inst.op_detail[1] ; Merged with decode_stage:decode_st|dec_op_inst.op_detail[2] ; +; decode_stage:decode_st|rtw_rec.immediate[19,22,29] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; +; decode_stage:decode_st|dec_op_inst.op_group.AND_OP ; Lost fanout ; +; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[0..31] ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[0..31] ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.IDLE ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_START ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP ; Lost fanout ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.POST_STOP ; Lost fanout ; +; decode_stage:decode_st|rtw_rec.immediate[31] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; +; decode_stage:decode_st|rtw_rec.immediate[9] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; +; fetch_stage:fetch_st|instr_r_addr[11..31] ; Lost fanout ; +; Total Number of Removed Registers = 332 ; ; ++------------------------------------------------------------------------------------------+------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Removed Registers Triggering Further Register Optimizations ; ++-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; Registers Removed due to This Register ; ++-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+ +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.op_detail[5], ; +; ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.saddr1[3], ; +; ; ; decode_stage:decode_st|dec_op_inst.saddr2[3], ; +; ; ; decode_stage:decode_st|dec_op_inst.saddr2[1], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[15], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[11], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[10], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[8], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[7], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[5] ; +; writeback_stage:writeback_st|bus_rx ; Stuck at VCC ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[31], ; +; ; due to stuck port data_in ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[30], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[29], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[28], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[27], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[26], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[25], ; +; ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[24] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[3], ; +; ; due to stuck port data_in ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo, ; +; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[30] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[2] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[1] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[14] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[13] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[13] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[12] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[12] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[11] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[11] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[10] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[10] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[8] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[8] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[5] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[5] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[2] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[2] ; +; ; due to stuck port data_in ; ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[0] ; +; ; due to stuck port data_in ; ; ++-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+ +------------------------------------------------------+ @@ -519,9 +555,9 @@ Encoding Type: One-Hot ; Total registers ; 215 ; ; Number of registers using Synchronous Clear ; 4 ; ; Number of registers using Synchronous Load ; 6 ; -; Number of registers using Asynchronous Clear ; 191 ; +; Number of registers using Asynchronous Clear ; 192 ; ; Number of registers using Asynchronous Load ; 11 ; -; Number of registers using Clock Enable ; 44 ; +; Number of registers using Clock Enable ; 43 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -544,15 +580,15 @@ Encoding Type: One-Hot ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8] ; 2 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ; 2 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; 2 ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 2 ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 2 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; 2 ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 2 ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 2 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; 2 ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 2 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; 2 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 2 ; ; decode_stage:decode_st|dec_op_inst.condition[0] ; 1 ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; 4 ; ; Total number of inverted registers = 24 ; ; @@ -631,33 +667,36 @@ Encoding Type: One-Hot +------------------------------------------------------------+-----------------------------------------------------+------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ -; 3:1 ; 21 bits ; 42 LEs ; 21 LEs ; 21 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[6] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |core_top|decode_stage:decode_st|dec_op_inst.displacement[1] ; -; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; -; 5:1 ; 14 bits ; 42 LEs ; 28 LEs ; 14 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[30] ; -; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[21] ; -; 18:1 ; 3 bits ; 36 LEs ; 3 LEs ; 33 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2] ; -; 9:1 ; 5 bits ; 30 LEs ; 25 LEs ; 5 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[11] ; -; 9:1 ; 13 bits ; 78 LEs ; 65 LEs ; 13 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[17] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[6] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[25] ; -; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[1] ; -; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[29] ; -; 3:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ; -; 3:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|left_operand[13] ; -; 4:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; No ; |core_top|decode_stage:decode_st|decoder:decoder_inst|instr_s ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[7] ; -; 4:1 ; 25 bits ; 50 LEs ; 50 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[14] ; -; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector53 ; -; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[2] ; -; 5:1 ; 6 bits ; 18 LEs ; 12 LEs ; 6 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[3] ; -; 4:1 ; 11 bits ; 22 LEs ; 11 LEs ; 11 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector107 ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ +; 3:1 ; 21 bits ; 42 LEs ; 21 LEs ; 21 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[25] ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |core_top|decode_stage:decode_st|dec_op_inst.displacement[1] ; +; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; +; 5:1 ; 14 bits ; 42 LEs ; 28 LEs ; 14 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[31] ; +; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[19] ; +; 18:1 ; 3 bits ; 36 LEs ; 3 LEs ; 33 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1] ; +; 5:1 ; 32 bits ; 96 LEs ; 32 LEs ; 64 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[1] ; +; 9:1 ; 5 bits ; 30 LEs ; 25 LEs ; 5 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[7] ; +; 9:1 ; 13 bits ; 78 LEs ; 65 LEs ; 13 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[21] ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[4] ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[25] ; +; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[1] ; +; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[29] ; +; 3:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ; +; 3:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|left_operand[19] ; +; 4:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; No ; |core_top|decode_stage:decode_st|decoder:decoder_inst|instr_s ; +; 4:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[10] ; +; 4:1 ; 26 bits ; 52 LEs ; 52 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[30] ; +; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector48 ; +; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[0] ; +; 5:1 ; 6 bits ; 18 LEs ; 12 LEs ; 6 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[6] ; +; 4:1 ; 11 bits ; 22 LEs ; 11 LEs ; 11 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector97 ; +; 6:1 ; 3 bits ; 12 LEs ; 6 LEs ; 6 LEs ; No ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|Selector0 ; +; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; No ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|Selector2 ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ +-------------------------------------------------------------------------------------------------------------------------+ @@ -784,6 +823,16 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". ++----------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst ; ++----------------+-------+---------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+---------------------------------------------------------------------------------------------+ +; reset_value ; '0' ; Enumerated ; ++----------------+-------+---------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +--------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; +------------------------------------+--------------------------------------+----------------------------------------+ @@ -933,6 +982,16 @@ Note: In order to hide this table in the UI and the text report file, please set +-------------------------------------------+-------------------------------------------------------------------+ ++-----------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst" ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ +; new_rx_data ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; rx_data ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ + + +--------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart" ; +----------+--------+----------+-------------------------------------------------------------------------------------+ @@ -1059,8 +1118,12 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Dec 17 10:09:47 2010 + Info: Processing started: Fri Dec 17 12:26:25 2010 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off dt -c dt +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rs232_rx_arc.vhd + Info: Found design unit 1: rs232_rx-beh +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rs232_rx.vhd + Info: Found entity 1: rs232_rx Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd Info: Found design unit 1: writeback_stage-behav Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage.vhd @@ -1166,11 +1229,14 @@ Info: Elaborating entity "exec_op" using architecture "A:shift_op" for hierarchy Info: Elaborating entity "extension_gpm" for hierarchy "execute_stage:exec_st|extension_gpm:gpmp_inst" Info: Elaborating entity "writeback_stage" for hierarchy "writeback_stage:writeback_st" Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(14): object "data_ram_read_ext" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_timer" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_gpmp" assigned a value but never read -Warning (10812): VHDL warning at writeback_stage_b.vhd(152): sensitivity list already contains wb_reg_nxt +Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(19): object "ext_timer" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(19): object "ext_gpmp" assigned a value but never read +Warning (10812): VHDL warning at writeback_stage_b.vhd(164): sensitivity list already contains wb_reg_nxt Info: Elaborating entity "extension_uart" for hierarchy "writeback_stage:writeback_st|extension_uart:uart" +Warning (10036): Verilog HDL or VHDL warning at extension_uart_b.vhd(15): object "new_bus_rx" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at extension_uart_b.vhd(17): object "rx_data" assigned a value but never read Info: Elaborating entity "rs232_tx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst" +Info: Elaborating entity "rs232_rx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst" Info: Inferred 2 megafunctions from design logic Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~37" Info: Parameter OPERATION_MODE set to DUAL_PORT @@ -1226,8 +1292,27 @@ Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|al Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_emk1.tdf Info: Found entity 1: altsyncram_emk1 +Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" +Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" with the following parameter: + Info: Parameter "OPERATION_MODE" = "DUAL_PORT" + Info: Parameter "WIDTH_A" = "32" + Info: Parameter "WIDTHAD_A" = "4" + Info: Parameter "NUMWORDS_A" = "16" + Info: Parameter "WIDTH_B" = "32" + Info: Parameter "WIDTHAD_B" = "4" + Info: Parameter "NUMWORDS_B" = "16" + Info: Parameter "ADDRESS_ACLR_A" = "NONE" + Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED" + Info: Parameter "ADDRESS_ACLR_B" = "NONE" + Info: Parameter "OUTDATA_ACLR_B" = "NONE" + Info: Parameter "ADDRESS_REG_B" = "CLOCK0" + Info: Parameter "INDATA_ACLR_A" = "NONE" + Info: Parameter "WRCONTROL_ACLR_A" = "NONE" + Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif" + Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" Info: Registers with preset signals will power-up high -Info: 117 registers lost all their fanouts during netlist optimizations. The first 117 are displayed below. +Info: 187 registers lost all their fanouts during netlist optimizations. The first 187 are displayed below. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign" lost all its fanouts during netlist optimizations. @@ -1324,6 +1409,75 @@ Info: 117 registers lost all their fanouts during netlist optimizations. The fir Info: Register "decode_stage:decode_st|dec_op_inst.daddr[2]" lost all its fanouts during netlist optimizations. Info: Register "decode_stage:decode_st|dec_op_inst.op_group.AND_OP" lost all its fanouts during netlist optimizations. Info: Register "decode_stage:decode_st|dec_op_inst.op_group.XOR_OP" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[31]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[30]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[29]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[28]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[27]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[26]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[25]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[24]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[23]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[22]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[21]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[20]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[19]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[18]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[17]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[16]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[15]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[14]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[13]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[12]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[11]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[10]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[9]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[8]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[7]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[6]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[5]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[4]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[3]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[2]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[1]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[0]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[31]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[30]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[29]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[28]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[27]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[26]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[25]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[24]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[23]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[22]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[21]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[20]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[19]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[18]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[17]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[16]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[15]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[14]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[13]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[12]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[11]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[10]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[9]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[8]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[7]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[6]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[5]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[4]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[3]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[2]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[1]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[0]" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.IDLE" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_START" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP" lost all its fanouts during netlist optimizations. + Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.POST_STOP" lost all its fanouts during netlist optimizations. Info: Register "fetch_stage:fetch_st|instr_r_addr[11]" lost all its fanouts during netlist optimizations. Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations. Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations. @@ -1348,15 +1502,15 @@ Info: 117 registers lost all their fanouts during netlist optimizations. The fir Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM" Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM" Info: Generating hard_block partition "hard_block:auto_generated_inst" -Info: Implemented 1209 device resources after synthesis - the final resource count might be different +Info: Implemented 1210 device resources after synthesis - the final resource count might be different Info: Implemented 2 input pins Info: Implemented 1 output pins - Info: Implemented 1142 logic cells + Info: Implemented 1143 logic cells Info: Implemented 64 RAM segments -Info: Quartus II Analysis & Synthesis was successful. 0 errors, 12 warnings - Info: Peak virtual memory: 267 megabytes - Info: Processing ended: Fri Dec 17 10:10:12 2010 - Info: Elapsed time: 00:00:25 - Info: Total CPU time (on all processors): 00:00:21 +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 14 warnings + Info: Peak virtual memory: 268 megabytes + Info: Processing ended: Fri Dec 17 12:26:49 2010 + Info: Elapsed time: 00:00:24 + Info: Total CPU time (on all processors): 00:00:22 diff --git a/dt/dt.map.summary b/dt/dt.map.summary index 1ff45f6..f5309a2 100644 --- a/dt/dt.map.summary +++ b/dt/dt.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Fri Dec 17 10:10:12 2010 +Analysis & Synthesis Status : Successful - Fri Dec 17 12:26:49 2010 Quartus II Version : 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition Revision Name : dt Top-level Entity Name : core_top Family : Cyclone -Total logic elements : 1,142 +Total logic elements : 1,143 Total pins : 3 Total virtual pins : 0 Total memory bits : 512 diff --git a/dt/dt.pof b/dt/dt.pof index a9a8ef1bea601f953ae7d4bd3887a466c6d89e73..a278513d7247c71bc989e75e3e047ce6fff17649 100644 GIT binary patch literal 524489 zcmeFa4Y+05S=YI%bCPW00Z;CnlxJ%06pQu_&$hkBlcH>{WfAWUv{OZBtfp;J7(S{@ z@=!epbf<%KMC7Wa)L;`rY%oAiD6q$AoaRf^LC~=q2_I1eG~q)tl15QT5ED@pMKZtt z|6OaJeX6Uf@7-0mayrjm_wK#cdcW4Y-u14v_kPbhXP^0_f269aL)D9X{%p?oeA)Ro zzUj*zN~ce!GjIITFMQ+qFaF{;yfJ~7rFSNNH2K7ZAvdV@=1qoc`BVw*GL7g+*)4cRA{atA{D&ytFRkX zXjVnW)-_VmQ~9f|kqXVpPWm%B38X<5jq)EN{YXwKm-JjR3SRj?B>ikoDwkAfI%=H! zl$-=B_**687f7E^QhQyUzA~qegic*O`r2llRODPuhJ0FM^3QTAX%$e{nYKFLv^(iH~SVNYOYGZ zaUasHnVPY3^a#x&iF8AnMODfHLOG{}a#7FJw=YwlyMp>9LG0das2|$~NV#P3u1dMP zzs|+gJpJU-HFU*v9F*%jAcN%w%k{NDU%tWT2FvxeKwrMq_qpXetG@kwZoc%~Buf-u z^WT=Lt5wmkuBzdxAOFeKGS-qdAMpvXy`;`^<-xzVT)*kH?HiEzEeC%me0}wjrvu(5$!K=U5x|x;%WsyAHbhHz5DkTW%l94$AGrSWBdX<(AB`kIG=VeHd$rbgH15-jbhuS-&*Vh7l`39dGEZ5fpefb8T zy9t(Cy=ck%hrLY0UZ#)0H|B=DOw0SE_8w*Gy9^yTpRN$A|1tXH!0oXA27WQ^pXZiw zv={!ta{IJ-Yq@>$-4gOaxxQvzdCRT-BHY*X2g$ek!+MatzX92|^mB-P>$&p7y6+y{ zDu%&weJwp$Zm?Wm3-smd_}ma>+LsYq%dMW>hP_O~UZ&-*xZ-HXH8lEBc zwT5Kkb}8w@e9$~nZug)Y=_!ABhUjUy;p=?84L5udvbX70ex2*xvx8zQN}P%k{NDU%uyy&vhR|>Fe-~x$6&jJFKf`w;{@OV^OAIFVk{NYVT2|>ra`F zAXc+=2!Zt(fOehh!+g*@gK~W>JXmh9Twe?HP1W5KYU|uwB&XCLQXeb>)m`qlD@10-9XMuU-p95a;sLDR6q1vtEN*&A6>q7JM~*yOxJJi z(5L_S^$89X`y9$u?_Kp_Jy7f1i16pjEz^zk@nCod%k{PEV7b9^eJ#+JZ}7Rna(yk( zmv8X7!E${q(3h{{bKQql#9bND;N|k&bStRe{WrTUu2{LX+^w)g?)#p{@Vff zkiAR|ktUAw<8*kZP8aWu0>uc|ox7_n%5$NABpse1dRu$teXe)U4w`YWTwe-&*Vh7l`39dG zEZ5fpefgd*KG%I{rLPAPH_A5LqwFEd)OSpVDASEZnY1%0B2B|yrdSEO6ZTr)uN(F< z^}9kGIyC*sew??2>-lo|x+TWgS0jVv_GPXP@nE@*G4@qldCLu-L+oojGx6#VYoA`- z0-oV>h~7qCd7tauvx8VB>{eAnl<7vI zOv7HLKKrB;Wg4C#mX^IeR(x$;weNcs-Cz8T3~Q;ZSRT(1t8BRyGuT3%;eKvmi~W@b z%k9rz3+lmg3tQ~3G+1tb_F7O6mRs0jf2F~4`?J@Ada&HW7W*p=mfN4b7Szud%k_zK+igQKo$v zv9;Xl*=^X%bmcqduK&y8!|yUJZ$8AMyG~7Pvm4&L-Z$okK+e9_kWAbzC4HC=nn%j* z9&{r;0Yz8ul`EzqE=UbzNzXaihg-?M~S1MVT_k z>SbDlS3Cr^qF?-a&3{_G(QGx+u&$`xs_ONBqt$cu3eT6jlEHHOvcSp@>xwVJ`!e>e zBVPSsy>+(OS4+d^5c@J$mw4rUuB+@yl?Ti99ge|rAMKXwK8DiQ?t>-OAuZ6&d>x-#y=cksjk&&KGJIq1M!qpOyko9! zlxf(@)Mp{?EW&-*50*#D?H+6sy`>L(nR=UU<$bPq&kmY#uv}jY50)D&*Vh7l`39dG zEZ5fpefb8T8!Xq?0)6?OFFw~dqG7un$j+xB%G7sEhA7jGM45)YOnswFeb>kX=hGtm z{0M=q+RqIAj_)||!Rg91(Y_ek{D zR(IF**H*bXyA{+Af97(Fi<fF1%jnut&+aPuB^bU}E`xI%R$=~@< zbx5_&jivPJ+*tY!ba5MM!UeSnZz}b6tK9B?{FJ^9aSQlX-RC^49XoW~P0+=Nnr1Pg zu69P$^_xoloj;1qr3ROuPo-P8K}fsQ=(eQZjZ!Zhu0rs)zlRCYZLV5del+3fc}cm` zOVm7TFDrM0)Jw{}?Wvcqw&hlOSeKj#J1&hA_3o%%Y37?u-5ph$`tM)QX;sszuXC$v zx8b?9|HuqJ*VlG~zQN}P%k{NDU%tWT2FvxeKwrMW=LXC5 zwLo9K!RH3c^|e4>zQN}P%k{NDU%tWT2FvxeKwrMW=LXC5wLo9K!RH3c^|e4>zQN}P z%k{NDU%tWT2FvxeKwrMW=LXC5wLo9K!RH3c^|e4>zQN}P%k{NDU%tWT2FvxeKwrMW z=LXC5wLo9K!RH3c^|e4>zQN}P%k{NDU%tWT2FvxeKwrMW=LXC5wLo9K!RH3c^|e4> zzQN}P%k{NDU%tWT2FvxeKwrMW=LXC5wLo9K!RH3c^|e4>zQN}P%k{NDU%tWT2Fvxe zKwrMW=LXC5wLo9K!RH3c^|e4>zQN}P%k{NDU%tWT2FvxeKwrMW=LXC5wLo7!`P^<_ z<^$#%Ua8gFz$?F$JU?C?*xUXG#JKvydO#h&QL)2P(%XV7?{mF-cF>H2<@#E9u-r$x zlw(}&K6H1z3;5|19DtvB z$6A0v8N8pjoj;9i3)z$Gr=Wg{Cf^73m;P2&jn;OWIL?pL;hj2Nyf=<_9@%Mlae93j zAjhR+MnJ8%sb5?>KNjNVQg0(y$2nwgzx%`OB7|pjo#TLCD$|{?*Lq>itKW^!?Y0W8 zQsK~{=})ebK3C|{URzh~?cUP!7t@L_B{t@&TF%O?;;HqyLAiZeV6fai4c3wmmTOJ1 z&%$82eHyGKA1v3JVxNV)3i7!j1lDI%o-dcLK7Bh_ z9{b$EHql$UKjYu+&3>?q!v=)j_FeheIxNC{#&-3GwNI~Z0nf0M^fvO!`&{py9W>)$ zxxN-2EcelFx$Z+NeI24q*B|h9Lad(Ms%qHFH0)(s>-aA|+I7IeC5|w)$UD{cQZxp>lxx!8?O4T(>JrPH(A!ceC{T<{hZ=fSh>3TF?PGI zXj*CIs%nLYbx^LqOAo`^*LH*D2FvxeKwrMW=LXC5wLo9Kj?Z-;LlHMbnXYfs)^e+7 zw}>)bU;U3DR+by~GVSsEb=_~w4SSh-d#!x#qw-_)>wZ-%2Ue~{Blxv&t))Nhy=`$( z7%hs=QF>1K@LwS&Q(cUH53wtwjlK>!@*V3pd&aEK`ir5w(Cu>CmBSqQ=7ydb$j)fUT0SG9@M6CfYI{lU&U*J^jw zrmv5^D$9)l5548H5#VNX+qjrYrPh|Bo$P=&*64y;7HX3#84}sc{>K(cA*<9WEI?hl z8!j(t719c*f3PK#Mhh)la1?|v z@|qM^FkGkV*?uurcVnKh_4;p3lS{*~31y8u3)w@w0HFRY-Rq*(^r976D4MVrdKmKFX zXuPzXOC80cm=~BE)vd_Amer&ZG+Dhjz9ZAS^-xjgtc52D<-m3jrImhpM}dy*BumD0 zuI!#)py<}CX3TJEMC0rzQ&dE8Y0auxOL1Q>wo=!Z_?4S(YN=!J?wQec{7D^u8&B2} z7I2!nTs_HMiR_|A{nsjI*}}B?=3=+etC!7kbyQZsT9i{zTCY$qBdS03n?}_gOW2Mj zI;RSUEn(Azq}CEqE!0tiT0Dz%CG|`r7nUL`-(nO%@cGC%PM`Jjl{O&QC|MC_MYt;F z(aH90Yu7I9!E(idp@&4-avD^Up_7+B^+)y@WxBMd-({-(<4)K56{lI}H=icm-+a1# zRPnn^)AGAaKlcUtU8d95|Hj-C2k^U0@8LI}9$o&;r&+qVcBb>YOlO+^(vD6V<9Hgw zIPjyL)^%!TRE={ih8REUx{|3|QJR0nl??1s<613sxY@I)ry;xkfu;mXSs3luOaMP6e=_-e0Msj2Rd8j$%=qUk=f= z*F=>ml}O3dIJ6l-97-)z6TfRJL~l)Az^ykuUZ z#X^j%giQYzSXahUc~&LVir)j3{& z2)H!8qS-~Y#(XlYAS^9ik=^pu#qSTgEU&Kq%C~g+jUBfNEE5NzbX#U*99j3qa2aWdV4zVI#bu0B~xLBdwgOU1ba zS(r~%{j1LkyGq=W$R$H9W0pkUBtUDMZmBtYsUe(17k`#mPMIO8uG5kLSL-K*dlsfu z^eQORFP)aeTAM(Z-YyYGWr?NWCsLAs>B)ckum81Ruz)xw+{SXwZX{E2Ehx86S!6a~ zZo42Q$VLQSU}Db=IB!n{I!~Z=#5qc(`rB`FNwiqL_-}63C^Z!=7@ASBYMVt^{V8!S zdbw&SC*|Ek$VdsTboft>W)=XHKk%*4baV+lif@6Nl(LC1D0$G!xVhcZa=y^m>?R6@ zvRZD|CpewDl_=}X;mYJU|D~v+wSWW`w5!5)N(Ki)1fhWH9PzI#C*c=x0W%KP{15{u z0Zf@g_FJS>{jIW0VWH4*fmNvkD@F3`lFOvgcB@<=Um|L;OVCb51cm66{2d4N@hY;~ zA%$UqR;hKY1z0j&E20-fo%Sd$QcD@2$y|AI#i-x>4;Cs*%rsju_l&_%$wbUsm9wSd zX_!{2&zRSM$A-p4i$jv4o zpUFi0JEbNY{}AS&mCUYelRPS`nRBh6HO>_5qLR-u;F z0QmMJWUGQEopF@e1T85HO-#!MTeTW;W?HQUQf=67@xT_dyqPS5c zRVLLvjJ6A8^Le^_ERoP=j&^fJOD2Hl^SA#~2}Pl-lq838=3Xc#)6rb397QXK>H^9r zoUD+P!qf}{G$3>$DK}9InN;(H7Sb#w)GEq}fXe)b{xmiv)jaQGP{i4V>(enfvMB9L z-l}*Txm2WydcKZ1g^c=6@%zYku`=Y+Mbwiy&zQFy=AaD zUiiI~V59fW;&x3+xU&qjl)xk+!xp0AZ2O7`D29@SBqY>FmR2U2F8tF-5kPK@l)G+| zg}>o$D8>;KC_DMRpR6d;Z0C-RY3J9!FFka3i3H8~;qID9PU3zFdAwV>H`i_nD z{CnOrgEO@PP)DkW8;$r0aZ~dX;v3UUJ&9iyFR~|3zoh%i;*ve_uYUu6-_bPrVm|v? z{#~Yf>h$PqIrs(e5()aAt4Epck6-^5rG6G%)Q@%IMkkhkEBwI)wKzJzEZ*=V;!osX z7B7359uW06X@DawMVUI0AdRTww#!i_MS{GjfF>X{>ugn2Oe#m2G)5fh+A%4Hv87H> z&ll7m{Lj?Q?>tIs(<84;?o*OHPO6Z#z;LVJuoGs^Sg1_~#6X=|tYs#jIjO*rTD<6f zku2PoDC0R5^C1pG{JbC*oCV~(R7{pKvGcT04x&Sce)$8r zy-ZZHEFoug4m_#aYD&4Q`Z9;*WRTS5KdBlZ)lAVj`8u|!3%~W-xjDBPYeO{8Dm@BK zvN~kNL5s06rfa-~_$U<;uWcw->P625RSHn3Z}8=^bwf;MEZj0yRxdy!VzNsVg|g%w zvC*W@1zVo(bN$)GzB^e0fAa^c>g^p-6phy@6G~bx=1lZ1>LhfrB=svvGO57%P&Vhd zB6Z>>qDVO1Kj7NRgwUV`(ji*rIHbQr-}znDp|2QMZ1=2KKdWPR)zz;btym%} z;_lV?N7kxmUOD3B3nSjOz*`OYO#a~#{q{gl7^&J%|dTBEX*8|^}U3F=E$uBD#1D_2neq0#PV z{hm*|w03Cw>eQp1C)PHw%7#0B0_q0p3Tl*l{XGn&26Z=vl`B!y@q)iKD1S~4F=q~Bi(98G*n@U#~xJ=WneUYO~aFy!{MfH23RIx&V z7^`N~n7Md_a1|yAa#N;wA#hC zYN9QRk|*#G0VWiGmEDinV-g?OHB<=xZ{mbmu>_E@g|^3~k1!?NaVC8k#jU zO^`{EmOP7)1X8IL>JI1wrvj}$V1~A1emKg!VsBY(E4O7vN-ZkdSyc7hm=o$c6w|5_ zDnYPS%~Ha6DRBvMDQFZqd2eI(m=49{eue`cgF>Ob6&G<=pJ*e1oR!*J} zV2e;|Z6%7GYLEcPR4sw!aq7xl&;Yd~2piCrPJ_@a_yW$k5KO8c;zBR`Dqtmk?35*o z#y%vpDuQQ+)S^Ya#8ukHPs6^&S+WRurFg?RO%FwpFFk5vO#WcA*6eHufh9K!j-bic zzC4;$>q_z=>nH${q^tGqh=jy(pU@3LGea80u1gFdr_3d1MJc)_IREB|wlppEm-|<8 z0M%Fj8&O6B3LCm+)=aFP(}-HJFhzL-4}`g(fT5U3m>&)7T~dLf%|ro=B|yg?62&3O z^DI>K4F}k{L74pO(fhzO+?3@2#PjGnAu-D$vMJdRZq?0ft9s&C-K05H2ASBVL>aDa@l9knM{fXMLx3=cqA#|hlycM*@c=l_-+H8hr%JOrQ6~=Ty*3H_8~E%CP;RCur{R+N zLdcNvWa+G)BhtVaAnBuq-4!(_<>Fs5UAM&qM;;_{Y%xeK! zuRl&?b3DoKHJtEX!wIp<-G}aSnDOa|RkrUneBGGm!STdzDtt*bd**0)3u1oV;b`*o z8j;O!Y~O;&18+?nwEJE|qNe4YiIW@$Ww%`-YAPXf@1J`~H6gND{q5i2@oH_S8FP$y zSWfv(h0Vo#^_&{9N<}u=L#Ou|&e-3!(>$_v54j?nr-^D32QAc(uAQzO2Ypdc^JIRS zZE~kG_2&e2iEKWCC<2%|Bzp_$Ze(-&V?P!X+f^z@Hf3=V_UB3W+56{&(?GH~X}6t* ziL$5_*^B{GJz|xC5>M(xHj8XgLqTe(RRL{k^3P`x-o0d?mLm!rW&sJIi=|PK8E?YC z0nq|N;uKfVEFeI}WmzVZFbY|8)#S$riAg!aV>G5rxg0q3VeTbK7JJhDoC->l>hhnC5)Xtemu4W_VS{Q?k0;dF z(UeR{oH`KdinSZjXBZUEtEzPlhBwhrwgrGl%-7&_HCcy{l`_RV=ax+6*FMN5-~uC$ zp1b3k(=<5F#t;!|m*I#Ul86x?O677cmUBI%sUu!YtYQ#LG(kmS%-aM%vV}kN)`tYm z$sFNN{;-L_D<2~f&>=7+Sme%qRiTGE`0)Xju_v=ZVZPRly0Z6wV=LQARh7uso?Dxmh-u`BK&( z2z|~J-}RRQMwKhlqDe~fA<4_h%7hl!C#i`nLj((V>Hve&fM-EFIOL?LcFtn>m49u8 zP(tkrGRn^klvN#9To{XKbUg(YDpK$;=b`lAOu8NlVbI%#9#ed24qI4QvbL&Jq;WSw zQS-HUJ1sA^W7TnH9%N@+j^t(FRfqx$0`%^_Q9kJ@V!<)D z^#xGNlj<+OJySB-#5hA2H>mzmCWUrxNIXg@*lCUuOQ$&~D|cJ@)LPoDN%9qt)cS-* zn0dtN8p`VYRiDdA3Piu6%-Q!;pmITU~3i(DPC5tutQw48WRg;1Jt@Gq`Nl=$bl$Xd+EElwApBnoDB^c)HG!gp7T7tzwNUiiL zm^o*Ag3#23JY!f&a#5yEAihFh5Yk9yGf|0#7IpWjqt_f7+=Mm)XnHwO@)NYM97=^E z%8RO>U@`@BDpgIQ#=(F101gKD12U0YnofvRG1xYh4$;h`?R-~Ym9#K>#=`l{!KL5u>CT(DZ+6>@*t(Q4Ot2(q) z8qXT4(XI*_fkdHAqRwNfBFAEGE)f}*Of3$l8T}3)g6kjtE5RfrClPgqlp2uC+2%ou zDbf9So)p!vfumZ5x zNmv0Gy`XiI-KLGtDp3Yy;$%1qRn^%~;Z$>72$5V?XVYpdDkiQ>H~}}`N*Y%dqQ(!I zjIKo0TU;6vE(Ho3PUd(d<8Bnh0QIkCICK?(OJ})+N7}O$&Zd!_YZRT&B*B*wz%TtU zbCu}ADTQ(lMG-hrc*;>G z^j3RY>MD})H_4qNYRd*kcADM)dD+Nw%>u>^^VQ?=u-Z;QiJ(lfSd;G2amZ5QLWKnN30cjr^Em{eB%(~?9inRIkJc3% zB`zt-bo*%X&JzTQ2>Fz6QnHVNNKi%GD0ajh7WD*bfhSkQq_o>Ef2VUy@Ttl%sT^gR zJbed()>8HKTNIN@?6$Lz9A&EBcetv)_xEZ4+~@dPn%cmT4&PTd7hl8vx!2?NtJr*yH!=o0Ru7j~v^nA76WL^YY<)pnh$`Zaa2V965jG_bv%4L9Mvd#Wn4pQ;IlRYQ|B`SaUV zwYv+8uLeT%penwioV4>=3X>S+&MZ)r5Eog_)t;tzB%xe3y#xa=Nq|H1dFWp?Ad}{p zBnR>Q(4jNGQ?j^<=5{oYY9E*`V1lN2tY}n~4a7@X$mFxA(&RX0g`&7s$+B84qRzR2 zH^Gz#NumNqPFZx5Q(0f6to;E1fJJ7kXr`s^z8Djn;?~`@Mv4S&69Z2rcSY?xLBW5i z0rDCml))M)#0Xz+b7D0NLob*n|LxxamtUEO^GE|TmVsI108-$tW9oY+w+Cc`_K?a% z%BxZG8K*--?u|nuqpSf~x+EgEpG<(?_-|5eXUe3;f>(oFO+Iro*SX58CD94*aU)-( z1j?KtDho-zm;;(anT=QGu*S#QWFeFx4=I_QS_3w5Xyp|asxxFtcYHc0$_T{S3>qO+ z%}75TMZU1qCDzm#?b|t1r%*nNvDmf?5DV_X9BoHK?Z}o1mzzo=mZJa5pHiul)Lm3y z$7TSDqoyWvX+%Lwv~p#>FLg2cZLscnOw^Fa4Q7m?IQ*{@o%ZVEd6hyQ1JDR8#ANcX z`Rq$@j71hELupM}TMnQ{L+w+x;Z-0|9EtnAq~IrME>f5BX-+P?&pAx5^=XnvO#p6E zts-76M)_QlTBc^0{~u^9i`+>}=p~zz9IV!jE=27jBl^t4ve-!hlmz>ox>7(=QV5^a zZ`5-CrBETmY^H%KQTlkkTBVujVM130%X51h(B%L?k}x;JDrVwn6QLNx<+(^mD3O!{ zOrac@da@11eatF~gw#Zax$142OR!{zNl19~)k15kIw@1Pl1DYS8^BN}$UImbBWOG# zK_!17)8xx3sirCgC;M6{HWU(grR1|1HPSD969ruhbqOft>U zawW6(N{ibGBo% z?WzU*!>^JSqXvjZq3EgckSLsyY)VXT%1zp_vS4#Sq0*h3Z68a#FrE=x@mv@Rp4?b}RA~lnj18$kJ1hpK>p|=V= z_+*r;lMx{c2^fue-X))B1u4@}h)-FuZ4qsuKxU5#lFkTBIXRQ=^%>64{m4%PB3X_L zVGIKo(5M<8MK@ZYn&Pd#np#Sd<2jsEO3%;Jn-#+SmE@!kitA@BB34|Ea-(VFCroo zjb|bHc@KvCW~xt#)UA9)5h2OiaY~?glt!c;l$vv=xKq%Ms%_>hcL9wEeL;}lJwO?H zAL-vJVBL(o{e)7bV9sc&8}SVq#CQ(8P_0L-aTpJc#~bB7z8-HlilOehBWPhd^LDN&-%aI<12+>u}owv%yEb>H`qRkPz;WA7l^I(dAw zxp{JPeZ28yGlq7Z&ymx{@y(OfBS$t;I=(@8Vtn$XVp3D$Lno)B&GqAxdj0r)C#M_J z>1h4rIw6@R>06qp)?nVPIb)Lk%o)uR-)^IzCCX6C0rb zwmnX;Octq{lP5Pe*H6x7GpEpFf@lV&{KYBt8R z`T6lkVIyoipNuz8K6-4lS(A@8MjNuwh8B+rHa)(1e7ZGza7+oz=;UmJDAe1JC|*Wl zLOgKhY~AqGboT6|diY^LvxqWPlMCnS2Tol$_rTAcNEc3>!WB=Y+1c&wv-8iu=N~w= zH9z$eZ>g%s)@NfLuLzvgO+DId(#FZzHci}>6 zwwqI&&YpWx8zmw^Ns*vm$-9%j zf@qLH#H73p$$OcIGTrT+Pw!;o(od`U<9Biq$eN4KjdzJhsn?5<7y9vI)mA-uG^DQyJ$dJwq5SfC6YBI!Cr&qi>(2A+WxAi; zN#~({sBV53;KeuIQ$M-(=Fhl%=RFr11(P2CA0mSF!$)>beemecg~R0xw96l5Qi}=%x}wmiIE{GHZY%K}PL~jT>c(QKEwa1Ja4fr`w%v9f>Nr^iY~K z+jA&RrJ&}*aTX|aoX(^}Fa5VaM}f-&t-#`&zY6({AHQ@J(PNlD0r10!+9q*V=eD&1 zQ{=J8x+UHAwYCK(^lTf!y2fr%+1^%Pq9drB(Dy7LQQff~{Q1 zFI+#gi%q3`|8Aw>D9q7B42EH95z~;o49XM+38Ewg5+LcoT=#Y3CGV=L zU9L)k!>tRQu9F+8k(_JnUlSSV3dr|DFg?Kb9}lZs79XQsH-!|O(v)*w>?f2 z=NMM@2{d|q!sorz6rjS{3kuPHkKK*78><(#wK<=4_yH|w@`J( z{Y`Wr`W-|PWi#ZlR=-p?tW~X4je(+*e(4)Z#Wza{8__}nXgaK+Iw#-5;lWvY3Nwj1 z1aePOnt?pGPc%!+uqhRfh}TVjH*sN#~E&mB6;NCPa|}0WyYwZh&lf1 zn(c(|T0Iu5goiOeC?J!`H0o)@GChlAqlEXlxujpiAldHfy2DRxU-THy$51wjbd*@h zOJou-nz2NyjOEA><%&VG^v4N-L2k`VEeAA#RHGmrO`C0j#3I7e+AiP@idu?>cnYex zX906(1X>idwm{Aqpj+88+DlMfy^9a#WDiS(My{V5k+(4<2~dM6EkLL-Me^_*KGwGE zjU;AFkt`|cS%XqzN^1#26FAY~4oM7iokG0?blVgj33ovF_ukWNuDI=Hb(`Ftfw*=3$6qS4XJe!)QL<7 z7_u`Iw&@O(ulP{`!$Bz_B-sd{w0b4MA|(xHK$6@(teP?`8Z$*$1OeIZqUaQ}y*#22 zwMl&O%XzY9R($VnGrKKG<|8$c3A!_z3Az-rJ`m7%aBt!dH;5b>v6NmN-& zQlR*ty|gc7MXS0BJVm6WDyQH@Zrul!2-`1x=|Hc!RYoO?Xab{CKp7KA~^Jj*0O|KY^`jVI#4bL2D09 zaI+3deyK|!Fph-W(sf7#oO_nC{;39D33^08&MKEQU(Zn8uFmS4B($j{L0anPG6AGW z(=kCE2pBWATv3>9j9G?RFIX{XIGK}d160BV{jh+?r7grXx-i6CWlIZEH8IUYDnFKLKC-rocuwb=`v&;Ya8gGI=^oB~2y!m=H z-;jWfg#P%%T&-)?a8PmumfVhlZBl>>g)TTIHj(;~SWI;B+CG=Iwtw+q&}>mUNs#S0 z9otNVkE->J@%+m+X>D^n-#oc-lHk(iSF+*d_y)6eIvdT_eO*c?Ph!vMCVQREV?)9e zY>TQ0D<$n^Vy_I6xpiRA5WntKPqut{RoLl4`pB2j_#=!nNl%=h$8gObKGSSpAiRSS z<`18`Q1MB1B3i`CNQWkK;$K_rpqZ}|`q1vA@tloT#7e4-4T2@=!p8b+{iK%QG0~7Y z5tZho_FM5&WTTVolMxY;Eo$u4A<81{v^$AZd*>57iVS7H4ayN$!7lh&%5y+uK};A9#5C+`r&+i1B!1v)2eQ=!I~*w{vTgLXbmwJT6}mb33?#~Anf$kXm2zF)`Zp3XV3*XTj5krMBn zV6(TMVEnartD2oVwcRx5K39(fv#m4Rr+yKq#KetvZV{h5d2%);Jh#RAN3d&sYm**t z9M^6q!cWY|%}s9dW^7`^aoAM0xiL@2=LEpm&qkzbeZAh&b&Oz=f?)G4^uQ|ko)l@A zCUbmK#uANoi81hWL^t1Po=%VJK7NCDANq; zb%CEgTGa;M-R$1RJ|^vxI+A8Yne_YgnYww3-x>QUzK!=jsDJf;s;bA2+|_KHxTj9+ zPHNb1wDCCmjo6*E@y?_CD*Vx%`g>lvQ(q<$bmx;G9yvnj=>)(d=Ql4Oy$`^>&4zX; ziTdIZDB-yLa=ruin5l_L9l58zc!a%7AfS%l8T%!~JZkED8p%E;#A8Rc0d8Noc;wue zMt^{HPLp0repV5i3EX$gk^;}AU>xZ?PW?71)4IfgBmJR17QMNo*|U+}*^DkIqFsadNjZ;jYA2hfu?cdWB#@NQSh4 zD~Xz#q&BbJ#G|o1%;{vQR764euWSX#~u%!yBA-QpZ zauUp^7Fqw=PlNE?r7{;Yxm`p)h-w-aA$2cmyHHk|Mn`|00Ye>LBqYO7-=o=SBW4`bHiNFaj)AKKJJ;f!5^CN- z6*PIu9ashxIm$IfWUi7Zw(5iZg8$VLtdK~g5F{z<17}z=R9+~VWJ|3$QXguYOrX_(P8;S1X=TzO336% z+|T=3MXanw5H`CFcF+Ks6R@Gyo1*v-$1Pxz_swNOCd`(*Acv}6|H9zloDHn#H8W2l zl;m+_xpOfFj5#E5TTPQCuOv37IJ3DSkV=9;tt44Ws;V8i&$J;=g2iNZw^aQ;-)y3R znhF6d1o~i<#G0j61eSbGbIl$BU1#9{ExfiKkTlBbhOkNE)D9I&%4NoFb9&ekfO7&S za7hG+Z=i)5*rfWwFQ}^BT`}k|A{1A*R8{LPVJ!84dfC3F#~{ZI|1kX{@md|FSz)kM_NCfq+GP9Tu1pL2vxF&s~kz!v$hC2xq@O8a!2@S@=va+iy)Y1P-nMatfy`O=P^QByFs18qXM9t z7k5HRNRFu}h$uuXab(Rztxj58P$#nhl-D2n0foT8S~jHgS2dy43Wv;*HYh3qN@`Iu zabAuYk6&;&C3=+`R$cs|pIV@QL8o&TE^+*GTgqZL6N&j z>tUl-4i`WrQ%13HQ4`caugj1Z2kZ#YS0<>crj z+ey3QJI5Y<)P>jBj7GO5I5PkagQ37!@35Ta0w$eeHs%eo>x?_$%7 z?6c12i;}gzKB~uPz@-&=li7Gg=w<8p@r@0FAI*F;ozCXX2Ai2C;9<}XrrF5}(I#Rh zC)ekQAdG^c7#`)S`Wt@A6=3147F9q~MVU~rDFL&2ofKX{bHY>UZG>G>V9f3^ZR6nv zjq-F<-{r}w5n4YE*%L%Ah&XM~=6KRH8}yCV`3l{}W;5Na?r+$EH94Lt%EXr5PI$%Y z$$?O2iw|_Mng&&;({dka&Y%;5V&_v(E2zU3BdD>x;-EkGWzeio)hWe%W>d< zL+QbIda@=$wy{Z6XoKSVbStrA4R*eY+A!cJXY6ui%M}})((L30VAOGIk=sJ8s`QCu z%UM&t|Hv+|q^|Oh<_-M*JY;L$eC-?cjk%g{%#DaL)%hE9{4SIBGVudUQ~ic~^~_s{ z1l83O{#_=*NOyQIQ|<4-Z4w~*V!kj)OzH$2UF&z56qAx{N14*4*Nx}e z`Seq0@)Tf2nf~0rU@{)LuNfWDx8@!kH~z+)e{nwc8$ELLp8ER<4?RiT=){xv&NdZg zdYtgk?veX9A3u7!ag^!NdmExm=Npjq6Hs#~ZZreHUM3&+6J5hPsexF`3 zDN{$3X-1Uk0>HBz7oa}-*w4$%I4<`^)BFJps|OCTqqtElp1Q&Jej`yPjtRREVesuq zP-E8}Ee%eYdQsH_QBVhgWed$A*@|GLsLB^vI(?J&vfoivyN_T!2<;E7alQt{8VrLz zp+~fqJuQZEW)~>wvsKL_p-Eh4lDL(^wOr%`OaL-XIu(M7d7OZ21tGzjIJDeK_Mt=H zU2fCWaEO$(q$`J65>+YWNy5y0nvW zS(R`8Ua?a{xtK{<$jb-|Gc=)NU_@dSSWYAkp~w-DG;?Q+7p`&dv85`emd#S`v3Wv+ z6j>-J!%7*~Ce^2YXI1S+SqjIXxMfts7A}e-HxmFG)g5Fk-Ie67&~s3Y+m0IG$M<>!51 zv>^&8lbFysQ7FWABEd;e`Y7dYuCkL2Bub|`eGGfkEiHSKz=3uX_=o~E(nEfS^A==0 z1r+6{9!dIg+4emOO4?`U{2YLX z6%=G7hMuBqffSfO?^6w?WF^RvCLPc&8)-wDWsYPZ^*j$zE~xU&seBdDnNw}3m?Ts( z?R_o^;x3gm-$&&^WH)RJi4KNb~ z)MQfbWz_>Fpc|IR2dsAzEQx!r>jT(?Rxmc$E7@jNWp?+Koq3%)jiCnxwo&GW5NCrib zGh_xyIVH6(7{2Bd#-$hpCeI67rie^BL~?JVNW)3(S)$t&V38n2Adpw{P^|{S?J0yf zVN1)ogez%ru{A;4ry=H{L3a(-yDZ41VW{R{WMDY9LNrd#J$P15*H)4EIz~>C0dr6O zD;)gG_*Tf4ux7q6$x>Q<0^-ctK_-x9juRwpaye1$0$XfbK*2&{50yYTk_b>G(S@Hm zq>4vNwtyh(AuwMK@dd$Uok-Fi7e$QPw(?O72L*~`?LBFeiXoIzGMo18gc>n*M9U*b zGMPyz*43-@&0r`2BUJ6l9NOzx#;_PqC^2(`pFK?wEh&6Q!vSO*nOS5(>Q8_~fn=%asRlBM zAmu*Os211;JbHjKUeZ$*1B|AuBv{hcIh`eODHZ*+7L$19GFq1nw2k!!8kIrhj14h7 zxTQQ^Vn{TGrjjPFCQteLnG!I2xsXkwjFwG@7wTXAec*EPfY2CXIwPT)G>{PEoX5$U z2Ym~LK2mblRx`9@86HFh==Coe0+e3tpJ#BRazV4mFm5(Gm%Oi&L=)EzN*({RO%`;r zjfRXyI5;JGJXf)k66GN30M&q3^6%oaFJ0mFc<-$;qDFT`Jz!%rcv?0!uuxJTL#QMC zakXbOpkO%lpv#g6SQ;b~G(5qpOa&%#E(e@N5Y5Q%{HNib3zw5h=}v!w;~9cd%mpo^ z{;nlhDZ_Le^K~k%wK6QfbL~&Iq&P`sVjfbPS*?tJemW|#m?Ts1LsK%2Q$m48-JxNk z5Lp|XGRYp&UX!MA=ZR5NAN)&DLS3d51(cCd1m>rP)SMc0%Zdk6<%X96qa>67k{~L5 z?R2iPQv#e=7ZBab*5>hqO02$9LeZJv6Ql;a1O^pi>a zkH-21Dg7=J@F~B`H06hfYQBc1?^*K`L_|;6LFaf8s;;9Zp2oNPxH*|pC45EO3N|)K z)mEm8y;K@A_D8Y1Xin4GfR&K0Z-+sxQEh^YKu*JUELoM&t*f8?dW{GBx)S%@m>tu} z=SKJO>rv#4=8TZo^dfO1ey&M)b}_NVioS6>ID=7No7~a30GO}~j9*IHMA2?+q-{BNu3_EE)NrfLU0&mXQvY%JR47rHNg zB5%ow-({+w`DT5qZFK3OdgR|_I+14VPSP(e)ejO*`f{idLX?TuNTvK8xN4V})MZ7P zh#UR1!bz|6Z-rm_)Vu4q26ZCJR6ou4>+)VEVp7#pCytjWQ}vBMTU8g2-0k0Gn(!UC zhM!?l6o}nP6Yoyaext_~H*zHCHFfg{ktM=I&F+ymkG}`RhPEu_xDg?!d)g?|9qfE+ zo+Nrjl&QXW1Ofxix{HiOIZ=boCtpHTfm+h*>Z|^KRqgJILoC)xOE~pt)z4L6tX$kKQPho5 zG@_+gNHJRmeM%JEoSFcxg*ecz`3gY^g%cT*n#2kIq;hc0s*gEz7omP78HL1ISAj52 z1_1OE231`(S6KZoy+^`3% z)iVmE8EQEuCBt1U6-u;rO1MCw6nB@Wu3r2T)MZz^S6G5L+(K$_x&P3p#{C{dNd*3I zg^F@D!1U*n9m&*q&r#j-!$1A;mLLofST2qtQ_<6u&sew$!m7(x5|R^pnu22sz%jn} zRV1n{*O+v2r5HT4!$m!tX;|~tx)jSSJ!$wEX!!K&&Ul6CfSr8?b`J^>&PY8QgD ze&GKGh=Iytq0hGTBrV&;RQ2@&U9APy3{}Y`K|aJ~C3L`y4k_lU&854IC_oOc&pIWY z8n6io)=SUSdREIE5d8e3(2zoW$=ckQWn9#B&WlUSEIA~jqS%#_A^XW*^#>Q!S&8Mw z7EQIKplhp3^nsJi1=XU3eU^T3yD+dzz6=YXdWR1l9{*Z#K2#NMXlscZBV z)Vtp->V={qyR=j-s14f9vJ1YY{-d8?K#czKI$_mlAh&UeTQUaHHJzXqGGoilaFJG2 zE9fk{prhNR=5DtY3Yq#BE(8#-j5#4`9Q6`=%})lj%%;e$pMf1}@n);FikHTUh$Y$8 zwN$IB`)&(m;O9>>GwM6E7c!(vb!lyvKWnX1P?uFrpD-3`W1&3~rZaO(ElH#fwW^Zb z>3fIzOTI(+NYXFN=K795@0NHsAqmlzu(CAPGoep~I)irCya%DhhwQf6_9Y<8+C|^N z{N`gux2>qqR-$M>1E#!#TB3_K;+#cjP?yNNGQYIzUm2~ixY`e(wa+@{z=?PH12!`uvdb|&o%{7ro9r{)=NQB#gVxR zSot-;U6Q?&-}(AyQFR-0IRK@>f-d>9_zei^>(gAFvjhD$-IX|5P)NIm%qzjFFBVz} zxst+y`=5TEt+*wH=&Lo*!b+j!g>{j4G2QZlV(+ETW$Q*xh`P44WeXLStL2$8i@LVN ztMOg|JM|SAP=rOkEOWVL27?x-E2Oq0SK+)G=`~>AqGyQbPHM#0hpSq!sE~7L3go#Z zUytJBe<))SxR8B?@W6y}ElSbtD-#eym6!i3Y4%b7=qb(*vgwEH&F;@*-C zS)_b9Lh?aUZ=WJ9H2FIpst&2vxjB_yotr;jQ+;xuO}L;o;Z3F9Zk5~pkDuZu_i<`@ z_r$Y5>MKM(e&x?ZUx8n)?ysr+Q7^aT+JE>b8dk(CBz4?PB#RL>10&j{?u@9>&!#pw zpY}=p;d|lR=?aMF(-uN&-3HOEOHFG_4PJ$M(QA=D2lc|?DoFqGV_2@+T(!3JD8yX# zV^2|*=ix3j&%?{jJ)63#+;dVdDfhNt`NGw<+)58?ca78NEsYcPuFJ{HopHL^)ZI}< z_J99+POF-J^5m*&hF*hmeFtZ-++exB7U;`2_}pN*z82`qH~8FOxxNo!+(+oRx{ zL3aOZZibYr%CLp6irFjhGut27z9|FIZT7~Md*$Eg%DY~^Fl@<0qj(3LHQFsTzkezmrDz{9Dx!NuY`2_n$4Zyo^j7xtWw) z7IBcc+4Rb964+vdC=G^OVQAI*Q1DMxg9-hDjcQiU?WpYcndJArfQDR0{K$5dsAP>? z$^ieswgFA6-lRJEt3k8Jvl@Etl&SVcd>wHgct=JqBrQtM;#)%H$`WkBaSiZ-U=O8F z|CXy0?Lqb)NUkn>&(K%s*CG!h-3--V{ulSB+1}dR8`X2R{OWIgPLk^o+$1Hg)xrlB zubSzST&vX%yi@dQ(PeMTU7{Aa=fW?&Ig@HV)BAI@-14*g`Aq?XUfK4UJN7_TFkVl7A3u=KyB)z6}aHlg#e<(C;W1t5rJm5 z5wGRrs>MY}0(fB$Z?Uu)N@sbsk$7}+f!yyJf=C$tljYcMbF>4>+xUW9szSkA6bf@u zMFXOL$rKEezWl`@GL!lx<6;h$fQD*%1GN}+I8hKrm){JFw=lF+f@Vx%koqAGX4(+C zF)~g;UKIZf{Yk!?KJkO8Pxk(POH5qeZ-&lQGBiyp2&HL3w+l`{zl5Sl{L# zNp`oh`AJlz%RFY<8KJ9u4ThnGFY<--F{}d8E3b_{k zb!U*FmSr~Z)Badhtn1C1rAg1-daD<(y*N^d7;# z{&D~k&5Kc?)`G>rNK=nb)>iL!0e}@PkxVZZ)r2rSt7ZX`50UBYQ4~?cE~$~wVuDMc z3GX+RhA~6(3)Vy+a{pByj8U=S1kCox(g2i;n%SbuIxmtI4eE9W&!&u~0c$Azi`1<2 zf>|Y~Qb6K0@4*Em{h<#E4nL`5cg#j>2AdYWSy8CVMclQbpJ*UamEwrEx>9li%D>-= zqdFptD(gEMPxRh97LuSZ=MW&^=bRQ>D^RVYRy=gkm|RO*Nd?k~xAn%WHq9Y}cu33T zi`gJ14!sYQH}>X9C0^=OB`D^MV8yt+hSt^2gBhbe`L2Izs3($|YSmOB*Tx}HhSSR< z)r=1u)f7B#g?Cvulf|0ds^R+`v58S)tf)>22!|7+3XS|t-QfIddi}UA&B2n%td=0C zLdrePK4egw)Prf5&E_(NyhAgGL)T-iAfl5@nbRPFMFPS&;luOh3B5d)lj@6#Ye`cl z1ZrmFBtOpyWi1FphCpPrbkG>c$z69}Gek}yLYBgoUUKa$ez&0X;@>AJh6q89{x0=F z+<@E~>`(3ulpv^c5%k238-XSMiG+2C_wZpl$O~xOx5TtU{Wi_DyzTb z4mflt3sjdIy@(3a_!Zt_8RPVlBDuV3S-i{_PZ-UNQLRN@FO8-{x<%!!)i#PG0+MQS zO{7#@4}fH|%OG+HUGft;B@s}V^MYw4>8>W#-}9T&(RH+w7QCBUD>8F-$}8G|SUh^E zd0OEm+vLD;9^EaLW7Owm(ds!bP}h}CG@3n;M%7$PFbz7*^RT); zXASzbV{Pjq@XNf=AQ-qMroYllZ?MD>Mx#+)v~5FdiY~fOl1XGMXz(j(C!_JQa^5&x z8VAOG!bGgM+|RCAC1@7VVvB> z>(bH5H~px4=`b(v=H2KO?<40G+p{F=O}Wsi?NN2ljC+$>Z%5}9=F`zdsK@KP{F@hr zuj2)c=IAjWsHI9k+8I2d`s^s$K|qeypo*h%8TQ9!*}x~kEoH^Y}~M*;uyUA8)Hl+ zr+H(%2MZ6MP1oOggi*p4=s1%in^*!n*E8IRwt1&DJz!iJRP6fbQ8@rFCFecmJS>ei z(GJ0Ai+`-o?!h?5W52qdUpj_4dENMAl6d|2Y{om~>5G*7!2eZMZ>KNvW4#-Foo?~= z_}Q2nG>j>!Ge&tzt!66l{&VcSzK-KCKD>sWi9Ut^u;w)5&3{-t; zQsUWBT-wy7~}rQa?YfFFkbEgqNUCc<*`z_2iki+*a?56S60}$Hu%H zeLQ{&A@4hy>Jy)Z&#mn=V~!c`Mn8F&_m@BV+IsWiYpV3lBRkDS0GAKnS3mKh)0d82 z`~r|`JDV5RPB)j<9vnZxo76WReeG=X;=Mur2!P9n?}mB@YTl#{yQv>tJ71fcx2U(& zh=F>ixqSHarQ0vw+oX$Y=bA^>&eV^sof}_1eCCtCTI&aC%#%@_VhI8;u6EXW!-rnw zjq5RbyK5u88(nJ~OO@VM$;$+VWnCoSfx&Br7KBN)dqi&!v0%$jQ)C-u*v?F{p$rO0 z^SefC&tA&6+;u(7!n+JVGrJllY$-H-I!GQeVBa2BVWsK^mf^ zOgw!0G6C8-#G+KgttK+J-n1^Y4Gh&p)tEZo9Z8dB)!O{Be`zJkW2i{Ithx{y38In? z@kO%~;W z*$T@XL=(KqQWQn=;`dOVPW%kx-Z^;1C~HpAh`rAP8j~Wz4=y9zzVEIA$)ri*r#j&| zHltLL56RMYftVWt`0mmQB@H)NF7R-AKGa|RK1qt904q6Otim(0!2stuqwbr=f<()K zNH0ZEOTcIs^C$9{p}MiQ6BwsEI@L*fjF#`EXt*7Kn4PzMEEIVj0*Q42t7(~#@xr^g ziHnxi4-JQ%+})#J)X^dvDXB@>P04oBB#DaTaYO~tB#~OfG)4-Lq<#sMS}pz=WGXA-J{sgER`mqdo<0;3&TX)*3ND~Pu| zC`OXi4KV@8=g>DgV0&2+(Z>6A-0xf#iHABmENB80trUv`H0O7GI5*~M z2$KMkx|zAi)Rsq%vu#*V79 z-8YnHf)U(TGuC#gg*}9E3qF-u8-jEtD2aiEB0^%)Etq&j2m)y~FJOyG-=H_&`l{wS zmE5$*WD_}%4T`*?vq{4RpkMA+whY{+%2QPp1=8FSx%9O0g4v{I35UzJ`+M(|c>9tU z=Lw5`NR@V^qC?a;yZ>#?g^-ONYKlWK_K>363;Gm3sh|O?HDqWf)fB@>DIXB3IJX2X zDfQ&H{sKf!AW3egbi|MrY-ms|5KAQ^i>;7V=7~8=MML2v{iLE(3Y`j0ut_@dTUjBK zbWdHnD`{ft1hv|LHVoR)ec^2ahO-njxlLElVLrb8&pTIuNOw^rWy^|Djd7wXCmt+z3vv>Zbx&qG9*2Tz+x#eCzyV>*xR5ZKe? zZFBy4&^JgYJ_q~Tf7skoN(Mpz@*KROD%J2|Yby;XIOw4!18nipF?EptGMb(gvYS+u z>E(0dxS=3?K!wDo4|7OJ3BpE&7&d@uR{hhTk=fVl>2xv|$CLmBD+YHpJ0e92e^T&~ zBZL>UZV(NrDJ7^;9nd+JMhfH*)gX2;8r_9V zLLN-lN!qHbClrU9ZOxmsl_rVn1aTrpcyjW$kvg_=7+m3&b)q$j5;?Xy9`iV;&PXE} z&e5S6(V2isIohW|dZO?q4mEPf2Gx+a4o=F|j7YssBxOvHMj<8zVlc#Hp0>7#kSNvj zdX93mt`9TPq?vssft)Fks!?*kX^Qv?dfYATG{W5epz9+?Wi1Sa)OBo<>{S|YLP^#7 z=MhoG6bkd8=H!v1SQ#nfneMR1>vPQkxFrqp9Ze0oK}>fxY36S~k{TpAyv0Bh%Ttu8 zX=byJ|3t`$r+LJ%9BSK0l8SPuL>r2Jks+FKoibV&QIG#+Y!@>_bOuQ4?hnI7gXM^~^ht1=!T}Q%5#NIm$HorQcmu7uW9F z9If5cjEEbh!*`9-#V_Evca+|F^zP>3+TG*F5AP_T^vInT?_DPnbQf`>9ilaZnSYvsCG9X_HEtR_{&&~v<+zceOog_tO^stY z%`&wr(BOuU;?#%<7E@a|6tzN3Im%@AR+E4KgHeZ4%Xly#0q?~b$!TvPMN44RrH06j z%Q~szAa{tQsu&N?5ng;%^+hYX;PsHJf&?T^$~kGN3ieb_UWZ5RUQ|O$zBo$=iIW8g zCVB|~R)P{hI(k!c(q+)gifX!bgF`nuYF0ulQJjKHWU9&owWn9sL!|RVhyM15#K;l% zS}MZFG}W0TO=T?|P9_FOu3}Dw8ruXW0~UNiPJe8MMV^I_C1YU6&|$tm_JtBpH71_ z4ZtOi`vcHA=}Mk0C#y;8JSk99oci2`%zU}UY$y;OG4}{q{#ehnuv$MsrJF8=Bn1X^ z*6pQAB$QA;Ce^pSqN*;H+X)azbVFv$qyaWuI&nDDiL{`Ujopom2`|ce7wZ4Nz4MQ; zEUD`F?Ma6`)^X{XEbA~)(vVpk5aVPaxU7V1YbIm@tC$S|g1Afw5{U$q7y>5Jnu(6M z5~C|(Bxn=?BSwB0B_^6(bxky37swJcG0Ga{j|B~CjD}z9_j^uN-FsiZo__E4bWaa_ z?)1C2>eQ)Ir#@BpzIV^7`^M~YbAhL0*`yGkSTq_*w|mNGPN=L@j6srfVx@{jSezBB z5Uh8{Y^<~>rGTiNoAjv=P1jGuae8hj>YY-zAC=R#YMqNAEdyDmiS6e|zxf}XQfZ7{ zCS}0Q*a6)qD>qXQH^XTq)rAtaot--4!kD4qmTZ&>>XkS0*R6Wobci91MwIdQ?%9eU zg==TkSSb5TwP-}yXaBu>Be4tPp!3wH&mP%z8}YZf-t=)UowhLSpH(G$8#n*|`gSN<@t0h*+Q^wl*mjy*RMnVQt=)E*rS(T?@m0#KCba2+aRbP_i z7S*hzZ8T(QJC({_HuYDuYKf}q&({dK6q7a13w_aEtg6Dg2Gb7tietOMH0(s5G8G2( zm40_gD{@>XIvP@qUa@Q=D%H!kIxbAtoiAC5-IibBLrett)N8S=qnMYglyV1 zzuFdW6w1X>^`-l;<8zC*s9KzmMv}FvWFd}f4r#@ePB|raY`vz7LSd{Gv_{oh`Sac| zk`J04TODhS%JLLSg=TlCKhPe!AG+oG5$e5OG4rI)N$zjvN(Xc(??-~JcUj%W_Lmmx z#9yy0sj8MwP87bD#U=*2iqo zm4|a7(%$K|I{fwgs~>S)*DFmV@GBhmIT!jal(SB&18OcVt-5qXH!O9b@~Wm{?cKFf zsIsm*{mD2N@)WQ@n-raQo!pd)YgfyuR}Kx>csQSPN#6~wZ{(7bye1~QqN0|M$3mvk zM>Vg0$Y(d`mz)oQ{8Pf-{^A!@;Bdowrx=BNa`-`vbknNgRDn-rIk0~Dw8YT|fs+TD z9&y#uN-srgHnwyLQEX^-T#KxiCHJEPprR7T5P~|0a0^Y3zp^mt)~jpwkC9`zG(?i@U5pQBl}Kx*?ISf+c!`P;;iH zFpt7cF49rHX*rJ~DWakHhZk_2I<9z+`k@+%(Ksq%FYKJBr;J*2LvJ~{2)silgw`9W zZ!#PMC9CrAyn*GP7|br6R?sP2R47K`+eF8maS%%HE1oh88-gWoHa7L+ja!pMdm&Vw` ztmYih64nfliK5QHFz9nx(K&hO<`jNXyIPnf3#uVRTFB(kqI)fUohU9<7|kEkwGPaY zIQtPjwMR}X6AVwCc!@rhC=zu3)-&shGIfeFIplNcP3LDBAX@Jpkob{zp0wC&nH+q2 z;Px}?iW_+?)A5U1g7l_4t*w<$H+gl^Og8v(mPxCVA|};qYgv@n zGR4ZL+qHm7F{u|T!sM5tOi#Y!#{QwS%?JjWe{40%RI{ny>K*!s5`= z9BQ9@^V%0z3!C)$=-S@JLD^^^1smPklRov5Ojjl4O6%cL`lVJwOH(yI5;;?h>KLDB zV`-^{QZ4;!C81i{6~%NnnZ>(9GnbcSWnHMucb;oSPAuBwpif*Yq#swNgD(yO6zmSkz4R{pQ_VRPG~5pQfR(%2N!MO`PpHdC`B-s|PVs2OKm(eI$% ztPq<&Q|6B_FZsnBT2fV3nCh|C#k4ZtxcO}SKrB>C1ef2fK&BTDDnfQp|50{c# z9H*w=iK`yoHt%lljfbCDCp&t-G%gg|g7!*Go~&sa_dgUE3Tj(l>o7NgNuVbJBB0 zMjYpfO$tu=lI<-|irJH&A35I+wrzl-u#hCnU1V3Hxg_E;i?zw8DC|3v@*Vjiu2wTE zzhv3{*8560``E9K6=Uqek(_X~v@~C`eJ)cT-rS;U>}t({yo!t`Dhw1W!*nmZ^Vjdn z8vdwR>W-^rtJPEGEU>CxSwnkusnrU3mK1}fLZ8dAtMyafJ6ArvOX-tdfKaz$GKVIb zt0%#zvGmuK>}$>WSms)_SC6%JRkb|3TT3j789MU#7rrCJ;fab>-TLhv%&p~XRLi!k z7`9a>S}kr4+e?Meu2pv0>5D7TD)|w8$DdnobkM>0yq+a-tPS7RVoCNEKK$ZRr$5xj;+VTCs!A;%zRAsga9z~T-%`OHi8f_#>?K++ZtR>ba80yWdp^ct-I}Lr8Hd*(h$gYQ5tEK+PXt4pE2!pz^P2OZ1yON%uVZ7LH}-c7l{Te_6#CM<+_u9^fQ1`i`G0$FEN@0ii{8W8vZ?A4se@!kAPrN59r#Yqbs%E3I zCpSgSZ=E6-4cR}b1Rr<6&HK5!;$3tids6J?!F8?a+@SfIc5%F^N>EN2Gk)~#uI8AB zqD)FDjHAej5$N7h4mlPfjpN7fx!J2;BB0`choVewE<-?a{k*kREU&#?J{gCwZT~lw zjgDyS6dyUShq1&^ahnJ&U3Rp@DLW~(O%qauo1Pw7dl2T*IZru*i{OaO$}OkWx;o;V zWU8R79Ct9(mmX`#Z5OzeuopXLqFYKt5J`{YNu(3QQ6`g=nnPf*A}Da`u4A_GU2j&A zA1K_6ky41s0J?Q^GdV+*o4O~Lzv7hQb!pq8URKj{TkeZH6H=`~3D-hH^0T(%X7m`E zJkVOExFt(^r`{!Q?t`K?K~uIJsg;TM>QjjZG8(bPa4xZ#hCDN$s2nKWh@8~R7X9)r zz^QemLXhUH8e5Tub4H~AlMp%p=F?aFTuEaZ@FMNdQ#X6xR<31I+(=O-uVqr)$ZMGt zH|o5WX{Jb!o`E}Q@g+YocdJAhH_9jG*5|dgIw|5tj!7x_B<*KrOzP&D!buyKwN5Hy zQq{Jf#)}A+#HT)S``wlu)-qj~ow?+I&r7>A z@x+`WL0Yn;6-s^v?k*La-12j9XSXA6v?amas!^VpQ(?r7{0v-bpO&_2T$XJua?)C+ zS6F)^pT;}=gR>8LJ=2X_mv6u4u9?;{-JuB69cS-7{YFKZE?jzIWv|$#f%%?d_%! zik{c8MU$BciLb`mda+Y}sWeWa$Y~~9t8IP0$P1(P!CYdONUTHL*~RJc__fdcOEpqd ztX4Zyi}DyHS=<+lg?(x7QRwysebr*MxEjvQ6~6L}1zFcug_6;@iHQCzDhHQ&4ko|Q zjnb?YYA&^!HXkEh1w}Z_Hosg}#@dxEx875}ToTIUUx-~aw@Ebc(h8}heLZbblH#}u zR-K|+54~mSRuA)7DyPOD$+_*S?2>%R4ZD)=IH9f7tMP#p_Hw0)V$}?dQEMaZ=2qx= z*ZUc@*53Hz6541wWtFlut0hqeJxXhtWln2`RmWUji$iy3-t*CKuG;d14X64~>scft zFZg#S+qFY$qSTBUDkBw-f2r6t<0MwHUPsb~_qE4TY$rnaY>%Tb-PI@2BCxR3I;T3d zKN5wz{!E}IZ+V|eN^_RyyKK|Wks7tAvM}ePw`jNYE`3OO?B$((sPckW+2UC!_31&% zRZjd)l&2GEM&w))b~B+)=&qWX9@u2aeeLM1cjeann(v|Q+f^CtBP%8y<@?`Oa1ioR)eiPfK%L> z%`WR#Zfbp7I;#&?wr#0)5nHi9|Fn0sL}HhR!e-bGk@H2nYGiCBO*z`6??7XF(LZF3 zfm@l(;<~rY>C$*K8nUuWy>KRdj+Ux(RnrC{9qKAorn=t>*OnY*a9R{t(j%}Ns5VJeEN?U2N|E%W^ro+$gXf2wx3 zYqh?-rlNsCD%V*8+avZ4H?55S?sT(Kiwz2kqdK!I)TduIeHgayoy2BCO9QYi~KltHvZFgU4 z9A$W1)q}D1IUhF1rGwo1UuLE@SC2(yE<5aJHIgb#(TVy}a_Gn~U26}HX`(9mc=Ki! z=g_!(Fi2IH)s$;t+^m#x?K7b$(9TU?PR|LY9HJtxgSlxnUs-bV@uUA#GF3M4t%{mP zt=I%L5pSwHYew~C$aBty0T zKzS~0BFc}pDC%l*gDe}Ep2+J`2G_A9LknTN4vH0xudgHw3M-3 zl-^Q?b(i8@#L;r!e4mm}$~a$NyI5FC{Oyk{r8ciLa3|cz@`3bf_}0Djm*(4DDj#iE zU+M>7)eKRSSHV&y7btytdx3B-QMe|hJXT*ytzovfy_db+%C|nUTgk5S0jR3eJEX#z zsmH3c`f=^i)$6!Mw!E|bUZPZ=nbyc-@n6kMt7{(@wl8`M<*PRH>9ecWu>M|6?^V8J zo4=)dh!r=tte4>gpnjG~9Kr!ND^K3u^7~V&mT_w>4-=8rE^n=;SZ{UyxU5ZYf+Z3a zjNJ%+QKvXKJe2ejCKs#x#E&)k=J+#DM1oqO?_28@PU%iG$1`&ID^6vc<+0-*wGXbg zwC!l4YQOChwaFEZWyB)>5#!eoS!AcZFX;qH0HG z);T66X=vEq3bseuzt)E%Y-YKwH0{QV6Qy3ERSq6lyE}RN{(FZ}ruxKOc?NDA399Rj zjt`tyXW%j>WwC1Pj7eS5_BhJ)(XV+e)0Uo=^XsD4GPNkvEY};g)k%Z3 zOf_!g$KB@QM)7;zj!X4XramUMw{1t5YLsc~mj7tbH8X~jqeX&Jp4qGfGoTUGn5uWQaNpKyz%)lq7Y8LKRBdZc;oZ`IB1k!a6M_|-cn{gSD& zJl5Qv_I6QNTdTK*yC_T+k1yyG&HUHLO2y@@)yU@pD%HS#u?X%JgEb^n}tw0DJJ8Mv~*@=jy>k(_AS% zv0i4?H~W>o)qrO;Gw^tjtfdQ%^IAQ**@^$woZDVd=h}77s`)@@W>DA(ZIC83&<^ivXlsNOJQ1|1i1xJmJ$ufZH_;J)c8j+ zGl(*UXeLwmTE z=?!1ehwqi6Osm9=hAW>|i!$Buu>Px=vT4>0C*H16rc^%+?H4|*Ik#Q?J5opPA*K(9 zuXC%6>ik{i^p^Lox%RcXW@B&N!`Eo<5KA|Gi0sa5q19n-D|Kz_w!?I7#kN)-))gDr zkNV*{x6+_?-5=b&pROHn-NPlfVrOt}MPN-Gat+0b3COJotf@n;p;$2ixfOvm_3MS) z@TOJHFCEc{jvk0MQKqS5;waOR-F!kE=_r$9Mj2^Zb}iH4M47g}_wU-EYGw>4#}SD# zHTRBM!gG!g*pyMZUf#Z@^zC5lKyE5u$U$x@fvNSFbC8=#U}`<)9OR}Fm|BlH2f3*P zrq&zGx#3N#%pFMFsJOYLZK6z5$AllxaUvCe|`dStsQv(~;f$4)G1SmT7&zL-NgE*{_8ItbdSi{E$1q zfxEKlgB;c?x4c9t&Mg6Hp$g;{Vp&26xg{ViRDs+=EK3L>w*;hxDv(=m~)VuN?>X|<{adv5|~==dYNjaZH>LW7Tmh-(?@+>iGP>r)-&r{ z`kxCg-mKTU{(HFd{r?&#@gwg%nPL@5`+jNPZ}D>4w~wPtufB7({ZtuwhF&+w$UBa8 z7xll! z8U-m-!NqkK?5VB)HO{{Pe(|`pXPcM4FJBMbAmOpC*>68CUYDO51;_ON%f;(e$5n9i zm)qphtQ$@|&AQ>l)2tg!y!~mPOG-52qo2o7z8^t;mx=<+!nyiM34Q z6ZWv8Osr)ZUk8`V9r<^N?dAG$=vu60nvDF&&$-DxJLrm#n@SjRkef@0(Wd%C)YBXKLY}AG&fg*R=G&hV^Rn3g@O$gdF515SaUc z&($nE=c}vfAFB2NpAh>3*FE^thst8L#txX=YR=4Se`7hfzgaeB_nfzl^G7Oo)rS>w zM`3(pSl9hu#^QD{myK+Fr3F?fVDXn@`)J{i@?xIo3DL z;(wA4fedYSdg`m%);gWD{Zn6l8tj3rspj;jw(=PuIj29@j+$~#fAXv>3kq$u70rcn z@^7SlZlEpaUUht+eS`h$wU4dKzy5b=qM-cx@zxizTUT#?tUFdoE-)H*ts5Ji{}vRF zMeo1kF*XQ500Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa y0SH__1WtEP>+1FiCDyvvzxd*7Uvu}l&2wc_;?`$<_p@%j?R#e3F=@Q#fBzr)b2W-^9EoQU|}6A{mN`oAcOVxd^{{#ool>nqRR^%Y-v_xSYb z@iXrFvVV2g*%!U&&b!9Xy7R8PU-)HTI)3~OkGo;~)USNum%Lzn;)y55H$3SRAOECJ zJaNP0Zy4Wp=Urd*!aMF9KjZ8jUp#*N__j|U-+t%w$ERNK!mog?7+AuoXWVq#Cl!w< z9)+A|e(6`d@GHLL&KDGqE`~(~Aks;c`S7;%MZfjoZz?wL{`g{NW(V#z(hnEqHDy^| zb4~fkIxQ|byBO&aj~FOD;>Z&gu6oLi9`BKIy^hv$mtDr$gT*-4>$nTKK^bRWcsyy3 zl=al{se7c1^J%U0^(hIYP8N=izd-uGQ&K*sOYz9{I=+?kTPZ1@Q^skj@o7&^Nw8dh zwRrpi={Y3HYwPq4DLoWAwe{#~n+;Otvl|cjwEE;-DdqmrG50~H*Ks!rXP$y7sXQycgYbAqN_**)dHh34 zho#5+Qo58LPf2MvJwB3n{837mV~>OIcpd2rN!jQ2v+qIpsb79BrQQ1IO7J*nKezSB z<5|Z&hV#WdzBEVX^xBkE&hKRvDlkGA}r&w5C_gneup`+3{2mjcRcjo2J&gbqOQ|Hh* z)3H~sYlrlf>n+#S0$u5PpX)8x)dF4V=HKV0udKSZ^U`$VOQTF-y!7vLy>n}>WroSaf;y71*cH(kEsrIVMz@w*TIN_cZP= z5j1V56#vbj6k^TA6fLfcGh#Q3J;XsF)^^I8y!W+j=$z$i%VlkoeluEPx>c95^1t5+1?pWMx6@bS{%gJItY z_7RvIh4-+S+;OHNzF%Ctj*YPYgD)Edm@2^cUw6E`AMksYi{j1q@iWBA);PAa<#=tY z7@xZ>wg;#}q`Orq~`>0yx;J?p^eD{+KHU zCjn}E-tgYVlapVoI})#JJ04!wc0BxO%9Sn;yZ*t(($fz%J2ZLBj}*mZQiJCyv#_w* z-|=_s5RKOP>{S+UeXf=f&TFdA5c8;DuUyw&=`Gh=uB!#Q()B*qTdu1Gy3+MN*ITZu z1-jDpKKGGsx%N#fZEfGQQk=SJrF?nV2aA@xw~sPyi~JqTZhe&L;$=mdhyu-Jlxb_N zSy#gNYY)LWi86JaBM+TUr>{N)*2T>IiNA~0MAKWYw_H~XbfuenpX(>#E?qnS-P)y9 zSAnMg9ip?5=icW!x9qS9d&_mTaBsPfbj!7GT4`$^W!k^P>j5!;cI%@|mltJ2E|<{(=*I`ZnL>H~=MtZrg3M3hs@_A4XKlAEH@E3(`rOP$ z=#`tuVw1jGCc%O z%=K%Trq@XwJj&E{8ai}3ogwD`XY|pb+hKMAe>k3<=BiQDC;r}YGuphi+>CTr1-)0U ztC{ECa`Qh4cQyTC(#?Oj9%kz=gZEYa9b#rZ=e}EKZqd~u=q=aP(!J$+%XPItSGtzZ z^--poj96Q4{_NJTW$M>5O+Vs_kG9=(#^>t}9%bt9A!eFG5^_D2bRj-$8Ywq9Y#|-_ z_xBJT4c9-;*V%CWgOHs~H}~^g=awBdVQ;yv7Va(ATdu1Gy3+MN*ITZu1-jBbTzsy5 z8%kUIC+7C=@VZ;)&u)E`>GGmX{aU8!nAE|eO#2U+hp<+owGV-H>418k>q30kG`(_N zE!wT`bTvrQprF*#e-26pL-rGMhS5A2y zZ^&tG=%k(O~Gk1`0%x%4(?tVsVd%(`PI%ztHy#E*JMhAQ^)4Lxz zzk!@bKjsm&<>oCjDt_UE^QKcvADzA?t@2gPj`x?g@aey}KfsA6xmBGhLgAW1wel)osk?(=`mvL*zTBc1RO>Ad}!o_Vs6{&q$`4b>$_{^ z<}V|kdw8s6nzuI$ebf)mUvJhL)swIwz2$1VTskvlxl5y8U5sA2uJ)dL%RM|M;jZRC zEV}vc*28M~W%BKxsqSpRx%auwEjw(&-f~?n+*|G=-E!@lR@!>th=%QQDA;|JscWC~ zQKng9Qrn|U5n-CYDAUPzf4H86@Qk)|%t@4~zlW$hXok$(pIaw0H0Ele_V*B-tv&ZX z*STefP1swmtA%^Z^_J^ufv$AD&-Iq;YJskFz0dWQ>uQ0nbPpGwYu~ie)2jh>TA37)rhY9`%mnQLyVvt|{aU7OXNZM`)pvBGyed==m($l(F~&?n zddtmZt`>4{xt1|z%Feyz`rjdD>d%Bc|J~Z9Rab$g{~e;Uk>}p$I=Aew3460q&lH!$l1}@p~fV}%B=DPMtzm}~rm+()|Q+P9&!wS8krv5zus>;HN{%%9!* zwM_k5rs)BTkG9?P(4tKJJ;b(I;y}fEDmf7LRYsC>ldCNApyK*_h=W>c2j1NK+zyTB z1<+frYfto+>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D=^idV*S-yLab^h$u zN0}}!%GAG?sY^_%|Hj<@6UJV(t#8cD;~R$laJ-k|a{#X=;ofpH_C{^F8R@PHdaqnp zGxwJ3E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY`)jmYct5N&m!L*FNc=n7f=O=K62U zb&WFhYni%C#B+c8nsjN^RiNqDGIcic-1}VTmK`=>Z@I1(?k(3_uB!#Q()B*qTdu1G zy3+MN*ITZu1-jBbTzsx;M8kGDl$B3?l&Nc<^iihEi8A$TnYu=qy3Ub@PNzw@4}oKZm%S@uicK5T3339h<)-FQlrUF{Z+A`QtQG}dbKVreFfTFh8nTKHsTe< zK3OX_`RgZlb%?7#H}9j>-P*E4%iRQRdek^gkGk0EQJ1eM_K&Ky+?@y&$TOg=y zY;>Da?{cvlhbv(G`rlwcw8a%G)3+wvJx?ijdJ3C+?P=vM6MIUzSKj})`L^6#ck7fB zVaKU{qTKG)?Pk8h*zI0L)Q7%<-MprGpXcV)Zbi{su4~`)mg_Co)dF4VdY|hp*VO`D z>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S z0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp z*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC z>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4V zdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!Wio zUFmwC>n+#S0$u5PpSvQK8+GM!NOT|dgNMZUO0b>sx!!U!m{gXV%z%G2QTtD7b+-1@ zfauKSkO=K_lS5*Dd2AOJR^M@X91fLw{=4;1o8O&zKb3U0-Q4?J=awBdVQ;yv7Va(g zk#4!G_`!gw4^r&?F@pZv=w18d)O~Y)4{@R_wr)ILZXGF#vq#y6#pLcY)dcXx8`%Iq z__~zZ#D`$tQxU$$M;j8U=@ILwO57(0rp3!!WL;k2td%*7X!J1p&jn7SL z1?MUA@VJL)zL+&nwmZ+jn9pA2+p?egs4&%Bn(ob?I#2z$O_zt;_1s{a{tsR8wST`u z_FOBsL)(W8@No0Fhs;nr1oxKPVUhy^ddnTqP&?3i%k4190Rg?`4rr(yXuaijnB;(f zhl}OfH?2iko*GWI@1gjJ<=6dpQ!3Pjl2jKSs?77gGfAGZTTz@EPAT_L*sUnu`^eqR zGB=i+>nM|AMmwWSw^!qbILfs3150(c;&pAuo0BM0t=_z4Gzm{8^QM*c*);^V-~6^) zT~$m$Rmk&qMPaIPrxk*l@1gI&8x=S28Y|g>xRYr|Nc~mzU9{Q3^2J|24Z4I{dsMeX zid`=5W{+B2&B~cwQ9ti}_*_j;XKKnCvS(%&RDs&yoX<)(ymmtBx>2$4qR;QBToza} z+auE!-_Ft^yDK{gk%|pDm#{K&9CN95honIHUDrFeUAr28 zFGaT@Y%6A}$`LE?t(!)#Q@*Mwlg`nGY3<75$Df)R&Eav!B%Io?kE*%#d!eXa774uA zSIH>izKaBdw!;?|zV@Aa$>;#D*@u4998?Ls#wp5_^`QZ`sQ&g>TZNR}6>%rp-Vp1? zF8%{An3h$xVM84d>UYR}I-&kagLsgfMDLlB4m%_XzD+oMwn4UWU3l@QY=flY{$$%} z%H&{z*Sb4H4aSNDpso}m{i?F7Yovth!%w}vT}t`PZI`NpfFx0Kg}2BD$8soGs6@Rc zmPS;Qt7Sar<#qPTWvVGV#9St4lYAYgEZA16od$Ce4R6DT{>7Mn*)u?p|nX8388~w;)f#KT%Zyt)IuSg=+5Z@oiiKOYs+O#K5(&C zuF069DPB5fMQSvJqD9z6c`1ZoDOaha7?J;|iyGD19cv!WeCxNSa@IB#JKIe(eYt=(l?E_s}+H>{H3bhdKGp(L`j zv$bM}W?8vfk%i}eM^WsIGM&HNwM-QYqR!pTcA~7dtz{B#z{N#XVKmlB33~#z)=9P3 zGQH;KX~eEkCe}0U8)cfb)-oN}BBzY~1{OH6)hnN_kCjg^WOdRRRz4BEGWJoHL&X{? zuamkl)=5pRWqQ$LYAe#$O?PwFogT1ztv5>Km%<+IZPFgOu20bdEXeDi^f- zpP7W?Ql4X^hFMbsJA?&O4&-Zgx&T)N(_ak}BVA=0>O$Hj3^O9133SmJ{zi>~Eg_M2P@8%uLQq*^(ONeg9+rG19MG-Uz0HcSLC za5J*h0hfc|O%rqrnPg5M%$TZY{WrlAGv^|&`#QnnD4bd*6^#HRH4G3(wM5!LmhGK6 z)H#(>%CI=H&j2#Na&N|Jj&0M)t&%RGb&VFqVP;mJTNC>*3X)nLmpP@z)M~)9&O4Jz z|4Bd0Z4+C|cP0>X2UrJz3^rlnBt9<7cxsZ;9-Lb(2|Tl_*^;p%zW+BMK0U*M$zkg$O0 zf{!%`j-W|TrJ{QCUnN72ZI~#?qOuzx8H99h#U*7)WqI7g6^-D5Qd=q-TYQN!fkJoc zUxLRTKSEV|s?$MiRsbhQTtTHEU?-}g`Y+E4wu|ZHiUb+KI~`>eFk}m0qNXdqV7Pmby=Sa|vjs%rNf`*O+ z(M}>k59k@V6#_&@i}Ea>yHEM~x(5P#*oqsSJ5li1-7Zhe6)=HOjxv?oqfC{an0xH) z1%0-)%UUMIjZ!3Nx46;u?I;s*qe9pUC{_2R=j(1f_iO@6ezxv(dcN*-dcN*at|~jp^aQRzQj&sO}I5W3I^Yl%S{* z?>4sBlcEEOgeL3&jnmZe>Nf_C6$;eQ&HKpW9w3qDAc%w{wh|mj+NW8StV+R6d*|52 zG4L}(sxvP#U}!ib%qM&T-8#|il#yDrDQn#ll|UvBdWxv06cs3L{wi9PEGJ4)=L+Gs zG0cRqoe3*KV9)$#Ku57|qtbGEYnF*~` zW4xpf{v;bppcVHdEgT~j1-ePCA?OlLo2?uBKq&Eka3QyD<@@duu|Vl4_-?$lPbloj)BRie`7YN6DrhGa@=$Xbkd3Dfz7KYm*#tP!kxhRXc{ zAiIdAe8Q~7Gk_Vv6;f22_@&t{nGirgl~FPZ$7ow1M~_6!v+^(1;*==jSQqj@w=V3c zp6b9*&FJ+mc!8OCwOH0TuraFu2qR;qwin<1)>M}Pmh2mf=1f`B4LC77)dM^8OYp`T zH6R#ETUr^mc30NjDNNPY6p?{$^~Q{u<_C8a<`K`di7Z5jObFR9xqnl&dOQ;uFEbIH zL{ryI32GOnIJ7IC`rEcK^@$1Wn-g_|na>$Mi&k2j9G$C< zO_WB~{jI|2a#-$L@p)Sig~3u4W79bhIL5zk&0W_BRPOOV8D)Ed z64L1^jDa}tj0_+hRTh{O%molG${Q}_S}C-3R~EzHy9dh=iW(eK3=9rLT^1LYmQT?s zG}OT&EOPD$!56MD7YECVTb0LTTM4woM=VeBWRJ2uwsp9SR5`%F<3aJg!P~TX*fi09jk%PAwC%@ z$|l2EtQ8M?;}mE{B~=KLauCYMqOkHdwCF@ey;*?;WB*_C?mt$PsX9d@h$vIVdzoTk z(bRjH6lE&Umc@lwofJ_f1&EFo5qyf(Ns1e>Iw@mob<%k)SUOQhnS_1r?lTdpN^6<^ zyjja6?DkrwYk0d*xpHcA(UB&-m+91y^+wBVL*hoKSZ{PnuU{J8x4L!V#(Qp~a^=kC zJ&qmey-daiNW}w30-Gq)knO?~0UkfMaw@H5@>`q&^W5#Fu`jHgW*yTh;!>y1$6BVN zM467X+{k^Rm4{6v2pnTam3quEsirs;H`)VR zg4CPEPTncX^mnhMZi4j^o+zAZH7TXM+&bTWC9JqQky65mBYXM2OXf;JHQ?yLSanL! zpfMzn$VO&i;R9csF#*-JwLY$FN{j}Ht&>JY@T$R90H}2kLr*hi9qL%|x-GfhEVKN4 z{Hl|H`TZuu@ceXIvsWi3SL) znLEgk7~i^M)mYW7>z{Dqf0@hLS(X&BOm`uAB&ik?b}(zJ7p4rc0LbufQ97~#)I0&q z_!WU6ph?S$yZ4x91OhGCk9=iOEDTufs-L|Es02NMIx?2xAH!hJ3@6WmrEQ=IPuwL? z;>fGWwx}j;>vzr|G||eEF^zU25*2JX011pr@h7sZzv8-Qw7&ealmkz=Qq6)u5mZ}J zfn1H5FRI#At`9M4sDzvEj&4|P|uifNV}@P5Mc%j?g6}-VosI?6p}Wzvj*0 z5i^v`qg&OfeF3uO0d-H%P_-cgP3=u zn!CR`QOffChet|;#kGIuQ& za@-vi$&R=-L}~FMU;LYQiY?+|jMnCYvGV8|DUP{XI<)gGq);#SRjO$5Na_Pw&Aby* zQ-f)pe)?}2nYyRqA8{2wSp&CQag+k(AeCIrjThJP$tKkMv}h^KE_a{=2x_TGbfrjs zfK!EvB|)Sgy~EYL2dM?M9kL;4OZ5KC^!vYw1>(0rGJ|4iiTizi z6vRz%3(aHP$1)tOCNSiq89@oCD*~Ma2a?FR`}Cy7jjcwKY|V|e#)Pummoj~o<@!1* z(1Q@H7aQwCIUTJTmK*ZF^@8e3u&Vk?79r>d)WLvT_`rgbgxZuiGXi`SFRjoMr$)hY zNlnr;BQBz|v6zn{FC^VZ2x?fuLSxAtX;_R9q~Cr`N#0CQOg)ZdD zb%_{TGxSq;6HyAgVM9j2pju4gD4{0mXXD8N3a!A%YC*1?c8NrOCJ8`RnKC#iiJ}}~ zvtpw{ZBqdreJL^FrINqJ>ew>UmI>Aj2jx;VxOs?*OY{nc#PKg2B?7ZFTqGg^*79Ie zR$P`Yo+%-Mk8yIY3b-mnJ-&kKQ6)hQV5RKrh zv9VFEZ*E}U)zyvn9HUzo%jM7}{}Bco>x_b-eZi$QVCo&{)PGeq z;YmZ!W-6oNSnA7;>@d~mZ_to()k6a12qdUw#d^in0OD_01)DA(ZM^Y|;HuFnTR^J_ z7okB^!*H^kx{(nP5un9o1Q6aF5}%{zwH9Gf8mUjEn|y$R^uSn{Lk-f{04$U8zwYi6 zHg2*(|BW`VJNb1KU)|Wy;59nRzx0~CmZ{uYDVEaPzlj7@=WbxV(UTlEDjs~bpK8-H za72O>Wg1?D2~j4!6#V?%Tg|(|S%*VRO5hZes*D{mDONs}yjgsGa;zMsH;a#qUBV=I zzwO3xc|SANd)A8eFK4xDtYx}&vsk%fczo?v)*ERNlAnRQNdYB2(N?{Fb?f{S@42la zZgi6tA#JwTGV#QmRwr$3UI1GwpNJiue`2$iDPy08{Ml@2ozw*|h5bgJuOlX|zO*;PnN(kQ&f5<($qW$nd5^PcwF2{qfzRH|Z=Q;9x~ z%wQ@ezJZbUz8QNfzqk5K8a#0|N934*B`?G&W82r=N1gE*^0K?o{7V6tR7jkty@4lG z84hwOHFV!1NcHl~I2nT;{N+MwOIiH%|4&RyYGLiAMKPHa`qRHR4i8x(K&YqW7WB99BX24e zzHV6X2y(&vS)AZksSj)vKX6pjZ^8UoTt4>K!ut#1eG=YJt0qVO9OcRaaTVgK4$580rfgI0F0SV$>eNYe zDTjwNZSPimu#_KqNP952K$uw!((9sMg%qDqSNu!d1R}cGD&Afr> zfxrwxT}(n%@iC{wVIj{Mj?i#&NYs#tChi3orIZn?6OJYkvGv4j?osPWO?Voq(vXWY z*5*avM`3YPGdg>8utuQi$c>9Bq!5XG#lm@hbO4_yV$9;mLj%uvvWU)*HbroCzz*eA zWke3H7LRQ0IQMA=u~<(zV1wsSh?`DLSV(s`q2z#*s=;E-cE|PX7E-0@F^0JUuanzq z)6nT55n}YK`3f57*)qXju`d6rK$C^Q<*t%(8?CbGLIEKd?Uzs1S)Y+45M%4qB?hu3 z?F&ng*O`JUtPGAA2+8{T5`hx6{3}^Y-KjZ9BskSR`$s)zi?D)tfTfcFt`k>LqAGsn zWr>3e1T&UYc>?E5(S8w6pGX1ITRsmCp9HV-9%uyDxkTIMpmEJ3I0ih zteVnmJ~m}=G!NFA9Tz54nYp`3dfLsD2`8)_$WChXp(5EP;{z7meFOf zLi;L6Ri@{d3&=ZE?W*$Kf8y3LpkS+>%2Sol;1EA_%1<0?XsxJE;bibXKYE zGXd1sd5db{FfQU|A*h4GLmZtwX20i?M9Xcw9ZAt>!qKeB5rG<`5fGeoOd`!qvzKQa z+eK*UmsGXEouf#V@*x}qrQB_KJlJ}wAmF54B^Oa?ZpCl>8CAH!$~YmdHgdyQGE`wT z?4Qb!Y)?_7GVF}l)Fq@;ub^ekmR}#HQ}#f?Z~iQHHEIhlyL@OL(}8Xehn`SOPAM&)hgtG7D$rfea1izp(`6f6-AWh?hh-)S zPlP8}Bm(9-q@5j&P!ASj1gD>tRHva*{G(qa2VXIT7+Ew?ks}NpchriBsk98X5&ZjD zbW_PAiiDsPAwalK5zKQ0@H}*6a9;str(lH0-PZAZd|&g4&j#uf1c<#Z&M+puuAdJ- zgO|Fqs%p4Q6m6ONC7+*$if(Q#5kDN3%Ztl|vWDe_RYkOh#0v=_5%$F&(uV6#qJmr6 z_07*BHomwhadd1|p(NmCInF@J5uUShK$qEu1YDW&={=f36p^4F9ZEEo5IkW!*0vE7 zRU?#G#)d}F5=L^uhtW4_ft`8?d6 zt|^bvmEj_a6P8;tArVCBusj&NRBPN&gyn1WAZr700|m0xA82xlHk4q?uh?B!^Exza zgXIX~UaR3i)R}-I4pOqFQ3*2;A1+rfq<5$(uFmKvH#bJ>>l!%2zx^r=NerhUq49t{ zmM|n)EXRC|qobU-;u6?Kk8|pDf_E}sFXEplr(X+z(N0H`4MSN*6Y*ohq_EW;Hp&s0 zCbmjvmROE>I?CZ~GBwsL2w<;E>2-bv;0M=#{4a|Eu}Z#cSZMv+9ju?bL$OM)pBvPX z%~<26=%b#yBvv_Kq1-@`%{$BV6+|A#yrC3$Npa9ShkEXEpg1T`X$}EbU@x7m;C(vB zLDvBn557imPy(LA(d5Lixc|E2g}-Zfq!_)GTf=hY^k%uXwK?F{`dR{wj%wY}qmAt@h>}Q^t&$zCuW0g@KPiY1tLB=L9p^8)3BSkjPt>_BWGcc&!s7um2 zZ!U_-L|4%o_Hn@3mGk7M`7wS>SB8xW!CcS$t2x+3olZh^{T8I0rurpM<#BR|$xv*V z;p)??E_`O7aOqw%Mm=6&`q0+2i_WbLRkmO-kLnxJa-^qDK2KiwQD zry6i#`9jRYnIBVd)-EpaK#zc|xGACXsQmUvtB<6vu31SwH+Wu0yv_@M`ivDMDE)Rq?@ok=h!HO3HD zlk5Rj1_}g+Pg0M--1vkoMcu?G*I6}PsVaW{8;~I;DT#s55+hkp#rQQ=+F&MIqamR> zbvu~ueyc46Dd&UzrrnlQqgu@&8a&Y-7&G}@QT)M0E$-8Vz&_OA42}W$15{KCUf~K~ zNBASmFE)!A{4^}j?&Qbz4(k5va zBUAnkP)WXq`^wSp-cCkng^a#6}e9y>OiSqdOjHYD0TMUBD< z6!QnflDr|U)QzSPOV7c!A3Iq9!x~1*2fs}t-Hv813nfA1UrkOireLWHIpI)HL;1WP_c7iFf#GmLrQ% zYiv2!PtcrNLsB45CPn#JD6BGi@t2*~nt}yO|EtSfq{NL6XmLV` zUJWcj(KXC><|?pe0#TZndM0H~TvC%w@sUVC1XGgEQjY%p_8+l$gVWA_3F9D-P8Lku zk{ojg^vrWx+bt{AdAPV$L4xJl)h){8jzUv*^iNUFy(4wpNOi3&sA<-UqLH$$l!ggU z8CU5-0bLamm2GlqRx^MW$z-j{;?D07I$foM z>=DO$AKkP~gVX@w;w?HPG6YOBNOb|U6!)2XZsa#yn(m53bT4uC+epC`XX>rZQ zR6&J;*W62kF1T&LO|eHs1dhRPl%}538g9#dYA9#YFoCAIp&ij$yXOJ1+QzXGvbCi= zKp0$FO==)9fKQdrqXl|}{ZJ}5R5+-`Ju?}BbwbyjvQe_;$@?-9mFBHq`p5(rIn=Y7a6NWdpHw<&CVsKFr{V4r6R=MLJ5iJ232^_ilP4gdEqw>E! z7M8?l2;FSLRDm4A2gI9-n~1>>D$x=;7O52%)*V3tqUCnwV7wTo3(iM`YKkodFBXT( z=%R?w`8Djv3NqbrXvNl8en8ZPXhgx{vr|NuSV6KO71l?k-j~I4FcpRvZgc}cJaYJB zkHK0jo^zB*Q6V&CVca5-C&di`6YCff=|DB<&2mi^i7gUqBFP%88Iy8c^C#t4q@qwB z<{;=Ygb}e$%$$_lAb3Nx1bcA@jdH7~2xHJ$0fvG^Ojtcv4M(Jnw|*ZTvB(PVF&LPi zAQjP~!Sd5NpdLmdRx=pf!@H}PgS1?Yi>BprtcVu9te!xbn~6SQ7~xlh^-*bOr{4+7Zu7=(1`F5DzJ_Y92&!VO3^5yr*Ijo z0114_Ev+12BodyJ&l6q3SnI3nC`G%yED}#3Ptcmn6%4rgoCl_!(j>~HrEjcpQzU3` zk@a&deb9541y5Lq!+V(PQjFjcA6(O z^_1oro}_$keoFIZf<8AZ$~1fvPia2o+-=Lp?>TbH&t0Ae`wf1FyjR3&0SBw?j<9y_ z=*?`W&VSkkVp10rOrm0Pw$1@uUg;J>AVy%^Z6pc+x&g8_(nuVc+ElA#0Va!67k)7MO(x;V zBwu1^yej267*@2`cQ{$QD1l=;aRtIrla6$XDcUD0ZjmVqX2pdMzyq8-Raw$vZ>QCMX%N5FNNb5=P#Z%#f%N}>{&RNO8z2*=RTBj?(K60Rkw>t2y9~AaE8xHd&3v9`z5!h= z)rEy_x6D&MqxlckRx&qBxMD0>z9iN(%7ma5;?~;@)(<#w6(P&{0sxBm5B}oXfS&!Y zT(YDrF^YLo?Wi6E4jq+2kNOWU;)I?x~hZcOi7869V8?d)9GO$fRV(P|wP^36q6 zeDzz1m6$&5#XKcAswQs%)k-MAOapT2rXT~TjQcSfEv*tC(HSTbG?Q}LL}c>NDmZz> ze2rqlRC3-iQ$^}@hBbf4mP$?#k|1^HW!7Qfe`esJmu}3sjaGkx=IO_?9{>#DX86(% z*H-*V3VC42kC#=lL(A#p3!K6EQC8uSLG|(fEIK7eMC8NxhosBjVz8*&;=g#L^)fp( z%Cio8&jSD~0aWXs+z*(WXO|XZ1@TfauxM%)`JH5EMn_l-2!5WaT7k3qd9m`UVl)c=`-%4TMk+ z%t#1*>t8#40rF#W|TyB;k2!k+A zQJnuJ*D{-jJek}~JVm%JwA1`&f$B8+zEOk=O!HR<8ft54##e)3rVB?u870PgY7gG@ z9w#ub_*R(>0{T)I-Y{x8;F)UCSRvrGXNT2fZY9SiD1e;f-w?m^V+9O!4+&U&HJRO+k`8nTHz{d zZP11L2NA?<$K3=bp_(JV0jq}l2knbLI}MHG#{jj-Bl2P(7B^R&@sf4*`;7;xI*mLf z>k#t8bq#QB(iU*k_^~e$NtduwI^`P}8f*Gc`ublDN0rH(k}j62pJON+GarQ%R~u2G z+XL!S_u+qW-IB~CS`P$Yi-p}~930!$skzFE6ufEaq-T&n<=jeEa2QF$P+DcBP_hPw zc@hc-(QyDAoXv-87@+KQZ)UA*wTP>O;i=4+IsP>*Q!L%D+|Yyw9aC}xvp0z@Xl2FZ z!x>Y@kfOQ<8d-OKc04!17%jYpLb-4>?ITrxeJ*D?%1mLZ+QmY*1PnDpjZoOc>ADGs z<+?{|u0VCwq?%OGk}hD@m3G^=avA&n>L`4|hSD`Jkp^{(vIG*~O0Hn-gvXwv?OeJe z27UQOf_Wm&v(Q<-ZOi?+^x^eQ3>{B*p}(|iI~U;+re3?h1TfK|5kr}KXr{U0=v)2{ zHpB}!D`~Zhs8P)U0to=3Wffw;pptm*&s^F7Y zNQ*QpDewh^%WZ}=0tiQB@6wa3EUw~|VSPM&c>)}x@yItw)z9BT(}^I^Pn&cBVF#I! zIKv{VY%r&O3s$L^=($T?EhQ1lJkB@99!%bP?oZ+NQyjHqk(En0S;ZT$Fc<__k|;_w z#-xNc(0+A&qZplHWl*s(st89&Tb{ZYl3(X^yEd<*;H%tQC?rEv3Kt+Q!a5(*Kol#V(41!t z*Es{Q3};p05FXSVZKyN#5F*hr0wlookY=^IQ9OZ1t7uv=ymm;Wl^#R3-i`nCAu&{k zW@!9U$(#Ch5sM`SD~e-0ys4|@W$Xy~O}ft0!YcxF3{KvmCdb6K`i-4Cc#*0X7+K|T zw6#H72+;BSD$Ur?o5OIo4bAupe%(NrjE=^PAN`3%aStwm^>l4cNRwwTWhy7*H_Fyp}5;Wk|@=NKt zOQKARM1lsaP7-+V;F{t_M43+O1BQc(EJdo{Ay264>sZTl159}C(%5=G{cv>Q?o%VZ z&He!eptwQdU0{k}@dT*WGL7y(as8^-GI0t1zOSL_D>q$Nu54Y$lQ_%cwVRf%Wy#Vp zwrhz5UB_CcB|W97=PrpdRmaY)Z9R^sG_T_+&1HZ~*9myfZN)L(A%ERHYg^0T5B7a) zr_jm1;XAhe~Y zH2M?dO!o@O%{%^bblhB|}`C@d08 zod9vQhkWEoJtkk&5timPP5A(XWS}pmd?HEnEDcX*R#X#tuvb1^)kWhhcT~LO6R3b* zY{9hU<8nBn2-?fkLVo~W+jWJ8yh3}YE#xmO|))Z z(n{;$HIFQcb4gnD2!TWumtMlAKr$y;gq(3On=hSR&&~*FNG&}rXtX9eTt$_y0#V3VvezQMMexbwR+={VsT7WLZ98;#h!^bECZ&aW>|hAAQf< zCUlYcu8I~)YuZBVn5@N(8tw8g{O(fSk%8gs2B84l(s!zbX&)AFDya*%CCPP)K_Nwq zrCk^;)fOzsjH*l`f2qCBQssI{vMvZ}4ngteM-$sB$^}r=a7nNMvaSH4siIVh-o#8o zrL$~;mQu;2uJGlJ(85Suv4~oiBEghnll<}=xCy3>?6mpJGwR_V1pa&8SBJ*1(p z3$Abk{Bf)TQUmAQqZyM_kTXZMdrzE7Bk%`_Z4WPF6?87ZDmI(^!a%C05WY zrP<7R+YEE6#?&#D2DKU>UR26o++fWg!N&B?vZKz`UZP{6{vrD({Dx_+U-^9vH3Usi9kdrV^yc99L`qkvXxe^8lt6-*#M?Dm(uw}_m`{?%z zu}LBjf5dB|RF)K~q>NBBE(55N0NSBN5Cjld;3O_t8y|%daQ00hpn!C{^VaLZAul{_ z8hmPI9<{QOyUp_0WtS1Mxyr$$gZBC8Iv`6Ph}Bv zXm0gESKmk>1-?RTG#|9gS~iVDFM%s$4SJI99MJ`3Kyrv(4dOr3=2MpGv!7T4Fu1-C zzPb#cc)_KZC#{9J)WVapagu~GE;7B~^vzSSv2MKoB3%@x4wJXYT?r9KF|PNCXDt!6eH+uVQQ`y?O+6F0)# z#7nf!T$ZZa_}oESUqM`n`&WC9dW#v4iy$6|LyibE5EK<5$FS0Z5^;e6lQ2LsBz}Ms zkid;zjTe-vjXnqD$fhcONFRnmJr6(~b&>|tla?ftSDT46QD^beTOjE{3Up<;05OiL zR7288Vuc4+HlEf2D_E^7b)TP#b^ZF_pGW|5C6e4uVKA2Gy!Vynp&R`;xnmX0bFe`* z3Det_bn=vHBxylbwjd)%Pz9UJ$z8uLu$&Y)6IfvllgWy(^A(409+ao#F4jFn`e{Ow z4IBR(ynl{9U|rABCMHKkf-XRc#hW>w(}x5gXu0Aa1nO9c<|d;=&ruAgsfBmmvWh z-UUVsj0IS);)yvlg0Fbua(b=2RHV^fH>5}3Sd&CxNy-taA(A32HG(J;L9QjjNiqpJ ztiwoqPTwiTw#Az*)Vs*<3%Q(m1f~~-;e>jYPae1N(ZA!hOgu3+B+8_B zfe|-iVbSR&uVp$>F0p7y&%kMQ()qh9Jp;GMJLKbuIU;Lki-MSx7ApI>A<|q^IkUy{YbzRHTa*rc!M3m`;M44^{`*uQ4 zw-?vGohTFGCxD6@Z8^$x9NyQ1%`*LnVy)A{Bd7%Tv49`vb2%2F$Sj8RzYNYO{M)jd#vZvG&OpQnFaPJ0F=tY^)$*FH0u#RgYSD z7Cwh&J|*cINDYsRHd!nsgoL_kgHWvkHsN2VR&1_%YDB8r5fwf22ppM^>2~k^p#nL5(b%FaErF<%x+aBKOj*duKFCnP)dIUK%s|)LuGe+GTZaf`!Im&LgJUFd z!wwKxS*rsq^)W(2zhkceO&n;5PFvzc(>cH5LHvU+R|^rSsE~>T8ERjGV2P8YfNb!w zK41nXd9rm8k=rdKTbUo2@*Tg_(?9Y-mQ1zjmv~r1#FU(p;8co3;$4d%qpk~c$hB3Y zBg!YM*Mu%p;+$pHQDF+~sw6AvW`i`^CjCFhm9U_$*9cI8QsrDsjKfWcN<>U5OAG>IarV+YFFgn;L zX7(tM(O?Hxeh(S&bBq{?n1|3g#8jgW(#cP7ct{0>G^zI7292CqS4wz}Y0NRM?Z{G5 z-TW`9F@vPIoKLLMP)#kiU96fIfr?W~9@xn69lPjLCwj4_O&DA}gHkOmWjawhsb@Xn zOlojlN9H@fUiDVR7Y~9Z}?piM(LIoKNLEqd&xt7Ok?9F=o1uHgm1p#SvRqL@smQ^QFzh;8694HrrLXA~)I_38bX2{3Oa zM^UoD&t$%#2;N~=s}}M?sZdZ0;G5G1Id08G z!zMcGP_aL~YV>s!1s@)StS7M}6@#uhs%rW3RoxXlS&6}4B%7Toz5l*YMCEu2WHN}3p; zy>xW+LbeOAs>!)yU?5;>L$HzEKg3mulezl>pk|xdyFMmyMjWN4H>73F)4fL70tNQ4 zPwb6lR}crKnl6fsdZrZcdtMb)+6y}xrrY488lKJ>u+7MM@2C=;l}RG)v;rC&!#d^; z?3d3sSv{j=b}>?^GC=gjmwppHqWjel11wg$ijNeem!)X1<32|-IEDih6w#Q(53H74 z^|rJ#$gRy|Es&xwVU#Rx+NcVC)l<0=CJB}`Hb6TmC$I_F#i=EVZEOugSg@npjGSt1 z*=e@&hz62#9Qls{o?)<@D6%QO4b#X0c1;r3A`#e?ZI~dQlBcN?USN9PCbIF{1lBua zRK@*&fhf*F?kLX8*qR1}OH)E^p09ETFl|tzR`2`K#hQCvoWhm3+s}5hN*E(+%v{cm zs}`=abkEM@8#*XZ%#|D6 z#dtAW361l$8OWrmS$V=%)I=l9GLb72hbT2#XKw33wc12U_DTq0_*4+^Pk-3K1wswg zF)tTVK*n(p-t@womRO3;SSDC>;V2gIOMUd3wPNWyEds(mL>v@?@RtgCAdE&3$lrN`9>aS(}-HoUijpa}Z#ej%A$moEJAGFRy(^J4_$Z5q3*{{AzV@QDJU98YEv zXrfL>=}#0!%a&M2q^?pk_52qLowV`^)f{C~42U2b`J`8bK@D`1C;L>-bAF6~;TgF@{L^G&c@E@Y z9_r9|YzLO!uXqa5us^G!{Inc#D}JQskwrxgsUj+dbDxhnumf>NEQwC)6g|EdvWt!!w1#~C%tYIF)1QVHx$)dpLG2q zQKsUL>UTc*yN2nF^ZEVstb8&!eb=y3l<6H$;?mV?nQkJ=bcfe69lPhbmDe-zUZ%8` ziKp7Umg)8-B22elr??bxqvbcS^67D2`E+}6?CnRl9``b$Osr)ZruQ-}9lwV+IGtNL zz4F~gXZ*db7RWkAs)J%L=(?HyC08086BH8IV3eh`W3bywq|msB##U=D&4 zltT72OddB>HUk%~`CC?4BU=JOx1^j70-C@PGROe>2qdaxGE60jlo;k@ z{IVI2F6d4>E|-8958jdC;I8C^1ZP2&IQl$KwtDUVO1DbYs1!URYA#a}AX*X_K^)OV z7-$_c)#zwS3lXBtoS3FKN$8lGVtm4J!cFY0Unm)Vv+$`bip9COd)k z$J+z}y9J&Q;TRR1KtvT{<#*=F>y^ToW(7!3$|>2FI%9=A>?S<9u}q@DM%mXPq3`D7 zqDK2rFdqboC(x85sgXH}e)n8)1)A=+cG~6E=$t%eVkcFWZ!39ImjdWV+U>QWZLUf;Ue56rP?RS z=m!@t& z@QOle3_fPDxp9qnkd?|e@$QJ4oNE3vvz(EEB!nu972N%0)W8le5&KpWk&rnbyIbAf zwty-8RPe+ggFyH@te*>aZ)0jK2IHiF&IH(4;20YgOi>enuqpG8cs-vJU}e}+f9iZ= zXBA%?P0bQ+B!PffDG>s#%D+jK4I2F=zTw+yNS;-&7v$}n`i?cdUbP6U?gDSNbKscU`7!LaYPMdn%tJ#2ns5ww6 z(YiGth^IEJv%N(D&NP8$+@g5PHwsL9WhhM!DTR0CQX-Kk`d92op#`^%4SVTLiap3N zOQ~MSv`lwcnZE9219J0eK;mB%FN`;BqSkFD{ynNuG7RxO=|c@ zwU|OPf$p~=pA?3;twU9OyGCm(HEVDU)Va`Iq+OzLXpe@t0=&=z?GXm|Ic2)zTz0|n;nb_C>X4mLifEWMSEf{kRvk| z#9YxGT%5U!l^iI;WgLpBA-Wd=Ps5$NWF8#iplc6F5{@mdk~ZzaWvq|bXT{QS@=l>i zaKdnFqL4dJqBNbE6(@5?;&jdh296qMVPd3}I%IB#FiP%b@ke!qPFI1Oa&E4k3cUfG-uQQx#>><5ZI)7>2LP^7e0nIg3ljh^^@L zJn$zzvbaV30^!6%6to#$SOJS*ih@0?hvE4;E@t>FqWe$xt?n0i`*{ASt>NY?V6o{w zE{9M1zi1h8EO^m4mM}5c`LZEj;bUnWkrzTQTG%8F*;v!WtICyRYS3{*?g@B_6M+yY z6s#f6LP$iRECu^m&E!>2@aApS1Zxx;u||q7X$q4G6TzU7yq5`e^-oU%fVaRDz8`^7PVb*mR8H|Dy&YX2VZai+ z5gqr3SRFttijbDx!KI~1j6s$?@scL(kVQ+RASZdL<>(P{L_J@p7pT)jjaDRTV9M#p zVL54mHO1SZITVz40Y=+zV*MN|h_+arw8b-UXV;3wv(;egONBg0_u%W+6nxVAytUrQ ztCP3@=6&AfolDi^?o)%w4U2kLc=fA()6!^ow&H!>Rr$?47WY=gq*%-Yc5$|XNm|Q9 z)y1R5@Xuep#u6vJ{kyop&*pEt@pyIrk*XldRDIEB76ZOin4XwByS&LWaM$K%;1p%z z891+HdOdIdKA~5F>-je*HeX8Ihz}A1c<$0>eAiF`rJJfBS|cXK+rOW3&vS_~t=)P( z%c1HI5j{q(*0zi0eg~urqOR4y=q0tw@s~j!cd&im5Wca6#c3q>EY+2zr7&eHk=* z2h-r1%o;qvpky7R^_u2j#(q6Hy#RUBc}+<(CkHnFC#YK%$a)(%&;)_?yMDrIB^#Sb z2#jpKPpop8wng($DZ05vh^r~<0d{Iu?pA{nrFcjYQEC%7Rgn|uxBgO@>696^plwhv zFeGb~k5H&qHjHj<)0tgMx;!HnW8k*RM*in~Tvn{S=!kJ8G4@)qm9H^XQ09RscZ!Cn zPV%q`3vs2&m4bju02K>}JO#4&Aq@N|8NB5dTw37vD!2j4NvuaMLoyuPz5A0-30A2y zT=2BkwAp?JwYVtNa|n5HlJc$)MxHnD906g)X|C}cH(7KhNS>?KBo3)zFcrd2JY9R- zMr0BzJU2qQ7$?O#GLoBOB%xvp5eSFN6A3YQT_G#uf`^SR#3?1AVk}mgv$*^hea5FC zBuype3|aL`EwnmlvP`(gF{qkSf@Y)^(`kHH0BS>9$gS)fezR5BGds;^suTt9GkhB; zgKO>yz+p#KjpNMy|2db($MX+HhHRbouRyu>+$+6^nW7kinX$vEPu18iPr&BKs3g3F z9rWVOug6(8VRP=UQ_cHdd`t~Xzh%|sxNcC<4V>^3q4RX40AoYbuH@r3uFe<|MqSTDxdF;GgrehGh0n zA;BJ`T@({Z2yFI|xNVcj8oqG@T97Imwi&ObmSnav`u;F)r-Prp^nHfuHz1 z3N?qEPiG@nATIHTLF8KQqTAUx+ocv{7VuhC!j5=#=suMe#n< zWbh@9Ny%+kJG4^$uBb`Px;D3Z8^gNzz8mW7zL^kKbKj1;t1h(?Eo=~ts}RX0)90SQ zBuYl8xz!ohsKgfk8JF@jR#Oq^X}=E=7~lD!DY63;+WaD)AU^B`IRN9GD8GNeZcUU) zk8ONfYHh38-S=|WZb#l;-aNA%vUj=BVRs!{T`7d!J9ZD!U8Vl{t9J$O1U5{YT(ZCv za=TXbly?D5k?dLcwm;jmYG*}AX^T@{3h*~Q{#-JC5M^dYlTCNGYetLXsXv`A?^BW% zX4!~~T&pZKb25G|_?T)z5uXNg3aM3i&i~#j?31-ts;@I`IcTuoc^Ew&&mY zB(7ghlbW-~wdMFYscGh6VxPQ))M)Zke^o4~)Vi>gUagD2vGnrJ4KrecZNw{z zeX>?=^4Ba;yP~Nv@j=+`kA36M9)!mMarPIroyn!KKjU|zTU(SZcN3Hzg-y+==~0uk zder5au}zhB+j)OLuVF#+-xzkhF+z(GHH#2ryxzp8@a<6>J=6qXjuDf;0iLm2TKT*by+G)xMt}u4HSCRRl z?`R92v-~?gZO#;GtJ5pjw#F>^-g2{8tBu=Ru5FE3^1bC|u~r+mw_MvAv*dfr&0?)K zZg07^HD<~8mYc;|ZQR~+ZEMVu?=3frwc5D7<=WPmCEr_a7HhR}d&{-0F-yL;+$`2= zwQ+mPwXHErzPH>g z)@tMSmTOyMmV9ryS*+E@?Jd`~#w_{XagjoVwUZH-y-z2#=HRvWjsT-zG6O-r4T#6qVlp zESCDnK{!E_RniMARmVH0wTWW~5f$}YvFjSKr%=Bt z(<%!_3T$J9cA-tK5DJwdjcu{`#)HpuW-AsuQ(K3fwc8>4Fq%gFwttu|Urwndw3VIa z*sf^jr?FD$MgFqJu2lyIHd310!KwGgd~5!K(B3Fb&6O41@ZBr7cIRF-v%zQ4JLBf# zo|10(;ytSlS`jj|zofK-vP^hSxG*EZzUW!IeB6n=cg;@R;`4qDpr$mpcluf6X^GEVLMpg*wZ;L+U{>Q=xckzNl70b%M3Ftq35D85D$~r z=;N~1B<(Uzu&C=YxIU`|01nCC8DYO;RyuH^&E(0{4nYyDKrH_I&raNSoYf6)8r^&{ z!pyX*nTWfJx=?5+1j)(JWD`(Amp!ogxJ5Wj@!UU#pBvAPe}BFXT0RKb$SL{qsXB}8 zn)uF~PWtFG@HbCI7&>KY6{Rj))*y=T3Cv7jGzuBh->{${^zviABsVU5v3Yt}CN}1S z-YS;*-W#Nd2x-ws{Lu}xmbF=g83`hx=kJ3g69%h9Q#s!Wfk5|tp`h+Y=9|vp_1!)^omD~V!2?mlrzizHzScLDRjY{%+mqENtM%d3lzfIzNh)xREQhe4%Frb!So%jnqahydKEZaf(1$9<3;I5q=0 zOhz`l9(j@H4^9e|q*+M#R>OeMJak9`qmwOIC{2=!Nuub3!uzCEtD#kK-yaw=@fBH4 zNnPyOR3=!qdwgy(2pXi!z{_fh{4_^3ZJZm z<)o{cT61HFV>`7pR#mFb2kBuu0wBwH6@IEESN@%DzSXPJA#@ZTJ?TL^&}o9xf?*)& zlgjC|KtDhwBgQNs#wyry;uhat0Tf_QFPc6DF(Y>GcvPI8>d_F! zL0vfD{pb>7KyD@Zo?!V{wdJ@qmKlwf9V`r(zC6v>wz5vb3jI;R88G?8w6bZ;CL#ma z71diF)Pb*a>p+`+-JT70W=7Z!wliCo(`9j=$B8>_dMB+={ z;+jj&q7kitkk3@dko8^)m)HJV1Yu%yI)++&P+RAAeMI~wzW2?6PUXAVC7&07>%cCy zSn}QOvAzht45dELUGfb@3!o$U964W{K8g_$h#+L@RNr_TVIDq4=IP5eC<}>9%qwYRaVfE;@&d6!CYu z5yMBmX|ndA9L7=yBiYKSTW~~rRf&>Js;qVxLCy-Vx16?_28+jJB`jk_76)6&sY30+ zJ!@hoPt>le9;g1}L+Z;VA8C)zK~kX(243<7L_R=niPEaP-rqo%4&ao-GwMLl#gSe; zf5ggQXutqBv!hm@@Nvc99vMr?%E^qmD56kj_cs3FrMMpWQr)p%- za&{z+QjQvH={}WmMhRvjbiFX?aQT3&rtW9Qw~U{`{zO^aeB-#-TIbv4_4mswK7u~D zcq1P|KT;GAzIL_X+vw-`+WCYJgk8Mx`r_hxvGm}Ps=Q-ZoWJ{2F@ebgHiXM_!#6|(^Q?C=e1XUtdF$Kh>keH;DWwXKYO3&4|` z7gnAJ@M(AbB=*zP;Lm$7s3K9u>$cLhygY%AflTzZbE7hpKpmIN!J-6Of9+iTQEF`S zd35C?8JnzpjNacGrf;Js>?0NIC0pH^cvHITV~fFLf=~-#=dAGfKDF_6iZB&0a++ua z5I(Gj`j&c1qVkNwQADAL@S4ddk=7i^I%SCFugMmITko)`#PTVB~n9K#D# zjQTj%P$|Wx&TUf(KYCQx57thYq|r57Z=`O-tY#+y)Y8KsD+k=&4_Br$pK?`6T`1>c zx-~b*LZGVbv1+#^YRBxiT|3u_x6!f_SH(O2L)OY209~CzE=Aj_20MeQA+C`#jo?yn zkZLpp*ybcVl}x-1mRf*#TZLZC z2x_EF%^`_ZcPvHzFBhcJT^NBvMwY}hc0!!6bEW$PJ;f2c=x8pFC`JMp ztTF{kCDy5LbQu6ruGbu9eY6uFU9aeav+|u$@i|{8+-R93%2bdlhbP87;C?kjmD6wz zWH6JUEHfRG1;sE$m&hP7VGAsUWTZ4YlloH8Suj6*V<=+1tknPC-r2y|c2#x!%;c&= zJ8JU+6R1dTniqTlA+^YdMM_`kLkLtsJ}U|>MMV=nBA-zMH&{z6O$`W00Fjso4HRO~ zNHrw3gcqabD^g=b6snS#3MiUN6cE>ct-bfz=iGB=?wq+Z?>_mRnS0LOYp=ETI={2e zy>r*>yKk6BA4w{e%4@_P(RIY?GB$PG+TJ?v`91W8PZ&)9CDzVM` z@>1;VV)x$bOQY7Za+oHox?<&26{!?e3C|3&sEs*!iGvxP|BUMVP3r#qkKm+f{kK(X z_1Iji_{e6-!n@7rTFv|iRJ^Onx~``?vJEvwZGsQ}K-YB&Bwb_$8_}o}{W0&Ixkypx zF6NJIP^C@7Tz|}K8)%LjCpUPk4}E4*)(zW^qvS%pr`s{S`p`2qZ&0H>vOgF-I^wRuo#XjN1RD=$S4UW{E9 zv^P=omBLiFv3V&~vr(u<`=4HxH9Y_eA&$mNe3GynO*y>ehHULeHMO0jCKq@F>5{f4 zdX{TLb;bOI;*LIbPtoo5ryF(7os=ti&&1sqf2A-lc1heJhBpNiVYb0G+xHe7z0pi? z)SWKurj0>+`2Arj9)&_pntGp`?_%{7{m0&e%9k{rw@hT6n#|tMy0$!Jqaa{%k5WE7 zKzri5<(=|nedpWKUcD4p2<;g#y|lSEBsA4>uZGAN zC|dp6LwBspCA92GG=xk7y<+&WnSA3fO>7s*`k5*UB_2F8(fpYH9k7Y73ZHr*remv5dWe#*v4Vtu@ z6QXCiimzR!S1(;Gg=BdpC7A5j#+_xC{8v}jg16W#QKGboWmA&2l2X=zibN5HuZ0w+Tg5eVg+Xfy=q&;y38g#_7S^=)>Sig5(ZGcZEo5-cyl12R&6HN z88_=sSsZtK+zM*c2(*S%cGj*CLEY%u(zHB|T_xk@nHxr@Y)@vl`Q9@ zv#`*oUbQHj%ig6+XUMEC_2ws~K9!17UH<@6g3dSnhgeM2=CLU_UC8+Lqb^QWwacW7 zq+g*4OzzBi+25)rEWyc_YKG2qwr&30g_i1g)bUBlGHo}rmpyT7W(gwCGTpb?yz5=Z zlx3P(CTM2br%l_FPs&LtL3D*_N8ZTA?>aU~+Lo%asSmPv!t9e7T7YJ;J9$Hz>f=PesTwt1dLPbo>HVs5j7 z>FJ@Tk|fq`r12zi{1z?O_C`ImxsL5L0F$D`u}SU~SIE-I-@LuqoW0Jf)?bP*#c4N! zeq9(XQi{+dmQ1ziSH=8rtyHyxJ$Yy^J%i&xNZG?J$0|^7w1$m)z4jN?zOOBZQ5$84 zwX9c85%#1*)uQ>&Pfg9{Uc$DQQu2bHc3MqWGiD)744q1*7H#G+B}bz}-@B1^VQsPs zn`Z&C@`d&W$Mr(sm9z`n~O(QPsRE^z1wz)vQe_dGE#8rG&)R3roX>)4aT_NM)_PO{isSv_jY5yq=rI@9wkD(lD~O`$a}e>&mCWSCwQU!{NzFuN(*7+e zk+4Wxo6>exJ||E~DudkWRjEaTN~U<8)g;(*f;lihPJa2Hq}1QK{!*>Fpq0KFb4yjO zJXFd>vz85%Q;fI%+d!K{?tMWQ3rxD=0owsl*CuKan(eRu&w=LJNn5s3TS-lGEDzk1wc2G;}a%I>nMr_T8uIkC>uV>d^ z?$OPnDJ!&18iYO&bu1m&-?mXMqEaY2QAojk@2~dcRkd}s$Vpb-t3B)4F=AeLfm2w1 zUANwJt_)gZ6-(6|rE)rF9r7dFq^6L&&?U){+b1WGZ0}^^kN(HW0}|wG3{n+4%VP6sh>_(R44Ak`zxI#QP#@Ga`J7vsF<1mxU?HgrD#stTJ~6u7UXUJ z9yN0~?P}V4OW(}5Hp^(pm2^~Xwc}Jgw<3AbcCC)KB(|A`WO(oH*aF!!G7`;s zZwqO3kyK>qo8n1s%%zGQr;^nV^=$R|EqRlR^pHe)(NgviT)wVPePL*|B*ObC<$z=y z&OAHkkx9Lo9B;i!A!{cSqij;&Je*2f)t#&l!y;7R~P;46)zrg1*ox1pVbJB72~v7X?q6C<}%%O@egjN zEK~FJzqb>#`9^J)>6B(B#pCn?Y`&4tOw#Nm%{S5{ryUnsLg{J~hPGxtX?9YY`E*M2 znLKZF-11Q+Cnas4BPIXyzJ2D?rD-nH3%B3B^MqG^-H7UP`TaLd7fuq-1hw_Z8)f_{ z%aofT=LJDdM(J8cQMCY-D*s`?e|9 z(y?u59mXFeI?s)b6Lv$?TdnWqT2L!`xovDqxuLbL+U)L$U_(~5RKDX1*#7QID(R6I zhl49&-1NV8S%-BbEoZ87JY3l`{Kbh1t{EB~d9RYk~h|oAjURP0v%fq_&bE9Aw*M6k<8G=Gr2( ztq(8cVDd3Jb0=A|c$_Pk`+Iq+KTJ8&z2*&l`DyXebk;yiq-uX{Fk?neUusddW8$ zo40q;=Q2&oC+3v!NpqR3Q5mL`W%5jrWtq&vvP_l{aY$g7(th zt$hIAfanuf?&jkRx0S!=&Tt%q-4om(mL-IcTLRLc3giZ{EFpy45|9Q}AUB9*3E|m7 zZrc63VCjs8BRCXovP@&IiLy+yCC28NP}#WBR#98)EYoC7vrL!X*?y6Ljf{G5tVxz> zww{CT@De#Ixq;T<6~dqVwev!CTEFnzLwG9bcT)AYpW?>*Pwz+l&~!7m?rDAU;#GIp z^?xAmj~<)ZTo{8j^xN_rmnzb}O4Zcbzx39Q_^>M7Sh}?~nB04Af5+WfvcF@tAGDfl zoD+1+`mLpYOMFjl(&L%-vz+JBPto9en84LKW<)po;HmBxVM*5^5Y zUTN-LY>iAWRXVcW&Arw2hm0y&TfC1gF1hz+`mIT)T#E<&bQDUZ6pFJfsqgG(|JR_) zAz?PJ+6F{b>(a$KpxWrGvs6nTl&{-z*+Ph4pKq&T391xKH|Z1>*?fs(xM|(G$)q`U zve@n~7w!J)*vyZrJyG$#xKJ#tP|8xD-0^pdtroO&9L(u>t9Dr}A44>~$zZF1w@eAjiWw%m`G-I+?cmXDRFZLCXf+1dTkPj;%~D*6yfYdJW)UD%J=>XlvV zA(uXP;Hev>+w4+D3F{wk^FTbHu(ADccsb%5?#MnD-w)ACoTTisZCHA1UzD9@<%lL5 z=;)3-ykc7l+4>1LrBeDZdY1Q&X6N2atYUG~7TOp?(d+KT1FQXo!1u{MyXhP!lh1yo zHru0|y{0}DsJRQN$#PkO_$i`rY(hUT9bH}G9FP|~mFA7V6;rBh37-q97Sn9=M()!; z`Q;;DJH5q~f0caGC+57S`ovbT_BNMTshLX2YW|S#jlfvcO#^u=lwC;uQ_p7}Jf57JErW z-ikG!EZ^16Wtud1zNPWGOj`YWiMdSr#GK|a?VLI>+tGU7n#&}iJ_A?Q^VZr-zE-$3 zq|euB6(?!?dQVB)KVK(dd>T*lq;_I1lh$RjhOfo9R*9os>vXwQ)2OQl-mY_*Y#P~+ zcD`)>sm|8-fVLJFZ?_!Dn|`|eD249Z1p`lGq5nvtXprZupz`1rBPxxoj&^6Xg{`$e z;=WBYnl2875jL)Bw-n?#4lB@aHiz!vrIy8QwIoozLvM@WedQ43E#{05p z_hWXDkGpHHsP&kAPxWk8IrH9^zlN3NzKcUA8pwRV@g3)St|Zn1+qd@>8T5lJ^_M@P z^iW>BN@FP$oz)hS|4uh$KA%Y+`WJRt^M@xbysX$gs+J#$FSmNEb)_zQIB1 zId@gSb^Td)^F7W>x<*UZ7Pzs{BD&Apr_mEQk8VMT*4qM4U2(TIA7k6zmKJM0>!r~q z-SReKfnkUjG|qS7l6>DUXg0C@^?W)vTj=+G_Gh{^#V2?JTZXr{-{>)WPRCqb5RRG$ zRR)CL{JwBfz4yw&E%3fTJm-5(u)weu%O>m`-mkn|jRvmu)s||zeU`^NiF?7jyFmYk z(ARmSH~v;jAJVSEErAVmS&K@!6x>N$>p3b7c?1=K-LCfYw{H?OTdGh?LF?M;qP9B8 zDjR3#twNTJKlXjuQqgjz=K*#9Ute+rDE?t2j+;6(_C!t#6I1 zR{yS7aq9Wb+FHfQvrLvavDLquw|&yanXk+AI9vVun(e7A-5u+B+tS^q&-eAbmGIHy z#z)wC-p6e{Z(nICJ~3CX{++ZfXQYq2wX1)(pRbd)trM+CSSSstf3Jto_BVWg71m)C``z!}M_2ok_7^}#m5#Z( zyPMrwho45wqV!#B6yco9TVIf4J9tcU&Wm8E|4Qb?wq8n)eNpkSy6B2`_g!kG5M8!a zE0szqn9?8I;qSRzUD2&9!qkZlb${xpC$nl#A|-9V{zGxJ{mSAh;nX85y74#_O)sK( zP3fO}gQChmPH{y+dK?i_i8fdBF^8Gn3`j4BZH384f7cZwpn9L}#~u@!{g|iIY0v7B zf3+X;ed(%`wxd)rK}Xit>Gbg8_jjtRsdT#U4W~}q9^Jj_m-bNDf3tUAVz5F9FSqC@ z`Ch*7_vxO-!EcA$ZN!vo(T&TJD95}w#y9_rtSq9)9xAkhhi6Wzp8Zx-FG*HzO-HBD z;vUt(%hL72xj!E12#-oJM$5tW&LVf@6_3N6=BT6M@}!|diQk*geZ7M7?E-7{7`>r0 zHNqsF=?hk$hRe|PccQsyJ$U}Z>Yk~Pe5zg(Ad^~d#RO{gE#~_~pe9J#lgWGDSAxqy z9vGhbEj>Aph`S?BaSa`k_GEJ9mo(h$6(Z&`6>=qm7MlCuW(GEwDRC8tmU7fA^XJq= z&Ke^p)t*CMS=<_LypNNM028~2=Ro^zaM@LoR)51k-E92zh-6K z5SBMu-_^fam#LFPUUHt4R{x&O)@-arIIPPw{<7$9UC*m(3#V&|x3%5QmKXl@0|>dX z*93Bq8%toU9b*o1V+oA4W6VKrEP=6hjJXw%8=KMat`8+AMV4voH6hEC*JWyALev#o zOU`BDJLbmDW#T*L#yttITMDfE&xYoX$BsL#Be7bq7kj7E13c00G^%No31G01#a!aG?DMGFXWa;YJLT+qE!$FW`%H!csNF?V=`zFhD zkSr5(nV8FzZ|9>puKg>->?kOV=!3aTBcO%J+K;)>XLi_zkQ+-Fa*!KKV5}Wu4sv4& zjJ0FTL2fL8v36(6nCoYmy63ys`a=8Dwq+ozZPr`bAV+fdJ1eDiE0)WeqfG&}Ury?R zGr_HC{@Omhw6>eb=@WAo9iN=EPgY*NV-H&#=w+uGpKqi^m`r@vTaSg4Ub;oXhkUh>Z-#2ag6sK#p8;Ngw(DBJ_CjP?rG|jE{SwmZ$duo{`dt5!4 z?wo9Fb?$haHlZ~(wxE(8vx~eTgw}BNL=Agt+qz6zn<=l$^f+6a$sVWAG2y0tzub5F zW+t#r2^>R^d)YSveMqNGdVx^^`Jh#%){vlxd#18XBy#fg+0Sw9Um<4uIh!NY{N|@has3MAN%)M02DugEUysYW;G_s{ z0hm3-BFFzB_QW)xu=ZnaHW2hWi1NnTgDt&rhQ%Ea#`1p8*`bM%ha8GXk)YBWuAU`qHmJ-P4k;fl(jX#$+T~;w5Jc8)4t078LrJ|Lv8st$$Q-#O-;I) zeQ!0*iS~Qa^hWk{SXy`XYkk?TZ5EvU%Gxb0IQzB8(&Q`Gw!XYO56TnAiHFgG2J@6KmW++f zQ~Ue>Pek6lJ>5N3*{1t zDW6%ZM$aFOM$do#=!I>1!3$h`L8RwB?>4399r?&Zw>(ujrE8>uS8gq@aw;^}5RnRA z`Bm5rDm1GiW9u5J=&Ae_*GPrtWGDTNoCMM!i$?hmkbXEPl}may83nKW2T4DdlgcF( znvNPLKQ<@93jS8f_+`>(kknpRr!URv{h?D=kG{59ClxtYlOdnhnEacZ%J?WRV^H{& zUrk0CqrJ+wo=tD1jIQ5nqL=MTb4j8vG6hpoeZTVd zBIBoWx|U8w#-HSLP1tziGi2;_oV$9I`KdGta6djabPmh}+quYKd z7d>uv{-Go-CpTN}HRVmJivPNr)RRf2|0T-ps^Wh+{mmD8acFzo5Q-w0nDp`rN2GH`=|erGC+s)KA_%I#gFXwS`%X zsJSZr-o1dVnNd=X9-&zzk#0z{s7g6NDCe|LF6x>3_GRjGS5Utwh~1kF^<&!rDVHqX zRVjDZ*SNTvr=ME7hOU^7gK~WbWU$;|xxNg{h^mb+3lS&l&d&asWr4q^WDhy5wT?t^#g!-wiA9e|(y z`ZdM(cOT?1cw=Pn-l$JNeFPy#kv*<Bad#PJpo7uR;G%K$lcj~Nj_5a&liJS%mB2#)h(sOxm` z$;AIA1%4kjZwEB*tqrl zfz2~CdC9j|)$VQ!UZujJL(}1jzvqBxwyx%=vVrSUKd5J~ZUtL=g}4=s?YE6Vx&2zK z&<~a?me_ATSZ==-EA)fqiY4}&50=}n#R~mkxnha^=7Z(-Yq3Hf88m#B2lLO zu8p^hUW@P$0_$V$=gQ@)Pu~ufXRusf`wo`-fVJGP2=^JkoK4Ay&_BLzL;pqD--mNs*>uFOyb+VK3A5 zmx3F`woA#43fcpI+5^oJ$zT0c;&V%o)v4UlXNa`le#>$zn{K7g?b`^0a{D$}W*96t zSgx-H`tl7vH(0K(1^V(0J~vpduLb(@4L)}hEVp{ml6MVznTEYg?}u;94SSiE_et$N z%G7rmI&eN+Ay)rm^vQwSVgC*MO4>iqE#qh}{DbB8Y4g@{`{cVNuZ6&e1p#omg{SQzI=nv4VLR`fxdjt6`$)qhSJyJ8*|qm z@OD^N&u&AM>Bgc=!(OK4nAF~*OxK?>??ktC#GXU*6*N6F_c?RYBT6nPBV7a~) z=*u_w++exB7U;`2_}pN*z82`qH~8FOxxN)F4NmyxVnLy7r*3r zt>sp&FsXjvk5)~mjy}44?RM(7w3x2n+M!SQvFj5YDE3*DtKPBd!+N0Bxe?*dm0PA8 z>Eprh4wma{*THgw<@#EnFW=yEgXQ{KpfBIxbA#pjTA(jq$LG2at%$oaqQT4MyXjU? zzwIA&TU@bnYq?utiQM-+kI9{nub8#E>d11(M%51Qf!jG!Rp*a#jH}%T@2YnJKXrlw z@Y8Qz3os~yH%0~@0QHk-@+3{Z6WO27qak~l8X`>`=f~;rPMt2^6USSQ>@*NR_ZS9EHbsg`@NYL8#zcF{k?xdSS{mQqs%B@~OKKI<% z%d~30F!ZOsZS_X8)u>&B1sN>Y+U3~`OO|_f@>@$WDA(8CD{r~y#vUK_S@dqE<$)l*Ev=s$}~Jfv;(w{!pdJ;clXhmTS+=RL-e-x%KKdJo*gvf zV7a~)9xOLluCE39@(n&WSgx-H`tl7vH(0K(1^V(mSA4Gf&`MtqByN;#xJTJTl&SBS z3{j>Vi85(tQbd}Dy-cwZbSLb!zF#-&W$Jf@ICNlxNkS+P8xAy(OPD`v2TI>Y_k!WR204VK%Vy%yAiuZ6&e1p#omg{SQzI+{@8=_46GGc4F)wA2Mm+8uP%w7MN#fRTzTHbt!M|Yi? z*k(7pdA)DU4S}3}ts$AXT}t{eA2g4Y+db$;ddeSSB|QySRV(jvy?b`hjDzL+T6nPB zV7a~)=*u_w++exB7U;`2_}pN*z82`q_gwM0?t>+L?LJsiy*b~3%QoDj?BN@8eaB?j z%QWm|>V9bzKkB;D9^*!f+1j13*NZY`j@8Sw2(NeuY(>BL^{W58dZXEDq+wlAyH(X| z|6!}=>J^?VcO`@6_GN*UAJ!FLg!g6aTSvV5!+Ps%v9Fef&ms0@t}gM)`&?Jql`0RG z>pL8SKSw^?{m-4cvS#{<@%1qV7b9^eJ#+JZ}7Rna(yk( zmv8X7!E${q(3kJI;&a`{Q2IJVnXW(J?Xa$%-G(UBjYXM;-(~6(lN#PJcl`z9TG-(o zbJw!Wv%}j(_}NKsJ;k70Uz-n>8!Xq?0)6=gpBpUK*8+X{2A>-&*Vh7l`39dGEZ5fp zefc^*w|dc%;Tv;($7J}%+>Lx=Zg|IB-zd|tm#NP}+*ySCupcasl-oVnCVERB_A>Q0 z-OBr1@17kr<6ybI79K1&Sgx-H`tl7vH(0K(1^V(0J~vpduLb(@Jy(3LZ$!g(Igp)C zLzJoSm<&;-8;LRvdzt!1nfk7g2hOKO__+}RTeY7V`cvO_;Dgh@fu(EfDq3#0f8P$2 zbBNFNHt&+p^_Fr#jDvE04f`CiT-sRr^83!|rB`$8zHx2otMa#m`fvU6!sdN%SS{fo z9wI*c*Nbv1r&?7V`sl1&8tJcS%J)e0*H(Ae^w(CoIlC3q4}H>di;J4|>r|JutE^uK zz0?fBiR4s>xFYQhDz32!R(cB|a( zfBM+I4si?kR^8`3tQ|Xa+)dEMh?-_GqONvE)b*Q6{p~-3%%ujGpHHP*w?Rm|)abUP z-i=Z(9Iis}x4xYT(QU3;TYfa*>3K=H(@WGmYcDHzgVamPz46JHuD0b?dRUj72sUTNl=Ox+z-n)>6f<+Q44)z`UIwcGIA+J9sQpX+P8!E%G;`dXkb-{5nD<@#En zFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pj zTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD z<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIx zbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb z-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{K zpfBIxbA#pjTA(lA;B$lJ`dXkbpL}k&FY^KO4X@PdZQzw(N}e094(x6J17ckLVLhOZ z->BGODd}y&mG`;cJv(T|!E${qJXr1n-Ez0^g#k-1q`3CW2!?l~_Z^cvA7Aljh-0H_ z=fuWn=SWqZKguz#b|1W}-Ua;B2@b$dzj-adpbXy6+s>atwuS6T_LERQNt5q{`t$#& zszz%&O&sUP>F`dSF5VNzTaN5Byg0qS43Oi}F(aVX+te?vogWKvbE&tHtK%H9x8L@@ zb`ioey3TRHFO}&|*lWG8=9O>5=XP5KSE+F5(DbKPNuMipX|JuT_I7XS`73F~ml7Lu zRV`=bR`JyO+@Rb(EihPap9X8m2g|jl*k@s|+&&G~k`I<^O|j3yV7Yx7tR){T*P3FV zg~4+BG+0aiT(MmDp%vx350+HlA1|>SqD+0qL{X-dO_~rZ9c5C?XcePOJFj?aJE(X@ z*Ev=s%G9d2Y6bb+5CZEnD$kY6SD(HeERTKeV4LVI-JkLA_GUj=#$f|OZ~LzNY#kQi zK4ZK3!`i1;w}59@N_rc4<$bPq&kmY#uv}jY50?8tw_Nw3mA(#9rt1%QJ0VujZdEnx zWg7M}t#$ktAMLv7fyAVSy-Xd0@2l7@C41qj_d;|NX}y|G7T@zj)16o4*O_g-(;} zsdm+-JHUVcroQpH>vy`-#I=eOBwSa>p^ti=d9O=!E$RD)@7Ido{Yl*>vW{1%dPTL% zu#fWIrL>g){d*-pS6gUXaO2ChedyCAwU9lUt~7Y!)m;fIR@NeXY1chkbZb#U+d68T z+ro|Z0m~HQbVMznQ95+!rLW6qwH&Pr@XXQzk{NC^Yt?HD&(QcsKHH7dQm1XdQx-z- zI4?+UP_@M}(p7CD^#sVrpS-8D&b8WIwdw0)ugY>`z(cS9Ul8DCbKAI>N~PA8qMhu3 zH`eHaTNY}QD;W~mOa9vyNg=D$DJ(!;x*IMpX%*56sN_KZ?MsAemCB`M16{+4A#=ZH z`&G*%O*-0Sct9rA551=)ltv3JTW}PFF!GudS1??s>e+w-B`>7Zt=2>d;N-u2Sw^+3 z7t*C1Z2T`ldsp1FxvfoCP8aawUkB#;?baS-DSuN^+j?8Lx>(Sk{QX8*y;jFiXTcLL zX!UMXM-5#WP8B?UZYhr?Wy8>I@~=LH8jY8hbE%_P6!QXeqq-Hj*Rq;af+nl?1#iyu zZaq}gIcwobLOHM7Qp zi>=i4C4S|mn_B7^yt`+#9e+~C-^P=*gaw?YE>}-*S0cNpQUA5dS++2(zPZ?K^y+1^ zTpg7auomSMl-4WM%ZTc){k~Ck#}c+35lG|G3k&e#L3l`OT+E_cxzzA65J=)3p39)6ajVewXR=^}jLq_yPPb)7$yY zr$?55^J$hYuAS-pF4LLjzqX^3#yFnFFb@1^r*)m08CByPiy_93x~^pER+Q#naU}!0 z)VNkl9d7mv>S@TX{|Y|0OPh;km&+Mp^y0KoW5158CPZb*Om&S6k}g%Ze07f39s({+uV{8rtudbrD+o(VS7f(*b@BUyF3YQ{ zzxz#Heq+b20?WifC>@vK%eAh!=+OeQ=yAxHgr6-47P1jKsgD1c&Ts{z8q(CvNpVRT zE@R1!TbxX{pf7xjysJ-^QjoCK^HOmxK^EpyRsZ%g!mbjxBy!16%a|q6H@Sj>Kl=|P z)2>w7CGWac^ujHRVwN>8U>R4-PbU==Qi>pfI0~jMr7PIlx*6X0g&lNTlolaqxzrM< z(6$sbZ550lo#d7}b&4HoA(Bo4MnPpthyE@FlEf8}zTE33IwNucbZIqAWfGvZO}EsX zz0?p+qKiLEET_znRM%-qfUETr!aW1iDtZ-^>6cDRVy#V}OK+Emqq4+O@DnM?zx3ok z{lOm;3>FZ_gxgro*^Oi>t_9`RDT~Yo%xxE>1lfqd3ry^}0q5ELAxq! zr(|#-L=Xz7&Jq90auR+47ck>s%?~kv62O!>WWPm9)juxF6c!2{7g&`#uu>$?F1bt^ zZMVu5@+G1cy9Di2L{Nx6(%*4VAFm>-9a0z;Xq8&WT7V_fwIX^!)M=06BDItOn#`3a zSB(1o|7fAI#7wglbI%wIl}yCERXJNKo`z|a`iyx!n<=hfWRQcX<)r1mHaC*8v{Hy+ z6)=HHZYs@P6+kIf)&KZCDuj0g5V_d|kB2va6anPcNV)4aS@;|7hGHB+fwGf7|Ivyv&35kCn09{s`_hAV zl}ONxAMUP+1l8w9)uji=69PoDr|#HT&%ftAGdNQ#0Cl8_xY3B85H~eHA-*xq)RXvS z@gjTj)Qh^mEH2p-|N1xJcOFfX&*ihP<=ZAU%i;|`BK~;(W%06?>3&gvg9bR#Qk1C^3DSrbSim=AFf z;^zgi;4C2LrDC#_iJhl~au6Ll^qcR_?Pa2pWeGW}bKptUR#VDd)t5OeCxfIe|4G#V zsb-4K$=9((UHGj($<4XVSR0~wR_Re_lGPzA4qA+rFa$FF4*#PpX<*g_T9-6_#59-Rd4EuqG-HM znNZSlF=wK8Q755`C8=LYl1T;5hq5`x6{!<95keEV!LO>`dJ;jv#x&kXvGp)5qGc7KfG2w{qhknUl{SO1>W+&@g?J3sCS{> zg?jgR z&>EGc+-Mi-OHg03axL}5UAcn#V@A85{O3OY(%PZzt5c759$(wQDjV+j38)*WE2vTK zwRba=8r0nwQnL4Jx^h@!3U*YIKjx{c;>gwZWNev&EwlifcNXH7pi_{9Xd~9X+*az4 zMDhFost7Zw@)AiG+G}i=CDy@0EsXA=aw=fu3uwW*mF_y)BHBU`&;s6Z2}uQ7#FpG0 zTo^8_Qix0Y&Z7cK1>My@BL!Q&%`}ln(!u~&Tp@&g%iq%(k~S@r5wNJxqPwJ5LoeeO zY${z{;4)3O_BoC+!Bws+6xHvAQpE}dVyv1`W9H%!!c~|g$YotjDCy*)puT?zbRolB zlAFW>hTKI}NpCzBc|I2n^1xWU*bL`XM2kEe$c!yp5EfyDTFQ4x3Ov&(TcArLsj9w; zfVF5l?9k<>0|RZAa#fWKsVWwk&}tXks)@ENN}j+&1eka@(enrhCkirrD%SF~wQITb z-ml7}(w+azx|A(uGqf3_woAFoXlT~ZG(jdsTJkJH5=f<1s5_u{p9-}4fEn73`Qa$@ zioIpEt=yIwDYd9*XHnI2V@{~+P)w^zs06`QHA@NOrNkx3rJzyd%R*`xy>+ z3<`zzR$RngeWHy3a$Xu#S`PK_iEspWjLu{uE_9C$BCK6cD5vVwKT>;2(GJh-QH^sb z-Kv1NJPjl`2X$oRV5f8LpXfypd>tJOX{CuE@h1Wk8N;b~z$e@Wrft$?SBqpw7Y__5 zRytke;0Suw7NbE$f}0aW)(;d!luhLv#$w783N}VcL{Q!REv4M{S%6Nmbk*AqQqX_S z7Nkg&*~vs2sgx`ocxEi!OSX6gG6tteIFnrxCScVT$qw9td+m z0Yfp7Fh3gDyQBg|n~4G#OMs3)B#J|l=UJ%e8xF8@i3*~xej^|iClex@j?O8TNR{Y^ zql$`N65P_xE)SnXGoWdmu$jYzQV(k6(mC~mqW6JmxGBp4i09FDLSmLhWK*&s+^U<| zR`vL?x=C}Y3^K7z$3cl5PG0$u)>0u$+OPmc)ugPF08&+ANv1#nayP8bD6*-x1a`Pn z%0Vlg)LUt*BrB`i`V+NfZ*9%zTQygQ)XY(nnv@e7<*IMcuYapuM;|FNNU9Rq%(j(D zCU0QhA*QE!h5d;Lk7NUy)0oX_M>aKhGHPA#Dh{e77L+6$MPF=1Ddngs;sJ1;zu`y& zPnBkMqD~yvduqKIL&F!1m*f=B4Wbizxx=G&G96^*Kopn4JX7ZcOSgdVaBH-R@uJS z@HJze2geh?sqjVB?CGQBEr|Jbhoi|;YeY7`zI_WK54<&T(C&K;iJF#oCQfo3l-+iT zsHud^y?^dS)r81q^-q3}$E&rSX3R0-VL9bD6*d>|(Q|6VDizse51rm?IAed?PV?~E z-QknAm}yOGW95B^|G zY*(oq*_6de*q^>pN<0v@T$+JwhYhMpJ)TfwM^iE-aq2**E7opApJ7luud3EL7~Vuf*%kmI zF<*nz)npw)R>~CfoLe%L-+2$4fD4Q~dhU*EPSfBx8$(2>U4|oaNFqjnD3!~(SkCp3 zrjB?qv5G+~(F7HRF>e$6$QJ(48y*xiCv${9`NJjxuY8O|Kr^fYRla;%Mk{}c*;2*Q zDd%%5J|#(I*p!jTb?G-Me9Hpn!Y6B&CZU|*wczLeR%q?!Gou7V%TPIKpk+mvohLHG zR0SurQaFd0MH$^R!t$hsx$0`%^_Q9kJ@V!<)D^#xGNlj`rhDN{1p#5hA2H>mzmCWUrxNIXg@ z*lCUuOQ$&~D|cJ@)LPoDN%9qt)cS-*n0dtN8p`VY<)6k$3Piu6%-Q!;pmITXL|b6g#<5tutQw48WRg;1Jt@Gq`N zl=$bl$Xd+EElwApBnoDB^c)HG!gp7T7tzwNUiiLm^o*Ag3#23JY!f&a#5yEAihLj5Yk9yGf|0# z7IpWjqt_f7+=Mm)XnHwO@)NYM97=^E%8RO>U@`@BDpgIQ#=(F101gKDL;WpHZY-$E zVQ2%lv$fhhLFYdTJgp?=e;5c^Jfuss?{#>12U0YnofvRG1xYh4$;h`?R-~Ym9#K># z=`l{!KI6wkCT(DZ+6>@*t(Q4Ot2(q)8qXT4(XI*_fkdHAqRwNfBFAEGE)f}*Of3$l z8T}3)g6jwWonR7@lZZM)N)1TnZ1bSS6lsZ9;6i$Zn=V=?1X=MArLhTw`Vz3J`bfU; zO~r$1C_5gQ626$JsZuEPYP^UKSOM7UB&-08UeLP9ZqvqRl_-NUaWWi*s_N{=a;mv5 zgh(!{vuQOJ6%$t`oPe8eC5lHf}T;1|D-xytgva>BJm($G^kcUnjCWnv3m6WU{Ylz>JR zH<~yDlxkW*eeKWB&g!2WQX(N%7R4wCOVaJ7C2*4Z@jO9cmO#FXa0I$Axpm#Et0}sv zirF+v>d{z=sAC+S1$BbgF(F70!A&bFNM|I)?UslkSIvAviQi&$&tsK&)PS)OttR|D zsrMKkxJl;K$9*dX8rSRVQ=&{rBd^Bmv&nRFcRd9&n~Y8>zS3MiM&w|=HLvGeP0g@w zZ7PDG*xy{)16$-PR5ZIi7%%> z?lP|B{d2g10EFdsk0p&aGmGJ7>ZLLL!%^n-H~%U*BRTf>T^a51Xk3rD!cI{R7#TXu zQNYU4%gJnOE~kNu?QS?yd4iXCx> zMLmI9;K>y+Debn)-{~9^e5!IxDo2?nPu+o_wNyRzdc~v?yX`C_N13X39pdzS>2pjKS!;+po)Daw?0+bM!Ylu6O6<}xv<6NH|iIs;IVrVEN-oqq)* zKw>#b-Vvt}(WntLVlRo7VWpZ#khic#m2*q|(mD4M>=_|Gp-qWY zN};A?hQ=yE%hDZ6HpnuOs-a1me8qNE?e4hD;ia0 z1MyN8GWjg3G&xRLp(t)uvaD8%sB>=MO)%v_lBj@@Qx@IiRMr3L=Ab| zV8$4V!~Z(bX|Fz>S1IH%0FA&xOeVj|XJ3M2EV3{eN^8p6asWLVYM-(VuL6PMNZjuw z1wTo1k-C&mb8^{z&S843Pm?@q0&tUR74d2@%IA{QGBv~e|3G6|rV6|>^ zA!-*H(Pti(#ZC&KB-roNl>(BILinV9qn7(Gg$fyFGYwRU(ueZZD$PU>6S^u`p4;1i zE(ZXTgt-}3F%w6d2*nsK&qYE)iKHB03gy7mlWj2WV^&clq$V=VRd3T=f+aglLc$}j z6k1c&NtwEpJgT|f0ERk2=E3S1LE{k#D)|eUCSOiTHB~7%+1E<3p^(5UC7;Epk$(Oc zQqZ+P?jRzmh6_y-6_shj9_!{r0Z=Vj8aC!%4-45UqOxcx8Ikbp1ThA^KdvaCMUg}y zLnqlULAyN^5J@hQi!uc{}v)I(rSAY?^uj5(=bQYJ-$M6eBRm60KH2|FVO9G@cRFlg8x zjL`@VHMXg$QSpRnLvvp>lA)ktb3!AG}AzlIc?9ku?F=d%(kxmb0a3(i9)KsO3-&y;b1BC!<`Qj0jmsz-Y|#F8MqwNSTg8e9DS#i)af4 zGJ8yrbVgvx$(eMo&v1tBhkphT$#Pr>V;H!AM%DNzy3qpF6mRv_)KZch&*7vR_a5=D zxlR8K+)nC>(4<@pIRd8YFC8;xal%|)S+Ge0YE=^z72F`MV>IHUKo$e7AZaw25;8%x5!d;IFyBQ;lpa5) z>-DteF?UX{R7Z6lwH5q?Bb^c1_Su?|!gAZLYf(fqya#2a;yGHW=I1!cZgfaf=l3xfRae#+4MNWYqz28Gt&5m!4y@P1$9;4qxGA`V|b*`SDnxs!TbLzsG3)Po@CAw{%oUN~8C<0q2 zk5AXf`WsD;ZyYCHgXqz8e3EDa2~^W%}iM%Z>f8E>9^WtAJ$L_XyFPX1?DpA*K5X+FdB_y30GC-onPEpIA%!PG@x= zF(cwe5oOwpy-bH|zUsLRwKpvxn{R+7_DS7FlYkv%s-HaZ+R>9owyP(PZdZ?g3G?jO zotyeSFusXHlL(7LZ=N`OAK#?>a`rO4jJOe@A$?8g ziI=?&$}g@rp-#Vc;&k(mUv{3oO!u)n={(dA*3AzAy!Zuo*H5gy?h`M+?CuMVf=Q44 zHxa@5p(8t|-g9*4!f$@a&ZRGS;+_i^{?#XMH$Qabq56l8+`svrqo+RWd0vnhCEZ4{ z(M=_WEbnE?W!3;kf|!C{0=0UTy-cQ$y-fK+0)&|G?wYPsa~6-p0w&pB&|29nFm5_Q zttgW{1rT*pa~t~8UsY8XFX_fdYnc}vu-tFX!TRGkF>yqJTGsMugZcNb} z8aK)mqeKS<2BZ^{Pq#bUIuccK>7g`fw&zfsNLQQff~{Q1FI+#gi%q3`|8Aw>D9q7B42EH9 z5z~;o49XM+38Ewg5+LcoT=#Y3MQ^RDU9L)k!>tRQu9F+8k(_JnUlSSV3dr| zDFg?Kb9}lZs79XQsH-!|O(v)*w>?f2=NMM@2{d|q*r&hP6rjS z{AWG4HkKK*78><(#wK<=4_yH|w@`J({Y`Wr`W-|PWi#ZlR=-p?tW~X4je(+*e(mc@ z#Wza{8__}nXgaK+Iw#-5;lWvY3Nwj11aePOnt?pGPc%!+uqhRfh}bxo`YVLMAh%|wmIInV zs!@=Rrp>lMViDnKZ5MC{MJ+``JOx$Uvw*oX0xb$!TOj8Q(5-A4?IozL-pU7avWF!? zBiGN3$lDl_1gOE379iA^B6)ZYA8T9oMiMioNS2iJtU;+UrL}~i37qI~ha`r%PN7}` zx@`)b;Hm%jcW0DH!18e85+|t{V$Goe*N94IT{*-q7gfT%i426wfpLpoOQ{2lt4~m* zBuJ)wKULYhhgFw35@YOTdj8o>m3lT7|SNG zBu^4_o1+3o)>D~`1y_QEhSWL<>O`gk4A~h9+jIxYkN6P*!$Bz_B-sd{w0b4MA|(xH zK$6@(teP?`8Z$*$1OeIZqUaQ}y*#22wMl&O%l{tSS`k&`aMeC|o_Hh?6K zhNn?Jfz_a?DnnsMTGONxAmUHClBlwlq(JdOdudD+@}_kh#y;B86b^@o%znO4}}JG5Ch>Xn_=Xh?4+JW5H5_rDEcH6N-|abV;Es z<~p%9Z*Y4sr$QoP7ITZG2U<=5(cZ!+wYnG%POy@Yk?EW#Di0lxwjjwUvM5P2$<4Kd z&Pv=abVO#f?4nLVLY|XX5S6{W7U;YbN?rg-fa0TPwkhBF=Yez=VQGMugd!TM&@BNr zFlLHsq`>(mJ*iGRDFan?3Ytpg#rR$IgIQJ}N{ZkFR67-0G zoK-GqzMi4FU7gi8NoZ3^g0$4nWdcZ%relIS5HMzJxuP)J7_$tsUa(@&a55*^2B?G! z`e6Z&OIwI(bYY0O%9a+SYGRs)R!DT)B9gc!=yYKl07afUCU4{MK*b=Tkhlq$GYMjf zPU`6>VZmw>XP5u;HQoe$=na)3dGqyZz99h{3H|Yjxmwq(;h^LSEV&&8+oS*&3SDqa zY$Ej|v6$%MwS6vaZU4$cpxL5yk|5i0I<}bzA64rcbf($9KzIiu%pW>+q2iP3M6`&Nkq%Af#J{%KK{H<`^r78J z<2f6xh?P_u8w5+#g^l&u`bjOpW1=B*A}Y;E?YH8m$VMmECnF*xTh!R8LzG3@X?GH- z_Rc4E6dB5X8zL2eQ=!I~*w{w;EbV-nYFD7{EN9=# zjxp}{k*D25e7}y*J)Lu6uh9cqBPHHD!Deqd!T4+MRy8|!YP)I9eVQH#W?N^rPyGr` ziHRHU+#)`A^5kqxcy5dJk6_pO)+RmPIIi7JgrAs^o15I^&Dg|-`g*;k>lnc#1;OT9=z&%6Jt@*KP3HKfj3pZF5@X=$h;F{oJe@kT_0XBKXQbRi zXHWfUVkLxo?mV$k@8BnVV{UxDsviF0yQ+(~SJhK5XD^d~3+%SBw>S|ux_#8-C{z93 zBh}1cz5{oArI?gjFP@w5kohtJ%GceN5UXbtKJ*GU@l}Gj;PM zzccpJd>ikbQ2+LSS5=Q4xwF|gad(~Aoz$@3XyY;V8?ifS<1I(|RrsSj^>@8|r@l-i z=w(lUc=!mRrxO5=oZq~B^j-k>G#lEXB!~);t}>Tfq*)G zXYAJy^N6YMZY2Ad5RV?&2Dp9U;*oP>8vQ=jIZb+bfi8_IYf)3&mc2}in~vRMB@zT0 z5|$O_fcTttw3jJS6lfB0BUN?GC7Y>#1$9t@4{E4P4Vcw5eLU5>cYSH6B(brWb9WOr zJ~|im#L3;tgu4=79YPH&>J@?sAsNyFt|V$|l1mAQaGD6HDzG3vC_7=5x!2_C6jv`Z zRIhxrsxH03XaLMDPMm4fdtRu9o}%D7MW5)5S%aT?JT*$W1QSo9wihUCTt%1JPvT4en@KLf&dm&#ns~ zo~n;5eTNJTM3OQ^9fcjCknJp%Q%oy}lG=loru@jkZL(?1tRVphjWXPTp>JFijrqRw zCjuwDOwYf>ktb;_lTKq?6W zwUT5hsj7D5KGTLg2^N#t-BR^?e6xuLYAOV<5a@$Z5^I)P5m@p$%{6-jbe)9*wD8(` zK+-6y8^R`uQ#({BDVG_y&FNuF0L}@Rz$FnNzJV5MV3X?mKeMWKcg3K?h)`VJQdOSgcdXKfL5as|aGenbTAj4GpiX81D6c>C zeF}krwQNY~uWCZ86%LsrZBSGKl+>bR;=CL)9>3slO7to>th)F^Kea&rf==fwT;llW z$Vrnocp4t`UibH{8xSs3QDh;LERp*Zis!RfJ+S`ziwzoHBT_VbMk)#^w;@Btpe*!B zI#EfekV-e|>UrN1;tCl$Q1ooj_A1GnYSiqANoOX7jA<#hH$`)EJ=V(7)uwoRw~c5? zXX^`d;SeN=v{?Vczf84kjcCO!7B9jXQ;Z@XLGV-`vn3T9@hk(=ak0eoZjc;}a*k$c z3RR?ykEl{pV&!O(l#*KF4eg9e)qQUli7U|+ieY`j++s?ZhpFkC?SwMGIAx4895aDI zSdk|ZmAiGpx~X(COY9O)>TgkXL6N&j>tUl-4i`WrQ%13HQ4`caugj12x-4HrUKG0S|+AFwIU*h&B;3Ik`SZ1Yr~m#qcOs)!*<_t^f;XwWtD`D$0a{ zO$nII>!k1sniHN%ZzSxB0%LZUX&VnWXq2a;`c6+)jnMjW$Q~zhLBwf;Hpi2u*`RN< z&R6I*Hk;{Ybzj2{tjY0IQ6{$ZCc-OLPY#4KTYR94)ikI&otFDZa|WFd6g!`ST0tGQ z7(tEg6$kzKFM?)$s!l29Gn-N-R)kX88%htx(~~t3vW-omLK_sdnk0{e=qD-&mOLqj6^!xORNtrsLOf#ZP7XY5+xB&IpM}I+P#&NkXn&uB+ zSUqrv9mS1e@zf2z_Zx{aaZK2S2!n4=f*QN-XlZcD)QhSfh=Mu@EL&&}$yNj_MOD7Y z(&?MDm;9-!+I<-7L1=$qjq^1q)?gU)2|c2<>}fHSGrK@ZpRH;h2~FZUlfb7(y0(s%;N-HD+meJ#G&O@vJV~l&T^ZshC`&RC0#kplBiN?s6;@?%4>Z!CO#wq zDS9B$Zq|ir2avT=%keJ}Qcu)c)TN!2%c^|icZ;1G%Ee5=LS9B#n4t+B10xcvz;YsS z2t|&Fq?tQoyl{8>Pqg`R_I+;(jFaC6aG9?KN1BU0BqYHA{yF&{N2zN_(~FU=jZ1+)!? zesT+rY9iGYwpa-fIHE7M%7x9VlZDC7x(=#;3|F}+mXYNG{#dPb0ca!^4VstZfV(@1P-*Dz(*9Qksk6poVOt3DX8dSX+B9=JBa-*oG4UB4iTo{NDBt}Z33Eb z4}+PyZltb`vSF1+7Jr7XE3pTMpG06(9DB`ZOWH0gkL*+?7G zEOR6Sspol!azT}EPUWkJ&YWsP#U!DUY43AU5U)kaW)=m+P?nDA`uZz>7f@Em_R)qu zH6TOzhO?N9x}6;xNuD&2xG6iBX@Hp^peB=YFRLCf0o|}f-fz8=U`gC_T_3oJw4t0;JPvqhi>hzBaM=~gioFOwv$|(RTJ`FJs4Z3Tv-eo~94MQ~t zBLl;+6{2x^?!mKiy0(hM*D-RE448ZJ2XOE&<69wH!kYQQBui=a35YXm2bn;cIZlwY z$>l_~3v97%0R;<*JyZhWNFqR$L>GSckSZQ6*#d&BhroO}#1{mYbs|Z7Tof^C+sa2V z926*$wfCe=Duz%>$!yxU6KcfN5iO4#$z&#>SXZymH-n)Bj8L^Fb7-$)8N*^cp~TD$ ze)cp$w50GI4I5l_2{RR?#w9^4*H}*007w8ciY*_)3nwp7o~jSo%+-YeT?(Ac$4Sl6 zIuEb>w2HsIXJ(NFsXqY{1(Kzvry9s4f|UDAqgr4a@aO@`cu7xL3^1Cql3+<&=X93D zrBw9OT1?`Z%V=FT&^Fc^XjBG~Gd9HV;Fj`ui6PM#no63ynmpy}XG*~A5PPG(m+Cta~>ya9`r2~`bf!HTg}jtWq1%3px3`>2vB;Vf1bgO z$_33L!?@Y(T=Kq75=~q?D0TeLHd)ZgHX1S-;oy|$@m$4DN|b}B15^WE$-jfozI27x z^z(PrV455zj$JL(EfP&%FgDy)RU}=y{(C`GWG8LG}xg2m7 zK{O-3^Ph%$E?iD3rI+~=9M2GxVlHSQ^>;17N*Si(n6Fc5t(9TJAMPg~;0Alu7oG_L?+}J5P+Fde7g666!LgD4>jt zA}~KSq~_G1TUI=nDmT0o7$u0!oVZE=4_+fluYL!(poE9(E`kpmEK}7U~ z9dwQtq3Swn;%R)lkDHSzRl--atzct=RBdId*h{4`V}BI8i{><~4Oj{3`gR!98r3Ga z2;?+u$C6bU-Mad@uhn?4uPbrijoC4sd~S3vzaB--XwC?UO)nBR;^&%#XBQJ&tmqrJ zgEJWQwaFcg3xEl`!1$%4UA{4={X*CdJCgX&g)bhW%@#jsG(Yd*ouK5_jJVAkP9&UN zZ7X256|(s;B)%v_%mlmfNxm${5aRh#17paeCW<4DM||}VtrRN5-_`{oq=lsQDZ8#T zTZl;M2e8KAun>ciFp73)VMn4`5tHIKs@TcJRKQ!ctYFD4S&AWKCeCKW5gB|(nUDbR zb-z(nw~s3JGF3b1dH!%UVq?)RztDa0!+A?i{4P`d^f&5TZKF#M)+7He(}^@=canZ- zseXWP(icOG5TZ=HMk?j+z*W1%q%JGUMBM0S6i#}%e=Gdb$K6%GA*d5krur$qUzhhX z5tFK(JaN24nX0edqCo6Uns|4T_8UE>xRE14ud17ei7XKw zYIcvjZv0&!Hne3a$BhU<-Q7l+?qKIr^90c=qD=M0Bd=rU)9KjDq@Q>C&xjE9<(Kc& z-<$U`0XWNErVCIj%5?fmkLTIVZ0G4y(*UQ_`0tGMyG$hc=R{Gai)-4x>?)-G2g3>i zmv=s?%)hA1&L;^-EW5;wgrTS_#ZHsmRP{`4l+dY_{rP{Bx^(cu!$PA;t|gIH)RsO# zl*TG*)nG>W7KIf+Ji2=MHb6xs9u#v)ifGA7AP^X6)?H*Q%843uKKT-&3e=KbS6}`w zs%m#v9AdFnTEeMEtA4HmW98y@iK1?dq7f~{LWH>vcmg~+(bHZ-al;<4R?jGuW~k+ulni&ZR4CEfDd7TzQrumhx_aSH zP?uftUSSF1a0{uy<^DsX8uxn;B@y_;6)MWr0MnmOb|h2dJx6uR5C8PXTY@k|V7WMo zOhr#qK4al32&*n%Nk~rYX$p=l0LS>=SCOc;Tw~J7m16MF4j1)oreV!n>r!Y_sFQg? zCJPY_1*@uaNY>fQmFjd$`UHSHs9gxo`u_hLAO(GgKv) z1o;q`mCyk*I;5DZHka-;q5wI(KI@cpYQQEWST8+O>sc*xK=2EXKtl@gC2MnImT^(j zIWI0Pv*eJBiegtzhU_PM#a~=dXC;;!TQt>{g08JD(Faa47gUQD_F4M=?ZUt=`7$hk z>K#6Kj0?Y8x%9?0UHjW66MLJIr>@agQ15=Ds27Tc?9x)Tpf+eX%P#nq`hWh60%G)+ z*9oge1G$Y$+>$YnuIU7|kQrNUhKsbKT0v*o1s&ZkHFvwMP{`E3d?A2%Wy}dlAi8w>4`FrArOYDpq>s8yBZPTxD!pZ9ITN0NSFHrIFjdAG#72}y{ygq5YS zo(X*_)ETt9<~;~4K4iDmwl4u$)-L)E=Jy{px@|>;wh~4A88GD?)Dm5^5$7yIgStfC zrP*8gt}_cdiz=d9aA~0ALS!OOhYp;g{j39O#q=pW@~LrY@_6dXqM=gozP&_Hw=a@& z)5Vz#WZZY@%4oT#}zSd5UQWZ6*(>Z*Exuk)2X`?{I3 zSdjW?Liamn6rVm~CuwBSM(m1#gc8;^?f{|JCrofU@thhx@l{UyRr;&XWC%?%3)oIc~(C!;ai+f8pWRdda2+0RYy?u(b(Bz-Kw>qR+=jK#;b#DHA zP4&rrHsONWgg2FXyH#%YKYgs5+{dZq-4oCLsIL(D*p)vMeFc8Gy1%CON4?yVYya^l zHLQqPNb0zoNERb%21c|?-5F7%pGj?SKJAnGefPk((-jcUr!9omx(%XRmzvg=8oUbi zqSqpQ7V3q=RgnIjN3mSDxoU0cQHZ(f$DX1r&%<46o`;v4dnR>Pxo4$bQtpkv_1UX! zxs@K)?i#1jTN)?oU6+%YJL7b-sk@_!>_7fmPOF-J>g1|whF*hmeFtZ-++exB7U;`2 z_}pN*z82`qH~8FOxxNo!+(+oRx{L3ZD(ZibYr%CLp6irFjhliDBHz9|FIZT7~M zd-*@?%DY~47+#!o_n6gYnTQ#B4{ zekYCa`M0Vel0Xy3?>}2+c^R2Fax*EpEaD(>v+3pEAh5*t`@QOO#)lmY&MZ3CKCy-9WSw}WPnXEpTfDO2r@_&Va= z{pO5ZNLrMh!MB9Ul_l7M;~L-v!5&JV@J&}I+Jo#pkX&8%o}nM1UyD45bTd?c>EGI) zW_xRMZ&c6P@+-gjSxK%#aFdj{RtxW5ylSRPa;;W7@J`XIMVGxTcZpiyo(sSBx=gC| zOz+Rpa?8)|=Qjc9wF0c4Aj?Yt$VC;cf>9w}-6XESDAS6kckTqa%0RW;@k{>_;1!YF zFP1T<#aaU|ikx2hmm!cabNeMf5<#1O3l56-wFj9fmgK?^Y=t|kpD7!Ic`=Y!Xfe~G zT9ovf0=21=R^WnH7XpYDANHGpMg*GKM!c4fs}>g_3E+i2yv5RHD4pfiM&i-Q1#-V@ z2qIzlPnKi5&Cw1hZ{rJcsR{*eQ7Fts6%B~~B~vg=`qCGM$V}>&jEgx~0vf964b)=P z;Y2|gU4Anx-onsQ37Rp5LF$J%m}x`k#>hAYc~Sfm^)t}|i79f|<09K(v$hM#Zo)4m ziC!)oQIcit4sWm-At(hYXcoO$%7(Iz!IT0}RX_85$QVG#O@tiD4$CsGDCzfDMUScK zjTZ58PR1P5^EO6-1m*3G?w=9kq z3w}>%buAmtlEIoyD0fo5luYYE8EO*a`KOTRQOLFMuQ`JZwJfuNw+_NY!88^`9cW4T84LoLjuw<> z9!e(d(2Gx6Dk+l_EYOYNDCZR8ruPW`gO>u3XkLs8wH7P}Mw)tjvbK7+3jnNWiDY`Q zs3wHrSv3oge27eEkD`boc1ewd786_oO?bblG>jRNU$7!p4c@Hii>0{m_IQ*oJ-7y=j8Ejhg zW<{Yc7jf5$exiXyRf;3t>PpE8DF1#dj_Qaos;uv5JkfjaSV)4toI`+spL$wstw6Po zTJg|DV{$ENB^5{`-qsth+BAm@;vp@UFJ^<7IP^YH-q@Qbm3XOBm7th2f)(TP8d_I7 z4`z(^7@(#@$4qcD6f{0Es zWlnE*=@yl@R@*3&2uP~QHIY(rJphu;E`!J+bjeTXlte&b&I_iIq`R6_ zf7kCzN7vC#TJUaat;o#TDX(Y;V)5vu=4pkOY?A}Wd35K@>$BBaK;%!1G z(Y6h-DZ1!BNhXo4puw-Cos7oI%6a2(X&e~$2@~B`pyFi0+s#Oui2T0&1Lu$931 zx=~Gjm*>{WY>be}95K8leKcNQhjDTzuS-WK-}IyIrNg|un|GsEypNn$Y|oOcH|0X7 zwnx?7Gww}ly&aubm`_I+p&qaE@^4-gzK$0(nxpForXw)kIo7D?b=-PCd2{JVsv6#? zjv*&=MzP`j=e&Bmx%|)QI`3a!*AUY0DTwVJ8G`_HlS`Z|uo`0yHf zCi)lxz?##HH~(Qdy-R(ak>$PWV@cuaOj*jww-|bjP(7RT7IojyNjbeseQRd-LAe)y z@Q+s&uQcDeV{@`|q^=*}W##9`v)ugv6`N&Wn^zVzUo z6JCNo;l1k>)RU)Qe_OpXPRO3@9vky+^zryfguL@;s!x0}KDV~hj5%h!8~x;A-e3O6 ztLx2+ud32pj_foS0bD+OZ~gcSPG359@iRfL?QC9LJKbDbdtm%HZ&KfQna-bl8@SJhARR{7msbkWkHYna=njtlv2 z$alqY$@c06O3sB~>#2g4KB*}IW*$T@XL=(KqQWQn=!naeNPW%kx-Z^;1C~HpA zh`rAP8j~Wz4=y9zzVEIA$)ri*r#j&|HltLL56RMYftVWt`0mmQB@H)NF7R-AKGa|N zPDzTP04q6Otim(0!2stuqwbr=f<()KNH0ZEOTcIs^C$9{p}MiQ6BwsEI@L*fjF#`E zXt*7Kn4PzMFcf(n0*Q42t7(~#@xr^giHnxi4-JQ%+})#J)X^dvDXB@>P04oBB#DaT zaYO~tB#~OfG)4-Lq<#sMS}pz=WG zXA-J{sgER`mqdo<0;3&TX)*3ND~Q)WAV!ka4KV@8=g>DgV0&2+(Z>6A z-0xf#iHABmENB80trUv`H0QUyFE{3D2$KMkx|zAi)Rsq%vu#*V79-8YnHf)U(TGuC#gg*}9E3qF-u8-jEtD2aiE zB0^%)Etq&j2m)y~FJOyGU#B9tU=Lw5`NR@V^qC?a;yZ>#?g^-ONYKlWK_K>36 z3;Gm3sh|O?HDqWf)fB@>DIXB3IJX2XDfQ%!{x^u6K$6@}>4+gM*wCO_AeKr-7F!{! z%oB5#iiW~T`bkBn6gm~0V3Ty@kFr80>7KfDSJK4P32LA!hC$~S2$OINOw^rWy^|Djd7wXCmt+z3v zv>Zbx&qG9*2Tz+x#eCzyV>*xR5ZKe?ZFBy4&^JgYJ_q|Jf63fZN(Mpz@*KROD%J2| zYby;XIOw4!18nipF?EptGMb(gvYS+u>E(0dxS=3?K!wDo4|7OJ3BpE&7&d@uR{iUr zmD$(p>2xv|$CLmBD+YHpJ0e92e^T(_BZL>UZV(NrDJ7^;9nd+JMhfH*)gX2;8r_LZLLN-lN!qHb#}$X0ZOxmsl_rVn1aTrpcyjVj zkUF+<7+m3&b)q$j5;?Xy9`iV;&PXE}&e5S6(V2isIohW|dZO?q4mEPf2Gx+a4o=F| zj7YssBxOvHMj<8zVlc#Hp0>7#kSNvjdX93mt`9TPq?vswft)Fks!?*kX^Qv?dfX-L zG{W5epzFg&Wi1Sa)OBo<>{S|YLP^#7rxQ`d6bkd8=H%g{SQ#nfneMR1>vPQkxFrqp z9Ze0oK}>fxY36S_k{TpAyv0Bh%Ttu8X=bwz{cy;Lr+LJ%9BSK0l8SPuL>r2Jks+FK zoibV&QIG#+Y!@?7c^8Q4?hnI7gXM z_4J#M1=!T}lSej2Im$HowLe=`7uW9H9If5mjEEbh!*`C;#n0rpXO!M@^seUO+Fj$v z4(}+S^zh3r-m^|5=uYBBJ4A!d0~GbE0KR%kOzO_&5#mvg9X>t2`~p*hJR%WyH*bI% zF@&A&nQb^Gb(9F!QDRa@@2)R@$j;-(9=>PRJbL6@bMeS2j&tM7FF$=czX}>-GXFFM zOWI+=YTPt<{O_*S%W)$`nF?)Pn;OS*nq_KLpur6x#iWfx%!RsMc1qn!; zlylNj73`^=yatcjy{Lwid~uc#5+@4~O!N`}tOO;1bo8d?q|2a}71ea>28V8R)U1S9 zqBsSY$W)aFYEQ4Mhe+p#4*iq&ijgDkwN!+UX{s|xn#x)_oJ1_b-*Q#`vcHA=}Mk0C#y;8JSk99oci2`%zU}U zY$y;OG4}{q{#ehnuv$MsrJF8=Bn1X^*6pQAB$QA;Ce^omL{(iXw-X?c=!VRgNds)S zbmDNP6KO#y8@n4B6JC_{F4VGJBq8LEIYHn%7DgkiTYcEiy%=0(^dO-ewt_JOv$ELA zfKhdugyj|?vJp9{NlgVeUG9cGJtsuH1FH7T9J1k>dr0#@W;c=i80jy5he}{QdQn7x znvnuLCsV8GVY1Q`=|T?M*0T*E?V(l+8QDR#^9Fs@s>Y4XL+VDT=yUgI1tVFthQ>^+ z57pcV)#E>}-T)TT8S_-1Xa-1NwHGJuP^7WDZ?}N)|8MX5LoK_m@_ECh+{9=4W|)rC zLBnmB=xdEQL1JO(Plv zWkwxAp-Ed3ivMUL+CS1NRS~4W;`e=Pt$p^n@80*$*>CQfJL%r@&N+Lp^{pR!?S0NY zXWf17ASzU<&afsy`HPDfu5%bhxIqgX7|vQtdz;atcY{U##4u83`XTbzDNrd2?LHl- z%xr<9#5^YXXhIhR<@XEn5#a%pccy6i^!5Q2UwS4MeCrWirxJdf%YxfEbDBiy--Hmo z)x-b4{h<+UPYiFAz>UI~SiC6AMw$VvV`D_fFf$4e8z_t_$IWnYl0thz`}GA_sj?#k z%zdiQ1j&Ev z_h5%2Wp>1EV_t$oh@CP-JP%BZ8FV)R@ym4J(lk2++U>uh@yWZL2;A2ccOj3c(F1fXIM`l#rXfKIx`EfsxG zprs?^fqjPgx%ow+?gS7PSwcY*Sz=6S=}Lzdsyj-HBujuUO3~_wCH`yQ)uCr*yIQ-b z4$n>@10=mUK11j33+vYp!CRcGdFAIp=QnfS0UZ4E2tmiEEFNRqOA9gjYr#;iwU>C# zr(wmA3JBvDEqFfW!U%>8JN&itgO?J_IajLT)Ta%E352@O|61y!S)_127gXmCkJa** z^Dl03Da(~6AaIl8Jm-Wb6V9@Z4#1o)t+;fk8>EtnHpIZjo?UY$cujNSGddUS6d(Zw zK(;Ak1vOo}QVYFeYJke+yv-$iH#pj`B`0=GwAeWWme;kA$@>WN=m&hJL2htf1o(#v zz5SIhg28mds6mbbJ~91(7~!E6!wCjYWjHXpcmibg0fB=D6OK5fu+j_JnvE@7LXaC8 zuVaxFR#06!p`->_{D#RNTDg4aII*ERFL)nRuo{7EWrl^%rR<6^C0a+7LM=@%XyGVK{Sy6 z-~z7W>&W+@ACN$fhFKAMLFYIBL9qr3TH)a~17?4S!T7=n zB%S0UQZXRkjp(p5OhVz4e4CjZB+(JVqo83e6HYNM90CEM62aaV#& z(Dt1tN60cY$TBhIbK&9bu_cH`%~g<}|KJglxt58^r>mz=j*vIvTBh~$Sc3HMIij)h z=_pqx9pzf4K;Onxm9k8cle&Z~(*>+$Vg`%Jr{_O%WXzltbWcx@#+QLcmg)F&$ame2 zJK@ohbI35A#ddyuQ+cBYm^)&YX**?^9#fWy44|1evMkeC5Rj94MCfyyA3pZB^-mzn z^wF1{y>!naXpO$3!F~TtO*5UM;&jZkwuT0a z?LpQ>$v>?O#XT+-y6OUm`Kmhzl7+(@N_W0??bFqQDtSIqnmZlPlmR1bG;6Q??3=9~ zAhg`NTr$64RVYkV-4e0kN^#U3t(KOO2&nfjaRha{h@!b`G1I3*QfHTBG|5EcyG|t* zEAt8*`RXECi_Vp323Y#yd>OPFNF@JL7`nmFDnYy-tF#&wvw2S6qu) zs2d3t`y)yS`N3K|t5^?{I8Cc(_}te35^MgTqHmORbiH(sS3IL(|K(c}JFiHkgIL#w z9R+<(I@hdQLsHRm?||;ejZI;irh9qFjGOI4#Sj0Y5&3Dw8a@YDAZrynW|LfO?1Yv% zG|*pKgAz%JM<9k2gj3KhHc2X>+ndQ#(XqoHr^h`{0)XYaAlR&eRhXc!yav#W2E^3p z7K1mJpp&dqlb=OsyGM*GhZ{}ZI=Z+ej`%hDam+McMi3r+o>{kOS~JmRoH^TUPJbF^ zIFa#bFOPY0povXh8c~!qoUE8Dil_^M*d1{?ugDoX9yMTJbCup%q}fd~squts1WcOd zQ(pjs4xw1+P)E#Q)~}vuNmLLliKa=@&b}%OMIyhi2N32U=fjI@8?#0DrVkto2gc_V zaBM&c^E@g5u*OR^i=7nXTklnikAtaXq3~FMVtlgbip*I=$RimAK8e|O#^^iRP7bZs zgkR9ie&cgR&c66tU7*HJj@X0?uABE}+p-#m*NQ~73(1TgB!))<88BjK?pb&K?n5TQ zTTPekika2$6fF`6#by%Nqf1FjbmO=}7ie2Hb|G){);Yg>2k0|gfDpx1+R(^coCFqt=-fzk~bS#>va zdj=3XM0V$b}?-k7vv@xHO*7{2r685P{2X`w67WvjgbfPdsj_vyPd4w-^oC zL_<@arpRD1m#m(M&cs2rzzP+{z2TB}NNG+Rgrbzgios{&b z;K6TKlySL#{R0{%cmVtD*=mCd7xa{``v872$wxlSnsx~Jvo_lz;yJf7=GH5cSi{E? zj4CH04Gd87H1a#V;t|#~FW)w;&`hl|&uGX`vTPhpH_lZ)%3F~!IfR-ymb{iH)JBks z0IVVHp!=urDSf=sCjJr~9v=RP37b09?W#tl&<8gWHGXs=f-*$^08xC{0h;G~b?%)y zVLfrZcAzYgY-Q%7PPRT&LGV+CF@E9w6l2ZWE(GIA%@7?D7AEv*%H?1Bw!Op z2m(i6ttLH?I&+Rwj)7Bhglgdy>#&ZFumu_f(1hbmhVs%w1l%TrR-{imHqUW zHDV(S?`^R!?2M>bgCf_20DY5sXa=vL!2_&i(j%Eg@A!uhH}(P98$}bknW=?}kK(Qb z11TfaDCaC}j0QYod?c(;(g>WyMvdIKQ{Z47H4#i5p(;+5a|{&{Ob8(pU_5;hKMG+? z6+G3MdTPcW+p@Jx$QvQc#I;Pw8*wcY@7Z}q#~Q3< z+P-7`$k{uZBR__tz6ZBCRo-X|ga;wv zZ_I(Iyb<4lGxQ0dA#oA9vB(K)nI0f|!`{X_@uu-7xSr{jt&68G-8aTsrZdPeo!NZk z#JiDYI(y+25qsn|kqqKc*l;Klgz-}ud)|mF3@;87=A<~$0`1e(nkjGO(n32Fq?BbM z+jCNWh2eo{Bxaj$!i$hJfdaLr8N=4uD3*farmM+;M9aStISkfM4EtWn*!x z{%~lILb|G)`kkhkP7^{v&TAE!w1z0W8l$vi*0_PIlSnPhWTH}O+fMfwr5kgQ$pIM$ zJ=y8>xM}Tk{~AghLRfSrSauZ0*e#<=Y`LvR!nTZ7)U~>+a&CtCwv!~7EUyaQz_=kI z_#?>=!+mF-u;sxQmHvbh?k_*D?aGr29Gq>F~hxk*#K6(sbIuYbEJr>j4#hs`VNOY-Fkcs|) zBzJjF0F$?W3>-H{x_!zfw2f59B6w2ABa7^M@5~3IYY*?}L(o0EXu4+twe_qfZSc)jIAv!RvXql<`}P}pry$aFJIxG}l? z2W3dR&=tp)Gg~7d|&ZXp&4WO&QmTBt%IJ5(G9%@bP;kIw8yF|0NXq$@i_Y;xFL1TjTLX{K`$pQ`07HuBe+-?37y}0spXPwghDI1(-;; zNNhVg5SCjpRm?WwJ5ZH3{F6y!ZdujXu_ujnH!h>1`Oa{1#(b8ga4wKkpt|7Tq7m*V z2AqpIr(a?wqZ_yJS@~kRz(w3tysyj-$daryfu{2$Bi{ye5@rUA-Exw}jX2wsol{-X zDQ->k*Y`EeG|5ribkYclxzrjmiWq@}M(|bq=w2jYl1))U8k{OZqimR(h}_z(Sb%`l zNn34}i76k?1nG@Ayc)+h=CnHLXTjnUq;@S6$r*Zl1xw+OWzz350gb#-a|P?9kg7sX zDt}{6x<#gLU&{nE)-v&pxdv;Qns?xY7#-Qt?=r0`%f!49lS#@OoyC%+v-&}$N0B!= zt@IPn$}}m}Cj3dBvVR8kc)= zy~O1++6#^ujA|!@>8%@9qHL`FAKpga;T8o3l#X))W%Ci8WIoIMQ;(Z#i+zbaN`4&b zL09{X50fr8YmNTK8euMu4w-4@{R|}rNkCR&Lp66KuZMJYG!+5j@z})JHZ}ke6T*09vBKjM|Ll#RWxfR|Awn<_qM{GgosweD z`W7;Cj{&yh^w$j(o$lCgQ%ez0yEQcthw{)$sg+3dH|%*;(DsJ%lj2lVW84skD% zTm#6C;fqV8pIpRQNY4TlnARaMqY=jd4L`2EFkFTbW_hRmmV#Q_C^Pb+ z{;QcXS^7BFKB705KGe*!n?ogt-^=t~{GK*{7tas_3oT<~a1@|+mVqo{7B_;6%X|K) zMAXMES?>E81w6O@>I zZjBCoo4i53O`)L|s03P#al@Pxs6tTR0Now*Z?@?Os_9qUG>y9xF6Ytm0|%Dw z7MCA*B+oL%8*}~+TsIRG>y6g4EEDoZTFd0=B)tP?IVqAMu`?&NtL|==>4~p%Ez=g> zmg9CFYnf7(X>99_((0saEmP!;_`2In-blaa&Ae2bWomO$d+TO~DY8skxBq7fI%Y;b zIZ`Ib0PX4|8_AS6vVjxY#}EgknC$d+QeWL>E70$KXL7E8g%;gvrGz}i|o8ZH17le-u6LN)*Wqzm@b7MagEQ3(b64O^!A z{?F05P`rB&_e5oYth&3>E;sWGj9)s7IoAd3(UN>u$&3VqLi<)Z>{x6&>?B*h+ z*NVRO1^hT(r)1sU=`$!=SWXFkwCm7?rI4jcNBvA=4k*j59KSLHm%UwvC39tt=AFv+ zL)m=!uF{z+#90%4qhID*Bs@b+=5baH?wsQ+s*T+E@c&@WP3Op5f7068(WkG!7YjXj z`n3yL*PlV>rq|z%xgM5g) z8KQhRc%2(EsE;g+1ML<@QCyY(cAO^#%>!6tq!sb>e|-b2kF{CTf>KS zpn?6s57xOsgW5EI{NR4Nwq)6ZjoiS_RObc;EWvB!QYZ#iYUBn6EWvB!QYZ#iYUBn6 zEWvM-$mI{MaK3j&LmgcTx@MV5$Anp?l`T9WRyxbXoRMXk`mSX_570$Vu0xKDn8|CAxq;JcWsgWyZrsa&0NHFBj9 zD3z;ou12mj0;O`8R8BX_f>?wVyP9g~`6ir;0@|6fi4C&z7J6xzU+g)SjW-?t8=BHt&yvdD~&*@T%B_@a-|U{m8)~EMy@mh zrE+!7U4zJ#W;A5FXWq!&?1`>frqVH~S*HDDnd(}ml66wdGOcXkC&V}8TBgx{hvb{T zx?c@Tlwalte~sJ{2X1%O%N*9-E%)G7=XwNbE~G|oZdiH{Yvg(aX)dHjZf;n55NqUm z1Zgg$Ms99cdJt>mdIV`Ml@YKA1P= z>hChmy}<5GtiQ{&cZ?Px`0+Je#JtY*x|XSU0B)4KlHv|7O|V9;G_*BxHFBj9D3z;o zu12mj0;O_w&eh13Mxa#gMwxT78I5j#_xknkVd(D9MotfPrNfgKKHR0RR4WZ@czps$eJ4}H3u zWqSL$@$@z*c@4Ikq2vRHn)CSI=-!SEsE}y#1lao!4h^wsua~(EW-(NzScag=gz@ zx_W-WqnZLMAC`0xE?2QU$?9BbY-{9RvXQG7;gX?U`4eJV(yIfIsoz5s2YKb^Tyf8q zEm$L08sQqbmwM##hgS48e`rOs^U#X+{?Ikc5c4W|?OHkGWx4Cd)9bUY6<1 z^eLYJ2-nEf$dyK* zRIbjs8oAO4l*-jPS0h&%fl|2}WzOXft?28({6{xBd*!71WlLVDYneu!xX!+5xL^%f z%`)vT%Y?N?buCjn@i6Q1iC5P$wV}BdwdJp6>Rv>M+QpLS~#i_1kN`YKLW%+BF_T_Z; z39&CdOA)Tlm4>xOu12mj0;O_w&eh13Mxa!#&bb=7(g>8w)j3xqR~ms*xf^B9<&UB0 zYyKFD=3ei>b)&Nvy1p^z@fsNAesQa7nd(}m?g@KPS*E&{se2vl*IM~ch-trm95|}3 zWhxH&%Fnsto-JFjMy@o%HF7m_r4cBVt8=bKt~3Ira&^wt$dyK*RPIKZb2ZB}XoSfZ5kaV59)(&)+X_jff_i~xs z?o!vnGg$bSr*}7VUAape)@#wL>Rf3QYvgL=3L-G`1E0e*-1W6#_ya{R`GnY4;F_m? z?m%NP%-E76H_Vw?^f#7s`zl^1?)deerFu zt0oC^_=7Eb2MEsLkJ6HabNGX2zAQ*+*pfLp2mdE1O`p^jewZBUv mhX4BU))%c?*KU8PITVqcqf}3=8ygM(=CHe@kDqz5NBMu>AI&!a literal 290405 zcmeFa3!EicUFR8jiB5v_nsZ5$oV3iXNy^$br>B>}W(Khprjw?&yKGz3UPNUS$`;qh zsw2HCzP)JKTVgFBBD;&owk&F^h>q)n@xgQpy^K#}z_+8cjxTWB5nRVrk^TOD|8pYZ z=A$}qRAu#TKIddc#5w=-f1UsNpA!-Pdm`ckADB&F`0F3|`fBH{kF54*s#(narK-NB zuIp>AsUO{@mvIhZv7PI6Jb>JwjI$^_ zo^nXadg}P}LsG{1_+I*&lmt>I3rEMFCH>ziDWB6r@yPW$zM1svDJh>*#_6f?@lQ=j zuv~w&c>Esevq_TI*Xe6hdN_3I>oL?eTcphAARh8*^~pO@%Kf8b?t@IP<3T)fA03s) zrEGdNdG!5W13kC*{zFo(@7tr#BiCnp{6b2H+4Sp3UrZ8y<|&wx%JcF&3Xiv?beK+= z$KR)PTzb4GrH9hvX(=6~#|IOSKS=3v>~RzxuOWRtDf`@|?0Xb`>X)BR>7YKk5XeIe!ag;N{k%QcD}n6RoK`z-GC<00o4t!t?)H~DyO_JT z&74CQOvj?!&<-hZs+%%)kY~jm(dbWJUOE+Bx$L~1)E8$D4cd6Pp{oQ4>?6^s< zzRxi?9Cdnl{LdeE^Dl${)wkRn$`xvXu{Z%#|hw(6m* zd^EdN)vvyDmhVE9WH|!)yEmM!cM0>K+wiXp)4R^-$A{{EZGazq<(lIA)4SLVK3yAp zH0&F}J^_=H@E%vwJ8y4@?^pL<$41!y!Iz8zOcmh!t~*`d2l!p9RrSVu`59tucRRLo z_4dYYwSE4M*dCzb{Mv4H4?wo*4Xy|v73XSIJS6rGEZEMC!EUzC-yGWmD*#8k%{|NB zE}U}3=q7;Lo;SW{`KIYFw;hSs^&Jnd>pLEPG~-H_hu!{QW9jJ!n|+!*?gy)CI&Hy= zlv!F@FL(R{J4B;(F?*E-T%Ylnfvvh4WbGN^Y6zQGMp15Fi)H*`xonAfkHvEHS}fxi z%VkT59)4 z%MG=_P`cuCSHN zh40q6TXeMuisgn{x>&AQZm0!@()E0_*}8vPzwyDd!+bW|2CAimM7*e-QjJwE}q>=l_*}8vPzwyDD?V2& zH`D?{=^iOQw|LQ#cb6yT>KU)&4LSXE*thvgl|`w+@izq9DwdaTyD9OjRdOQy?d59)4%MG=_P`aMa^>12Xw>P4}$L0I!YGA+fNBR;MEZsGWobDVA&P^3a(X z%RMyu)x{{v4Yl{eTkerD2@f^@anUV)w;or^FOzS1rh2de7vARvx9qqHi{*w|xLEFk z-E#e#R@!>xh=%QQEZ8N=G_+4jlxd!r)ZQplM3@#Y%5>8^KhRD>ct+nj79`44?jhO^ znj^FD=ho>Qjk%hrhDYRGqD({kq(qr6C(5LiNfBu(Ynfsu=nvS#p06uwnTDMq zmX_AvHjMJBP(4ykUsuH#a|tPyo6B51J8r^axuF&=miu70+|Y=I?b5#u1;Cx?Od7_!M45)!t*T0t>2jh>Wi8W? zbyA8lm3xSpY43=Ig+I4WkJyl_jaKd<23vgLeQt2ej+?MpZm5Ne<%;EoT3{$$@wsBT zp%xfQSA4EmZm0!@(mhgqZfHcqb}3P&>>kHriNyTKyi1hna-vLSEz{5_Qyv5hchn@j z*dee*d#h0H!biU{=N9njbL%2oZn}U*lS(B%H@IUSDc5s@8+dGli$4h;ThlL-@xuGu z^fDWGT;ye*8*JHy_qo9>J8r^axuF&=miu70T!}Kx)x+(8SUkIxwM=`zF?Z=ViJF&lSrJwZKriM~ct&Z!BqR|HhKym3am(+3<+G z%M)`$`=qR8Dr=eg53S-yeOEeS+^8F^{Q-NaC{vai)XhIWxBj5Q+Y4bkeF2Y#@wYRjKgYs-JF&lSrJwZKriM~cr4jcC{|$FlONM45*6Nr^IDPL!#vWf~e~8ahWF zJDn!s5&|1y=10ouYe?IUm&QJKydnm3FY&p-rYi$tsOgI3F5hz7r)M61-#t6?X^!d3 z*Jd7-zbe?@^m|>ihF-9m!*Se1T>D2^xrI}WFMWPYQf|A}U)Gf8Nc7hhr)&Cai(H&t z4eYP@q*;kw$@=w*v)WbGuU9?O7B0hP+AlHLv1gQf`F&4V zY|AZlx6U{bcAV)a%Kcv5YvwDA-S1UIz5iR;Eoxfyd2UhdR#nAvL;I#!u2^oU1%}cU zpDUIdYJs72#pjCUhFV}KUGceMxuF&qN>_ZYSZ=5VhSC+EE0!B-fuVH8=ZfWqT3{$$ z@wsBTp%xfQSA4EmZm0!@(iNX8mK$n;p>)OPisgn{U?^SjxnjAY78pube6Cn-s0D`7 z6`w1X8)|`}bj9b2<%U{dC|&WnV!5Ff7)n=su2^oU1%}cUpDUIdYJs72#pjCUhFV}K zUGceMxuF&qN>_ZYSZ=5VhSC+EE0!B-fuVH8=ZfWqT3{$$@wsBTp%xfQSA4EmZm0!@ z(iNX8mK$n;p>)OPisgn{U?^SjxnjAY78pube6Cn-s0D`76`w1X8)|`}bj9b2<%U{d zC|&WnV!5Ff7)n=s?uuA$GL*|P(S6AG9TVd#!FI;yisj}osVq001OIBGmQQL8w)V_` z7|i9E2<>yzV`6@JY?qeS-*$N%j+J`xyY*O`Kb(1)N(S3*;eBp!%Z{6{SZ=6=i{(Dp zEq4_^7%=leio-ufP`-^mv`^05yWsZ_H`LYcji>9~6IFHYB-^-}-gSF31$_UFY=9qp z&02t|GI*Y^o!<{{GdU9P`@p^rk?#WgOaHE_>b2dS*v^f&H+Gxt^LNDdz=_=*KAhg% z1CZ^)4XyxNUsFH7c5bYSD~o*-e6>9f-Z#DT1MMV)XY`%pm_I7hAFzjgu;#XR;&anh z!9~hEGVUR|FJ>*0?Jh7d7PD9Rw(Ms-CQP-ErhDVZEmA-3(dChLJvZ8;|HD^&_22H3 zz0k_-)AnHlJkosbVKdY|!Nqd>Omaj(vD^_2wGXXWZl6hx2q>02qM`Po70c~2$q@mM z6wCE*TC2J~GoESR!|@Tzul}!QRA>t|sVzKQndg05l00L#syZ{CQSRZeTUEXL(FdDl zVJx@MQ6|NV_D7kX-E2S1QKsGRU1_@&uj@PBf<&2G^%gCoNq9P4G_9=9fg!L<&2ISw+OpKA%)OiS594$T~ZDo`Js^I7SR*M3M_H>sAs__GEomj$-W z4$1Vz_w%j#8JLe9pQYcvP6aBKI^dw(!OD(8q+&zPC9I4b$6RXNAt_LQ`}NN4)vm=q zOwm0Edy1K=am31d>!#7`l&>o4q;s@kR=c|Tk*8-y3wYc&38yw(Le<>*!%$Q&iv(Wm zn`9JmKR|*(d*Mq_fj<4ypv+;#76Y`p|)URDbf~U8x4Jt+4aSNDpsf@l{i?F7Tcm{R!;inUUrPDR?SQJIfFx0Kg}2BD$9gPSs6@Rc zmQGZcYh`@)OWW*~%QQ1~h`CJ8CiyzfSg@~DKM(HicO9hD9;Aa%_QGVYoYa?Ho?KgU zPEL@}t!dko4lStP{tq*kt99&Jk*C7G@-td|0Mfi@Q3RAViHU}EjV`-Bn5<&;##1BQdxj-dQsD(l}(VepcJc<19-)d!V zxBO-Os=s^zoQH^(^kPyHeQ`ta3@I=kkD1y4XaIGwHBaVUu_ z?QE^sp;=b0Rb=V8-&$4sqf8ercP&%Hf~fO%vE5KNd)6|EH{j}iRbe#NNeO!jw$@4Y z*D}58XK2KMQ6|K@W-ZefKd!YRecg38XWiKWd)RuTME+3Na|*)GPQdGquR$$pF~+m}tdSW#o;L0!hEPaBKOs zvf50`ng`5+hJ7M7$3zQ(XzHh3tg30i;tp8(gr9Vc+St3MW7ky)*5VsM?6VXy(H_G( z`UwbKDKzSMKISc-p9?`BCA&m5sylgtOB{(xNg`fr_sQIWB?M!oIW2&yNUFC?V5BGX zxN;Bt{vIUe?&|r8CRMrAmysw9KY&Ze(KD-xd$D?*ZJ8!n?+DwRYiFqc-*0Ry=gZ7+ z*<-ZBIVGxo^Y=HWCI9BN9A9cp7!0CFepZWq$s#f!jw?DO(fCP?XQ-5T*VW42XDz!j zc*LZHoww>gWaV1jvNi@tRu)fIDT>}RF$4^Lk!zL#hH znmqA*B$M#*r99VU3GE!10hd00(H9?B9~Ta)qE*Q}=^$H>jn;*G2w=|k2@?#u=86AVg)BE^#n7N0+)|>dXq+P-=Bl4L*7oFj6)EMZ} zbKWPi*%YGosoX~r1Gc`xQ5~E$iCX~!eZ3piGYt>WCH8-^>B@T4ji{C{?308}P_VKJ zstwOdXnQ21lj*cejiEVBoK}Fjl8Q7$gY(ROhbv)YX%2|gDn~JCp^UM#&oG##EI`+W zi2w#}MwU9@auB?0f^H#`%-Mq(Q}t>8MexMTxyb9jPVhJirnYHKE#6FCIq@KrRPN^}i8t`oM z&ZN?R&<}Ha#J2LC3B=q1)#wL zwrh}(cqU_DHL6T58AcRDxBNbeLfUEbUTA#;6#`P7d5W^#xmhY@O2MNrGXO#mwv!P3 zM`OqWP}#zf5jXVQ^8+Mt;Eq4(Cdzj3xEchtRESBv=BK{I0@99}V3gbk#6$>%2QG@V zAdtn5vXz2{C=#53YLXBq>a3b+R0sh!vx>H-cS%m@2SgNSKAWAIo^D#j5iLkWf)L}W zND+<;C#|+>2r$&mjxpguYz7zHRgeL&bOQT4(GsWt2)}B!r8Nve=1_B&E1qDAL3IJ_ z_K(btx`kuCYGtbBRx z3f-xH3EuYj5vtnLoDE{L0ysV43MvHwJ5g25fBLjwyPQt0NRSb{(@|CdL$&}WYPv%0 zSJoY+y$GZaElMX(K;&c?@vx`eTdmymKy|~f&8!8^VJK%}A3MMehQKoutl&R4Z zbB}*^MW5{+u$D=2qZA1`C~kCpKgvYhs1mjUO3l6L`MMj=KZk&lpRGHap07Kbp09g0 z*e3+s_cWfOOt-S>iMg|%_~kMw$)j~!_LlRI4vZV+>bhq9ZPUYnt$-9mP~9OA#$1!* zDM8UB-hFJbCq)Mm2~F4m+D=o)D_lXOx6%REUWucSW|~rc`~yHI)uX6z2u!!25pPOl4G{%15{G)lb{s8> zlNykOkyFb4C%Df}4Hr9DCs?fjod_pIaiHzUqb=AXS%{jdx$Q5jYMQ7iyDHTSsxD3a zkW676*g0uvh)qa$R%odhI0+@N+>ePuGlQ~9i9&Z*iXfmYm;v~Y}ARp=(Q#-K|$ZMJUg1EI$I!G+wq)$e(Mh%G{V`>88i zy;ekrlVm19#*d@wQhnPg9c9iLW0sAo669@P7L%~bF>|RhwZs{ljMjh<-7CaQAC;N{WfmkV{`fP3Ncp@$Nj4^})SM(qJl$(DgwSV_aa$;*$g?-HoymoyXZU8~liQN9 z)Ra&Ch^m?<5!q>a5?fKga;NsHrL36msS=eoR|}<0EhJM?L)K!vOPJ0t{oz|OVT)kh zGgR&u0NF(>X{A<)r{Wmf)|*HSC3_j0~@mnfG{#vYJ2q^Z%%a?V9CCr=+2Za-G~#jQ#-IT zzXb2B(E@_8w563{Yj+t536g=K7v_5k7l-_`gfl? z14xMb#%;jcQ){xa+oGzLzck8CO*C!YjG%sDhC{#N>AztcQ=gc?zB^HOnE9OHvuLBW z$Wq(XrxNR|&`L2Y)*As( zz+iQ>yuzI*S;r9~urNJA2xetvl?(M|yjriEQryV>M$myM6U35CH)_VXc)~d<_($7q z*V&B3^D2p#j-N-7GGO zNtv7Eq4~v=VlCaF0JLqB!h8Jh{;(RIr)qh1Mcu139xaboq!=t5Q^I;sfixbYw~oz7 z=MbL^6=jp*EY^yLy>SXOqmn8FNjV5*WKmdo8(MTCqu#8*g0cUvdFLN0%G8`85=4}# z;k`_;uxRGJOo}qq=j!TWtWJt3lLAC1s|Y^D>LkUDSe=xywL0m77A)P+Mwx_t{;u02 zRF&2;{b{$BN!b0hOxN&sp?dAi&axv-dN0$NG3$+1*~Y|;&amF-j9$MqzIT21;*EFT zLFL-*J9j&Fr1vrz8z2=AoCs{9Ok=i-PX>7U{MwncmdS5%3e5A*u8nr;; z>&-ID&&Myn2{6CkgczPbu$1LWrwAp^3OdBpButfL0tl3JNQ$L9aG5O>a0L`umhg;A zL?_Vzfi-gn84}}LcdQz#x^?{{PW&%%Sv$*;B9`ecM2{rZV!{q)ZS}&GAr=4`{w+#J zHh`KZfEm9bFa$Jd*>LwB^Nc{C1^bDwEUKjut6lZ8*9euMCs0SmQv72W?3v-@S+KMX zG~tQ61WFuv71@^6q&@x48H6TUSu&>4PDG-D4F@2B(J1~zmi1R$_e?fde~NP830JCF z5GaCbODd3SG4n-LzsmI?Mh%s4^WE32s&VHzTo>vY6Anq&P`3KYf2P=+>l{E#Hwm>1 zp_pwNR)Jjlh#<4`u~Wdc3B?E5M4e^ZLhgq_HFh3!vb9+;0I?z&NreQ^F;6i`b<~jGlY-uR?_|Y*sTSS;4+*l> zP(==>bzESC%WM9lWzpdw2Qx^p6$gplwaK$N#N3mEu~iUuBxO~ynH?RL3ZAQg*2G+4 zrO+Veov7xnuSk@#JpbX55@GSKbj~u^QBZaz)hnM`YmAf^tW(Q1Nh#HM4i59l`z$kp znUoS6Reb%E*d;poxK2=Nd8ZIJ4(>;YnDjI;W3O-`qUwTzFOITc(k%edP?_E$s56g> z>8#FOi-jC_M@6y|t_@LIe8^Y-`t4$ixEQ0YxnQh3x<-m)u9gn{d=Dwq%YBt9dOVW) zK-M$wgw)kwTBo1*YeuH-srW}+!%x=0?N%J6Ks`z&S99aVb$qf3^*$|HO1H}$C;@_6 zY7*Tjk{{qyp(2U;bvCZVLo;UR$=7lvEG3fDlhc*DV5AZDR9Xhaproj<_@@Cjv^s~p7YB=BJ;u8LFi1%b{!ip^Sx21lH@?y=f*(k9 zA+pg;5H?qM+(izk#tk^$d9n_B8Q-R)tlLzJW>0d#IeJU?H%b_fF+ev{D+pFzw`#(6 zqi%kYawLh2L{}#H2_Q>}MesCGS@n6EjsuqKGozNHt9!eNrV%++f9fj(WD3}xnb5EvRsjRz11QK2??|!tC`@rx_``y3D)sxp$7f#uVI1sEs)Hp zT3O+KpC1Kr6Wl`c827OZ2dfDT`DjK^0_ui9C&7UvGVVS-sc~bgktADlW34fvEcc~M zUv<5?i3;=}1e?{?=2%WgYsU4K{BN_Ox)H2t{+vY!`T=z`;ub!z;3T0oCC-chU&Tu+ z^u(!AuwGGGKiTc@ivVcM>FtS>ZE2mu|k)KHdkaeaE z4oae^C)ljoYEau$fG1xGyn*K0*f z##=-qIBRTe)tft8*mr$>>s_bl*5!J2b-Y4!scKeN33D~8E2{vPNAQ*%S5{YTpD|$^ z)I+INf=nx;6$Q)Cf~LwE3XMo3=_Nl?9LHfO@>Y(Dt#$o5ZEc}oLlH29>sy?<8SqK_8v}7aAbDhU12m3(HYA?%gfxs>m*jMuHb)!!M1fq!O*_o(i$-J z4s`0jrkV1jp=UFdQE^-9%Z}_Y)n{$dkb2!i0_6xKsAbh=!_@%dpSTV-T|U`*{TISj zqf@qkRuL{jgQ$k#WI1&sBO)R|%c}?=yg4R5N6%|5!m>0{pGr6R00rrRu`q`kq_F{5 zCgp$A-6w3^WQ+crY+-lun<&1%wWYypbd-PbHF+&ly}MSeq_=+)32M$ik@ZGTaonhS z@Rfe5P0zp)2~w14d_PQxGU=t@7w+2a-WAR|9AZ)erc zG7-lxFuqY@mJ1FZRpO=#5HWKK3^-HfqK)c_uY`TC5K|F&Xazhxls_BK` zj8ditXPG}G+X)?mJ+okFnDC7uNG_||B2*(Sl6F4mjA5Nzk`hv30fhSZM4st0qcHbgM_43U)8G|1DE9cNhb<8x)KhXx z`dj+JH&jbsJ+62JxnlmTZs1p`_ia_%Z`~YipP!7*U0kakd|Jg6$-)c& z)tFyUUs&7aWWg%e_np-ATQPrDmyi8b@cw*w-vsYxG}9A*igI;@xCU{}0OhV^Q}!tL z0eOj;DTjwO?d?{4u$CWsNfxrwxT}(nv^8utuQi$c>9Bq!5XG!@_xfbO4_yV$9;mLj%uvvWU)* zHbroCzz*eAWke3H7LRQ0IQMA=u~<(zV1wsSh?`DLSV(s`q2!2@s=;E-cIWl%mQtnZ zF^0JUZIwINN}os_K$kb7GVYP081wU zTqmxgL{v$M@q^UIP(dw9Njj%fYl0ZL6O5^; z(DvRx=vbM7E;OhLH3(bR8I)(y12rJ1~&*q7OJL<$c z@F|JEEThX{h4xjDs!Y!@7m#3>8w)QX9B3N^A^>@VO+$`LQn^Vhd4TW%zo!T6D_y#b|gik2}iRgM+9n&MnG`V zF^M!c&0d~yY!{)WUsBZuca9=e%7<_elybM_@nGwzf`F5Hm0U!nxfQ?hCspAFE8~Q; z*2o=W$xwyWuzxB?vOPtS%CIwHSC^1dy@Hl4TYi0*P1yqlzvWZdwWvM3?DC;adlD2z z^lsqFmL_M%KUJ-YfuR0Z*F=eR-!@$v{YqxlL6dOIae>=ZkNZ(Lj)~9t+M90mJmx44 z!qhFypFpLZ;vY89h+9OWnhHyivTVBo(NJLuo(3uL&t(NnZEW>enuB#B(%|HThVtyz zYeIHo{pRVril*8+`{($x1{lo9G00RJQ1E?kqDUUkal)7LOocB5uAQnQk{lM^$&iI9DKzTVr0=oMUF6Z+)*ng zrqU|dM)2=r(M>gvC=!BFgaF|_MKI41!1K_N!F>gooq`b}ciY7C@qNuFJ{zb{5Fqxt zIK!Cqx_&wk6E7r$Nbr!z zCUH*)(1~EzEs8c0T2w5JxEXO!f@jRg_>4l0*MUeFnXYo&@L3$dCD6)oOW2B!ZH~7T zN*ixJg$izIH+MdT*!c3Y#L=-$gOY$($f1t@3+E9Zn zzhZZB!|Twn4VEK_d##28QD*{oZBHlJUouORX`<`ZjyR}=?*{#egljuZ#wDa|q9 z2JDq{4ZP3hIOrze>cLkj4objtJel4wuI{_;bmi|Fo~R~o=GL%YJG)bF?Cy-XwZ4`> zqobO7XV+U#>|U=}<-Hp>H}|X)t0b~X)bTb}#NFn|roxheO~~_s6F0Y!&DRkJO4X7GUedsE>jhK8Y|+|w@O~%w(V!0na{YcYh#sBA5UopBSE%JU_upVuqTRao?p`y zsAphMxlxy-x8G7#)2XhaHSFVnvn%JxPxE8^n63;P6@s~*`B!tWi#nZz>iR86IZgE| zp339o5R;+UFvGQ{S6%ixrC)_brNsNSANS{9N=D;PJI(v-T?8O`R>;~>XDowMB{f0S z?CCRCI)A!3QcgAC#PWrhhciE>;H+I-;DH_iS#eWBfH(h0O|y%Q?5h^=M5~~ zcu}P}*AUoCs0G^+x`~x+PbJ_h=i7JYVv_(1-Jl__W^!U@fYyhn5CN^_+ff6ZjM(iuHnXg5Ln4}~ILQ9NfJr(2E zSZRZqY>keD>eTIEy8ErR5Tu+B_M3iNQjKaghiLFbe_+hycUAR!_iJ&VCIt4O24`># z$RD7pS@H^3_&UNLVSc$ekWDoKNYWgTE}ArUxiLl>g%L5L)K0i>>1vTnIQ5T`xJjm4 zBoV&hft?03{_=!(qg;v^2@w=7Fr9R$SC&Fa;3~S`@jy=^@)&?fNKWCSKwkHT2YRrg zk#&|5u#q-NyBL}BcYty_s?g8P(U0uE^|=tJ^GLRw`cW&GqH&_YyC4^(Oysd+!Of z8JKv7zh*hI7`4V0MW%B;N~#MsA|;olB>e=q=>u@RV_tP6Wcx>5Am@uQK$6)JrN-6poxqCw)!9k*E)pthDA4rTQmMXKB7E zWEP;mgsUsNpjlzSN+G3iGO9u`!+i4`sA^DhTL999i*?gQDYMiu?yYrDvqJ#;q?nI? zei~ZIj#{J1WMZtOds6AiEd!@G*f6S9-x63W?L1O7Ajuo3p{_ROSyUAs1aY;578<&( z+o?>EWc_I4uws2 z#L-;aOwx;cGU?$u_P_Qctqmkf0+RwN9+tfF%BsUB**tJF@eI&aAyL^bmu58sXpv0T ztE`^?twN`(bdWvb*zTj7_GpkAAY8mfheU>eSq7;tfR^GubI(ouhKn3;vQj#yfGhv);xJ%CZf{3 z^-CX_07FL>0Jq9ovIM)BORx|~w9I`fdpTq4Rj;~}q5=yVvS{Z;do@=&V&+R+E`!is z_LH+R+$2tx^p&@+3;3ZGrQ*|>j7(yjlLdPPt>f)v&NI}#dHym2AwJkp&>OwT4V)5A-qD!nG*^&yIlUnb~VmX)! zV+=QWB0xNH{KJpKS}dM(lu1z`G-YAjGLa|64FMDD7!&D0HR;WAO%{nQ6Kf*L8mt+U za$NH#8u};jKl-nYBL$m~YaR-fZ>!=80&{+Y7f<#PMJ=csUq^&o9 zHyyFe3h!+&Fh4;mqD74+6hI7G#Fh@RmvgmIej`ew6B1k*mI)i+z~7kF}(P|iBd-_rX+o?H$iNIkvZjCUR9xz>2C{IBn!b7OQIy!J@ z4C^UHqlliuWvl`u@Flmja)6OYcuqb~bO~c^u5Y3g?e?-rJb^qxYc5wX;QD7jF!Piq zQ6?>YV~v|4L8JRwKgZGsJ$G60lxBJ>JnxXdUg4zXLGCM`-;AbrJ+qoV*>Cp(T(f>| zJ(y6%bC(a?xZP;A-5(H_I!7q!g{+@b@abvwLdOk%KavwlwJ_>{bUE*Gj%tcc5H$2PY$19%!3 zRlBQ%jx^usx@D{vf$>igKk+7Xl+L}H*LF8~bC@R$3KO9UTkJ>Z_2ru? zJX^(G1K^nFJoWm73w$1@uUg;J>AVy%^Z6pc+x&g8_(nuVc+ElA# z0Va!67k)7LH74QdG+$z9yej267*@2`cQ{$QD1l=;aRtIrla6$XDcUD0ZjmVqX2pdM zzyq8-Raw$vZ>QCMX%N5FNNb5=P#Z%#f%N}>{tI^58z2*=RTBj? z(K60Rkw>t2y9d;_{#stXISNaK%`%d`YZnlnFsA#I3g*tRHaVDngd? z1ppNBAN<9&13l-zcgd2n#B|Ist5H|PC4x|9l5W97E^XIJ=s<%2xG}wRWptdWwX<_! zHzDXgM5}dF$Tt^F^%ZX-R$}_J7xR?hsG7V5R4btbGY!b8n}Q6WGVaG{w6scmL}#E# z&`io{6OqY7tKj4j^OcGTQ^|S9Ocklq8P@zETd6rgNP^U%msy8_|Cxb@Ub->kHd_4& znx`MnegH6po8e18TwC!cDdd46KVDVI4lSpXFK`CuM_GkSM$Je5^XQZu5s?q$ACfMA zi@~C9i~s7;*30bFD9<|VJr4k|1W>Jiaz9{ho?Tib#tt(HRk!!i+?Y*bKrzpa-uVAX zgy$ge(9Cm19{bR202Va<`%|9BV(L|D)dFqs^2nehMUq}_GZ!?vk=KaP&8kicl5Cxn z5wQ?RGVjWxKM#nQq)n~0BE}7GC97I^sE_Eb1OL>650M}7?M>8IkNyata$s@5cqrFiO9WH)5sZ;go7X;N3a(&- zY2GJI-6mWq)e2WxYlAM_KZqb^JMJbh3Dq3=4OlhYKWJb5$ysP5KL)5x9+4LVvADVF zjF+sd-)}rn)oJ7@S%;7xu4{m6leU1P#*cl8NVy~6D(Rv{GS}g1?io`;A}oz!vJNcdoycgt3_NL3{Pdo%<->TnPTaF<%T9i z=$Miln7v7KK`SdJAI_LMh7{Fx(8#*;v*Wo5#^~X76v~C8X&~dC*SxtupwT+SxKv1M2%_=5J&(JEvpa%29?B<*U1JsawIvsJj@C96yx_E z=?0LqDNuMTga)#J8VZ^}*0a$7OJ|VWD$zyyUEjbt+9_LjlF+Y6?gvm(mjyBt4Y3*Z zaRrtPHBQCJo^TY0Fa=WAdAvZr3NQAlhc%urTJH zPvvLFnlKkSSSc7NB4#fmih?vW@d_v6E33Q=Ov=#hNJMGcqE+$C68I|j77ED_mBIyx zi?GheG!VtgCp70-!%fZrEW=q>ID`i^CtK=FJ%mVfi~tF6J*3&JZ&gp?(JGo&jjtUO zX{E=It#{);b4(1?p&1&#QuC&MUBqHZ!K&&M4{z#fc@;ZCezUIgwD5`m9fOm1sL3(0 zt$t(Y4ql|{1x8jqp6qVX76NqqzDhH;^yV)$%Lpxl5u<%S3`ktWFYm^x%f#Mnsv;>H~(O`&o+AzC)f+)mO8Y>4`Am zxl3c~{q*C>#kXQj==_$?s?xVk%i9th&NKk$k7^Vf{^+p_>>Ru!$V2rS*JneF@+txFkab2Pt8uL~)i5_fYQc{C*=KaT?S5?z#)!a0qHLdF z=F(Ki7T11mjpk!r7)oORZm%!Ia7(k%bjG;x)dv$CCj*Pc`*k#94+JPR%~g+m%x^x! zW-^*NdbtgC2p3RTB$zq@;%X21$dh_ZzNjNC&1;(S0SL)JUrhN#lIB?&p3bbOCh}mf ze7dTO##!#9deKKw0lnCQY0Jmunoc&+WU-O7I7;nQ@PTe%y3jcwn<6kFA>JR+J1ERb z7EL!cM)K9Btc{vz-Mgff*5hj)T~+6kwB}I)i7GC=giV2DPO=C&<6t&lI=h~o5zvrY zdRowEO?0@5CNq&QQbgd+3znvE|GJNY!P4*kH)<68#P~yOIfCngfTjCg@WjZne8R-B z043)}doki{%sD^$p1DovBJ*7pEtJ-@h1M}yiyJlCn!`BT$0l2I0R14ES zEZ|I17w$=t>lA}RiWp0~Fj}guSdbZ2nMD55dYz@p^^#;=5VRbE;*F0bwpGne(<8=2VTTV=4`5H9)+ml)t#anm>Y#>78XqovXb>$3p!> z_K*H=A;lchB%D}UTGWP=#2#sFmAT6uVjN`*H%9U@qM~6Wp(}&EqM##C`l}@sBn|0* z{d?ws=V*>^hnjDNg%-~5#;NgEMzd5%)gHfzm6;UiUo-DO5=rp=ewNP$dDhLyI5?Ah5tmT(UMk3MJs| zyFx$#>2~MM*Mmb|c-l1h)XY3;Wg~Z+<*~~yBV==xgGmSN^U-xc!qkvtmkX+>X7BpA z24JW-d}3rFFsh%VvMnkwOZ5h1h65XqmNa8i`&4SI8RlB;7fp3(A1x5W5=0 zf2Pf+EYoK{u?AppeII;v89?!(OEFJc3vp?MCuQR#31wVlq_e(EY|QM<$g&Pu5%G&Y zP%g%>-Xg?&5>Dku=Bz-{o@)X1r*C2F<`sVhkwhx|AIWC3yJQ_h7<*6kn&hNrFY;<^ zs2vmtY;|QM(Dn8;QK@%roEQz7kEKVlmoyWJb|Rj<=T$0_f))EvAb|V!!pbT~HVtq= zqaqlYp#l5(S%-L>&=@_65Ga7Qr>E1Gp}Ku*Q;3Raune0kfVX+9eyNoDAnZDWY76z6 z*>UW1|Jm=BfEY~N2y+uJ(K>Tks&3ME zN()NF1qMvQ0LhT}0Zu>yH+nT*P^vci9FQZMs`!3=7z*_~0Cm(!8ca`Gl1yH0CelQm z#Y=C2qz5U`mE{7&II2<&Nh66B9$eXYS_iCPwXW2CeyTS0>w|wH0mzj|ayx~=Sf2Cl zmz#%f^yB1?RW#4R2Gt}?Z(GvIQ>u}q1zFjGj2uA~Y%(Wz{kp(%Qs7Krg*8kjE5goK z9KLx_o|3y*_Ymo)2~9R^{BQC8Ir@NgJu5qy92E(=0I8O5;e1XX5`duPihmHOW3iIf zvoOASVh)J}dgSjcTDnDM)B|dStcc|3S*|gbBz{c@rmA+dz)4sAM7?+!*|RwC5ZbyQ zdu*zU8#IHk4(naU1aNp47%?ywV7-DT=FkYf;)%=YwenJtMtj|m9(`j?5`iTtN2G>G zim=oOqD%z2RtP7_B;>FXkJ~wBMSO*IOpY?GEVERIs0w{t6IQ~dcwUYu6JaJ4oDd|z z81-b#LzDk<7Cz71?bHoOa-fX%Y7@nKyB6OuyiwK~)2bgWarR&@pqm*oL;yp&_+rPCD_(=5QhOgAR%#hN*?dNb)d4aSIGD8(W$y(kPP)U$l@ zxUCQUO|NC*iMcURCcO)cxDgAB&aQYZ(+%|siCZ!kAH^iifGVzMA+ZB`2cMVy~1okWAn~A({ zM&SEyI6b~^t(xe&hOhp~YIJ@>QKp-TGMyvJbheE$5jWbsj(34M%EUY5FX(+;*YdR7 z6NnoTW%>f5Ot*pkY(h`ZuC9G6Q6|Dq02Mddb(HBeysrnFXW;H6Zgh6M{R-Y>{|eqX zuPD<+UReJ|zn}iB-cP^9yKJ7pI;l_R$vJ)3@L9kA33vjDzTWnK6!GhLs!j6*31hD5 z-_ry=7ORs|C8Ht5q+FxeW?-v=En{c&ge|_BHmbnZ?B!Vn-s=&;dg)hby7g^{Cxe($ zeYX@>!(1ZkPFRe6d4zkRD$__{`pn7PqoX#w&hxjN&NuJCU;$9`pieDQmRj*+jKW$+ ziaw&P?tuby%ip2+1u7{TT^UWDkvwrG<&0sRxIv_>X_{pG5XU~@0aqg;O5qzSPsIkY z^N~5k#tIVlvScz}^O&Vi!{^YR3N7>+_or7OCTzxu1O&lQxDA6wZQHQGtjlR z>vf&))*(V!uqDjR;225VumeO^*6ILDeT>l1@6^jc69*ci)0Q~Vbk47M5dYxI)j|X+ zDx@MohT4}PSm7ioARBzF517G8o@`x2EtIkJfwm`npAsk zgGSD*DuBsHPU%E>=y9K*cE~4{T)kj$QPr6TMi| zCJZi~L8+FOGMy-$)UzIOCN;RNBlB%vqk6023kNBn9|k!{2{J0Ud+n>_H8lhjdJ1$Z zC|r@?h*YE;h(0_bwRZXYVso^9U#5Qo5 zhKnTrGm4b9`t*Lx1eiCIqbS+nXENVV1n;n`RSS8cRH}qbJq(%QO@;ikvuT)b)9| z)vDGHjHxW+LbeOAs>!)yU?5;>L$HzE-_KQvlezl>pk73D)4fL70tNQ4Pwb6lR}crKmM)5&dZrZcJ6{o1+6y}xrrY488lKJ>u+PYO z@2C=;l}RG)v;rC&!#d^;?3d3sSv{j=b}>?^GC=gzmwY`vqWjel11wg$ijNeem!)X1 z<32|-IEDih6w#Q(53HVC^|rJ#$gRy|Es&xwVU#Rx+NcVC&C|IOCJB}`Hb6TmC$I_F z#i=EVeQXUwSg@npjGSt1*;%&ohz^o-9Qls{o?)<@DzYiQ9n;7Gc1;r3BN5n@eV8Dg zl4q$CUSN9PC$jPT1lBuaG}V27hA7TK?kLX8*qR1}OH)E^p09ETFl|tzR`2`K#hQCv zoWhm3+s}5hN*E(+%v{cms}`=hXVu5WxPGNKEC?2BjY# z%`RA=o@?0~MF;WLt+KOXBCk}1t|M7TB*ggP$6c-m@7BBi}7N%5*p`gGmuGBv+{(ksEJ0HWg=H54pC~f&fM06YPE@y z?3ED0@Tnl+AOC=Z3xpb)Q(i8lfQ;iHyy=BEEwL1xu}rY&;z=yxm-^^6Yt_niS_FiB zh&U(&;V%{PKp2f6ki%iI7gI%(w-syWJ}7#PoY;hTE9 zlX_Syn2>_rl*JAdI9fTX!}1)cg0Dhq?vE%dNGW2->uTdJx{XNDh^N|6f?ru#fCRJK z2_C?pn8`m&dTUoj?C1=nY>cyjFt(Z0SnlO>Wt5O<&$0!1~t$fp6pXS z&-pO|#<$BI;-4lH%X1(H^H7Jz+jd~-{j#Sa4g0ey%1_G?x8g^79$8f6kSd~LSYA}N z*6J#uBLZ~BR;#QWF)4Wz%b0jEH~0CN13M6R#FFTwPSFz$dO4Q3(1&8qQM$lcHiRl{ zM^^qO8-M68<~PnO%2W|$Qh-QroOj$vE0j*uBgc*En)ODt!4WsAh#UDqx)*leHGE)$ zaMEig5tAa)^u(%p^HZ*0CdyR(LHo`pf7dX*aX!DFo|R7qr|%jziZZ?JDO|dGEz`|J zneOyjrc-x6xAA%=-piEMGVxTK*D^hOg$UDa*C{SV+-UW6tbBTcS3W(vI`!6*yH9ut zQ6|z12q=E#Q>*IyH^dBMR$_-h?UeyVi}VC?Nw;@Qg<_N^WYxqp z=lMY-s@GkOhh9?2EQ2`+PEZQj(=d75P}vMzy5_H0VU27F2;Gu$ItXY2N5~)p;Jf0u z!SiW7=G1%#VFH~ToOEDABB=0lgF{jvxVb_ANY{mG%DcL$@6{Q?sih_Zrml3zEwNd& zhM3u;Yao!QlF2ZYBvN9Slkv-DIJ%%a?YLY5Vmx?9hJ(A36B3*SRpRLLJlW>e|0~@p zRijeyh^Vs`q{bH+H*6(tKg$Na5P+2Rt zAkbAq@*y-BjdPN7R1N=(=+HZ0=;Fui=w-sft0lG~{h zwDimaE~3-I@!e7|3!c%Ni5v~0?vK9<2q$I#ss|SgK{wKAwS#34=?c+(%JI=+C2XsU zhB_+&i;ypQeknx>ZUeinz2M29J2AxPZW7t96|T#dUeJe%iap zx!3IwVxbyoqoX8~eCmwWP|^%0u2H3niiFKcKY) z)o+J#S%V+<-f9WbcJwKyo~TCa(yo4tEQvNkR=+QCF%k$ug2yuaq==`Uq=YKuXP#Ot zh6^6b6NzzItFokh1eIE!B%`;xhUx0bmwhx@NhPVLMIoiEf!d({01$o<=L+cpRQ(OD z2B|Rwv{Sg`E|64n*Ts!8!8%};B?NbaGis7FaG|PR`x0kD9NFMOMhZCvro=>)#z0$M zl2nR@WRgVKDkCIdG2IwDsM6l-T6Kb5z^eLJ!r!cw)R5j{rXfnA!O{P;$w#7c<}XjA`Hs_f9{FYyiERzvcvg1sPD zXL)c#-6IkkZW^or;G~&o_2LZG1~Pk{P-53Yxq44|XHwxUe@Q0Kv7u8iks4H~y#T`T zbi{Dj2XNZd<6g}M1Vqh&N{QC30YN;qVV%7#3UHE0+?9 zMA5%uM+z;tZS2@fZ&K_*j#*0eLZ)T9!^-q+FB_1XPXiMFs``R>M-9_3ZO1j3f zKrm3|0bqX?k@QVc(JGB=U_OTk;ouaeYYD~Uc3yp?i#Pt#j2~SP3;=U0inrQ&e)WyI zhWlC9V+x0lq5+IYo!LXVB!q(oxfi~$w1!)BO464K{@r$!xXb0h#x1N_Yu7wMYjd-M zQ2_;m6;tTG7o})V%ms2}#)6nDx`T@|cd?QKWw?w(F*QW@Lf~n*bC=A6LmYJNAxXlq z#Z}U#UAT<(5&NuII!@m%Gzm@^ZcP+&=Sh^NGqd7k?ns=@xxm0t<19>!bg}81APXWM zf#J{!)zmR!gL#C`hSH&hwUpfqcZ(#k+I$JLzF1f}1(YBFuiPO-FdFftLUpR5OnRJZ zdIH1nRaxHtO)zJ94 z>Auzd0&gELoU}FEd<86a+{g9!8UGh8BaQ_x8pjeQ20LFigq#+w? zns`;Yl1vRcZpb|WFL5Fe0)>J##90W5D3qmOAFG+X>IvSw&6;41LL=5l@g+@RGNC+8 z@hohaqA7S^-TcZ6aS9f0DT2n6V|sNxc3EBCWwDQvLNtW0rg|?E9JEqNHrS>uh;svY z!tZ99swe5VFxFBrVtL?5J-w;BT0ZTTOA&_NnD;WtL+C^>Xe94tLS6mSlK|i?Foo|y zpp?`5rxcY_{77#{*GCwz1aCyg{UKHd5Q`$DrFU>?X%b_QWly}MNjqfG5-G?@UTQgd zL>y7i*Xaf7G*P1!i5i%4I&xS}T3}7_c4!U-xb4NC@D$D?9OBLj{y> zZoYqmm=tgSe%js7CCapM+x0Am^1H%W%LKMQU-)d^;&gKN`in%E1biJ4Eb-=vxm)3V ztKa^8@5Y%Ye}xQ8N>L`;+kl80k<}bP%lutKy2X=6VEbI)lRD!MHwBUI5ejjm^J_RD zUG1~}ww{>Frw*-?;=N3g0y6y=5iA1)l-F&`1Ag-tD3~j3G~N0HU=(&to2v699n+iv z@(WRcj(AGSm+yp_pNC6Ax1-Esd>d*+2w|r%Ebcv-Dk` zWUir(;seO@m6OS%oOJ~xrD>dKvJjn=16h0PWeLIw0>RBw@T>qpbCCIjKvJ1o?VRfB zV}W3qrNEVJA?cVX>PL|^+~Yr4QP;f25kr~O=&TZPy+{*wCJxSlmC>OUX%fVd$1bXk!|;hRW8%EXdWs>H@66JHDx`(PR+{QYH*?y4=Ex_ zZ33q%asvJOpDQz)GQ%FU4+;i`WR3C>3f0Pn(XD+tv+GHhXXIiG++Nwp|ALRpij@}~ zF|H)WUMsfpHKq#6JP_qh(Gb;19yVbiu2i{F5KsxAVgZq-Ko&oQfgdGnD3*24> zH$XXw^~hyNhJ(9zf9z?&Ds_enp4PfH+s~jD7o~O%Aumo+-W9^g^9G(HAgnmeb)MrU zi_QeebJd!}AvFx9Lio{VYLDB9Ok#!SMkp8Kq}oJAa#M^XRBRyv;c$5(A?B_tWMy3N zu+fD$r6g30#Y%G)m;a*A_!NYssl=QiYhJE}R!2>i3HLY#RaZ*TjMQT~i|-0ReP|E4 zmwnx@^$Le(XZg&OqTqdo?*V0S%RK=&?5k>VoVo8m=JNLG{DYApyJ!6?P_8}yaxY@0 zD28BW>~QKcEw;;3u=z163GZPCy?FEMan?=Pocr6<^8Rl>tc9iDvf6T7H>l_aPWg$@ zc{);nZ9~(p=HoW5&KMF#UEAq_Z4^=Gi{V7mS52UV{R5wo>N6l0JJk%49r`KArJB`i zFMt9EVPVmzuY0NqL8_%19S>2BXK-JvYSK0wdOUWy((mbaer>vTel4?Xu?;{!;i{iP zRj$v3KZ|`j;ewN~6J!c*U%yV1Kjl@HIn8JnJaQFB$>R_l*>6`pd4V0)GKS?j7X%4g z1#Rfpx&g&m?V{~~f7;I)lDTvW3HBiEqL@fRV7Etn!btcBp__Jw%>fF$_sItU4*+F8 z`4}kuQp$(*4&Y!=TMKrd_+b2x{k}b7GD(~Vf`wuEB$2FQ4}(^{6Q?Sq>1>eBNv2d~ zV(2rF3xUmwabagRZC-#3{HM>O&~nK6bT)DY;u3!tM6Ty9x}A-)U1~vQ0Z+!HR7$P! zNr|1-#k4?a7!tga%^)BX}jV0_#AXUL9F z=<|zwg7|P4yERcJJ@)YFskNu(VBgDKyBB$HdFS@Mki*NJ4hQSl>q;RU z+_8s{9w_xsUwI&SKd@uk<&p(vko&c&r+ffthUCz~H~-0@Rr@PKN?)AvQh>kN@#m89 zgD5jInrwQoT{Bu7&;0Rh`4S~*VV0e^$o0xnGbiKcf{&>d6!GaWXOMb@&;H+gg-c|u zmFn9}AGs&h;120hTG)zxHhc50e+t(xXGz`JWBPJZ@7i+vG^uOmabn+eE2-1u$N!>Q zQmJ=gDZP3Zf7{Z_J2%XT9kvm#DE3XQa?`(LiP{xSor#aa_R`ol{?t);91-WzqV_U* zXzWk?&FI!1WzXFNrAJ}Ya_V~2B)uMWd2Vb|rQKfM@6+oUW`MKzkkW0t#{kqmHoDEI zH$QgUYOB#XTm|Dlcn6m2i>uaVZiRIBJfqy%8Eo!{XO){9yRY2YW=6S}zi4N%Ew|9! zI^#sxai*Ur<4658;{#V1yWgwGeE+xh1ut0sZ6Cj23iZ_~%Jr==Prg`g9&7b+i{<*( zm?vK>H;=XYxW#gPYs`}`mYc^~ecWQXzBT5_7t76Ktv+tCT;Ce=f;v6^{p{azF2M^YxQx9<@(l` zCtoZ#kG1-^#d3XX%#$yco5xyx++w-DHRj0|%gtl0K5nsG-x~Aei{<9ARv))mu5XQb z^2KuVSgVg)EZ4WjJo#d|d92mPEtczBW1f7m+&tFm;}*;Htuar&SZ*F`^>K^k`qr2y zUo1C|wfeZla(!#elP{K=$69^dV!6IG=E)b!&10=TZn0e78uR3f<>s+gAGcVpZ;g5K z#d7mltB+eO*SE$z`C_?wtkuUYmg`$%o_w*~Jl5*t7R&XmF;Bi&ZXRp(af{{p)|e+> zEH{s}`nbh%eQV5`;TT{ zg4E};CojY!Bk!T@itoR!%O94@Qu6Vk@S^^b*i?3J_dASV^(&uuXx09TOUYB6>o0}0 zpXDVXAO7-7R`01ivS1J&$}&gb_1jWA^74qRdO4!)AK7v;NboK0AKA z&$<0{L&9)nytCce87jU1SuFLDgK&Z zZuObJ3{X>=<~nVr_Ab7EBUmJ+ubA=p+)TobO3J3w%lRXDo}&;mUWUd522g+w7_(xU z8kr2+T=jMaM3$e9uIIi2*;XXJ05iZFD_)GA6{wyZ%E;S-pdz-SaQroUl9 zLFn~|{SUcu*^AB7!!ofkAM{qS*7x2ZMMOx8M&gfdptWqxBFsn-2|a%wB$+T+C6siq zQXu>IqUXaV!SF;&S8V3%MZ=|i4@ z5JzDO)lIO|r0xyFHP!#KWMzaMYDJE{IZM$VJc`sw3)W1Pdw6;XnVGWC$LT7zvvBt!9k+tU=-^Lq>(LNLEJ~*-J z{0+z?R0x96uE1@c`jaj9Bu>?kgC^;1-=s{M4XKi38JUVsE^JkB7l}m(l1M3%gl65~ zpc)Z?8`Yf$1O14LM3Sy628?iAT$phlECO>3l>V3uDKoJ0v1>u0Rj=r*KV_VNvbU0& z^|JewOG1^k;S$7P?-GSiR>E@9RZXk8F~qT-dK#-LRp*2BupI%AWxNVM)sn0KMmOK; zRp}5q3Xh)jpdINn!D+!T5cEmqbXuSvppp?|77$|<>_5@|urQwqbC2w)fC1=ryRzS#Ss$Ek3BNbGtqw zely?u=0K6L zUnuAEhl~{Qce)Y7N4{yY_MsfcQU@d1%Bfp$M0!<;l1!?sb{Ij<3a>Yv zwV6iCr(`88V?~xnyUD3S?a|#EVkb}3u4$g2{^LXHt2G~KkIz9;p$-OK^94jcKyHcB zs=nUeK$i~S)Z^RLfuM^cy?Wtq4%tYvVVbbC90a;Dm&yH^ye=_?U z>gtvox2xSvzFppazr5ii=%f2@+;yg!!sLM)>*j$I)%d|zuK7#qUk$|#RrSCP{x*8`AdHC2T;SX2(y}OK9~L$c;AOD-^CX%pZ*hAl5dwUvsHZUeA{0;*C)`~9yqbfr^I{v751P1$fiW#kJ=Fe8vlY4Et$n@aH`kRFNp-b-U?WUY@|mK&JZI zxltKPpl;X9!J-7(e(hZSQEP1Td35C?8JnzpjNacJr*ESt>=O;_C0pH^cvE`8hgYNN z6rmQv&ROB{eQM+D6k#f0q5_=ww&27+@o2#=C+#!v8pg}rF4!Vj zt{`C}jc#)8JTVZowY;*CIEELf81->%p;C%Xo!h4pe)OoWAFQ1)Nt0`KUr*hHSd5a z0J=7XT#B|;3w8!oLtH0k7Qv<9Al3GzmU*hdXsBqjcDQf(Zt}85T!OeF8se;ZxVHUt zw>&LIu+2$!CYg8-EVTgfwhFzN5!6VVnnMz+?pTTZO|MmT9>%f~a|QS&hXtot`<}V^ zL@Q>V-bS7U#a!Y3c=z2vToR#lPs!#uX z;YQ0OQKo`aIXp4u0r#sJs+@*%AcL6%Wtr)iEGUL4xuxl59Xh$rs{~J4fRl28s}lcVt915?&n8Dj{ZE#Vp{ayqS3#@EcS>AIp>f!dNH#hX8 z)Y?p%8*)>HR3a6tl%CRqB~TU1tD<;Ii9r({k(W^elX@+!G&NMHq^*^h2n`ft(8$%0 zcuTl3T4;^b81VsBNz4^cG?get{J#IO9((qj*|W~fx%yrcWLgi4Pk9adfFD2}0yulMFhvNchq{G{Ca}o0ziilWcPnr^tv9 zHdIP#YC)>Hb3#0c)RwtPv${#mnl7YJ<^S>3juZ#rf|iIE<73270<2n`;nGr)6^$56 z2;zZtX%yjAF1ji}zo3YsM;;H@mOY-RGwKLV=q(XZAM}OFELkXeh9JD=kh&S2ZML4w z=thN?4G*^PC!S7`Ibf$EXhb*17(L`F zzH&7`z1UfF33(+ZnDD~5Lv~4C>{&Pu-;gL_+637YLo+D_GvZz-X#%z+Iv8?rLAs63 zVWWL$iq4@4GMz((pW5)4-3;Q9kD(7?s+mY65I|bn*uWh;6fmG@Hsf0mH`rqqM-(4L zg-nh>Fm`d&0#K)J7c`N`4m(p7=)R*pd2@lAV(@(M{|mz8D$}lPHsT;kzn` z!8z(;3!wvM3-jkLL#pFhN5>({wAD=C`25W&5=4?^x}e#3?7kz+GEI>QngV?qXh}XX zC&dKObwF$0NXYjc85Ow2G{3-ocS zc;^>&I3S)Ad2xivc#$+){Nm-->w6gJ&vv?VL%pa(=ypggo>L3s{MY9Pa(a17! zaEb!S2~RK>ntMJ03a?ujLf9lv!%K>hSalvM!12vXiII64aXgW%uYeM?FnMVc40arV z1|(TG(xo8)r;h*n?q*|pGn%kx{+XXR5%?0KatKn_NG6Rfwaco%1!YYXxOi|+9D*ZG z5G?M7GI3OxV2qyh_MatxsmOI3H4kl4KD$D3xF&{0*1oQ9SvCKGSP(5@I~saxB8@C$6?xqd524N_TS zbQiNs+^j!bQi-yUy=Hg5`VpW)?q`CxDl}1dj`T3Rf*}ENC$gsCQT)$? zo4KS_p@gdVCk{k6M474(waO+TSENjG)u^>i8_^Olw)F*|d4}S;Pr4I&KgX9{$~d}RTAr{#fC|d zVD!k7S~B*6g)UlP#tPh0|6Y7oB(`d1Sg6r5n|Uh{%Ned9rKa^nuvJyxnvWcB(P#TJ z*XI^ROj!7Dx7lp}q1kn;mPyO29r~ls zGawR#h+SY>oo#ssM$qk@st*3{F=H4Iqb!Pu1~(!N?8r|rp)T~GjH6Y6AXAbXt4f#q zRy3TWeA&Bu9D2K#CX7&}6a}S}3LC=qR%nm`qP`3y%#NL?h~Ca3csC8V!JL$C8nTIIB$~tTRjQgW2qk5sKc)sMSbZxpjkyPTP%@6#7!zLCsK z;_M{OH{v9xZ6P9|bR&q0=FBI~PO_O#yPVG?d84DqM}?de(K1Jh`e${^%%`htF4Jqa z-nV`2TfT~jvb*H`n^?z@BAKAr*1VC2-?B{32Ab0eBX<#+IjJa|qc=JJ#gm_YX46ck zQLB0y+Efsg1ahndW~e9+BTFjWN0zs&jEtyIzm)JeN26mnA<`|yOFbo|K&eM&tT`h} zCp?@z>a5ZdPNG|T0Q)mnCe#D3b~_i`xZ{7(t=$+>!;!MCZvjh&KPX{Ekc!CYt%i@w zPLoD-%;=)GwWMd7Ccz@9w(>V3W$YOZf#6mR-Nt*{VVj@+wIJHA5G!~WA|S120?zO< zV-_~v_piA{q?AOx*_bhv6T&ghdhI|jVMa1$YEG!eq7qGD=$VS9ZFlfL)fjR4Wm+lv z6m)x*>Ge-En76khbD75Bi8&^GY%UX+%rIG&NispmGC=}aCS-zk6uC0AW|>Z4E)#TL zjhTnGVbrAqPqIwTYk0P1C+tC>U+`$OKe!sl+;loPx9HGl^yEj@<5+${Pi&AO%z21} z{`r?Af_qmJsZQV`ey7l$#K&97!+GFkEziZ1F_%f}3G=5ugE2?iDz-%LB6WSBqYuA) zk(vYJ&-#1ExArzJ*^H1e>Mb@4ob_TBBb3`_vRiY2MqY(;ds|4j?|*I5SX9k3L5t9P z@jHUoLHg7Ud+9jKyzqVQEc?-EzB<<#mL9|!xgJ64gw)7&hNTCwMy^MYIw3W3onh%g zJXaz&$-fu$&S*#o)`G5CrqVuPmTB5!Y@Q9s=mxB!Hs~zVc%WIPs~(L%B!H2T4vv9j znWn=Wbn8pxtmFh5!Y71W{JE1#a#%m}$~9a{+C~z4%Tz}nI=u{hZCH<6epnA*v1)_4 z{(Wixfzr(8Tpz$_^L&m=;%OU_u%i4MPG;nFHF96_R&>U#JO7?DPFb#W`A(@B<_sYd zYjZ>U0ex5_1Mja9RGXT1I|OQ$(KdWM{*$E5O50S_rL2pV%5_U6hz`Y3=b=AMkb767 z5OCJWXnTtbSMXgz2`yI7$KsNUH{hd4R!_;DdNM$0gi286l=RGg`G0k)>>|2&!s{R< z+}_pHp;YM0Q)}6S@+r^ja6w-(-^rgN* z51TDg&_2HsBv1);!p9H&?R=>@oJ_$CM!4KL%u7XD3q?+!(L?^T@W{cUjcP=j>~gi_ zxgDvCGS1Ixc88>zHJW$*x=yLa5aLMlZMcv)>uwbFAPo3^$@0fE|C^hs5u(Zq{@0$V9H;E z=_({*vm)w#+*XU^YF5#;b`8RmH+v}5nyV8ysR@WYYr8h3sI2f>YS1*jlmKG;!gwh)eLh*mNgky0nNMRpNH^}7`82+9Q`dY#zAMgU8aI!=r;)i#T>ZPpTqZs-$9YWK zyT_*6T+f?xnMmX_aA7@fuFWKCg@a+w*KrjmqGi1&L(B7ZBi{OqfR2h4yZfr#hqV4m1}RkDGgP=TF2(DR|z_8F(BE?YEJX zLCp?ey>rtMWg{y?XQNGJ!N2^1rkPGn)f~?{4F#fF?BNlyU=f5@8BHKaJ$%N&)q43PrUdJ5gU-XZm_y(Ri^TUuMq4h@Eg zK-AiuOtH=Do95Wy1sS0$d?4s?hes2lqdMUcH1}R1u zUG$D_zEIO$Jke%!r7+aNUjz|}JwY=0=h-rUj<{KDi{ec$TRvHn+^+GXY?sXtPIQH6 z>%^odKIo*qOIP6K-slx^kKlKzr_)54SnuD5iR9ANt^?JO$$s=r=Si+a*8*Ew`-%kG zPKNfIt_>E#hgV>RO2DkPV)`>PVLl(IPyaJ?t3Nym_Z*m)Z26o1Iahp58);pbWjpQM z(d)kGrb6IyeK0p`ah8$`^=!>i1J~5M&*Eux3!Hd2$3UiJp% z5gTqJ<_Icz4s+IoQ2e}~!v>l9S~?|eSm^gD_?ixuKEbPD^WZJks}^0emEN4=4%wZM z4&v9oLzN`=Uhdo+?Q_U8x@QP;1XD6)R8E5Ti*F(mfs49gEtOlcUamyX1u=IC{|~{R z^ROF#wCo}6#NFUnhsl%_>Y*Y^VymSnFnk0B0!~-_{mq>W8kQ>LQqb&Mc51|!lncA^ z2yjYy+17=nqN$ADJ^n}Hk1SL{zxdvJYbygf^*#B%(TR+Q-oZA@`lS81dD`=M1(DNX zLNt;9vhZ`$U|nk6U=AGISh5t2bYP-rkw6tIy#zRPFw4}5R#3Ph7EriprAn?DeazMg zzx!L@9M`Z0gHhvp*5(2oH4i{REi)%p48c09#85)EZnUGcRF!H3lB~#r)?A(mM>~GK zU3(`%D;C+7^}Nj<=wn&WN*tg%Pz+5IY|3x*NsTSd&QZJzJ;qOcQ3@N`L}O=dx^#>` zfCTTx&Bx!6&f99MA~V-+W^{>@*FOIH6*S8;0Tb~IOUk}MMv zCs_TvdGEs*XRfiDCI-;>oIF|)abw~7t*WgLKYmVKz&DFnO_#6KidT3&aUL$nojRJT- zIjKD|STY=f?ibwBG}CFc*;%6qH!ro7?NzIi4CbUL&5Rv7WYxXtlroQWZ<~%X`<-8Egdl+)7}WeQ7HZ z``f-Vacdpbw)wqnRxL@=!Res{1^6l z@1S$CT>`H7D2!}s1Sr|`1+*usQn7L;>Qz{f{0G{SsUUqqs}>+oky`Pl*Jk;-uY<_hWh8~Y^_yUI;J|T22Q;1y1peb^RxIqAOnJli1 zLyWSvkol9SF>+vPPAVRQuPhGc?dMD6)Ip1#`m?Wn?wqSKY7(v3wJA~XAiU(6U0J4% z`9|S4=8y?mZe1q(jkz?-q>F-guK5(_GBwRjAJgBM>p7Qc`E{8%mkGZ!$C*z^Cdtev zSzSC`D?HA8I)b@O+t&asbD2Jk*%7u)%I7k*@@mPn;%4GGvC(GwPsa{=s~Q7 z6FK)}{G+$!gf+O98?aXDnFDefS{L+qlEj6}%4pJRf#4M{XY_y9t7b^UA*9#2;do`9 zROd>&q(-hrt~3Ira&^qr$dyK*RPJ0EbCdkr!Tz!(m>H68WxDISOl5Jy6sh~u=nt&U z69Rdo;jaE&*Ja9*$UWytarN)%bYNpm-Kpy`m3Irz)?xN47Eb39Z-d>=l@I>m1+Yf0 zv`=c}YUD~IP%2l)T#a051WM)Vn5&U1jX^YaI{>EJCT&DUPb7dFdp{2m`e>OA^J*Vuj4n%5LAN)0PE9&%Nb!+ROs&lP? z^lsM3^$t}FSR>a8NbhEiT<=h|fHiWhfb?$G$n_3Y3s@u93P|tfxe~e3jD`fFW|@3E ztR)hrytnR}W!g`csm^7pbD8{fK8SMgpAgf7h(dvlI+v*+Xeu)JF;{$K>lUn$D~)iC zT#a051WM)Vn5&U1jXfV}z@yAJpa5m!BG=GJsmj=5ra(rU$8Ar!Ec(U@wZM?BK&>MFfnQz2Jm_UB) zt|LkYizJ|h+z)+4o@KfTbD6O2*n^kieF(bm*pMksH*GZ}pStwu_!P*W`?jVziDwP5 zI`;&bCcJMPPqud&tj?|P(;$M`U_m9mr_T_E62Z7}OvXYq)@9<_OujDDbFnrP-lq?N zxPjl7`(}CHdI^aqHn1*}ypL~zvHjK1sTg(Xz{99Z2cE996u>hpEiH#`{UY54eo-c> z4!Y0BPX`Wm<}$T{6s~hE`XHLsxzcW^k*kp_jXQ? zyOlBn_5ToMaf4L-geVPNja-dfX#`5;&XqBj-n8Oa)0f!YKkAgvkiS6yIaoI^n^WIS*DuE@%~w+9Q-H5beW?$Ky1B- zC=T}E$6WD|ty{20t~A0may4?L5h#_bW3EQ7GyCVhtoqK)&D~j_rl=ET=9{uTd+p1G{QA< z|KB4wyllytI+rQGfvIzun#T^{iMcwLDbGpu&ob3}h>}lRcagYZ; z=8BJO-GVi8r4g=?tC1^>K&f0Eb2W0M5h#_bW3EQ7Gy9dK-#nePXWF|Djul7q^;a z+FzEb&SlDTQvI_`HIdW0O7v=mOGz*EIzjo=NwIY07B2qlw7C!61DeY;Cvy8Zxb=z- zXUugRXLYV4D7{EEa=pUT0jiPf2ud$fja;uVb%1K*I)c)RR3q0bOdX&axsIUpBGt(C z3R4FtiQMqAC1>grbNS6nePZrFo|waLz}4TFix&%wx^&>-2j=Q;%*E);s~v6{yn1Y- z(f@pZ57^m)B%csx%Z2%Nt8?>Xvp1(kZtwUAH~;iCfnPn@L-HUXUEW8T4`dGn|{uhT4>0l9x6VO+ejn-J4BwEdEX!Z)8uaB+TIjTXbM@+3-kqXqCIx S!-pHbI@mes16O^$$M%1+il+Dg diff --git a/dt/dt.sof b/dt/dt.sof index 5f2078c21029acf4e8f38cb763c3284a01a07762..79e206a74e136ca0a150ec760a412c8b16da254e 100644 GIT binary patch delta 28021 zcmZs@3w&GEnJ@aSr6qYwR^%;NFFOyGWLZ{10LMTR5*|y69pvzcl2Qndf|3SsQ`!aw zIy5JQC84BBCM}8;*nv{mP6IUzFox2?FmrE}4DF=!o_ow(W^PaC%v?j;8AF*n4wsqR z!>^s*|56@9!ri|-ELqxnt#5tb|NDQBwZ89!zwd;n{=PguWHqNQSp5A~sF?oYm}kGo zk#Bf>uU=q_hx^ZbowS*B!e8XSa;|)YcRBiAw)@qY24BuzE${T)>~+4ji{147h1YCj z%|HBfjbrcPPc?t_=H=g?TDOlm`_}QL<3oSW4m)poJpcUaSGV9nugZw$Lu-8KhF2dR z_T0dmHWRPf+dRwsPWgF0h(UdAeCXFrrB->FMPxh2ALUOs|BzVals?0=KX5%A^IrY0 zpYhDI&K%`^Dd)=dd{=WODVi7TxTSgB!-?~wK7k!D_%^$@UH{~Aj>cdUXfA=`8 z&++c@pNs5qG=(W2e0L_`Rz@?=7Ob*9XY{k7=p-ptx=32Qlzu5IlN(?e3Lcv zNBYNy!p*r&yE|RZXm*%ryT8!f^jeHr&3}15;plCi7XmF#)>J>yjzF`rIMEyohnQ@> zwD0@#6uFs5iR!|BO;Gdh^wJYjSP_sOqKz@KE{!ygFY9+&S-x_7DBt{Vw_nn!n4HJx zxe=STH;<@fbNak4mU51yJb%{JAV$<;%KMTxo58~-W}-|xcmIUvo7-ONXm&llrdf(z z99%?{>-4Z0&RE%D2U%i#C~@M!?ce=MXfd zX!4i^hPnOFpH;rRa^AXu#hVvT>}fvr zPoL?s$%h+vrakZA6R!#9r42k~7QN~Iuf5|#PFI<-f?T06DPs4Z5GXWmC!Td-m(o5( zG9+m49)YE6Zn`GMShMu-{HA@wJ!WQIx`U|bCF{3)G-R4{Hr?szX+HRcZfCmAfB5QP zg8dmAAF_=Or<+fG=cr#PqCr6Sh{ zF71>M;ATj6YlJzKD_N}B_1O92LyvZ7%|dD zBVWOfJDv59umn@hYafi83Eks#{Sk{etrz$bzv~z77i)W?HZAbH92z`m`CLKXpAqS`Y3H&m zPjp-J7%!*GG;0?RE;B==8su;;An&1hR|5$A%pHRims9{ou^1DsU&^sP7QJbazFcZa zWI*C;P5Fft^FBYEYeOM~|A8`^7mt=cj8{a%Q)TxSSpEt@1%v#X?)E$lg%eSTjM(K= zSlk)B?wBA+X)lA?#kzE)&(^z=G?VmMPX<+U)6NIRhb{`qjS`j)`(u>cR>3%v!Hgx| z@1xYpH1XSvChY)-4#hNaq675|xe?;UktP0@6%RETi*>t!mR>w;wR#yooPv40FSoo5-OwwvBRC9m+dE2#p zTDX}YjZqdG{(F% z?lm?C>FfGn@|g`JiZQNUSI)8=gZea{^XvRE5ojGHuAh{YB5IxTFRu>I|F329o&TBWYJJNV$=V7Z2G}@9%U3s@=lL#c zqet@}e*8BERpPAZG#>F3n%mM3ng_L=NDw-Pc_u;)!URb`%#4$2%Dz|4mph#=UCm=b z7Yb>{(EimspIzQ8UsGk4bK84taYq!Y1@Gms+xbiL^d-#3z<%0bs&aqxt)+=@=}{_) z1A8p?7g_=VBXI0hxyCF zC%E0h$i^^+o0|C#5+SN5AecJrBgN%soV$0ivGJiR+f-s+Y%m{`-E~=KcJzMZ(_+nS z!y*`Jgvpyyln>ISZGjty^_g%Opw0Xf-{UOMC7>hX#`5lzT zStRDotLw==sjal4&j@G#sOS6hBo}Vf3INiCE(6}`{9Y22AAeKM@to&g%;Ta^`bNka7MEkmq+NwtCqngs zG~1k(Y6ybcZ97*y!oftr-^YjM8C;EEv51nSWOq>HJfzP{H<#Io#B{2vX%x2_WnLe( zKZMUUoFmKm*PEpqmqtM!6g_1Zm6xJ(EYp9xe*<$iy~v_T*$Q!?*r2tv%4n5r<2ZC! z!<*d$*Sligr8c=?=txb|_9~Pq(d7n+1w4M*%EX~8z;FM4&-2Fdd4W|mk{(G7mZZ82 zI;`c1MFmAInv7ZWycMY5ihJYk&Zc|#^C6p7@YJE;=%0*{I%+w`kFx0eB=Bfo#6#~q zM6%U#ZGAN?seNIwzPZ%+?4C3YYyp@kyXr+6d$Vz0@!zXWe~# zXHZ(&g`9It!tL$KQtAMC z$~Dc)x*-Wyw)zbI?R6%+JZEB|>dFH)DUWsm7<5SF@W?Z-IlK&tw5W|)T)k@>If=sw zD{t0j|4p78XhOwSdTqlfwiv6Dz6X2&iXF9c-F`W_dQ3l#&k{u@*Gh4cD10U)>DyKn zE0cn^3g2gFagJ}P6l2Vo)4&Xbojbf8WP+!UwIS}r$Kaq^paY$3C9k=!u8paZt0Z>noIeC&jk65Ln#hA%tQ zIRsILK_rCHTr9cfuyQYf5UUM$<>BP7iFIcVz}oQp+dC;$y;;zPP_jAt;C2LU7a?N2 z+L}C^5}-l$!U)VYp0rCT5uG?nxw7T8_HJ2H?W>ycn+Z;K*|oJImhljK3m~<#;)Hjy z?l>fEU7ZqtWk*+pw^U1mS1{&=0VP-R^!U(5xaW&Q8W2U_}wp%oL;SIi%;^$Dy7!C(jl;* z7ef7ukTABE8xInR0VPL@foWN>4yu$+Z|2SwJNVA=p>rc`5MuO1%A@HR zsbtv;)QN&Sildw=lORw_N$AHVy%@1XbN`)R$Am73w%MxA0w(}}#<;4KGdYHC+ijAZ ztrD&DuC3lWq1|=5dCuc6bd{-xQpzVw;3sS=!6(PNb<5WfQ_SXf(I8zW0xE=9w(QyN z%*ENoyar05J&Jf3+vVZLm1o*3m?LH6qg%ZR7#wGb9?KnZGm4ssdB?|Za* zJ6;1qJ6|)=?@&~M(v! z4$g7)l&cF_&$| zSj3c|F*k1U8HU|$O@LspU{t=EEFHvG2e>oU!&Bo!`$DRbwCHfq=Xs+NQ^?CD2!M!B2u5PCUUsJ3h1s6y`#^q0S+h_fok;%J)Dj(&o9{Ee~a< z$j)RflEpLpoEM=Uo2yp?acELJhjM0 z)MnEHDhE21YK79x{eyotPhj+E60^{bn{2EkK;6dri=w}Ss?djQ5Qwr`ScH+`4?z8y zV7I2cN56wrQ9&pF7VCEG|G|85rR2r5Y_Jr?(O1A50?$ zcfmJe39JuI!N7K4X}nbWWj2L5{%0~_w4EQtVqMy{C^tm>yMda|;;Q~QS?y_IkpFps zyfnTWnSZ4O7dfvXIvKEsJT%AV&l;WU@yB!4C_c8@>n{) z`~(Mbrf1naAA(9IWQ**; z-H_V?D$zNlTHkBX-+AE0e#Gx-mVS7#J%$|BQroV?d1++;s4nt`A^NL0y>%9Rg-qmb3qVM>-HG_NW5?oF=LO{HR+;WR}S(z~6nK zG#7P8;4DLwd_F8{MY|;t=!KlT;Ia4wY-Mb?R$57F>bia2$rP!vpW4k$_C2S$%(8GE zuC$8;Q8`tj==Ht=?i=s1TOK(!g}~=h$h3px8(o~HJ&f|sTaWR3;Wh`mRUIlbL=7#G zyTs!CR&8suhlK3x_Wi6tk+lV?`mCi|W^7nPuYv1GAQD8v%KD|nNi(V55Fz8&6Pj#b z;lv77x2`5tOKPpNOl@eU{_8!MzYh*{Frv5Hg((EjZeq6>vlMNum|RbS)zf|@i@Syi zfHQ@)o3M&Bdkky$$4LDyi#!0P0E(ubDSQ5n)%tg&Dh5mwnHI8@zz}9)>vJePjZpt3 zPt~m6*D$>kxD7o-&>?L7JsRG_xFt>QKiZ=S$h;?z8zs?8sN%E(VGRNPz)HLqAu9t`!adIVtJoj6r>C~_fLX@F>yXg|Ls*Fl z#5I^6P4>eN)@!o2BDF9hA~9)H(@gIq75%l)yi567eTVFO;cEU>srDSBSVVf6C<;JP zUMeu3q*r<@r}D-29G8VBHR*B6S30EiCT0g*2Z;BITqn~%!w}w7%pI3RJ^-5Fge=Cy z4C)Nu3Ks&WU+?g8?QKOlm#e6g0K>W=c1-tE4`S)NoxeW9 zE{ZT@Gxe=0S{h=*xeS4qJC)C{ZX*vXgXn&*r-L0;%)M2@CtGT4aoAWcN(L84zUH&^ zYCG8)81~M2Q;$7i^Co*D7Pm*CVm~KETQJ!RI2FHzu$E3%haQe9+7@Bn0s)EBw)Qjm zfHe8H?p{sGjq(7J2sBgy4hls+Etx113=lN#4YqW?R9H1gkaiaEc^Y056701?3sc@? zJYXzgw=nQ~VAWAdYbZ-=Am?fHx0UUCVZMo~g46*8OYXPB{}JTVV`_c7H=^N@?hib#tVq(t2 zqn@X`^+HLdbBO#QU+$G*+FfGxc4=h+N=%;JAW+q{DQjdoW&XnO*W39L)r$%VwPUIgAZ0I9EVsI$$4$@eJ^7;^ue%J#p{UiRp@OFgy z5^40lT=h3~qQ|8ppF@PsY-aT@_`g zF_ygXXHg?(>7mLovfzk))obCIrIoQfyxzvrja5O5EFpklx|M$pb+dFd77cd(+_I3Ec|6Z>8Gsyc_gj&KSY6B;M0~S;w|VaKrt63 zrk|=q7l`KiEGHlHd@nLo7=A9R+Xa2L{tTJzcQT=U^a7oTEYUyrH#^Ooqrw=FLAGLkDRaKz0`zlg@L z=5Q3+zoq>&kYWo8deVv`hJ-z+?uVO8QqFpYaYW)4Vo8|8nU@7^5pJ+D0}2MSE`ba! z!Y71l!Ra~qM|jKx=i%|?|K-Y8;Ef?hUWfPxwCj!*tQL&t%=;0kYijveu8&*bWxZ?j zWR(CEV2RX6$+{$ywakE>ZC|4VLnfB!YDqf5*StGQ_J7#z-1ix`oP)`uGG|nvMGL6t zUc%z4v&N=GifXcMGR_&0wrj_SdXez#UNejLw-f2B0mz*}n#Hp9?oUF#XI)Cd$E0e! zh%9JT%f?2Toa`nshB(*tu_n9wvyT1`c6IEvf<-&MrOb^yx+Rpek1w|R`@^Q9)xAi< z8UBQ1|29Ykwov%CtNzbGx^ci0pw=CBbNz##b>w`0$@oxY4XYxFw-Axvtq5`llJ+nA zE&nWij$LQK@{?Cpx-~cByE%tc@sQv4rhyecjUr1tx$jz2@ZK^$bm_=DyRb$mYAzzH zHc<4QV5D2kpYRfnS3Ywh~6hk<6ubGQ#4a|*u)+n>}p9ei% zv#M%QqD>)79cG#0a9C^p$=RBpwIB)O%1zN=W`~htF@9Y}mMnUQEj~_qH(BnTIk|NOurq-K z2y2GFUTO<+^zOwQ`5$Z@eyqN~9J1^WSdLz_3f8A=8S$QI5d{FvsVI%;r|i;(fY6W@ zmEzo;W6gJ>rPCT|zk;X<2q6ba=>h9Hf^S_B*4|SCJpI3{q+B-|d^ zD7@Pl8*Mq0sMrWG*spC+gtITnxKtfVj5G3qJ!3)bu#e>156Pr%vUQ(p>ydNp zS1j$h+G5SSN5A2;mh)>(mk9C{;dKj8FkaoR@iZH$d)sf@&6i$Xc2m5%g7;7_jDAGHjtg>w4_kpH8v{AwISIP zZU;W2J67kM1WNuJEpp?c?+_}Zy=j1Ue7>#V{HLKPTOhB88+@jZ)B6QWx3XjS{Zk`{ ztbXPa^CH;VSDq=pj+6c&-R-chjIH#=igxTV#CmpOsobV$i@7UpbrwPb}mAuu=gQ4X5K>U7XTc9;Ut zxI)r$mVJ|VFI25=DZ6?7n3d;iQ`$ziQz=E7JSs^8Z5IjwT{$&s(*uP)nNgW54;(K4 z!q0myPiV{u3CfY4?D8G zLi$OhP6j-_F>gt)RDw1d`}pLgs*1b)nvEg%x)Py9FL=*)-=Hzk#*tHoZ%D|9uLXcC zdx@WK3*A-NHC59&J75WY_C2*NsuC*pbF$+i&r_Xz8a8;m`!S+6ah1_hDe&&U!97r$A! z8<2X|+~~%-6tXXE9TS|^GA1=xSj1-?+CmZfC)_G~I!`=4w6JZNzl-L??U6}!ZcTu5 z+V}Pdc2@yp?IZl%XAr{`JF#y{dgef-2K0J+79pP#QU7NLxqSA z*!D!EzH4?DORnWe9U%4G>&~N&G4Y0687@?NE5Qsp2fhTBh`bE!vcDa*oU@cIF`lL^ z!Tdv@0bWx(49LSo?~cIzrO5d8Gq12_rU_6?13HjQ&J8kq&pNRrB9)x`ld?(*^PF@s`9SwwF-NrX7D=Ih)i;T&O;2nk7}!wS~qiK@#d2R8@jVNVJwuLQ^-CtNGYWbIDM9IWC~p_;HwW;OMn z0ZrF6DWHatSk=n!y;YFQNCbEvMJm08Pu{rwv#wOYDv3r%g^e3(ZC7(?&fdc>Q6;U+ z`khn~4U&W5SW)piyfl0xEB}hwkpnfc#aB?tPw9=ahP`hf!rTT15T(s;R)*yZ+z$$5QD^3y=jx}C;2GRbAA;6$Bp+t>r%*^x-plJ4U7Ei z(%u`=mJ3!o>1mMMFX&i7V$D&6VE@V=BPD!ro{HRT>Y=&2wG*t&afoOh`I_cGfcl7rlS&cp55If0P!#<4KOvE@Uy} zM8oqElQ(};PI(uR*8_j28GyyNxvn#(==hqCv646Q>O=^g>bs}TIoTd9m2_0*Ar zPY#V+YtOML!nfJSPF4H*DcRbxX5)2eQ3C4E-cmlL2cKbdd(y?VzN7w>!!fZhAFUiTu~Z? zJ55JtVmS&92&>ALKqsCG!;t7QNt6L158HMTp!F9qX@y&-Sr+H%sy!C4`X@bMts0Ul z-tb9vieITAqS}+3r=C$yzt4*(Q4d?6Qcu!KKa=$jPgaKqKc*$G^s>6VlH_xHiinVl zG{!$6BE@e-|KJrRtCh{D*Q#>!zt~~0)Uz&=@=+aV^8m%W3n+TC#R}`l+Qq0Re*^IY zD9fF;$yZHi!#)|rJcyVh>1?~-6L6ZNo+~~Pza&l<4zSLGo5BHN7ZV`B37n2=%u5ry z{3$94J~%~W*qqRpnPkZ4-L&}3fF;o`C!CB|OgTY1k*~10(|;)+Fd@nxC*jJ-zBjBy z9=St!g%7zMpnW zPUmHaezObljz4cX@2q0o=P29l_OaiH-^e9g)t|KLlYW`0qf0|(>tYO%@rkSs1QlOn zeI&~IF~ClO`Q{KYd?p}2jbX|HxWp~3IQRbtoKu!JM48wxYov9;s%WptGqh#r9l0M> zXCK;O%9fm2t+cB&+A7bwRP~UJ=#*Xo{ai7mjU1*cWX;sHNi&xMYysRxi5TC z=|+|+%MCP`7B?;O-3Tk09i^sjF1*0DP%C1weT^jkg zp{lo{ZVpfKoH$A!;!ef0wN2VIetz7#W6biZUZi*zA;3t3OIQ)OA7I<)86x!W#M|q5 zPakVlHe{7ZTz94Rp}~vs%ZRV=q=Em6A8TYNABmX!V}_>|Q82CDrqZGk=BBMY>dtW;8SFQR!&sJ|KhW5mrU!G+^%?46M% zYi<)l#re78{3nGj-w-VOtV`GV`qsd8kKQAW^urJPE8wfyaqE$8(DZDMUKw>Nan?@U zmDYURAorF^SSDO;6(!bD!A+iZX@RKzO3IJH7EpQC)ks|?7%l065bwrMz%3{*J>bW z8YQgwp_p$s0|K6TJ%)FxX`QSy!Fthwb}OZMdNVQ*ya6o=pkTCqRr;(rCw8TZV5Rj_ z)y*XAHsbxe96j^C<`r~>x4MM*K|8Al_N{Vn#1Z9K%xc{r^-D46V%kwx@ln$QHGN(@ zqF=|d9R#8TNz_-(17^D%!s5?SR(m%)`fis`&`U*n#y4?3Hvs9&5U3QE2**-9;hLp zNVQ8iBZGkMq>NV5dn~u6q9xF2eU<_t+zH!@eLT0sU$ut%t^V`nGn655gMc^?Z0Q)_ zH#NR|XKdJvqbh1Tz#0@I@l6Cjwo|#5#}nW^9`@sfRuRea09?RzIko8hjRZQTbmvik8}5+j`SAEn1h)^=0W^)t`2uwEG=@!l?&{yOLB0sdLLq*Ar? zaF(h4QF+lV-gYs4p&~mo*G+6^WPj_aI-%Ns_!VS4R6N5P-KUMnKySoorOJDxCDZt+ zKDse)dhHfA%*3iux$%C<2k}%rKsnb#Ny}H#)sy5p)K#9^Yf-D)V&$Se^;_F?11`cB zT`0d`Tm0%c&{4Q&@fm8e|zMUQ%^+3IC-VDfuLsSA0d~7saY>Vd72Fzmbge0UZnwx>oCn_Q?!2df~_Xg4lQCnk)|5n zhi)V<5;#aRygX`}1W#n;6;sX$j03s7D9 z=piK6;)3S9{?o+g5=ZB;Ck<+#N>^e@Y|E=h3y;j%In#I?TZnuCzuuzkBzgxmuXzrO zJMgJwa5rUjAGpOK+m-`7y413`r{>bOf-=1oi)!v3?N#Wu&jpfVtreLWsL;zvFxe1S zf7)*2X=_15GdvkN4Cp^dk=Ii9n4)}2GkYSzYqP}in^7izqS0>a`Dq_g`;b9y@QeKvO9Z zOg*`UYH?X25k*JschFZ0QW2oiQBTI&7Dio-D?rmbx&lSIz7#lA1T-HGK4Fs%4eMF7 z_%1Lz84?Q-2w3#<9zEfTa2lQt?9C z_%Q`P9U75l;`zw4vtAxpeXWZ?39E%wb#FP#WN9Qv zzY>OOo?{=$Lc{2~_4kEY{;{gse64No@6pMl5$;a;QP)0|vM>dVtb?7sy*$O5%SNM4 zIl-YJRo`3$$p8fszZ@kn)>uv_t@_qUe63KUA7V@+SHZFXQsc=brXqC?^sK9T_`QCmUeA;oSzdFcPyP(m8Ne_7#`6j0 z)f>{c+Q7g`c$gIXK%1R|3$=fk&7^I4SDx#Q_8vV@bQ@NcD9&iEcoIGR-AY4V^n?&P zUGU93^m7_RVG_^}F-j!WGCIe{a-`+Fkn7VHtPV6ro4UrH69A}niqq%(hyQ-At|=2P zn=KtOI>T&wWh8U^@1;iKL%)#_ozs0LEkYdjk4@O;@^7>?5CDo^H_5PVtcUdLvey*y zq-NS>0E@L$YUj`S1`ag-uQGDXNp=D^Os3WF#Eq+tkhb@BfMH>0W5)-g1sq6+B9+%O8 z7ma0di_Uaj+-3HWbEZ&Xfu7ip zfZ^q{sqwjPG*k_+c2=&vDSMHb`TP)IZnBGNFkE_9C?mIX#Gx?Qj7VCWD^x`dIJKBMre56e8`FSZ<4Tf%(_K^W0-d*k1 zQQHx9BZ4nE=dR%w8m`pk(g9Jbba1a6ZiyMIZLE{p+UI?@_Uv<7Y^Ie-wKu)K46TY# z_P>)Z=A7^$w$-t(=sr&nu5W>))%=X^Lye z=sTtU^POK5`6Z#7Dm{x6K=7i)J`(Bn&b39NK2Xh@eRUsel1S}X5ux_*uvNB=3Bb{p zoWr9?mzt9nLiS#ds*S%T4_Um1qcz@v8XC`gAX1LgsMK@iXXfqAY^+6+Hat*-e9%RM zrG0H~eA`;Sqf4Qz9Bjq8{rV{J9aWS!x>E*?G?R1?CCV)Yy6G~WE)ArO6D$tH54^JH z6a}u%yOlQ%1t+VMqjl=7U~ug(TQcq!$Bt2Ddw|>pm7seKiQkye0TA$P7&1to!FrSJ z6DwBR^c3JL&Xw|R(po?x&${Mdc6P0+!j6ofs$!RGI0*wP&swV`O%8AZJwo35#OAk? zx)yv?*kTLvmQ~6xG_3?FP+bxT1btPj6~F^fu_Kbz>$O!~@6q_#^o-#o2y1-#E;RK1 z9d?v==y>X?+r5C`FT zXIyi5W$6vNA1M(agQY=Jo*$^vBmm)!klBWAdXd(eZLZGyYdqL6LC`ggZ@GOaulkGj z)+6mralMVc5UDrw<@!A|Sie<@3GCzijdv58T1^pZn`tPIaDgu}bW1>s4tQDMc5^O4 zVt#9#OuoHKtiP{PWtWsTsJMtu`+fPNiF66|!)H51cP)F7SWU5T&2XamI5lH)StAe_ z<74)Ls)r$vB0n9Zs7bVcrM-aj5Y=^x{Ic|lO?KtPE-Fpp@Ia-eMa2J{^c}iIHlDwe zQrzof6J0rH*;70-K6DjY%U1^Vk`5`hdM&+@fX3PA0<fmRd1H5_NX0n2Yl+i z%pN4}3eCCaztYqf3-l!~pC`*eJP-%(6{(0JUf9i9@=1HThr$w)yRB*~r;(hBN%iI( zro8@D90PJGR@N$$}A5~{&pfc^)J6RMU&g{c} zK2zLGbdSyTikjv6@-&I8$#a+$yZhNd=B>W*z`ymZu%#0oVP-21VxNo``Nhb65ug*Z zr{jl^o)M}vkby1l;u8pw+L!XC{eeC~Tro&6-mCYa%rjG@6v=Y-q96|t?_?Gf)ovc& zbj|qC=OQh9uZ+KTeSkBUSKVW#fjrssZlWURJXN~GN6AY?<8X>e4(+6baln6snO)-V za|w}!+Ij?>A-YJjTB=T~>p5j@X1)4RvfKoz$-E>9oyGQ-yRlS*-6xfCDX5MG4kdmi zBhB?wiA6q%`U7^ORCWF-SFO)l0?p&e=`7PQo?LU;$l>79y@^_(>Pe|FRs_%OUZ_b? zc2k%w8SJ�+B%t?zuc^ESc^Vi_RYqdh(4VA@QzG z&TB>IOds>6kbFP{WwdF2(&mlQ5B&eM{*IJ?&8kvfbw%tcZ+c@9;XV}5xow=?f|Tv? z(YWD?FQbLrw2}Yp)bS`?Xe9rMugwQfcaU3#Cbl4sK^U}fe2ov<1Qc8T6rXoGY7c1YKaZ=%^6HWTK7tFZ-4KQ};Z z7VV7NnVMQDgo}{74y$rQrX}Sbv{^3?iZUJ^&d|(QBzL0u3C)LAQoiJY^Yx)%tSdar zD47=Q>f5m>&vhzhqqA<*qDe#-EIwaqxWq$aO64}+MHm^N4JckFYM9c%J?J=I5qPJI zzxP|t>Gn3g>MbL{YIuDX=Fe6Q^Ed90*U!~xoS|i&u4;(CA}Se{Nd4q`kAG zXNmNPx*vN<(&(PZ&}2yHXZ;vNpF!5|BBkFE&=OA_MQpmXZSuWT>!AL*9?Y$fn|!hz zmStW~OB?}lvO#Ti07+7L-LR3piT8Uon@7qODfCk)lv;Cy+KAr6HZoweG0-e#b4lm7 z8Ua)BYkv#q)@x6v^HD%KB&o%XNFv0e@_@HWtw)=8C;tdr>{fKT17N1B1A2429|l-j zJ9G!urk>387HqvDSa3r9myQ`n^GtU#!-i{EV~6ma`a zwB)P>KbddfhMv#DbHkSh0!$x-lEFF5VujtrYV_va@N7WN%b`86gwuualthpI7Ak$+ zihhg6!5bSm6U&l$><(%H(RP{BCJL)?go9tspyY1l_j4oeGcH4_7y|i180v{oT%hOPjvoB0rmf|V#%4!lH*yzB5q(l4l zD*wa?upQ;(XhFzs^#<{$31=v^->wA+W0z z0EY8}=Kmc-TY)!iNnZ9raUm76bpxT5E}P>63~Bl%+h+QLkPtU)r;LAKDcQWGcf-}j zEiaN(lUobt zj14oIXx}RbLX+HRE=BngU1@0< zNb9*h_)t9MAS;SPZn{B!R`UX^a5*4UxK@r$a=qtqt@N!N)N@#l0d{28kb|$B-b;r= zs}w|NllCdP+z_+{N#Z2-Q&c^e_Y>0Nt!@^8nGmA(L(ZlP_=cvw=p1Y}TL~xAfeo0j zSPW3tBa$XCNNWh5#V&#sn;UN=AJVqqJpmVDHP)~N+wpLUTCZpYigXlkoU0&PQnOnF zmaLBu9Xn-6o$yMqSKulB&}Jk==EvqUyRSgI>cw6(@h7pjfypfLlp;rmCk&qck>PQj zQ6#vaNN9ENw-0-oudWMBpyfy6^rwQoN@x#${1BK77d1&-sKLd&qAZa8FKcXz^GV zhDVq}onbx~w2!Ok(m=Hw##%=XV=E-mCgQdX74iY=CbcpZ(gg}4ipz{4u_xOtTQ7Ft z^ZWsSscltg5}%x4GW=@^OevLG0~6e3wDITwSlr)!_0@;}o`1-l@BA~%I2V3_|CI?F zV&-6gPUknP8OTc-L34ihc&AtK|Ij9KiO(;^hrPQf6S=EU zSbqh!pZlQWezx&%2E?TC7hf?a0ThJZPHmb9uS9OQpt>S!38=(wyv!h5;Rmjzob_Bh z19Y@}ZH-7a_kWz2Z;7Xg-rOZpNA((c&=&t&Hc#(AgxQ9W^IPJ1$)l2Fq`E*_=}4gE zZ&|r4cy$OIy9Z!fPlXNr}PtNoZH~q~pHS$MJ+q z+n_ezcC%bljof#EbsO!51cjc2$&@8_D1Mv2%yaXwvl7gsf=C>_Yyk`CqNES$6sbp$ zoA$xG7)N~}q#hLc^YRAxVhik6D49FZmt-$kb)Hm|=M~U+Qcw)YBWaX(nmW`;0R+$VQm8U$Mj&!w2Ie6gZ9SYb{8LBkb_W+IU38wY4f~(D3 zHhM+!{4DMIO_B8B6cY7q(xg21r#GGCu|hvgGT^y{wx_tP+Oe4~q)WX4Ybg)Ad!&%Edn@p@+p$W^5Elvdh=UEqam61#cG5HuizzaGH ztcS8pGG-v{4_EQ)nlp)W44ZF*uV3N&$)A8$HOs$<%@2N-*o@In#K$WDr2sSXR48ZS zk@eT`HmTSHXD=>pwG{EQo=qk>6Qx5G@L2lY@(~a^gu2+lFNEz?mpAY~5PxXV22cB6$VQ>I3n%f>Ovye>T58JRJXy zq?*lZ`;mCiU<5|!H;oTPK{Kvc5x|go(S;8sKkI^=+`e4i6iw6UWW6;>lh?a)E3m{( z1!eR2^EtM+nU7}7k(lQ=?gJe83Fryoh6lkK!aJ)b`ijq=c~py!Jbtz%j|}=Sm5>I* z(O9USq6`dhe%`O&WhHFm4wz#}Vm(4G(0!I@l`R@nL1jhD8t3dLvG3(1D{oU6`fVRt ze9Ye8WRDK;a*i|N1+s3bqlUz&um|IK^yIEZlXh7JO2TBBr-TXndve*9oK%W^Oy(E_ zIy~=^31U>eCD0G^mk zlPaha#oun5CZXoiXNbnI^TaQ(p}g?|_rgAVoPT+NUxou@iTK>=*2#lg7sv*f@l=es zF+Dh**0n@aJaA*-8KCmrE0-g1I5K=;^Rwq)X6A++RvADhcIH%)iJrr@?fN6pvgHo1 zMKw}N0;NK`v03;ReXrq#Fg_@m#0EfjH8zTBL_Srj<8;S{NwS1auBjo2%L5j^&c5WK z*2iWy@B-m}R;gJS3l{118nI^vuGe)x+H$hRQ`w_cJ_~h7+F@8z0uJf=1|9I+!G8r3 zZ0kp-v3q*X%QqhF5^YVp+kpbyG%sa=6d6uVt(tgszxLGI>|>!bWAl#op8TV24ia)n zA}589noBp=pMUWwCeqVY3R}E{x1;#$J+T-6HJ>1C26_QnoS1Ke^q^BA%yy)qe8Qf- z13un^g4vz6$&|e4D;6caZ=3pbZ_xGIY__l!c1Susg)N32xOW6?;JjSC*uf@8NG^fX zMS8%tpxvYB4Eq(12ihoY>cYkdh*D?UDl|TH5Qi&YPnI9?r%@=A(ynP}C~bsmk<&mw zPW!AUuqt0QWCZSQ%J1hpd`5%_qDjACGqLKxm*zV}x|M z0F8l{%5rIhTptjIj=bP;al#2(zMuz-q=zJQ$nrg&p?N_t7_M(ZYXyF5ayo+%Ch)xz zh(l9i1noFT2O&v?W8NMa#nFyouYPQp)Wg_D4!(o_os(?pijGM|uziy;kIrX0?L$Do zrgM*@2W1UV0E=q!JZ^`Xz@bx!+|l8yrzJt7%`p|pV0suQVfk6v@E(dB`xeqoUT!Tt zrPEh-XrX!8+GZ>zncm-~WMys9l7?T@uS2K|3g|nP1X<-*bE6q%5fcUlk!Z{NUqJy7lkLs9$T(_ra=e?HL0}mKkNDr7H3pI)q!Ek@&dKEEB{{_=l{yQAL+lOijwv zWiy8{gO670{0I8~YwX%$qqxrSnKLuH|MNT8->}$HxS@UM6sF##%nGnYN?wN zFbO7WyjC2lM7U8S$GGNr>sZ?=Qq2z0fRvJj64yl`)HG?5Myl+jH>pw+qcqT@)CN=G zzCqOoE2)%z|Lodv+Lz7?cy`YHzn}knCuet#*qmF+os6b^0ACm z_yJEZa=vW$#wmUr=zC$sGGUUTqJeaPwdCjnK_H5U2-52Pp3YKJruAY+;!KEA$pY~# zBNKN33^1@j#+FpaYS?a0>je@;Nc)EKz77_l2XQVYq5vy>7uNbJ9YF|sD>k4)I}dXE zpnWiy^FtEi{QzED5S@MB`X0ISQrP`mo2N$}qRhg}zu3|=$VoY2zYf_=4$ zRD#d_!(aFTeXf>Pi;W#_;+!(8Q3W+2^o9EGR>jw{nCT6?^Vh>4NfRfOf z1<1H7p^-I7)mUbj>!-VX;+4 zLm$*ebF@Si4B2kpaFNT<@+|OaTiky{cx5OT(I^Os^iNHI;Vt}^SZu}+5)ww~AwcU= zck7EhTEM5QS{kq)BEhE%`pAayB(mEjrzO_ld40HkB11kzd6fO8_N{5vYJd7Bv+Axh=m#xe{e01_7A>6M~v(hL9{T*vJ(t;bF^{XtczA z{4+^4H6JyA!BlI)2NmV?{dARk^mD14WZwm&Jqc~j(kvH+TUO)DbZjEO>Vp20btqFp z3&%tm{HKkOdgGW-!#Zfi+WK(*{cxq)w-CQ$irmvZ?k=Ssc|5K-_d1 z{c#94(-u25k@L3zZSkX^db*X355@64W4FAHQ`H@iTdI+yaWQQaM+ceyedos3E1sWh zsPJnbZNKRJF{)kfuM%v1qnX*6#5oAe&M}Cwj!@KwI9%La$2P2jQ1sq9Kp~v4#cGqCixiql zxcn5(gy0ql)p$f_p(dWvq($DJj_;8EGE{7h7Q+K=EQS?V7ad z!Fi5dl9R^bW^|te2gAbLzzYrGz-4WhK8HO&1-1Z|UHHk4yk_K(W`V)ek}@5JmM7Ul zB)pPF7#;pM+*M>_wjp9!fY&@+T*rn#ZIE(8||;b{gn0mdBSa zMa_79clJWIX=5d!hf$wx!+0gE#R0m2qSH86jhA3Ij}r@RRYTZl`V`{YU`K($z=ddR z=9`wJ&3Ncv_-!a2U=d+}6Tc*5&W9z2;j;y>_yFW_nKXu7m1S_pVHWF8L}jTceu$&0X?rFcp{3DTW5Up1PCo$shV{);`U|3n>5FY*QtbX8N+| zO+iw0`;nrFkioFq_+xq2e+$KNYMWHabzhjuHWiGkE-HMkv_M9c;H56Fc?I@*77QTY1F{m!u zwl_#B(B&K8$a)0+O=Q;hG!x-9!kIiaM6PVN#D8(r`n@D2PeJ+xyJsKggDw~;g=&#WfkO$A0WeUHN)k;+J=7O?>IHnsS{XIi;Uz=kn%#?&FuT zP30g}-3MAY8P(9whU}Kh*miNc4mKfXIf)<^nMF?Sr zy|~0c;Ikfy91k*>Q`|0p6YHIoyYr63Hx%M+teSTqi(Nx zj>E)WR(>Bh1??tzq;xPq)*3@6PF60_)4_n}Cvb>>GiZLE$ImSF3;QHX6qS-irEu?d zqu*Phy1dI5QnGbMTX`+P4#oVc!eK8x1c6C})Wg@A?#$aX*ec@gA(DltN_^=y10o-j zh8S>uHIm0`gQ<0~xLyBWYT4zU__ln6=Zc9=Zjoh+jfF}QYi*>@0j%EyS_vvSWLXiV YT;B2t&R_n&m!5iQUZk}?NY^rU{YuU zW!scP=}1vhB?O{aDQ`%-<#igUq3mv;>6T^hxmQWk9>d?5Ba zAAajQT3^Fh#$Eoj??2s_Z}pk+;oDfTc7AyUzhoGT)r#vY-^)Mi`&Em3`B&HjwU0df zIXC+V>vz4&_+_=3t|hf|%eTzkx&3>(S^NWIvbJvPR@W>LkJM&F_t&cNr2D&N>>0QH2G-6B zwfLUDa~Li|Gk7Vy0`6zO!Ips>$Ab1_3tr4sqdY!79IBn$JnruJd)^7EwfY_WE@~&9 z{(Mc{`Kfs_)$)J&NA4xpvov~tVfu^X!=JMhcK@ZkW<_o}~lv#^hw}{#(9O`(4*3Wpb8ydba2Ombse?xHRVG@A18cnYx!; z$Nyk__h=8LdY zGKgOcUZCd0*ZD2u!=JRgGBm#k51`x6g2~R`$o>RFx-+Qfx)R@6_lrLWxWBrJ?f%}- zA{O$+Bpxug+V*+Q+{X>IGqpeY&PN{3Fv~I>mg0XsJ{-Zb+uTomfy>(1BY!)7gC#dKVRE^^ph=omN1Ry)DFDyP|f?h zJKN+e`AC6~xZS;P9e6qayZ?QDS)1HX+~;d?fAX(<;rQ?*q@k(Bl4h=9DVMS{e!<9O z-7}2+JJarI&fc8ATUmB|(GI`4c1a{Xe#OznhGH~hSdh36l5B{%i zhrH^l8J5-Q;S`mIZjg#Xc1+y8c0W5hKHOx9)x=Eg{zSKuCY4Pd@Ry3pzag?zM7)~~ zy}^{_)<$!SX})oMxC1V zt(3%b6!2oT%*Vd~F?Qd&nk|khCCu1}mhUL1dKJX3RnXglyD$8|{FCFuJ&jR1jZrQR z5{*?<@vzorw=iCNDZaN%2BVzi`{FJ4LD;T^zC8W0n9{$znN$mj7*=i#>BMw%qI{gE zVp(d)n{;0&@=5pHdA_jU(6Z@b!KeMZSf1}vx01&Th154MV)F}Y|B-nSkH(&FV`37b zyNs06O!5a!hB>P-L-&Q7*@GDNrZ`A(Hc4z{iYkwfJCh+kGC2ZTVgR!YplfIDnP{35 zd3WMT9_zu_wnxVgy~wn=mx62|?XE|XbZnKg!JW94eb*i8g64I;bK-%gxO>w+F4-z~ z`JLRjKm9V_{58NVTeR#plpyiA;JQ9UbsQ zn$q)gV(#*P@cl44dAFhpBMAXAEo6cV7(3?Uwdv1&?t&IwK0e%PwL)i1vp|-{Op?}S zY4>`fQm2o+Zee+O?f7t-b=2Z_evFCQ=1gywQ)r#+*iN$2OUCh$b84%q9^*^hb&5U6 zc*57gpnrTx#8#7CF8?4LcLz&*H)!~ z_o6+DsQnz#o@KO7v=Pk=RE%U&YpB=Lf6YJRvv&1-+p1bA*45|5wK(f&)l;PLk5;Oa z11h;@)SMtjDFA!jOhBjRhEK8;c(aTEQjdu4`wyGbZv1q{YZ6{|>FXaj2nTE2O_AfP1HxIxp ze4@)yjuet}S|4V6laeOm9!;&{?)`tkK5r4rhL{++t*So_R=$CMWN@M)99W>3eeGi{vCF;!Vcp8vD-9uhiu}~?+DdC>~6&t7x zg@wCh8T)2Oo-Bi^VRQgG>Ktgf23T&;TB|+Qz`K9+X%?=1#Rg$xNhwUGPphz zg7OvancLXs#)q~43{#~=?&fW*-7WZ;zq=A=?dZ8U9CFM)X^jRYcG9780==hT*7jUs z<#`*2zTCePKOM!rbj`I=ho}PlDGr-x%#Z z8a*PU4AEWhh=_6mn0W^gZA7UT2xU9M9L5FO2r;CrE84wXK)38&^$SDQI21 zlyap0Q@DCR>*_YHK7*;# zROy}wtKK%`s9K!f{()lZ31Kz5tYv<8pS6xx`(FqSN>y9#bR|2Ig48)C%`%L>jZ8kp zrmHZQ2Uy;cR6%L;+C;{)c+BrNYowX1u`9Zk95pRUr2X~XFFMBCN18(3ZA=yql^ni% zreQguZ9W;Y692D*IzwSBSagw$cgW3YmUeESNVMJ1Dp#{jeZ-2l&CtyJytKYrR?0-b zRyyRyf8&exrmmx$j?wx!g9>8at3;E2D4rCfu~O~)-+mTm>gs@^D&LZ+6$hh9r@oa! z;K^(+ZGkkJdLn-C*kDrUw<_mQH>EMD5GM6>AhDClbi^*^632`SeGepI?XcsGD^uwT zY=XszwZtVR0e$cee=gwdA#+aGPN$+hWeRb%7h3tFurXG7IGp5882o1Uz@GxNU=~e5 zzosK*eT}is-k55pqhnG7!_(f6R?X2?kIq)oKx}~W-WU020F$rvmsxWCU@g8hw)k{P zvU7}HS_ay-5&zdNPRIIa!+Izd2TM6|`ZKkB=haO{WQ*w%1MQ-{l_t*8utzVF!E!n# zp(}5&I&-8B&A6NWtY>_9kJSsoCbOC}PskekdZ!Ya6kWoI-GKLYYVo+o&#)ND&ZEFN z;QjN<@3XTBoE3~c6tHrls?UTCO)^GHhr{M@(i~}mP{D3_?ExCA8Cjdf4p%q5>wB8+ z3c=dk7+s_Td2x&xvjsC+L{7ac9*YUy^X5$s{lm`h6{GCWSy+KzE@}=R|Mv?JVIl%1 zzNjhaBzO8Ic4=*U;wxM*_k_cH&@ooG1xvPys16Qxu%rS?!hS8>@L70}`}gs^?*C{5 zJn%5(26&k7Rw?_Dapj&4^FbK|hpSAX+=dAwBLiU`0dJsAilXjE+cdbQ>h#cha8zfqJRk&@FvD*c zW-4)-Xcy)lT0x0hJS)DK2UTk4Z+<%PqHihfh`=&-_2hiUjDraqO2Wi;2S;htLY4th zTmH;n1SI$G0IL^cojpdilbp)(`q~V68ArGO>&FB7QVTwI|-#TtGgXqU}&lhr17y>rOiF_We!7kb<+{) zuNG9uYQ=@BS;VJCh4-o^GpoNTB1wvgs-*kc`iq!^eT{I@=w*;~$2Iwfcf z#!fw<)hvI(YwOtN=1*~GQ)s;%MR(m&7F`(gM4@;Or0FKo)d=XDD3k6qhss?Rgg$Gz zz@JDH72M2z;7h=hgo*P?*zI2r313J_c?}W!lwXG zwd~2N$3N<{{8<~Fnp|VR^2|)tNZR1evwjceV-f<^lCh=+n9Yy(Bstchg0<)N?-_(a`)J+J_TrV z{}LEgat!$E{f5;eI+0MBwBM<<{&TeFhl1FrsSf2$$07tkA+!R@RH=%4=8wc8AVGkf z2N&&yVZzGIE^|Xj6gql!*Ph9rP}YjH0ttv80u*?+!;d zkX_Re?&uxRf-njTRUgq5Z=$ulymy@RKkZfd%X9Rkjoi4udxs~+hac?4px_gJfDU*t z)pts>!|L3HW(}0nUgiKRB`j8=@Caog5Mzo%4Sp6#X>id}i9Xs98)+y~gExg&<)l)h zi4lvQk{zCpmEV?{diwLbpNLl{ni<_w_9z*s!?=;c04f(E4E;;QC3&6+@p+{VdXR=Y zI6*?hsK;-Oejk`P3?_aS@Ec4_zl;d)fAfVXpGreelTcR_fX3Mm34?)3l7}&0)QTOF z@2E}O5nFsT$#~kARWCYaKzU$b;M1Jyp%zCLNY_r>0M~v+?;Q?PkmQ-*#iU|0gJ#E^ zB*&d8LFF-Yu$DNrn_d~Jn4RScc;gfmvV=Hh_!IZS*ryiXfeBZM-h-f^H-lRgUVBSq z;0lB=xsJXv7#3)m1z%HC8W}pE0KulpfP;SrhYz4By4d>Gy$)bU}y-22E0Rb+g5UpuM(7vMvlOEvSr2E^1BZ#ib*m6eIIHwjB=e> za@S2kS9y@zscbg!s&dSF(jr39BV*JJ7TP@<0bL9-k-6f}or*zkCVJ^s$=QC}>D%6X}0?G;J>SFEmvaW^oCZhAOm{5Tn+@qt1WOWzC z@=;l+t*g2VSL(RICFTM}pqHQkbL-1HYR(tB7HcnQI5dqKj^yDzP410Q)4O0!uB4oS zm2dzEzX&a}$svXHnz_Jzc3SL6du82E#i+GVeV!-?JGr%_DiJ8twzKrFFc8Z+OEkkg z`~bz8`2+s(*)UkDcQGPt;KC1a&m<5)$<$8h=z#c(>xkJ9QP3qasw^9=c0(EuDpWxd~dsEUu6LUYANAveh^&$)SpI#T}OBM6RdZ9I1EI&J*tSmeEJrQaI`YlZNxv#YGl#L^`*ieyeR+BJ1 zs_{28U>`8%_L%Wgu)s9WV;Ss~Ae6?cYWLVxyw`303=6>Zo%?}+J2kGe7vOpq__Mai zd`i+f+~@$PVIa7MG~T|ulR|H4xA=7)Ri@q=w-H}+E4+CC)GATu@jmA;2e*ThG4$2j zEGQjZjMY<5Trd_e9bgZlZ6Y@PKrqsfedS!*S_uS$G&ow)FQr+p=U8t>LRZc}DAY0c z^mVM(y9v+0y3KZlx7k+RQ&5)&t)wj}w069;7h{E_m0QRu?ne;Ycalx*Z;W2oHm}EO ze4%$GX%AO@sHtR7sD@wJS1uJ`Mo#G%f?maOsd)}km6Ja{uo{rU96O|oq_(t}`qP66B6E>hd zuK-A)$Fs1;!@Jj7OuGb86K0|KYnsX<2wyid^{k&G%vw}q7!q8hS}zP6f&4Q*Ztu2@ z5jD*q6zy466kTGrq-051}X6(Nf zfu_M?v0l@}3rp{UV`!`%P}6&f^Blt6N!e7GH69(HOaEf$5{F>2bQt5aP#S$|bo6e& zj{R2HfKD`ZrdcM=u~Q|43#iT9YMRXCZ)K;^P|H?}%#zd8!k6CTp87N1w`i$mFslkH zKrodCZwh~%^*Nb$$Z_w#oks^eJn?NAcWlJ&3C6zlE#+IfOHm@_Vc`$}#!PTqq85K| zi#ssNp|loQ!fBs1$r9aNXpx-sT1wUz?hzE19$1SFQ$#I*vw90DkF%J!-@1$s*l2oc zasM61DBWkfw_GycM3He}lGX)kM|Nd09~5#*aPg`Zm2s6dU7K^4M=;R<583>g>-`D; zNG-onE!O5!uz(=iPmyB71)!hOxX$1STjDk{q4G0v;ehvc^^zt)cSD7v$GWvXH4t}T z=o&%@=pJ^zaU+W@TAW7!imS69G{P11{Elp(wgKS{B+AWTGaFVM@xn(W+;Crzd9Vv( zcr0wS!D2S@qUyh}6hsmc0YXer;9uM9I9Hz&0sVhU_rxjQwd9>WhJis5x{Vxzt~dLM zRs5k?-{Z!>wT#!+U3LIMwGvkZ3eXLnvc1eUH4~#!-2t?F>LZY}dbL3~L2Wo_|` z(ZzU{p2A4ip3p@gFQ_>Eu-g%)r(*|`x zUT8)2GGwlH z?|*n68y8cQM?C?G)XgG!fUlaIzlD9h8Yi9DjTTDMmkF#(NU9u`^`k)$gW}U+$6gPvVHiY(LnP z7!orz!x8vE0Fhe*aPlSx-i0jcRD_NpP`WHb{Ev|tzVp#dn)bp)XeMzcqUZaW4w6&A z2btymp$}6g>tubD8uLwM*CG%sCe|BgT50FZ_r;-|15Z%;6;&=6KdFLy;11tow=bqJ z{8KtoZgh^$%;W?Xr$V$Nflt();K}$3YK>YSR%*^10^lJjm`qp9bf@ zy6|q)niz|ad6oNUZA6yWb2AOK1-Nsl7-E|S*U)nXEL9pnsH#=GGZNi_TP+oGO6tY9rf`Pl`G<@amPm-)F3LT` zsoFcg0I3aogvC?zD=@AdF{W~AYn5IKKCKHBM~w4B4Fl4SP!9MW04oBg&lzny>VJmO zhG)2`ZYM)`6^Z^B(XQUdHXKyBc(Vw399FE+gh+ZJPoCgkGPnI+-=uXjH4XSg8dmg0 zDoosTKVu!1*)|!K>}?3eVWSW_>r9m^ z19ImW4FQ`5ta?8((9rL2+DB;!{(L&)SUudxJ1?>f-+LXSG<5&3@0{1}j<lDm-M+C3)ug0mJw1sn95m%&K?G13qd+2I`AqeFj*uqQ_cq(koo z`Nmfhqf})Syfj1Nj}f{%^GV;n4JLy)BvA!y1a`!=^!(k9n2e|s4{;r`)BQkGP-#c} zBmAtNydKU?E*MlPPOq$9X}j6-ZK2gtV&)phePI#Xw@775lmp}`T+qpgOE5OXs5^j^ zp3^a4sHi%QKyy?6Ib;&9;m-F5WTrHN2iJ=r z0ZCKo92E@eUgp1?e>d_{Vo54va^lZ&g&WptO`&ARj4!86|L$t~6^a$YJifx_S+PEA z-Af)|>0W>laSEh81Y|4F6@!W$C3y^G9WZ8}=vbK$+b- zCCoA0vl*|e1c&V#bsuf@E!}vBb`(Z`2>s3xda|z_v3*W^4Zols<_8YD=(KnUNA2;f~4vTY?XA@BvP^;_c@%eH;*4ftY-06)LHf~R@aDzXEOsipKao3LN-kk#UGBx z+yjia53rGqb4OWF5U7 zzku_o-pb{J)Ny$-90aF)sF_NO70P%uMz?MWnb4I&lc-KV=!?^jkwD*0Ww|B8=@V6G z+q;nzO7$A(Aq3*izR%EVtHZBfP5L2}2(b1+&9&o&3*zn7oUJS=a~U9Xhflm6eAFD_ zZvsLn8ij|_V=csE8^C;181Kycm|eG~;|gD`h_eu3$v@C^DO~Zw#qvyv)U!Z=?1bW?gg z7xg`!Hk8{3#!#^tKV@a7vmt$tgc$nO5IhfCNVKRCNZFX942HV_A~?&;Y2B6pLYC~` z9R#l1ml{Wnu>kdNr*x?|qVsTdx3nD;@AktnXe)QTfCc{;=J|=LuAMLKvj#$8a9~`^ z$krfF-fd`GC2k%%Ije!R;7P^x7%u7|4%Ur%D2IA)r{7F;rQz9;e%UZf;P~G^wqY?+ z{}|W%1nxL$>vG8G9f=I_4-;7Nyvc60E=zrAlv-$$aw>8&eS4L2hjTd0{jSg#Sd9JzT#Mzx0b0iwr1%T}Rn#f${xNMnK(1uk({$wF}p&#=& zr94=KhJtQShoPGJW`jVLieFB&J3tW;mh>F1_uyhcyO4li(P1^HO~PGo!#3%f{)+hc z1Po_^^aZJID{8&7&I(4uJ-hI{#ve%6?Ys^_9OqTeJ6<&f4{3zy5G_4p5o(eO=`}o1 zP_$fGP2Fvs>M!I0YeRY`$lYkV$c*nErA(SneyDRIeIqM5l{T6Gk3XarF(finq5vrX z;24ZI{f(sfpv{afv3~TQbQ|q!aQ9r_WWsU_SQb&}_|o>&IVHnpwc^6bdN~S9(@8Oj zS$Vd4F2$@G)fBgR*+p=ezp&1uj*In?*F<8QAbq$_{PzI3t|Kh&Ou)mC?AJamVed$YyUA#M!&K`#jtGZ!|O%~ zzKmEz{G>FJ@9$&`Fv>u}3MW?qn)iokYQ#*{bJltvTo2x(H&&s;Ml+zPS_-`PLx;Ea ze3%Z#Va4ovm|zjCR%O0*L!M5iIIDL{X1+ygh{^Du8`K><%I=1PysR7XOPUM8mC?O7 zz^yNse%Wfm_LPxSQca}x3?lcV^|A4ics|8wP2V~4juyO0WS}-7xPiR*Ep-g?uQ2Ob ziqe^Z1Z}5^{!&)(xq-j`X3Fa+e>(-MX>;8*r6m8F9A|;5oMJMQO;z-ETzMDr)W{x= ze_ZV*>)*|_dpCwD`Ds!ty@1jOFMK`st8v6gFaXd~0o9yzqznPz#){-HcniL$^_ zznV!$3T$P=P5m-p<;TsWU8v-I_mm8>Y=zN^LO7!@d^!Jz(%QkJ=}`L$@1ZQIr^GIV zlH&#cax&4fI*sc*&BS2@uB{Wc^y3f^xndr+INmDq#^hdl8Q=V#`Z4MUh+%LI{)U9n zKCAnK(!33-PT-kiBbCBhdY@JohV9Vgb*NNxg>tc*WD9cNkm(Fk>^CD<|M~8^AQiF@XRZMU4l&@I z1XNRdzphZMQ3X{-8Cl735Z{(<;wyztOsS1eKsT@*et6HH25ASoJ%4!Kk{Wb}NHOJJ zLAGx;3qJt=pC3ip`8H1KFlEhch7?bVcYx~C&7PPPygnMIBPf==2aeWYcim6=0ET8c z3eL*R01v^cKl4v;2A9FmSG|16=dJ(kDuT{X`bnOJf2;mum5jZX;(1se$>4H8d(DMx z2D??CxF~li2o>;SbRB!oR*Q8cP4V_TjbA_G*P_5Dkk%Uem!`<62ML@jX(_@s242>> zud=T3;fH&T0u#)@Zq2pH9MUDM`qFRoj(`mt_Gy|rK;DcmUc5Kqcv=Z>4=IX(J)&d! zbpj-|i6l$6rR(8Kp7l3sVh!xLw>M4|7|CDCEM4e-{y7E%dT~<-U>m0&EXT46f7|+hYazT$fjc;e)tuLBEuHMb8Vuv`Mbg|nRtZjT6 zVe|$Gpm)yPqTq1uXxTtjSfJT5_*q9Y_Bd|=G-iM~LZbp$q{}|LWKjk3$E-Kx;uu}8#25-+17ctRbx_C6z zQqTE)@}UHBnjKqfLj#xgC;*BXe+d1|wrGsKL}o>yWH&*y_Zb~YtTk~HJW?IkqsI<0 z$74zzS~X376PEEIOz4qW_vXs=9zJ+$=KC?G38E_stUx9F@S&z1f00@vd*2A^Kqu>k z1eR66tmQ^zNY02ULH~&~lC2%P+j^19Vs(UU#&^z>j|z%^A8tEb`TSQ|$5O*|bY`p7 z8k5H!4zcO|3?*GEh*@vCC0bE=Skn6v#F@U}LSEJd$UO!##0e_~p9q@Zok5vCTzg?t z$AFSwCGXLss;uI2+iqysDzYkwmVy_SMH8#Hjc~b^E6e5M-I%tRxoC!{dY{}wulHoE zI{@UKGrElx27`>YVF)9l3dv2KW176XDR~;QJ!1{;SJz=7SR>KdAk`QJfIWk8`jXlJ zS^QAb3d%P@=0AfJD)7RVero-8%f7moj&H(!rL>Pu)^n&@{xp1+!P`MQ$lyG#q0Nei ze!Pn+3Yml7Yq~7x&1eT*og*qheO*~Uz1j29>-<(M4YHm*ImG>J~m&t|B2G+YPRM79-01!wNr1}}VY z-6V!Svub{nmZwncQ(S&^QJ3V0$zpUz35ArjFsFmt68Tb|cX)y_a&lZjl=rdld{c zi9H?-AJHb;2iMoZy5nmur#VG)qHBU)T5af&_=L35|AD5F8~u;A^z;{bM%+utrerc;Ts$vwgq|U2zXLdYiJmQSyk?=-_&AB3QSf;4mm-o~G*xJ7Iw1>xiJGKhP8q zG37lq+9!gAqN3e0)^9m>mlHFsBWjxJbQ$)6BGeBI)v->sSn1X*I@ z&*`p++|F6*%YjA#{|7sFs6!w)O1(J~`-PJEC-cb+>chY43v}O_;*9|F!vX9z-CbMf zb%Bk8^LbOpYZ5dDrT&h?e{a=blPNqIa8mc+rqb7(9A@oeu8n@pvsBn&Po!v7HLQxH_MiWm?*!2vIBjlfPXy5I~h zyEz$v&%ee})wU7VZ+sP2$WkcHt%AC^Nym^=F3{BH75!k6G+&E+bLZ~ynIf)=RJKeB z_MrR1&)}$8A20?Lgo#~f(rMA&PAZOB*l%~I1mXrjG=@-#KKL>UQ6D%6 z5*uO9{B)7^`aZwTuG0I>%-oLYjZkbX@&1?df1M*kMq!lD*(az7HU17UAFK>odPz=H6;Xug z1C!M6G&&6?8BJJQIcB}Lg`O{dF@3x^hP?*~x1vN26lKvU`ve6mzO399)_cp26YmuC z4z#IKaT+^G1=Ks1E|h%^_SBKbe4kGAW>A3XAJk>D72}Tf)uR#l-CZL7bIqy_Rj~Ua z`CXJB!wyj%)kY7O5axx?w$Mwmi*O~W_4UzK z5|{Uw0m`R$I*|f3^pt7BGas`~!^O=UAU)HLw5dfv(Lqz}Do+$3g0P(Y_R>z}Be(@6 zQ-}4KqDt=JSr+tcUt25y?q;|E9nNu-$Fx|Q&M`i!-S`&PIcO4*zL7EVXj^HSxu#^0 zJ@(6CO@Gdd?70y#zgEKFc64|`Ki>TTwo1;R?@4lUB4JDN+b_X|_+Ray4&F`00Me|W zZ`D~NGp9$L$@|gGZ&Z-uraFdqbPH0wgFPNTzTd?3I>`X4GGpNtb_G(V$=CoAHj5SX z_2?U^`I$5wYQr~EFj2bUSdQV+{XIHh-tP#{2p6#z(wLPA-6#llOqZmE*oG5BgnUHu z=LNBuRbbs#Sx+;?3Sl6wbvN>>#)mJP^|-?PBFZ^lv!V(@KqUo_CYo%)z(F@unverg zG>HW*Vr&(VPn`Hz4uu{k8(R|_-d0b&Nj0>OU0Tc+HN7I5QuuZto6&s{h~nRFv*habwp^^n((sidBxGd=w@ydDAwhwB4i&O& zQX8(R7;krW>zv{hsdRcLRnsR%-2P`8g6Ee3~>KhZV*Ku ztL}@5gc$KxKnkyU(B#$_^&(28&fHV$o(?z{YpoH*b1(Ws`QMmEUGac(>usJo9?Ybd z6&Zc4Fs=nThjJrN{#!KTRN=eb7q`?k)+PNO>O)sCl!)&CGF$0-%Z0zTKmIgU4;x&I zf4>6W)PtSYS+Y(Nc%TmHo%Nj>+m5#=Qr z-+1$dTwbsRkp_dy6<$bI{yj&%qupctg#|p1Z$9W*A%)k{2G2^PUrnarS=r>>*SZkR zvf2dC#B`keR?2;~T;aM=9Gh^a6HFiPOw(P^cl)Icnu?d!(1z*OvBc0WVsGgSzEqEM zF$3HD43{}>#u2ivy|AR?l9mb1#B+WcTpN$>dpzHPY=}Awed58DUz*W%q`wWupzuE= z=nm^rp)iTram}|G>v&i4^mBLOQr4L?82Ay*GJPAS>rTkIvTS*oW_B40A&Pd|&a31O zJ;4wi*%2$``FuB+tYHW>$Yl1cwJXttp@?!&)F|sVaiN$BjQ!)xJ;X)mP-O}zqM&RL zcFa0Zmv$$>2`sG01K4?Zo24`w)#L>T$ttIPF_8=sC zG5dI3AfGQ|9xiQ0#;vnYN*Oy@l^YqNma%IjJJsNxTx`v4lm!$>UmnUfBE((T3kL$s zHBq@;1q-CIF=QIM66(j;UV#ABp-IHn_f{PhC{*fWRN|?^!6_c7dawu2G`z=!Dp0+M zKs3{JsQ=wkCHqKbxxY~c)DHyiI@^w~)(3XbuJWV8ob*xdT`X69pggmka{ccD4$W;d zgDpdb{bKeBBfElu9X2;KY;BUBZmZCv2%9_8+x~mT8)}X!JowDp76~GrdbQZEVxjgDLIssO#6tPMR1NmpMi<$+x+eehgrw?uvupS z3@&P~7pccPNvNABV#z+#z*I(3KB%4~@t$DouQ%0rA03?OM;1l}I@0j7G26{IHhh(} zwfl}<8bdzdebJ-(?ZnrhPWl(ZJ#i~NZcDvOCDe{Klv=f2eZltjgW2ZatbL z`5-4=lC%N(>hM?`A(KVpS2U7dSJ`8a{GvojThTr1ek3EN>xhWQWJZS8#x-hz$`mx+ z!33`uVNRt3W3X_xe$(!g&lV)3Wa45bnH}9ZyqOI2x0fae5k9aOn1f3{H>W}}exAo! z0dCp`TYuRNk7IA(tcXt!@4`4b2behWBf`FxlQV0BkyT%a>9zy>oD4Wy0zC5+ zVvs0uz&?5%_&g2$pgsiO3#IBKBAIsr4}$X+sUll!iqE&u2K@^4m}F9#GM1O41PS`@ znQz#Pp^%IrsZe)Xtj%e6&DXf-i!;X39y6+C#bW9>0v$7kz;!*EqbWZ&>?fpI;j$5i zQ=}5`Bd%>4D-&A@lWHOG08KtaW}B4h9-cQFq>RJFoegRnD?@xTLUKHY!wpmsW!Had z56Tfu@;AP<&V51m%x8EstwvHKvES^<^G5=S-2pSI88uJ)ip+3&_E^QJ%@m+dFjRPl zuko7lZ}zB*I>=sz#yWKC}%2$LmIw&GX_ecWE z)s=!IsP|UE0Mok6lU1t^>2(;~dZMhS`z3$Vz@7AfR~wa_R|Qbht22##3;nM%j@+jS z7)6C9sa2o^lfpK&B>9g%j?6LsqfLkgJ@d^bnNY^lJ8X?W=Ri5@2tMP>dI8c%3=sw( znk(RJu&d3rZI4spu#lEcTQOzqY{#Cwd4>iYSK`xLuG)srsT)ss``|OoM7tkw7=B-qo@$S$;~8xp-Fs7 z%qKvuYC_t`&IfB0?bp;#Kk$YtYYQhmf*a%&3HDWG9#dk|%uaTwg2{fecE&v#cy1L8 zcN`1Tm7HmERHeN@p-6c5l^MV_6+g#tZ-=Cp{eg_kkn_}BrtPXGFjH2 zzo@XpB&rp+ac#7sL@UfO^t(4s>{;yG-i8t(125vl;v@d^?MeM}Fkn}I0i%$|MR#gT zh;)n&=19wP!ClxG!==Ym`u`DJQ*C1Xm_^e+<9u#YzI)?7-m*YL>CMC9A@}BeJnDXS zC2xO!JAUK99i$nWYbqlccc3TAI>O1uMYebL-s*EevUEh&Q7I6+&J&97K)Kb|E3+}yZuj%2 zP&#nVae^7l>)4t)586|#y3)$-$vNJq)WZ=Y);P|ICWXzqZ^i0uQXlh$#DgVbd&-o7 zma&2Bt8oyDH1@QL%*Q(i#L*xHCjEOPVyWZTNPOgC43gl1?x?{W`lVR=(n@IO+4``n zey35y_bB^D<5Usp`QzCc zk+Xr1&VCt?NSuMsAc%*U_L#YD1_-2_;L6M5hXnaGc5ye3Ea(Ady-GSStTsGZ+H3J( zO4d_x>BN#@fQRhIin7kAnir+g>AUN`gFA`-Lvgw?H&@Yhb)e$&nQaLm(ypY}7tm6m ztcBF%HKHRerI4WM^H2w4*yj4s39N8!-N+as@mDpOsz2-O$Ud2v3#U2r`)y^}Z53U-?^jJ?jrD3P(SLo^9Ol2fQ2kO9>2L`3dz&-rx zo)vU&mh{)Kvb|dnC)Xl@n1cnn107y7>OEv^W^E~OELRl>-LSK$H$Q^P>Q?~@UoMA^ z-+>U@+_E;QcXm2v2hLc*!4_tqgF+n^BMi|e%RCD;zR8z~of=@=6MLP90QA<*`60{w zK;E8`JIdmzNfaKU$;{OpU)6%x*Z*CSNn8=&lUwMuH0EOrvvP5Q_?CL&6C- z$->EgXgTo;f+9=9$_o)9?_8W^lBupF{2?iamy@?a*^;GiHobw!;8vBG5ntKD<1P3iX)<*ho%Ay>uix{c3NX;Hy{`fAtUs& z0f-<_;w%nkvYV!W=qk2E*%!mT zrlBc5P=m;21`R#uXOlf8Wxhe-+SMW_DrvBEAoD0cq8hH+$&HnSA}=RZ+8zt~ zJdTfIUymYM+m*KGw+;SJC->6Y3LQZ@Zf7t~r=lZtvYlR8TFP39**Q1KHg*_QwOvW) zXd5AL@k;Csi7n*93_93C1MBOh0tnHK%i(Zfw~Nyjch1kt_dgAC5`cPgI{Ky$J0{qc zY+~1?<=`n$Z9GHo`Tp!k(9o7L7oq zU`#56!+k|7zm%RAmw+tCVq0d-MZHTZogxE@WV&2zy7jiS4?5{GzQSV-L& zl77o51*@E}AuCny5{C}>!|c99VdSY1b-IZhD*!rG%pcz+=q(k*K8e}o93PHD-qEM6 zO6#^;(&d>V1q%2o7vzmv85jq#zepx#NOjLC?>l?~xfGB%Vb4Z0<9=3n6Z;A;V@^pB zYY!rXaWk2{oy4xOdUn@5+cw#l9HHPAk(#8O3`0!|bLg;ib|0b^#l+D`rg5$w0wW?( zM)f_!m%ujG$F8!ah0@BYq;{9c0l#BTuex11j?Axm2QaJR? zK%i+#gv`rzntXqbb}5lEMU<+D+~(J#se%)!PIt?|BXOtNp?!IXut9;=>8*3mJex0$j#7{`ZW2!~z|RpNf(-&lL?-1Y75 zC%(%U^$L(M117=K9W@&&b;Rs@#@)P<$K6k@V#~(QqfX@}Yx+AIh_8c#y0~Qs(IC6D zwlz+@OCb>YN8`hb-N&xt-L?9S3*6s5#_gt98#Gh|qf3l9CPaGfeI5b23$Et>r8d-w zgFIItxS(x~QY_^`As$~nNe?FUdYgf2mFl3MA2N9U!Or7$voaFmTV0tq zDHx(;rdO=2N)PDb&YUFOwVBu;e9zLHF8HWln;igFNRIRy+VFZj4mT&v73V?S(zmZF zN|-tA4RJ)l{QPVSyuC(jK6OEHJyNo%mCnA7dWxamUZD5H;bN|1WUF~vklvU{9in6H zG_nPU1!lVV*Q_a!ehh^jrd-3ljgvPj=}})D!8$|_NqTK@+5-gxvqC=;eCTC&;&rxr zR*h4{J^vO5pR=Sa%&ejCuQl<-SpNblGO_iQBfI8QaIl;Wt$tf9!kSr}7YFbAO;O1L zPMcW_k%++4V;u1$Far{ZUfC+SU5#1k&VXIVCSmxS(mLf`iJ53p)e&G{K}LsY%#aob zca=^485C8a0`1Z)o1Ysbe)88i`0F`_vmx7Cu<0RBi3G%1T5lcbhm&_RiL=4iXep_Z z6{II1^UW<72&PM!eGbOkm8dsSpx&v>N+8Rx3+Hdzq$uPRY&rQb>$u8jJKza>*rBfj zMl#%uVeV_2F(+5=&Aj9|{e3oW8Z)U4pvDa1}53V z*+lKKuS63tH{$pj;&C$0*PNIp#jC;18>dq-(1EnoWyRgsyJ{iNgI{foqGt^Rv%TNJ zgC?Vje&%QOgdnqiAGX9(@@HUZ)ePSZ%eyp)*xn7U`Je1cm@1rC=Jy?Oz(-H<&V(!v z6h|ksnjVNav{qS=SCR1;57Z+2IH>ExEN7$q;DrFNL=Ar`Sj))q&hd zNdFKzpt=i+`Sd0H8#qXIF@RZRlt3&Zz8ABI=BYGBJqtQo3KPD$T_U$b9Ga0+3ujr+ z64O3HXZvuh7WitVhn+N>o!%pj!IsOHJ5?P_@|8J$4&x-(j+8;<=5A=8q&7VXVKxPs zN(E6c>tJ&L?5BT~B~?RU8>kH%Bs4)XKv5fD=J;sseBZ5+Ly01*W*&}V}1ko`Ky zj9w2BGfXgHjZG%apBx`<#%PzQD0Dk=Iy=x!4uyEBLUAXL90JzE0Zb&Z2;osx0zZR4;ndp3iZ(2V@*(55slkVFV7n4#KlbpHy!e)g zrcZ%?TZIXUIHT4goAG5}x9?!t+=Q^X zyPJ0iIh$-Y2}B4HhY(x5NfS+?LgZ0-sBA)lqP9Y<_^R#65->rrVQ=aItM!VhqT(1? zZQ7xZ>(mE~ooTFKTcu75L7dM3_vJxj0A?c~4x*nu+5;T;8SjB7 z6Gi<-5_(Hl1}Eb+&SR;z8a`n=G!JH`KiKulQj7z+zH9FXCxG|W2$DR!=`&^74xyly zHU<+ahNGk%#Z5UIyK+u=eSETL*}>_8LO!l}^nrGT?maT=GsTmy-Ac1gk$9sKRl0q* z-R!zMTiqc*^3SX2@-I0xy(b%#vELPtUv$uK%h?L-PQWC_RB#1944u}SOTrie=kVFi zQ29%iAY0H?Qx_~9LyDp833F_`6C40s{glh+85?rRB*OI}$D6MTUC2iu%BI|WMVN(} zK~ru^y&{k(m%h_93Rwob6xZsB0$q&k7NVXM7H(!{INT?m?*?iDU1~O%`?@dfrCbOI zbz2`mRsd=rGB-$kOlbiFLPEAD+w=EL;0Efg9J0_YgOks_&gR%+YXDKBmtiF6TNTJ- zyioui?y}0xiH$ugOygvDykWMTA50X7$e2d!@nB^X9$DtWp!((S&asNhBfi5pJsc(I zF>tQqoOA&J%Y90j#cc4>^Em;z;UF zGC05PaP;*`J(rbJp_2wHO3=jakgz@+MV(fD7-+<><9;=f?s`QZIeRN|m)HJ*6;(}m zdf5xRIlH;tG%t=AuM{w2R--f#?kkt0p5QaBItPWz~1PI2iLimw&@@Q+s+;tI0 z$0=B~N(^P42k?*l(Ro%@g)BdGdAH#ijFz`wVvMwhLCsM;cY2k8sT#4DE?ez-3^HQs z^I*3xDfn6AOpADuCC=YIq`czDgA*K3QpmNtkxDTM+@iBus>J88?Rf z`5eC^d#_r8=OFom^9Q#s#Ptfiet=Ml9*76w$)G}mgs5e=T^NEN@D$Pwcwv~F5IUw$ zMtKabP1ihBlU)~r2e4ShQ@zMrdzt04cW#;=N`=XkOs;f@G6+a)w%Z!ts4zR>G@wx$`PoD4kE~ z9j?wZzuV*=3`Y1Run~Gh|J!cdI0w0_(`ywWUH2_5(_wEt;LR~;LNiJYb2uGD@Ach< z0TYiHqzi`lgi1@)rBzlY?d4j6ott=R;wtG}>^oE)=jta3Kb8xFdykfI1 zvI3U22Lw@nBmTxqB{Z^XqC&;bigB#gr8tJN2#1q?Ln*A>D)u#;)p20~5JjnvML$2p zxplRvk9UgF>6#!gnT2slpb-8w?r2d#BI@f!p7QSB_Vwdv5Fkau2KiJ$Q!RI%rH*Zy zUe}$h^_Nq$L2#<_Do>RzB#m=tFcoyI5|CB4_^{dkxe&RSL6^Xo>KC9dr@bhVNmK2A zTgXEx+h|u_xi1^$_nx%BWCjr`zzX)Y@e(|spuZM`uZW;)Pd9#o2TX)9GAnhTUZ~e5 zf2(PUmE5)GH;yQV2al)VRNO;Vkt0V4p!?gZl_+sjtR_^{5b|nW>Of^QARI+Qh$$po zAhBSC&PeBBhJ8FOX(p|eZ!V*A1A{?A59vJd07piUmY*ud+1}39HQ6Xd`5cf$ZYhz& zz>txZ4m(;9MacjF<5b~aF+@st#+onmmk#*NC_qZAhPB!Q`ad8S^s|~+^HEr6k1qmB zqI-jI2{GhjDW7i->>&D0d90bEi@2XYZFjBH=CjhK@xh(v=Ar&B55DwM8Rke15u zd`dXJSOJ6bEoU*To{xc#;XU4GWso;Ndkbuuz~KM+9v|XYQ3y91#Yv;zBIJr~u0c{J zKsH{=nq$q)#SuULXu&Za3d8tk0`q|DBtZ;%A){S ztn_2nKiR?&Kp7+tM<&*jkrd%`a$s9XSBF zTAeD`TlUTg(1BpI`%!9I-XNW>``r+vtCYbEm~Y{UZ8d z=#WyxDKTzZPcKnYRH|sws^Zff@6Pl0w{@8-Ok%AU7{g8|x{F<1sz!&c%3@=woZia7 z%*XPIEJ(9L85*b|y_$uBN$RftsfjIrF%L|P_tEf52hTYOTWKC8AKYDkG;-{v3~0- zo1d;xW5)yW#D^11zH}+QCw;>K`NvNB!>N};`W?C&B_ZI}j?BRDliBB#$PTfsb_EGCj zd_Iz~*E?g)gEF~XKj%>i05qx{$VHOLvk>X=VKUXcGM(*V0{f z)z(`mkJG=LbazqR9!GVFpPEx=_GtJIQim5S@Z{#>Y$Z_sG!~yl48_VIiHV(DGwvm^ z=EpOTxcjK$y`SyU?PS9i>XBzoY9nzB?a<`+uR^wA?0xNYmS@VQw!Ex0rEVh?T=x0V z`!gi!izoN((3z;V-@2fY*2`DcYprS!;e1=XV@zR`*E&i!#E0(OL~-xyah)`8N3Cqn z)69gWs8pAlao!eG+2fWJxtC|41in__cWg+4N?fjHLz?#3+C{0aPnbUW@w4ok_H4(I z_}azSB8s~8*tuWjWsvZMDz)slodx$|5toJNwqa(f>A3bLmEUR6XTXp8)DlyF1{DLJ zGHj@esHo5N{QKmMtA5_c=vlWBZ-6dj-~g+`Brd5 zN-rGE$XEP}5TnAiHFgG2J@6KmW++f zQ~Ue>Pek6lJ>5N3*{1t zDW6%ZM$aFOM$do#=!I>1!3$h`L8RwB?>4399r?&Zw>(ujrE8>uS8gq@aw;^}5RnRA z`Bm5rDm1GiW9u5J=&Ae_*GPrtWGDTNoCMM!i$?hmkbXEPl}may83nKW2T4DdlgcF( znvNPLKQ<@93jS8f_+`>(kknpRr!URv{h?D=kG{59ClxtYlOdnhnEacZ%J?WRV^H{& zUrk0CqrJ+wo=tD1jIQ5nqL=MTb4j8vG6hpoeZTVd zBIBoWx|U8w#-HSLP1tziGi2;_oV$9I`KdGta6djabPmh}+quYKd z7d>uv{-Go-CpTN}HRVmJivPNr)RRf2|0T-ps^Wh+{mmD8acFzo5Q-w0nDp`rN2GH`=|erGC+s)KA_%I#gFXwS`%X zsJSZr-o1dVnNd=X9-&zzk#0z{s7g6NDCe|LF6x>3_GRjGS5Utwh~1kF^<&!rDVHqX zRVjDZ*SNTvr=ME7hOU^7gK~WbWU$;|xxNg{h^mb+3lS&l&d&asWr4q^WDhy5wT?t^#g!-wiA9e|(y z`ZdM(cOT?1cw=Pn-l$JNeFPy#kv*<Bad#PJpo7uR;G%K$lcj~Nj_5a&liJS%mB2#)h(sOxm` z$;AIA1%4kjZwEB*tqrl zfz2~CdC9j|)$VQ!UZujJL(}1jzvqBxwyx%=vVrSUKd5J~ZUtL=g}4=s?YE6Vx&2zK z&<~a?me_ATSZ==-EA)fqiY4}&50=}n#R~mkxnha^=7Z(-Yq3Hf88m#B2lLO zu8p^hUW@P$0_$V$=gQ@)Pu~ufXRusf`wo`-fVJGP2=^JkoK4Ay&_BLzL;pqD--mNs*>uFOyb+VK3A5 zmx3F`woA#43fcpI+5^oJ$zT0c;&V%o)v4UlXNa`le#>$zn{K7g?b`^0a{D$}W*96t zSgx-H`tl7vH(0K(1^V(0J~vpduLb(@4L)}hEVp{ml6MVznTEYg?}u;94SSiE_et$N z%G7rmI&eN+Ay)rm^vQwSVgC*MO4>iqE#qh}{DbB8Y4g@{`{cVNuZ6&e1p#omg{SQzI=nv4VLR`fxdjt6`$)qhSJyJ8*|qm z@OD^N&u&AM>Bgc=!(OK4nAF~*OxK?>??ktC#GXU*6*N6F_c?RYBT6nPBV7a~) z=*u_w++exB7U;`2_}pN*z82`qH~8FOxxN)F4NmyxVnLy7r*3r zt>sp&FsXjvk5)~mjy}44?RM(7w3x2n+M!SQvFj5YDE3*DtKPBd!+N0Bxe?*dm0PA8 z>Eprh4wma{*THgw<@#EnFW=yEgXQ{KpfBIxbA#pjTA(jq$LG2at%$oaqQT4MyXjU? zzwIA&TU@bnYq?utiQM-+kI9{nub8#E>d11(M%51Qf!jG!Rp*a#jH}%T@2YnJKXrlw z@Y8Qz3os~yH%0~@0QHk-@+3{Z6WO27qak~l8X`>`=f~;rPMt2^6USSQ>@*NR_ZS9EHbsg`@NYL8#zcF{k?xdSS{mQqs%B@~OKKI<% z%d~30F!ZOsZS_X8)u>&B1sN>Y+U3~`OO|_f@>@$WDA(8CD{r~y#vUK_S@dqE<$)l*Ev=s$}~Jfv;(w{!pdJ;clXhmTS+=RL-e-x%KKdJo*gvf zV7a~)9xOLluCE39@(n&WSgx-H`tl7vH(0K(1^V(mSA4Gf&`MtqByN;#xJTJTl&SBS z3{j>Vi85(tQbd}Dy-cwZbSLb!zF#-&W$Jf@ICNlxNkS+P8xAy(OPD`v2TI>Y_k!WR204VK%Vy%yAiuZ6&e1p#omg{SQzI+{@8=_46GGc4F)wA2Mm+8uP%w7MN#fRTzTHbt!M|Yi? z*k(7pdA)DU4S}3}ts$AXT}t{eA2g4Y+db$;ddeSSB|QySRV(jvy?b`hjDzL+T6nPB zV7a~)=*u_w++exB7U;`2_}pN*z82`q_gwM0?t>+L?LJsiy*b~3%QoDj?BN@8eaB?j z%QWm|>V9bzKkB;D9^*!f+1j13*NZY`j@8Sw2(NeuY(>BL^{W58dZXEDq+wlAyH(X| z|6!}=>J^?VcO`@6_GN*UAJ!FLg!g6aTSvV5!+Ps%v9Fef&ms0@t}gM)`&?Jql`0RG z>pL8SKSw^?{m-4cvS#{<@%1qV7b9^eJ#+JZ}7Rna(yk( zmv8X7!E${q(3kJI;&a`{Q2IJVnXW(J?Xa$%-G(UBjYXM;-(~6(lN#PJcl`z9TG-(o zbJw!Wv%}j(_}NKsJ;k70Uz-n>8!Xq?0)6=gpBpUK*8+X{2A>-&*Vh7l`39dGEZ5fp zefc^*w|dc%;Tv;($7J}%+>Lx=Zg|IB-zd|tm#NP}+*ySCupcasl-oVnCVERB_A>Q0 z-OBr1@17kr<6ybI79K1&Sgx-H`tl7vH(0K(1^V(0J~vpduLb(@Jy(3LZ$!g(Igp)C zLzJoSm<&;-8;LRvdzt!1nfk7g2hOKO__+}RTeY7V`cvO_;Dgh@fu(EfDq3#0f8P$2 zbBNFNHt&+p^_Fr#jDvE04f`CiT-sRr^83!|rB`$8zHx2otMa#m`fvU6!sdN%SS{fo z9wI*c*Nbv1r&?7V`sl1&8tJcS%J)e0*H(Ae^w(CoIlC3q4}H>di;J4|>r|JutE^uK zz0?fBiR4s>xFYQhDz32!R(cB|a( zfBM+I4si?kR^8`3tQ|Xa+)dEMh?-_GqONvE)b*Q6{p~-3%%ujGpHHP*w?Rm|)abUP z-i=Z(9Iis}x4xYT(QU3;TYfa*>3K=H(@WGmYcDHzgVamPz46JHuD0b?dRUj72sUTNl=Ox+z-n)>6f<+Q44)z`UIwcGIA+J9sQpX+P8!E%G;`dXkb-{5nD<@#En zFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pj zTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD z<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIx zbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb z-{5nD<@#EnFW=yEgXQ{KpfBIxbA#pjTA(lA;B$lJ`dXkb-{5nD<@#EnFW=yEgXQ{K zpfBIxbA#pjTA(lA;B$lJ`dXkbpL}k&FY^KO4X@PdZQzw(N}e094(x6J17ckLVLhOZ z->BGODd}y&mG`;cJv(T|!E${qJXr1n-Ez0^g#k-1q`3CW2!?l~_Z^cvA7Aljh-0H_ z=fuWn=SWqZKguz#b|1W}-Ua;B2@b$dzj-adpbXy6+s>atwuS6T_LERQNt5q{`t$#& zszz%&O&sUP>F`dSF5VNzTaN5Byg0qS43Oi}F(aVX+te?vogWKvbE&tHtK%H9x8L@@ zb`ioey3TRHFO}&|*lWG8=9O>5=XP5KSE+F5(DbKPNuMipX|JuT_I7XS`73F~ml7Lu zRV`=bR`JyO+@Rb(EihPap9X8m2g|jl*k@s|+&&G~k`I<^O|j3yV7Yx7tR){T*P3FV zg~4+BG+0aiT(MmDp%vx350+HlA1|>SqD+0qL{X-dO_~rZ9c5C?XcePOJFj?aJE(X@ z*Ev=s%G9d2Y6bb+5CZEnD$kY6SD(HeERTKeV4LVI-JkLA_GUj=#$f|OZ~LzNY#kQi zK4ZK3!`i1;w}59@N_rc4<$bPq&kmY#uv}jY50?8tw_Nw3mA(#9rt1%QJ0VujZdEnx zWg7M}t#$ktAMLv7fyAVSy-Xd0@2l7@C41qj_d;|NX}y|G7T@zj)16o4*O_g-(;} zsdm+-JHUVcroQpH>vy`-#I=eOBwSa>p^ti=d9O=!E$RD)@7Ido{Yl*>vW{1%dPTL% zu#fWIrL>g){d*-pS6gUXaO2ChedyCAwU9lUt~7Y!)m;fIR@NeXY1chkbZb#U+d68T z+ro|Z0m~HQbVMznQ95+!rLW6qwH&Pr@XXQzk{NC^Yt?HD&(QcsKHH7dQm1XdQx-z- zI4?+UP_@M}(p7CD^#sVrpS-8D&b8WIwdw0)ugY>`z(cS9Ul8DCbKAI>N~PA8qMhu3 zH`eHaTNY}QD;W~mOa9vyNg=D$DJ(!;x*IMpX%*56sN_KZ?MsAemCB`M16{+4A#=ZH z`&G*%O*-0Sct9rA551=)ltv3JTW}PFF!GudS1??s>e+w-B`>7Zt=2>d;N-u2Sw^+3 z7t*C1Z2T`ldsp1FxvfoCP8aawUkB#;?baS-DSuN^+j?8Lx>(Sk{QX8*y;jFiXTcLL zX!UMXM-5#WP8B?UZYhr?Wy8>I@~=LH8jY8hbE%_P6!QXeqq-Hj*Rq;af+nl?1#iyu zZaq}gIcwobLOHM7Qp zi>=i4C4S|mn_B7^yt`+#9e+~C-^P=*gaw?YE>}-*S0cNpQUA5dS++2(zPZ?K^y+1^ zTpg7auomSMl-4WM%ZTc){k~Ck#}c+35lG|G3k&e#L3l`OT+E_cxzzA65J=)3p39)6ajVewXR=^}jLq_yPPb)7$yY zr$?55^J$hYuAS-pF4LLjzqX^3#yFnFFb@1^r*)m08CByPiy_93x~^pER+Q#naU}!0 z)VNkl9d7mv>S@TX{|Y|0OPh;km&+Mp^y0KoW5158CPZb*Om&S6k}g%Ze07f39s({+uV{8rtudbrD+o(VS7f(*b@BUyF3YQ{ zzxz#Heq+b20?WifC>@vK%eAh!=+OeQ=yAxHgr6-47P1jKsgD1c&Ts{z8q(CvNpVRT zE@R1!TbxX{pf7xjysJ-^QjoCK^HOmxK^EpyRsZ%g!mbjxBy!16%a|q6H@Sj>Kl=|P z)2>w7CGWac^ujHRVwN>8U>R4-PbU==Qi>pfI0~jMr7PIlx*6X0g&lNTlolaqxzrM< z(6$sbZ550lo#d7}b&4HoA(Bo4MnPpthyE@FlEf8}zTE33IwNucbZIqAWfGvZO}EsX zz0?p+qKiLEET_znRM%-qfUETr!aW1iDtZ-^>6cDRVy#V}OK+Emqq4+O@DnM?zx3ok z{lOm;3>FZ_gxgro*^Oi>t_9`RDT~Yo%xxE>1lfqd3ry^}0q5ELAxq! zr(|#-L=Xz7&Jq90auR+47ck>s%?~kv62O!>WWPm9)juxF6c!2{7g&`#uu>$?F1bt^ zZMVu5@+G1cy9Di2L{Nx6(%*4VAFm>-9a0z;Xq8&WT7V_fwIX^!)M=06BDItOn#`3a zSB(1o|7fAI#7wglbI%wIl}yCERXJNKo`z|a`iyx!n<=hfWRQcX<)r1mHaC*8v{Hy+ z6)=HHZYs@P6+kIf)&KZCDuj0g5V_d|kB2va6anPcNV)4aS@;|7hGHB+fwGf7|Ivyv&35kCn09{s`_hAV zl}ONxAMUP+1l8w9)uji=69PoDr|#HT&%ftAGdNQ#0Cl8_xY3B85H~eHA-*xq)RXvS z@gjTj)Qh^mEH2p-|N1xJcOFfX&*ihP<=ZAU%i;|`BK~;(W%06?>3&gvg9bR#Qk1C^3DSrbSim=AFf z;^zgi;4C2LrDC#_iJhl~au6Ll^qcR_?Pa2pWeGW}bKptUR#VDd)t5OeCxfIe|4G#V zsb-4K$=9((UHGj($<4XVSR0~wR_Re_lGPzA4qA+rFa$FF4*#PpX<*g_T9-6_#59-Rd4EuqG-HM znNZSlF=wK8Q755`C8=LYl1T;5hq5`x6{!<95keEV!LO>`dJ;jv#x&kXvGp)5qGc7KfG2w{qhknUl{SO1>W+&@g?J3sCS{> zg?jgR z&>EGc+-Mi-OHg03axL}5UAcn#V@A85{O3OY(%PZzt5c759$(wQDjV+j38)*WE2vTK zwRba=8r0nwQnL4Jx^h@!3U*YIKjx{c;>gwZWNev&EwlifcNXH7pi_{9Xd~9X+*az4 zMDhFost7Zw@)AiG+G}i=CDy@0EsXA=aw=fu3uwW*mF_y)BHBU`&;s6Z2}uQ7#FpG0 zTo^8_Qix0Y&Z7cK1>My@BL!Q&%`}ln(!u~&Tp@&g%iq%(k~S@r5wNJxqPwJ5LoeeO zY${z{;4)3O_BoC+!Bws+6xHvAQpE}dVyv1`W9H%!!c~|g$YotjDCy*)puT?zbRolB zlAFW>hTKI}NpCzBc|I2n^1xWU*bL`XM2kEe$c!yp5EfyDTFQ4x3Ov&(TcArLsj9w; zfVF5l?9k<>0|RZAa#fWKsVWwk&}tXks)@ENN}j+&1eka@(enrhCkirrD%SF~wQITb z-ml7}(w+azx|A(uGqf3_woAFoXlT~ZG(jdsTJkJH5=f<1s5_u{p9-}4fEn73`Qa$@ zioIpEt=yIwDYd9*XHnI2V@{~+P)w^zs06`QHA@NOrNkx3rJzyd%R*`xy>+ z3<`zzR$RngeWHy3a$Xu#S`PK_iEspWjLu{uE_9C$BCK6cD5vVwKT>;2(GJh-QH^sb z-Kv1NJPjl`2X$oRV5f8LpXfypd>tJOX{CuE@h1Wk8N;b~z$e@Wrft$?SBqpw7Y__5 zRytke;0Suw7NbE$f}0aW)(;d!luhLv#$w783N}VcL{Q!REv4M{S%6Nmbk*AqQqX_S z7Nkg&*~vs2sgx`ocxEi!OSX6gG6tteIFnrxCScVT$qw9td+m z0Yfp7Fh3gDyQBg|n~4G#OMs3)B#J|l=UJ%e8xF8@i3*~xej^|iClex@j?O8TNR{Y^ zql$`N65P_xE)SnXGoWdmu$jYzQV(k6(mC~mqW6JmxGBp4i09FDLSmLhWK*&s+^U<| zR`vL?x=C}Y3^K7z$3cl5PG0$u)>0u$+OPmc)ugPF08&+ANv1#nayP8bD6*-x1a`Pn z%0Vlg)LUt*BrB`i`V+NfZ*9%zTQygQ)XY(nnv@e7<*IMcuYapuM;|FNNU9Rq%(j(D zCU0QhA*QE!h5d;Lk7NUy)0oX_M>aKhGHPA#Dh{e77L+6$MPF=1Ddngs;sJ1;zu`y& zPnBkMqD~yvduqKIL&F!1m*f=B4Wbizxx=G&G96^*Kopn4JX7ZcOSgdVaBH-R@uJS z@HJze2geh?sqjVB?CGQBEr|Jbhoi|;YeY7`zI_WK54<&T(C&K;iJF#oCQfo3l-+iT zsHud^y?^dS)r81q^-q3}$E&rSX3R0-VL9bD6*d>|(Q|6VDizse51rm?IAed?PV?~E z-QknAm}yOGW95B^|G zY*(oq*_6de*q^>pN<0v@T$+JwhYhMpJ)TfwM^iE-aq2**E7opApJ7luud3EL7~Vuf*%kmI zF<*nz)npw)R>~CfoLe%L-+2$4fD4Q~dhU*EPSfBx8$(2>U4|oaNFqjnD3!~(SkCp3 zrjB?qv5G+~(F7HRF>e$6$QJ(48y*xiCv${9`NJjxuY8O|Kr^fYRla;%Mk{}c*;2*Q zDd%%5J|#(I*p!jTb?G-Me9Hpn!Y6B&CZU|*wczLeR%q?!Gou7V%TPIKpk+mvohLHG zR0SurQaFd0MH$^R!t$hsx$0`%^_Q9kJ@V!<)D^#xGNlj`rhDN{1p#5hA2H>mzmCWUrxNIXg@ z*lCUuOQ$&~D|cJ@)LPoDN%9qt)cS-*n0dtN8p`VY<)6k$3Piu6%-Q!;pmITXL|b6g#<5tutQw48WRg;1Jt@Gq`N zl=$bl$Xd+EElwApBnoDB^c)HG!gp7T7tzwNUiiLm^o*Ag3#23JY!f&a#5yEAihLj5Yk9yGf|0# z7IpWjqt_f7+=Mm)XnHwO@)NYM97=^E%8RO>U@`@BDpgIQ#=(F101gKDL;WpHZY-$E zVQ2%lv$fhhLFYdTJgp?=e;5c^Jfuss?{#>12U0YnofvRG1xYh4$;h`?R-~Ym9#K># z=`l{!KI6wkCT(DZ+6>@*t(Q4Ot2(q)8qXT4(XI*_fkdHAqRwNfBFAEGE)f}*Of3$l z8T}3)g6jwWonR7@lZZM)N)1TnZ1bSS6lsZ9;6i$Zn=V=?1X=MArLhTw`Vz3J`bfU; zO~r$1C_5gQ626$JsZuEPYP^UKSOM7UB&-08UeLP9ZqvqRl_-NUaWWi*s_N{=a;mv5 zgh(!{vuQOJ6%$t`oPe8eC5lHf}T;1|D-xytgva>BJm($G^kcUnjCWnv3m6WU{Ylz>JR zH<~yDlxkW*eeKWB&g!2WQX(N%7R4wCOVaJ7C2*4Z@jO9cmO#FXa0I$Axpm#Et0}sv zirF+v>d{z=sAC+S1$BbgF(F70!A&bFNM|I)?UslkSIvAviQi&$&tsK&)PS)OttR|D zsrMKkxJl;K$9*dX8rSRVQ=&{rBd^Bmv&nRFcRd9&n~Y8>zS3MiM&w|=HLvGeP0g@w zZ7PDG*xy{)16$-PR5ZIi7%%> z?lP|B{d2g10EFdsk0p&aGmGJ7>ZLLL!%^n-H~%U*BRTf>T^a51Xk3rD!cI{R7#TXu zQNYU4%gJnOE~kNu?QS?yd4iXCx> zMLmI9;K>y+Debn)-{~9^e5!IxDo2?nPu+o_wNyRzdc~v?yX`C_N13X39pdzS>2pjKS!;+po)Daw?0+bM!Ylu6O6<}xv<6NH|iIs;IVrVEN-oqq)* zKw>#b-Vvt}(WntLVlRo7VWpZ#khic#m2*q|(mD4M>=_|Gp-qWY zN};A?hQ=yE%hDZ6HpnuOs-a1me8qNE?e4hD;ia0 z1MyN8GWjg3G&xRLp(t)uvaD8%sB>=MO)%v_lBj@@Qx@IiRMr3L=Ab| zV8$4V!~Z(bX|Fz>S1IH%0FA&xOeVj|XJ3M2EV3{eN^8p6asWLVYM-(VuL6PMNZjuw z1wTo1k-C&mb8^{z&S843Pm?@q0&tUR74d2@%IA{QGBv~e|3G6|rV6|>^ zA!-*H(Pti(#ZC&KB-roNl>(BILinV9qn7(Gg$fyFGYwRU(ueZZD$PU>6S^u`p4;1i zE(ZXTgt-}3F%w6d2*nsK&qYE)iKHB03gy7mlWj2WV^&clq$V=VRd3T=f+aglLc$}j z6k1c&NtwEpJgT|f0ERk2=E3S1LE{k#D)|eUCSOiTHB~7%+1E<3p^(5UC7;Epk$(Oc zQqZ+P?jRzmh6_y-6_shj9_!{r0Z=Vj8aC!%4-45UqOxcx8Ikbp1ThA^KdvaCMUg}y zLnqlULAyN^5J@hQi!uc{}v)I(rSAY?^uj5(=bQYJ-$M6eBRm60KH2|FVO9G@cRFlg8x zjL`@VHMXg$QSpRnLvvp>lA)ktb3!AG}AzlIc?9ku?F=d%(kxmb0a3(i9)KsO3-&y;b1BC!<`Qj0jmsz-Y|#F8MqwNSTg8e9DS#i)af4 zGJ8yrbVgvx$(eMo&v1tBhkphT$#Pr>V;H!AM%DNzy3qpF6mRv_)KZch&*7vR_a5=D zxlR8K+)nC>(4<@pIRd8YFC8;xal%|)S+Ge0YE=^z72F`MV>IHUKo$e7AZaw25;8%x5!d;IFyBQ;lpa5) z>-DteF?UX{R7Z6lwH5q?Bb^c1_Su?|!gAZLYf(fqya#2a;yGHW=I1!cZgfaf=l3xfRae#+4MNWYqz28Gt&5m!4y@P1$9;4qxGA`V|b*`SDnxs!TbLzsG3)Po@CAw{%oUN~8C<0q2 zk5AXf`WsD;ZyYCHgXqz8e3EDa2~^W%}iM%Z>f8E>9^WtAJ$L_XyFPX1?DpA*K5X+FdB_y30GC-onPEpIA%!PG@x= zF(cwe5oOwpy-bH|zUsLRwKpvxn{R+7_DS7FlYkv%s-HaZ+R>9owyP(PZdZ?g3G?jO zotyeSFusXHlL(7LZ=N`OAK#?>a`rO4jJOe@A$?8g ziI=?&$}g@rp-#Vc;&k(mUv{3oO!u)n={(dA*3AzAy!Zuo*H5gy?h`M+?CuMVf=Q44 zHxa@5p(8t|-g9*4!f$@a&ZRGS;+_i^{?#XMH$Qabq56l8+`svrqo+RWd0vnhCEZ4{ z(M=_WEbnE?W!3;kf|!C{0=0UTy-cQ$y-fK+0)&|G?wYPsa~6-p0w&pB&|29nFm5_Q zttgW{1rT*pa~t~8UsY8XFX_fdYnc}vu-tFX!TRGkF>yqJTGsMugZcNb} z8aK)mqeKS<2BZ^{Pq#bUIuccK>7g`fw&zfsNLQQff~{Q1FI+#gi%q3`|8Aw>D9q7B42EH9 z5z~;o49XM+38Ewg5+LcoT=#Y3MQ^RDU9L)k!>tRQu9F+8k(_JnUlSSV3dr| zDFg?Kb9}lZs79XQsH-!|O(v)*w>?f2=NMM@2{d|q*r&hP6rjS z{AWG4HkKK*78><(#wK<=4_yH|w@`J({Y`Wr`W-|PWi#ZlR=-p?tW~X4je(+*e(mc@ z#Wza{8__}nXgaK+Iw#-5;lWvY3Nwj11aePOnt?pGPc%!+uqhRfh}bxo`YVLMAh%|wmIInV zs!@=Rrp>lMViDnKZ5MC{MJ+``JOx$Uvw*oX0xb$!TOj8Q(5-A4?IozL-pU7avWF!? zBiGN3$lDl_1gOE379iA^B6)ZYA8T9oMiMioNS2iJtU;+UrL}~i37qI~ha`r%PN7}` zx@`)b;Hm%jcW0DH!18e85+|t{V$Goe*N94IT{*-q7gfT%i426wfpLpoOQ{2lt4~m* zBuJ)wKULYhhgFw35@YOTdj8o>m3lT7|SNG zBu^4_o1+3o)>D~`1y_QEhSWL<>O`gk4A~h9+jIxYkN6P*!$Bz_B-sd{w0b4MA|(xH zK$6@(teP?`8Z$*$1OeIZqUaQ}y*#22wMl&O%l{tSS`k&`aMeC|o_Hh?6K zhNn?Jfz_a?DnnsMTGONxAmUHClBlwlq(JdOdudD+@}_kh#y;B86b^@o%znO4}}JG5Ch>Xn_=Xh?4+JW5H5_rDEcH6N-|abV;Es z<~p%9Z*Y4sr$QoP7ITZG2U<=5(cZ!+wYnG%POy@Yk?EW#Di0lxwjjwUvM5P2$<4Kd z&Pv=abVO#f?4nLVLY|XX5S6{W7U;YbN?rg-fa0TPwkhBF=Yez=VQGMugd!TM&@BNr zFlLHsq`>(mJ*iGRDFan?3Ytpg#rR$IgIQJ}N{ZkFR67-0G zoK-GqzMi4FU7gi8NoZ3^g0$4nWdcZ%relIS5HMzJxuP)J7_$tsUa(@&a55*^2B?G! z`e6Z&OIwI(bYY0O%9a+SYGRs)R!DT)B9gc!=yYKl07afUCU4{MK*b=Tkhlq$GYMjf zPU`6>VZmw>XP5u;HQoe$=na)3dGqyZz99h{3H|Yjxmwq(;h^LSEV&&8+oS*&3SDqa zY$Ej|v6$%MwS6vaZU4$cpxL5yk|5i0I<}bzA64rcbf($9KzIiu%pW>+q2iP3M6`&Nkq%Af#J{%KK{H<`^r78J z<2f6xh?P_u8w5+#g^l&u`bjOpW1=B*A}Y;E?YH8m$VMmECnF*xTh!R8LzG3@X?GH- z_Rc4E6dB5X8zL2eQ=!I~*w{w;EbV-nYFD7{EN9=# zjxp}{k*D25e7}y*J)Lu6uh9cqBPHHD!Deqd!T4+MRy8|!YP)I9eVQH#W?N^rPyGr` ziHRHU+#)`A^5kqxcy5dJk6_pO)+RmPIIi7JgrAs^o15I^&Dg|-`g*;k>lnc#1;OT9=z&%6Jt@*KP3HKfj3pZF5@X=$h;F{oJe@kT_0XBKXQbRi zXHWfUVkLxo?mV$k@8BnVV{UxDsviF0yQ+(~SJhK5XD^d~3+%SBw>S|ux_#8-C{z93 zBh}1cz5{oArI?gjFP@w5kohtJ%GceN5UXbtKJ*GU@l}Gj;PM zzccpJd>ikbQ2+LSS5=Q4xwF|gad(~Aoz$@3XyY;V8?ifS<1I(|RrsSj^>@8|r@l-i z=w(lUc=!mRrxO5=oZq~B^j-k>G#lEXB!~);t}>Tfq*)G zXYAJy^N6YMZY2Ad5RV?&2Dp9U;*oP>8vQ=jIZb+bfi8_IYf)3&mc2}in~vRMB@zT0 z5|$O_fcTttw3jJS6lfB0BUN?GC7Y>#1$9t@4{E4P4Vcw5eLU5>cYSH6B(brWb9WOr zJ~|im#L3;tgu4=79YPH&>J@?sAsNyFt|V$|l1mAQaGD6HDzG3vC_7=5x!2_C6jv`Z zRIhxrsxH03XaLMDPMm4fdtRu9o}%D7MW5)5S%aT?JT*$W1QSo9wihUCTt%1JPvT4en@KLf&dm&#ns~ zo~n;5eTNJTM3OQ^9fcjCknJp%Q%oy}lG=loru@jkZL(?1tRVphjWXPTp>JFijrqRw zCjuwDOwYf>ktb;_lTKq?6W zwUT5hsj7D5KGTLg2^N#t-BR^?e6xuLYAOV<5a@$Z5^I)P5m@p$%{6-jbe)9*wD8(` zK+-6y8^R`uQ#({BDVG_y&FNuF0L}@Rz$FnNzJV5MV3X?mKeMWKcg3K?h)`VJQdOSgcdXKfL5as|aGenbTAj4GpiX81D6c>C zeF}krwQNY~uWCZ86%LsrZBSGKl+>bR;=CL)9>3slO7to>th)F^Kea&rf==fwT;llW z$Vrnocp4t`UibH{8xSs3QDh;LERp*Zis!RfJ+S`ziwzoHBT_VbMk)#^w;@Btpe*!B zI#EfekV-e|>UrN1;tCl$Q1ooj_A1GnYSiqANoOX7jA<#hH$`)EJ=V(7)uwoRw~c5? zXX^`d;SeN=v{?Vczf84kjcCO!7B9jXQ;Z@XLGV-`vn3T9@hk(=ak0eoZjc;}a*k$c z3RR?ykEl{pV&!O(l#*KF4eg9e)qQUli7U|+ieY`j++s?ZhpFkC?SwMGIAx4895aDI zSdk|ZmAiGpx~X(COY9O)>TgkXL6N&j>tUl-4i`WrQ%13HQ4`caugj12x-4HrUKG0S|+AFwIU*h&B;3Ik`SZ1Yr~m#qcOs)!*<_t^f;XwWtD`D$0a{ zO$nII>!k1sniHN%ZzSxB0%LZUX&VnWXq2a;`c6+)jnMjW$Q~zhLBwf;Hpi2u*`RN< z&R6I*Hk;{Ybzj2{tjY0IQ6{$ZCc-OLPY#4KTYR94)ikI&otFDZa|WFd6g!`ST0tGQ z7(tEg6$kzKFM?)$s!l29Gn-N-R)kX88%htx(~~t3vW-omLK_sdnk0{e=qD-&mOLqj6^!xORNtrsLOf#ZP7XY5+xB&IpM}I+P#&NkXn&uB+ zSUqrv9mS1e@zf2z_Zx{aaZK2S2!n4=f*QN-XlZcD)QhSfh=Mu@EL&&}$yNj_MOD7Y z(&?MDm;9-!+I<-7L1=$qjq^1q)?gU)2|c2<>}fHSGrK@ZpRH;h2~FZUlfb7(y0(s%;N-HD+meJ#G&O@vJV~l&T^ZshC`&RC0#kplBiN?s6;@?%4>Z!CO#wq zDS9B$Zq|ir2avT=%keJ}Qcu)c)TN!2%c^|icZ;1G%Ee5=LS9B#n4t+B10xcvz;YsS z2t|&Fq?tQoyl{8>Pqg`R_I+;(jFaC6aG9?KN1BU0BqYHA{yF&{N2zN_(~FU=jZ1+)!? zesT+rY9iGYwpa-fIHE7M%7x9VlZDC7x(=#;3|F}+mXYNG{#dPb0ca!^4VstZfV(@1P-*Dz(*9Qksk6poVOt3DX8dSX+B9=JBa-*oG4UB4iTo{NDBt}Z33Eb z4}+PyZltb`vSF1+7Jr7XE3pTMpG06(9DB`ZOWH0gkL*+?7G zEOR6Sspol!azT}EPUWkJ&YWsP#U!DUY43AU5U)kaW)=m+P?nDA`uZz>7f@Em_R)qu zH6TOzhO?N9x}6;xNuD&2xG6iBX@Hp^peB=YFRLCf0o|}f-fz8=U`gC_T_3oJw4t0;JPvqhi>hzBaM=~gioFOwv$|(RTJ`FJs4Z3Tv-eo~94MQ~t zBLl;+6{2x^?!mKiy0(hM*D-RE448ZJ2XOE&<69wH!kYQQBui=a35YXm2bn;cIZlwY z$>l_~3v97%0R;<*JyZhWNFqR$L>GSckSZQ6*#d&BhroO}#1{mYbs|Z7Tof^C+sa2V z926*$wfCe=Duz%>$!yxU6KcfN5iO4#$z&#>SXZymH-n)Bj8L^Fb7-$)8N*^cp~TD$ ze)cp$w50GI4I5l_2{RR?#w9^4*H}*007w8ciY*_)3nwp7o~jSo%+-YeT?(Ac$4Sl6 zIuEb>w2HsIXJ(NFsXqY{1(Kzvry9s4f|UDAqgr4a@aO@`cu7xL3^1Cql3+<&=X93D zrBw9OT1?`Z%V=FT&^Fc^XjBG~Gd9HV;Fj`ui6PM#no63ynmpy}XG*~A5PPG(m+Cta~>ya9`r2~`bf!HTg}jtWq1%3px3`>2vB;Vf1bgO z$_33L!?@Y(T=Kq75=~q?D0TeLHd)ZgHX1S-;oy|$@m$4DN|b}B15^WE$-jfozI27x z^z(PrV455zj$JL(EfP&%FgDy)RU}=y{(C`GWG8LG}xg2m7 zK{O-3^Ph%$E?iD3rI+~=9M2GxVlHSQ^>;17N*Si(n6Fc5t(9TJAMPg~;0Alu7oG_L?+}J5P+Fde7g666!LgD4>jt zA}~KSq~_G1TUI=nDmT0o7$u0!oVZE=4_+fluYL!(poE9(E`kpmEK}7U~ z9dwQtq3Swn;%R)lkDHSzRl--atzct=RBdId*h{4`V}BI8i{><~4Oj{3`gR!98r3Ga z2;?+u$C6bU-Mad@uhn?4uPbrijoC4sd~S3vzaB--XwC?UO)nBR;^&%#XBQJ&tmqrJ zgEJWQwaFcg3xEl`!1$%4UA{4={X*CdJCgX&g)bhW%@#jsG(Yd*ouK5_jJVAkP9&UN zZ7X256|(s;B)%v_%mlmfNxm${5aRh#17paeCW<4DM||}VtrRN5-_`{oq=lsQDZ8#T zTZl;M2e8KAun>ciFp73)VMn4`5tHIKs@TcJRKQ!ctYFD4S&AWKCeCKW5gB|(nUDbR zb-z(nw~s3JGF3b1dH!%UVq?)RztDa0!+A?i{4P`d^f&5TZKF#M)+7He(}^@=canZ- zseXWP(icOG5TZ=HMk?j+z*W1%q%JGUMBM0S6i#}%e=Gdb$K6%GA*d5krur$qUzhhX z5tFK(JaN24nX0edqCo6Uns|4T_8UE>xRE14ud17ei7XKw zYIcvjZv0&!Hne3a$BhU<-Q7l+?qKIr^90c=qD=M0Bd=rU)9KjDq@Q>C&xjE9<(Kc& z-<$U`0XWNErVCIj%5?fmkLTIVZ0G4y(*UQ_`0tGMyG$hc=R{Gai)-4x>?)-G2g3>i zmv=s?%)hA1&L;^-EW5;wgrTS_#ZHsmRP{`4l+dY_{rP{Bx^(cu!$PA;t|gIH)RsO# zl*TG*)nG>W7KIf+Ji2=MHb6xs9u#v)ifGA7AP^X6)?H*Q%843uKKT-&3e=KbS6}`w zs%m#v9AdFnTEeMEtA4HmW98y@iK1?dq7f~{LWH>vcmg~+(bHZ-al;<4R?jGuW~k+ulni&ZR4CEfDd7TzQrumhx_aSH zP?uftUSSF1a0{uy<^DsX8uxn;B@y_;6)MWr0MnmOb|h2dJx6uR5C8PXTY@k|V7WMo zOhr#qK4al32&*n%Nk~rYX$p=l0LS>=SCOc;Tw~J7m16MF4j1)oreV!n>r!Y_sFQg? zCJPY_1*@uaNY>fQmFjd$`UHSHs9gxo`u_hLAO(GgKv) z1o;q`mCyk*I;5DZHka-;q5wI(KI@cpYQQEWST8+O>sc*xK=2EXKtl@gC2MnImT^(j zIWI0Pv*eJBiegtzhU_PM#a~=dXC;;!TQt>{g08JD(Faa47gUQD_F4M=?ZUt=`7$hk z>K#6Kj0?Y8x%9?0UHjW66MLJIr>@agQ15=Ds27Tc?9x)Tpf+eX%P#nq`hWh60%G)+ z*9oge1G$Y$+>$YnuIU7|kQrNUhKsbKT0v*o1s&ZkHFvwMP{`E3d?A2%Wy}dlAi8w>4`FrArOYDpq>s8yBZPTxD!pZ9ITN0NSFHrIFjdAG#72}y{ygq5YS zo(X*_)ETt9<~;~4K4iDmwl4u$)-L)E=Jy{px@|>;wh~4A88GD?)Dm5^5$7yIgStfC zrP*8gt}_cdiz=d9aA~0ALS!OOhYp;g{j39O#q=pW@~LrY@_6dXqM=gozP&_Hw=a@& z)5Vz#WZZY@%4oT#}zSd5UQWZ6*(>Z*Exuk)2X`?{I3 zSdjW?Liamn6rVm~CuwBSM(m1#gc8;^?f{|JCrofU@thhx@l{UyRr;&XWC%?%3)oIc~(C!;ai+f8pWRdda2+0RYy?u(b(Bz-Kw>qR+=jK#;b#DHA zP4&rrHsONWgg2FXyH#%YKYgs5+{dZq-4oCLsIL(D*p)vMeFc8Gy1%CON4?yVYya^l zHLQqPNb0zoNERb%21c|?-5F7%pGj?SKJAnGefPk((-jcUr!9omx(%XRmzvg=8oUbi zqSqpQ7V3q=RgnIjN3mSDxoU0cQHZ(f$DX1r&%<46o`;v4dnR>Pxo4$bQtpkv_1UX! zxs@K)?i#1jTN)?oU6+%YJL7b-sk@_!>_7fmPOF-J>g1|whF*hmeFtZ-++exB7U;`2 z_}pN*z82`qH~8FOxxNo!+(+oRx{L3ZD(ZibYr%CLp6irFjhliDBHz9|FIZT7~M zd-*@?%DY~47+#!o_n6gYnTQ#B4{ zekYCa`M0Vel0Xy3?>}2+c^R2Fax*EpEaD(>v+3pEAh5*t`@QOO#)lmY&MZ3CKCy-9WSw}WPnXEpTfDO2r@_&Va= z{pO5ZNLrMh!MB9Ul_l7M;~L-v!5&JV@J&}I+Jo#pkX&8%o}nM1UyD45bTd?c>EGI) zW_xRMZ&c6P@+-gjSxK%#aFdj{RtxW5ylSRPa;;W7@J`XIMVGxTcZpiyo(sSBx=gC| zOz+Rpa?8)|=Qjc9wF0c4Aj?Yt$VC;cf>9w}-6XESDAS6kckTqa%0RW;@k{>_;1!YF zFP1T<#aaU|ikx2hmm!cabNeMf5<#1O3l56-wFj9fmgK?^Y=t|kpD7!Ic`=Y!Xfe~G zT9ovf0=21=R^WnH7XpYDANHGpMg*GKM!c4fs}>g_3E+i2yv5RHD4pfiM&i-Q1#-V@ z2qIzlPnKi5&Cw1hZ{rJcsR{*eQ7Fts6%B~~B~vg=`qCGM$V}>&jEgx~0vf964b)=P z;Y2|gU4Anx-onsQ37Rp5LF$J%m}x`k#>hAYc~Sfm^)t}|i79f|<09K(v$hM#Zo)4m ziC!)oQIcit4sWm-At(hYXcoO$%7(Iz!IT0}RX_85$QVG#O@tiD4$CsGDCzfDMUScK zjTZ58PR1P5^EO6-1m*3G?w=9kq z3w}>%buAmtlEIoyD0fo5luYYE8EO*a`KOTRQOLFMuQ`JZwJfuNw+_NY!88^`9cW4T84LoLjuw<> z9!e(d(2Gx6Dk+l_EYOYNDCZR8ruPW`gO>u3XkLs8wH7P}Mw)tjvbK7+3jnNWiDY`Q zs3wHrSv3oge27eEkD`boc1ewd786_oO?bblG>jRNU$7!p4c@Hii>0{m_IQ*oJ-7y=j8Ejhg zW<{Yc7jf5$exiXyRf;3t>PpE8DF1#dj_Qaos;uv5JkfjaSV)4toI`+spL$wstw6Po zTJg|DV{$ENB^5{`-qsth+BAm@;vp@UFJ^<7IP^YH-q@Qbm3XOBm7th2f)(TP8d_I7 z4`z(^7@(#@$4qcD6f{0Es zWlnE*=@yl@R@*3&2uP~QHIY(rJphu;E`!J+bjeTXlte&b&I_iIq`R6_ zf7kCzN7vC#TJUaat;o#TDX(Y;V)5vu=4pkOY?A}Wd35K@>$BBaK;%!1G z(Y6h-DZ1!BNhXo4puw-Cos7oI%6a2(X&e~$2@~B`pyFi0+s#Oui2T0&1Lu$931 zx=~Gjm*>{WY>be}95K8leKcNQhjDTzuS-WK-}IyIrNg|un|GsEypNn$Y|oOcH|0X7 zwnx?7Gww}ly&aubm`_I+p&qaE@^4-gzK$0(nxpForXw)kIo7D?b=-PCd2{JVsv6#? zjv*&=MzP`j=e&Bmx%|)QI`3a!*AUY0DTwVJ8G`_HlS`Z|uo`0yHf zCi)lxz?##HH~(Qdy-R(ak>$PWV@cuaOj*jww-|bjP(7RT7IojyNjbeseQRd-LAe)y z@Q+s&uQcDeV{@`|q^=*}W##9`v)ugv6`N&Wn^zVzUo z6JCNo;l1k>)RU)Qe_OpXPRO3@9vky+^zryfguL@;s!x0}KDV~hj5%h!8~x;A-e3O6 ztLx2+ud32pj_foS0bD+OZ~gcSPG359@iRfL?QC9LJKbDbdtm%HZ&KfQna-bl8@SJhARR{7msbkWkHYna=njtlv2 z$alqY$@c06O3sB~>#2g4KB*}IW*$T@XL=(KqQWQn=!naeNPW%kx-Z^;1C~HpA zh`rAP8j~Wz4=y9zzVEIA$)ri*r#j&|HltLL56RMYftVWt`0mmQB@H)NF7R-AKGa|N zPDzTP04q6Otim(0!2stuqwbr=f<()KNH0ZEOTcIs^C$9{p}MiQ6BwsEI@L*fjF#`E zXt*7Kn4PzMFcf(n0*Q42t7(~#@xr^giHnxi4-JQ%+})#J)X^dvDXB@>P04oBB#DaT zaYO~tB#~OfG)4-Lq<#sMS}pz=WG zXA-J{sgER`mqdo<0;3&TX)*3ND~Q)WAV!ka4KV@8=g>DgV0&2+(Z>6A z-0xf#iHABmENB80trUv`H0QUyFE{3D2$KMkx|zAi)Rsq%vu#*V79-8YnHf)U(TGuC#gg*}9E3qF-u8-jEtD2aiE zB0^%)Etq&j2m)y~FJOyGU#B9tU=Lw5`NR@V^qC?a;yZ>#?g^-ONYKlWK_K>36 z3;Gm3sh|O?HDqWf)fB@>DIXB3IJX2XDfQ%!{x^u6K$6@}>4+gM*wCO_AeKr-7F!{! z%oB5#iiW~T`bkBn6gm~0V3Ty@kFr80>7KfDSJK4P32LA!hC$~S2$OINOw^rWy^|Djd7wXCmt+z3v zv>Zbx&qG9*2Tz+x#eCzyV>*xR5ZKe?ZFBy4&^JgYJ_q|Jf63fZN(Mpz@*KROD%J2| zYby;XIOw4!18nipF?EptGMb(gvYS+u>E(0dxS=3?K!wDo4|7OJ3BpE&7&d@uR{iUr zmD$(p>2xv|$CLmBD+YHpJ0e92e^T(_BZL>UZV(NrDJ7^;9nd+JMhfH*)gX2;8r_LZLLN-lN!qHb#}$X0ZOxmsl_rVn1aTrpcyjVj zkUF+<7+m3&b)q$j5;?Xy9`iV;&PXE}&e5S6(V2isIohW|dZO?q4mEPf2Gx+a4o=F| zj7YssBxOvHMj<8zVlc#Hp0>7#kSNvjdX93mt`9TPq?vswft)Fks!?*kX^Qv?dfX-L zG{W5epzFg&Wi1Sa)OBo<>{S|YLP^#7rxQ`d6bkd8=H%g{SQ#nfneMR1>vPQkxFrqp z9Ze0oK}>fxY36S_k{TpAyv0Bh%Ttu8X=bwz{cy;Lr+LJ%9BSK0l8SPuL>r2Jks+FK zoibV&QIG#+Y!@?7c^8Q4?hnI7gXM z_4J#M1=!T}lSej2Im$HowLe=`7uW9H9If5mjEEbh!*`C;#n0rpXO!M@^seUO+Fj$v z4(}+S^zh3r-m^|5=uYBBJ4A!d0~GbE0KR%kOzO_&5#mvg9X>t2`~p*hJR%WyH*bI% zF@&A&nQb^Gb(9F!QDRa@@2)R@$j;-(9=>PRJbL6@bMeS2j&tM7FF$=czX}>-GXFFM zOWI+=YTPt<{O_*S%W)$`nF?)Pn;OS*nq_KLpur6x#iWfx%!RsMc1qn!; zlylNj73`^=yatcjy{Lwid~uc#5+@4~O!N`}tOO;1bo8d?q|2a}71ea>28V8R)U1S9 zqBsSY$W)aFYEQ4Mhe+p#4*iq&ijgDkwN!+UX{s|xn#x)_oJ1_b-*Q#`vcHA=}Mk0C#y;8JSk99oci2`%zU}U zY$y;OG4}{q{#ehnuv$MsrJF8=Bn1X^*6pQAB$QA;Ce^omL{(iXw-X?c=!VRgNds)S zbmDNP6KO#y8@n4B6JC_{F4VGJBq8LEIYHn%7DgkiTYcEiy%=0(^dO-ewt_JOv$ELA zfKhdugyj|?vJp9{NlgVeUG9cGJtsuH1FH7T9J1k>dr0#@W;c=i80jy5he}{QdQn7x znvnuLCsV8GVY1Q`=|T?M*0T*E?V(l+8QDR#^9Fs@s>Y4XL+VDT=yUgI1tVFthQ>^+ z57pcV)#E>}-T)TT8S_-1Xa-1NwHGJuP^7WDZ?}N)|8MX5LoK_m@_ECh+{9=4W|)rC zLBnmB=xdEQL1JO(Plv zWkwxAp-Ed3ivMUL+CS1NRS~4W;`e=Pt$p^n@80*$*>CQfJL%r@&N+Lp^{pR!?S0NY zXWf17ASzU<&afsy`HPDfu5%bhxIqgX7|vQtdz;atcY{U##4u83`XTbzDNrd2?LHl- z%xr<9#5^YXXhIhR<@XEn5#a%pccy6i^!5Q2UwS4MeCrWirxJdf%YxfEbDBiy--Hmo z)x-b4{h<+UPYiFAz>UI~SiC6AMw$VvV`D_fFf$4e8z_t_$IWnYl0thz`}GA_sj?#k z%zdiQ1j&Ev z_h5%2Wp>1EV_t$oh@CP-JP%BZ8FV)R@ym4J(lk2++U>uh@yWZL2;A2ccOj3c(F1fXIM`l#rXfKIx`EfsxG zprs?^fqjPgx%ow+?gS7PSwcY*Sz=6S=}Lzdsyj-HBujuUO3~_wCH`yQ)uCr*yIQ-b z4$n>@10=mUK11j33+vYp!CRcGdFAIp=QnfS0UZ4E2tmiEEFNRqOA9gjYr#;iwU>C# zr(wmA3JBvDEqFfW!U%>8JN&itgO?J_IajLT)Ta%E352@O|61y!S)_127gXmCkJa** z^Dl03Da(~6AaIl8Jm-Wb6V9@Z4#1o)t+;fk8>EtnHpIZjo?UY$cujNSGddUS6d(Zw zK(;Ak1vOo}QVYFeYJke+yv-$iH#pj`B`0=GwAeWWme;kA$@>WN=m&hJL2htf1o(#v zz5SIhg28mds6mbbJ~91(7~!E6!wCjYWjHXpcmibg0fB=D6OK5fu+j_JnvE@7LXaC8 zuVaxFR#06!p`->_{D#RNTDg4aII*ERFL)nRuo{7EWrl^%rR<6^C0a+7LM=@%XyGVK{Sy6 z-~z7W>&W+@ACN$fhFKAMLFYIBL9qr3TH)a~17?4S!T7=n zB%S0UQZXRkjp(p5OhVz4e4CjZB+(JVqo83e6HYNM90CEM62aaV#& z(Dt1tN60cY$TBhIbK&9bu_cH`%~g<}|KJglxt58^r>mz=j*vIvTBh~$Sc3HMIij)h z=_pqx9pzf4K;Onxm9k8cle&Z~(*>+$Vg`%Jr{_O%WXzltbWcx@#+QLcmg)F&$ame2 zJK@ohbI35A#ddyuQ+cBYm^)&YX**?^9#fWy44|1evMkeC5Rj94MCfyyA3pZB^-mzn z^wF1{y>!naXpO$3!F~TtO*5UM;&jZkwuT0a z?LpQ>$v>?O#XT+-y6OUm`Kmhzl7+(@N_W0??bFqQDtSIqnmZlPlmR1bG;6Q??3=9~ zAhg`NTr$64RVYkV-4e0kN^#U3t(KOO2&nfjaRha{h@!b`G1I3*QfHTBG|5EcyG|t* zEAt8*`RXECi_Vp323Y#yd>OPFNF@JL7`nmFDnYy-tF#&wvw2S6qu) zs2d3t`y)yS`N3K|t5^?{I8Cc(_}te35^MgTqHmORbiH(sS3IL(|K(c}JFiHkgIL#w z9R+<(I@hdQLsHRm?||;ejZI;irh9qFjGOI4#Sj0Y5&3Dw8a@YDAZrynW|LfO?1Yv% zG|*pKgAz%JM<9k2gj3KhHc2X>+ndQ#(XqoHr^h`{0)XYaAlR&eRhXc!yav#W2E^3p z7K1mJpp&dqlb=OsyGM*GhZ{}ZI=Z+ej`%hDam+McMi3r+o>{kOS~JmRoH^TUPJbF^ zIFa#bFOPY0povXh8c~!qoUE8Dil_^M*d1{?ugDoX9yMTJbCup%q}fd~squts1WcOd zQ(pjs4xw1+P)E#Q)~}vuNmLLliKa=@&b}%OMIyhi2N32U=fjI@8?#0DrVkto2gc_V zaBM&c^E@g5u*OR^i=7nXTklnikAtaXq3~FMVtlgbip*I=$RimAK8e|O#^^iRP7bZs zgkR9ie&cgR&c66tU7*HJj@X0?uABE}+p-#m*NQ~73(1TgB!))<88BjK?pb&K?n5TQ zTTPekika2$6fF`6#by%Nqf1FjbmO=}7ie2Hb|G){);Yg>2k0|gfDpx1+R(^coCFqt=-fzk~bS#>va zdj=3XM0V$b}?-k7vv@xHO*7{2r685P{2X`w67WvjgbfPdsj_vyPd4w-^oC zL_<@arpRD1m#m(M&cs2rzzP+{z2TB}NNG+Rgrbzgios{&b z;K6TKlySL#{R0{%cmVtD*=mCd7xa{``v872$wxlSnsx~Jvo_lz;yJf7=GH5cSi{E? zj4CH04Gd87H1a#V;t|#~FW)w;&`hl|&uGX`vTPhpH_lZ)%3F~!IfR-ymb{iH)JBks z0IVVHp!=urDSf=sCjJr~9v=RP37b09?W#tl&<8gWHGXs=f-*$^08xC{0h;G~b?%)y zVLfrZcAzYgY-Q%7PPRT&LGV+CF@E9w6l2ZWE(GIA%@7?D7AEv*%H?1Bw!Op z2m(i6ttLH?I&+Rwj)7Bhglgdy>#&ZFumu_f(1hbmhVs%w1l%TrR-{imHqUW zHDV(S?`^R!?2M>bgCf_20DY5sXa=vL!2_&i(j%Eg@A!uhH}(P98$}bknW=?}kK(Qb z11TfaDCaC}j0QYod?c(;(g>WyMvdIKQ{Z47H4#i5p(;+5a|{&{Ob8(pU_5;hKMG+? z6+G3MdTPcW+p@Jx$QvQc#I;Pw8*wcY@7Z}q#~Q3< z+P-7`$k{uZBR__tz6ZBCRo-X|ga;wv zZ_I(Iyb<4lGxQ0dA#oA9vB(K)nI0f|!`{X_@uu-7xSr{jt&68G-8aTsrZdPeo!NZk z#JiDYI(y+25qsn|kqqKc*l;Klgz-}ud)|mF3@;87=A<~$0`1e(nkjGO(n32Fq?BbM z+jCNWh2eo{Bxaj$!i$hJfdaLr8N=4uD3*farmM+;M9aStISkfM4EtWn*!x z{%~lILb|G)`kkhkP7^{v&TAE!w1z0W8l$vi*0_PIlSnPhWTH}O+fMfwr5kgQ$pIM$ zJ=y8>xM}Tk{~AghLRfSrSauZ0*e#<=Y`LvR!nTZ7)U~>+a&CtCwv!~7EUyaQz_=kI z_#?>=!+mF-u;sxQmHvbh?k_*D?aGr29Gq>F~hxk*#K6(sbIuYbEJr>j4#hs`VNOY-Fkcs|) zBzJjF0F$?W3>-H{x_!zfw2f59B6w2ABa7^M@5~3IYY*?}L(o0EXu4+twe_qfZSc)jIAv!RvXql<`}P}pry$aFJIxG}l? z2W3dR&=tp)Gg~7d|&ZXp&4WO&QmTBt%IJ5(G9%@bP;kIw8yF|0NXq$@i_Y;xFL1TjTLX{K`$pQ`07HuBe+-?37y}0spXPwghDI1(-;; zNNhVg5SCjpRm?WwJ5ZH3{F6y!ZdujXu_ujnH!h>1`Oa{1#(b8ga4wKkpt|7Tq7m*V z2AqpIr(a?wqZ_yJS@~kRz(w3tysyj-$daryfu{2$Bi{ye5@rUA-Exw}jX2wsol{-X zDQ->k*Y`EeG|5ribkYclxzrjmiWq@}M(|bq=w2jYl1))U8k{OZqimR(h}_z(Sb%`l zNn34}i76k?1nG@Ayc)+h=CnHLXTjnUq;@S6$r*Zl1xw+OWzz350gb#-a|P?9kg7sX zDt}{6x<#gLU&{nE)-v&pxdv;Qns?xY7#-Qt?=r0`%f!49lS#@OoyC%+v-&}$N0B!= zt@IPn$}}m}Cj3dBvVR8kc)= zy~O1++6#^ujA|!@>8%@9qHL`FAKpga;T8o3l#X))W%Ci8WIoIMQ;(Z#i+zbaN`4&b zL09{X50fr8YmNTK8euMu4w-4@{R|}rNkCR&Lp66KuZMJYG!+5j@z})JHZ}ke6T*09vBKjM|Ll#RWxfR|Awn<_qM{GgosweD z`W7;Cj{&yh^w$j(o$lCgQ%ez0yEQcthw{)$sg+3dH|%*;(DsJ%lj2lVW84skD% zTm#6C;fqV8pIpRQNY4TlnARaMqY=jd4L`2EFkFTbW_hRmmV#Q_C^Pb+ z{;QcXS^7BFKB705KGe*!n?ogt-^=t~{GK*{7tas_3oT<~a1@|+mVqo{7B_;6%X|K) zMAXMES?>E81w6O@>I zZjBCoo4i53O`)L|s03P#al@Pxs6tTR0Now*Z?@?Os_9qUG>y9xF6Ytm0|%Dw z7MCA*B+oL%8*}~+TsIRG>y6g4EEDoZTFd0=B)tP?IVqAMu`?&NtL|==>4~p%Ez=g> zmg9CFYnf7(X>99_((0saEmP!;_`2In-blaa&Ae2bWomO$d+TO~DY8skxBq7fI%Y;b zIZ`Ib0PX4|8_AS6vVjxY#}EgknC$d+QeWL>E70$KXL7E8g%;gvrGz}i|o8ZH17le-u6LN)*Wqzm@b7MagEQ3(b64O^!A z{?F05P`rB&_e5oYth&3>E;sWGj9)s7IoAd3(UN>u$&3VqLi<)Z>{x6&>?B*h+ z*NVRO1^hT(r)1sU=`$!=SWXFkwCm7?rI4jcNBvA=4k*j59KSLHm%UwvC39tt=AFv+ zL)m=!uF{z+#90%4qhID*Bs@b+=5baH?wsQ+s*T+E@c&@WP3Op5f7068(WkG!7YjXj z`n3yL*PlV>rq|z%xgM5g) z8KQhRc%2(EsE;g+1ML<@QCyY(cAO^#%>!6tq!sb>e|-b2kF{CTf>KS zpn?6s57xOsgW5EI{NR4Nwq)6ZjoiS_RObc;EWvB!QYZ#iYUBn6EWvB!QYZ#iYUBn6 zEWvM-$mI{MaK3j&LmgcTx@MV5$Anp?l`T9WRyxbXoRMXk`mSX_570$Vu0xKDn8|CAxq;JcWsgWyZrsa&0NHFBj9 zD3z;ou12mj0;O`8R8BX_f>?wVyP9g~`6ir;0@|6fi4C&z7J6xzU+g)SjW-?t8=BHt&yvdD~&*@T%B_@a-|U{m8)~EMy@mh zrE+!7U4zJ#W;A5FXWq!&?1`>frqVH~S*HDDnd(}ml66wdGOcXkC&V}8TBgx{hvb{T zx?c@Tlwalte~sJ{2X1%O%N*9-E%)G7=XwNbE~G|oZdiH{Yvg(aX)dHjZf;n55NqUm z1Zgg$Ms99cdJt>mdIV`Ml@YKA1P= z>hChmy}<5GtiQ{&cZ?Px`0+Je#JtY*x|XSU0B)4KlHv|7O|V9;G_*BxHFBj9D3z;o zu12mj0;O_w&eh13Mxa#gMwxT78I5j#_xknkVd(D9MotfPrNfgKKHR0RR4WZ@czps$eJ4}H3u zWqSL$@$@z*c@4Ikq2vRHn)CSI=-!SEsE}y#1lao!4h^wsua~(EW-(NzScag=gz@ zx_W-WqnZLMAC`0xE?2QU$?9BbY-{9RvXQG7;gX?U`4eJV(yIfIsoz5s2YKb^Tyf8q zEm$L08sQqbmwM##hgS48e`rOs^U#X+{?Ikc5c4W|?OHkGWx4Cd)9bUY6<1 z^eLYJ2-nEf$dyK* zRIbjs8oAO4l*-jPS0h&%fl|2}WzOXft?28({6{xBd*!71WlLVDYneu!xX!+5xL^%f z%`)vT%Y?N?buCjn@i6Q1iC5P$wV}BdwdJp6>Rv>M+QpLS~#i_1kN`YKLW%+BF_T_Z; z39&CdOA)Tlm4>xOu12mj0;O_w&eh13Mxa!#&bb=7(g>8w)j3xqR~ms*xf^B9<&UB0 zYyKFD=3ei>b)&Nvy1p^z@fsNAesQa7nd(}m?g@KPS*E&{se2vl*IM~ch-trm95|}3 zWhxH&%Fnsto-JFjMy@o%HF7m_r4cBVt8=bKt~3Ira&^wt$dyK*RPIKZb2ZB}XoSfZ5kaV59)(&)+X_jff_i~xs z?o!vnGg$bSr*}7VUAape)@#wL>Rf3QYvgL=3L-G`1E0e*-1W6#_ya{R`GnY4;F_m? z?m%NP%-E76H_Vw?^f#7s`zl^1?)deerFu zt0oC^_=7Eb2MEsLkJ6HabNGX2zAQ*+*pfLp2mdE1O`p^jewZBUv mhX4BU))%c?*KU8PITVqcqf}3=8ygM(=CHe@kDqz5NBMs|Y|T#q literal 290405 zcmeFa3!EicUFR8jiB5v_nsZ5$oV3iXNy^$br>B>}W(Khprjw?&yKGz3UPNUS$`;qh zsw2HCzP)JKTVgFBBD;&owk&F^h>q)n@xgQpy^K#}K*!ezt>X(EcLdjQRfhfke*beK z;^w0|Z&YRVZ9eB@M#MS)^M9TH`JWRJ|9c|hgCCqtU;M5Qeq*(B*GE_TGu15S{!&$6 zQ`hx1*VK=0)AF*j%aI=SsFBj6PCRMps;4TY?L$(o*U?%Yu**1yu-MM^Ivzl7P{vsl z9#1(WWj%F#`XMRfd}1$sT}lF}lZB(>&y)V|l$6iup?Kta9p6m)cPS~KQ^x73@rh4O zNw8dhwRpUX^tmL->+AIODLouI_4OEPn=Ml2a}W>twEE;7DdqmrG50~H*YO}8xsQ&@ z<5D)gnmqb`uYsQ1d;cLR*Z1ww=aK8PJ$@;r!)*Euq%S22Kl2n!N#%L@9fil+QaVhh z%;UeLbXHQt<7p`!q{oL6k3UH1a_n&w9P3fRMx)MB& z+RuGG@_5$qkl}oP9$%Uxb9!}3D(89~uN048NU6^+%gH+Ecqu)u1dqP_oX>h(>Ek~j zX*#*md=KR}sVe@PYSK(5mHuZiZ>lQ(XVZ%w>+-p};(x8uKWTTQ|Lt1;r0ESc|GU6d zs)Ty3o~~8=E8RcRe}{dlo}TJqKd-KyS5HSR_R3!D`%cwMO|{#Ynb`=Nv(jHZ3y?K) zm6W4JXckGRr=?jGr5qrXbLy0fa>70}i~YPk*eikT)|^&5ZZbg1ZJWJ|QttMbI=h&= zx6PbG7fi>Z+|UjwmMfMUYJs72#pjCUhFV}K-QxS)?3LBfc0M%S_Cur0V7&NmXNrqe zP`56s;i_MF+hQ4OMw^FyK-@H=&TQc;es;Ee#Y;C`2FLF@{wv{2t9Pl|H~rmZwCuP^ zufESQHym|(c>FIOck?fU|JAqL9Lg5u<}lU_YO&mmIp!!7%gte|8PsCA8FS20D3+VU zSTm?S%Mmo~rxgFqpcG=w#S|@Wi*sT}{5Ml8#M)0;llQ#34_&Z)Yq_j#(r->n%(m*G ztb8=PRn@P*bC&Nym1H>r`MWoqu6GIZpWE=S4AZ;L=*NfZerrf(i0@bTU<+|G}4x0!$U)`>s1(-v{{Jt5x;Jd-)k+ZFf7i zbM^MdZnb^>j@TZc;{4ifbq_$c=?$(3AQk6oRXim24lLNtjlpiV&)*!|11kVWyUjhz z-Y%SS#pou0+MYMQXZfb-ueKeD*YzC_uj@M=el+7smxtZ{U}Nd&2b+DGJno09YC3Jf zi}@O)JHjn^ww~hkdkY z$$LtaX;0+uXm%@6ru#1|%0v`sA)`#Y8{N7R#$SI3E=ZJV-nsFr;cF5uA+RClex#hf zhP3T?X^Q2B+P7HlL)LO-5+2gE3qK&HLt1qeXv*&pgN?lKJ~y~!$4yu)H`Kz#av$oJ z>)*7}))Hm9bceSCV)5)&qD+?;Wr}r7iZqqAOqvPGTBb`+1(#dfP9>Mi=m_-NN5Gju z`Ml2}J~sndoWfPThuEIC-Ll-mrmN|5a~q*3H@C?WL9tx1+)xV)r7J#HEH~5wL+Ogo z70V5^z)-s4b63D}ix(|mzdww5R6F5Tg6w=SODN|fpHqD*Bi(`-!Y=uxIi z51EItR-?6qz=m`{JI@UvK5m+#+)xV_%N5HFwZKri;&a7vLoG0ruJ~NB+)xV)r7J#H zEH~5wL+KtVKDT($lJ}G+=IR-*;|)3eblA7~N|fpHqD)u)y-cs*J99_*#@z1bx82WZ z?GM;FS0~K|kuUv4y2%mW%k<7iFK!^`v5$LHYq>?sOsb#%yG7Hfr;kow(_ZnRlmFF-FmFnxg6$?luM?|Y2)$G7RwE_Yq4Ch+)xV)r7J#HEH~5w zL+Ogo70V5^z)-rL&-HIwVYfG;!N=wM>1trV^T+xU7cAde?rK;fwf)F_a^~IzvsPan zS?-3q+T}ZNyCR{pH*m zvX*IwNE6$+@%F}Uvwi-K*d92syF+Dj4?wmHH@E^!QJe=`6;~GfCirT5o_Ig~7h5~x zb$!R%8wpyw^b>P?RwrE%?AzYiDz|tU`P?I8Ez_dCVd%qtVDWmh)u^3>1u2$m?efr> z8OuF1`qjlK$_=&m!dvc0T+7!o-yXiF1+Q+?+|nKXF^{5ZXMF9t3XqJ zhZt<+h4;C^Ejw<)V!5FfE|&XHx7^T(hV9b74F$lR=u8^MyF{6W*{!Ndl<9J!Ol2+8 zkabduGL?IXnQ8Bcg@r%2PLJ4-tBqFfAqHD~;eBp!%Z{6{SZ=6=i{*;thFV}KUGceM zxuF&qN>_ZYSZ=5VhSEJ!d~Rq&!*(fArtBWaVu{53$h=FG>2jh>Wi8XtC{rE;3wP8c zyx1YIMSH7I?!rgEGUpcX=yU5LTW-35Mw3bDCt-n`TkbBi6wnQMGsMdWrWxr#E6EK15vkBohF5z9TqV0$mT&kb(b zaT6BH4YhEwT(R6x3k; z+)(=#%YDdNu1vy1x_03Q#B@ljt^!T@9b&MN7vARvx9qqHi{*w|xLEE(-E#fgP}1#;aj+e$hcf2A7b1(6^!KNz%VyNkg#+N=nE-ANN>o04{b0qp}i_({HEX$zNOGi@1&{ja7y0gIL`^W4xLDV8gi8)|`}bj9b2 z<%U{dC|&Wn4_V9Yo+b6J-Cj=WU%QD9Zi^c84# z8EV81+lW^b`=(a8>0dr|s6$)@x zEVktqx?5+Q2s_U76Xkxd?ltoj#_snjqCW8L>=rdG`aHL&cB`slxuJbiELSWy)B;24 ziq93x4Yj~fy5e)iaziaJl&<((vD{D#45ceRS1dQw0z>JF&lSrJwZKri;&a7vLoG0r zuJ~NB+)xV)r7J#HEH~5wL+Ogo70V5^z)-s4bH#E)Eija>_*}8vPzwyDD?V2&H`D?{ z>59)4%MG=_P`cuC#d1R}FqE$NT(R6x3k;JF&lSrJwZKri;&a7vLoG0ruJ~NB+)xV)r7J#HEH~5w zL+Ogo70V5^z)-s4bH#E)Eija>_*}8vPzwyDD?V2&H`D?{>59)4%MG=_P`cuC#d1R} zFqE$NT(R6x3k;uXy5T9}JlJAjRPyBPicSAKE8p?p^SEh#Tr^_r}xp?un{8cam*fP4BwBnF7B5MmE3? zzGf}JR2e+a*Us;Ux0xJ?_kCdBhsbw>{pEjGRrT8LPHgAK+Z(&h_W3(vd*Hn7fd|URj9uuZoNYlOX6Bel-_vrFSyPg~E(f{EqzWQ(X z$zEvX_G$aD0Ul{S_pljipWtG-eI_{~pjhsRhT4Z#EVs`jM+6kh9nnzx(2C{undFFo zM~db8H?37&pBc}z@8S4}<=6gKGb*%&n$#8^uFUhkBT1gITUDJI&nWkB*sZGG^XP-k zvM`og=qQt7M*E{o&u+FK<|xzd_ph|wir4iWZ$Y9=t$K@=(Ih;bE}B->=fDuyrRKMT z>Y8c>szRQ>8wxX(`>hbvd=Gse-lV$az*xyX#QjVMLfWsgAE3=XmM{FeSu5cRX)i_f(LZKkDcA%|uTKozJD&iSl#$7?^Nt(#O!U-~%%mCFKK zW`|_@;`{kl{S3^(M_G;JS zAExLYggwPf)i`41y>-**b;?&2b<#Q7Fsof%{n*npqXj(fn}kyvE}?2}{b4Aomqh|E z_DwR1xE~@p~Ytcxke| zG<9+?!CT#(p$20`0?<|pk$zR#)h$xO_2DPq+ApPi=5|2UQ9zQYxx!oIgJV4wEL5Ui z6H6zm%e68-_oZ$2%4M1vJH%WjXOny#XDrxPs-FjU_j?Y~X%EstD0^WtS5E57E>Es4 zIVUGb=+?AtN{1HIZ~yz5%hft|t;kbhU-=m=zWH}&ipN$+M%indEKl(Lbr*j$*Y}Sx z_4FZ^&bQr^(OUE5j5sPUcWhxvrPQtamHX9i%KWb65joNzv_Kn+Z>q5ykt#KsrK&Zw zVoAwPk$?RUx_p~6YFj#5CYu9|>any*6A7V%apH#}++3g%DAYnBoaoNk0iHyD}lp#2avRzp5}A>!gG|1zYQ+ z`fHh9^>Z}hz$g>znJyV+n)cQ*oz^0!jQu(mII*=WpRSLUPhZ69q}y5fMD)toCs_^^ zYoxqR>c&_nHM5rKOCQ%-k-qM_o3rlhfIV!zQ6hgR?D2lyT{i~ruADV_^b7F0X{#Wa z4yT0zlA9codR3yuiJdYy218;Cg73gISOe4(*UO5-($e4i!C=+j??DPCSyms>`Cf$y zvvO^Fq@AV;C7mszr6O~M;ob#GSFV2jf9e%{mzi4R@nisOd`z_BsxtCMNr9waT)4IT zT3KzTWz7R-LBl=~n`5GdKs5E!E>_hvU~vbme8NwdQ!!h9AJCXu@3V5PZdn@xBrA(2s}x1=nHT~FzsNPq0K`0YIHw97?`11v1R+(G%ZVAv zMNOV~KAK7R_)?y0vV?XH%z#TDzvN2~td9!^Rne+so^+5c$VTfzS{`u*L!+4UWdht|%(@!bAuO13Am6gn1-L4h{#uwA=_=Du7t$_am=XC*po`A%H);%Y z={fHg*=!0?`&8~Di2++*;iwMIn#8Sufxh02>Y0WI=o0%s*>q(+>PA${7xqa)Cn#81 z1=WUUCA2+~(aCh$rN+>lCQd8BTuDV5qQQA)zr&TVu`~xnYL%myv{1%a+GiL{Qx>3W z!$bfBHzP|Oa5)IxH9@zKN#^XqjH&vJ|15Z7=3L};Unh7Rh11HUq7z`GjsfDRmPi}O zvb{5hHm6cb85T$O89?Tj@5xx*v2QxLRnjH2ZqcGR&dl0#YhoWpK~m4-GN;s-RtB>e2-``B z{-ZHu0jO-@$cP(y?)d?dIB>_GbQ5Jecw7yFS}Me(Uh^|wW&vqOO)yIC17aeC!UGpY zS`f%$N7+h2Llg%N0*B#h|(X zcKb(WN8Q4)UbQmS@^YLaBwTh#$5uJy=PGnT1hk0LUQr_@HAP`0{Yx}hC>MK0U*M$z zkg$O0f{!%`j-W|TrK)-3UnE0s+b~g(MP)ZYG6?DXnoG)(>iV>YD;mKArFK;`w)hfd z0)_6>zXWf4{0LR;Y0d_*Spl4$a0QiufSstS=0AQ$uw70kS0u;?-svc-fFWA|6E$6- z_ABd-(q078hZd!iCm?b%jCk1&WTReP4OA9R`j}Z~F++j9;~hE5w7lnuIY)wSbR?)I z5;S%sh;|YQdO**>tq~wPS=HwN-F3##*F6x}<6hk8{0$Y4-5v16Tm=&tWWdmHNSIIh7`k<;*(oFSXj9g@B`SeT9`qDZPbn%;-27FvDp^jH zqRth=TzgutHlPk~Ni-A1G3YEhXcJ?KXoVoL1!2ZgRzb=;C>qpHrrFst#w zl5FvGIW%JL#>n2}`^^p!n~tEMB*p57zu4X6>)>>yE?&y&=#C;IT$jl%r!pXtxkOe7 zPC{r6DyWf01a8QvtXt`TYp=vnNHa~TKJfvdlj>1aI0U9!(1xchbB8$e0*urq zw{4Zhan*zzAyu_4CC7*ahTIK+12|YYbxY`n1j8ZJvH6kL1zB*U)=Ek{b5u#qQY=g8 zIWiMktHyXqAN)x+lt3%)Nm@8YtSWSqT4T^9oHkoG_JL62{oq1w-Rk$gK*SayzWvmd ztzIjl!$~p|AmhhTb*aAXl#Vjzj4{hbRSEL8uZT%l<(RotnOfqEO-5@#i0&0)rjJV1 zwK*|nGf4SBbss0Vf#PPSX)g{&mpzTKbj^>l^6-!p;sPL8N@%pd=fUR%%X?B%bay8A9kY$+#_)Qsmhi+0Nub(ldNB@yTt; zSZd0re^gaXlZfmzJ&CO-V7XI!)lyc>_f&~Wo2!M=rWTSZsUd4I-X%=um;UfAnXpB$ z?inig3xMn*mhuU+7S8}?1XoB=ZQ_?^yJSKD0aZrHC>*10fgC*&HJ_1xsTQY15y!TW z2fB4(NA*kxhH6G{cfkwH#H+`$#et1k1wa@XE497)t~aN;46tP1P;_U?mTts}*{L1a znO}l;)@T92SlZIcu(i9g?M`8;wxx&+bn7=}%rrl^qcD$nrcGoaLS#b7hROY#s@3C} z$atBF=qw+AmT$C3GfG)K?eg{wf2M-LWCHHY-d%^Xx5m5@u!q$(XCJ{Vphq)XQT>}w zp8+Jqed9LZ?Wr|c*=GhrY4%UZbneQFvFo=@$}!cjj2yeVBejnJIs8}@L9Cc z+T`e5b!?(EvhHscMwi2K--^%Mf+!4@su-Kjfxt2TeQWNzPM~rh`x8;NCrF;TKxLkB zAOy3rvdV>eGhVG%PAP8Wek15WlnG)T`8A2TlYwQKm85#U}$ieSYmsTFd0OI0feUXV=EQxOSFxOlOEo zow*QenNAXAI&tgH^u!mAUw87>pMH-l?nGa2;}(&;kSXFu?h~y%>>@$n7(1%eV~$C6 z#hJL#A=nb6-mLcXPEn@6c{z1cte5aa;nb>0DdpwX`SvSe#np+F5>6c1%lBO}R|={D zM+e5LQ-TJKA%R3TGD}PE|B{ResI6`Fab;IxG)QclG%A8u3$_A4t%DePnlbB8$BMUY z$@ONL<>%v9-UOK6Z$b>uA6UwArBj3wX9XQ%Y7(YOG64iiIwZx?9k|RE3b+D_EK7LC zC8CpPfWVr$gA9rBtvgnYRo%M&5hwnaxU8LJNfFC*7ota!YB6C4v$lF+$`A{H4F49T zBO5@?6Tpn$5EufQv~0M0k9kHQ(1QKMR~FUMh}Ew8*=vMK&=aU5V=4YI4ED@$@+?@| z2Ac51T>>SJyozkgYSNy5=L|v`qwIvnEwV3&$s$b>$5Tk}lxcTnuR@J!k9IgxXj0uONYbaZN^*>Q;&UFqTrkjM? zg;2~k4XZ#deMFGi`PeDo+JxeRY@*JxZK47aEdT=&K*}g(MA@cGKjGC8x`f0V0-T+_ zHH+l8ycs-VhLU-7t2(tWK-N5R~+jG&uHzz%pa#>d{N{ zoFT@3GSE9;s)ruto-`pZR;kZ(V}MwZjHE&W=$NONq&jNI?@2-Ly?3%=z*LLw|Az$G zYN#TI(>g9N!sRvp(X#09kb@Z{*ouQh@7m?kO^lIoRDtu;o<3)ZRSnxvF!JO_vQgB#l6+IqF zeIVD#;yOOrgnFMAEv4J#4wL{v zEj5X56v+>8s!)+c{W=?0;-MKc^yKTg5|$Fl>B;FzT`xU3`2_?usC7QqiB zx)9muCJ37=JnkX~RO1F5?>t$Dy^L>DQr2y%MYAWl;2gcB`x_;U#~7fSsTBk(uUj=? zyHPj4NI8;3MxrZ|`~;At#3FbasI2-tO~(Pt^_fx2(bc`(MAL|zD#0LZ=bgkv^IUb^ ze?+hxO&UZKrD#$FmE16E4q2{9z20h(goFfIk=0D_T-`rr#RTj4v`~Y7?>DeO{1!-N zRIRLVzt4|?xCw5dd5rs5hJ)1vhI}+5C;@dtpp)Q05*c@&p47Op)ku=9xv|!mP?q~r zrmwo*+(ZR>5Q5EWYjZ58qc!7tOa8Z6QQZjEG=I(_1pR-C|IwkNt$NFMRYb6^HJo5r27a#4NF*PEV&a6iwT1C+pj6fn+b}k$I)!%Sjw1)I|MkJXt`Y6&P79$d%JBk;u;^0mwR2 z1_vck)Dvu0Z8fNED!`L3BPP63^S9ibT1DC_!J6@?UTH?Rj8SogUcrz!{>76-U{=P< zL?pmk9qq`9tJ1|Yg`R;^7xJfceuEuSyg-P|jyl=FlgVUrynbd2gKe#E)?1rfo9nfr zCF3ok5u7!)w(8BDE$q9#zV+@?bn9}xx;kDVx>Pl*tAx3l)s@@2)T!i0F)Epyg%m;B^wKS6A>q!eHAvqhM%XaA^&g zdIvi7U(-x^($KS+%BZ+4^<_tPnCf%3Xh^;8A%SuP64bJ4v*Bt0@lRX_n=YShz5a{g zs?jN1K&uEBp+Qu`aI&1bkr5FQpygEr5Z)XUpQGos7GYT$sZXVwe1L-Vz*v|=4bs>E zER*uT>FyIYZn8!HO}4N*`ArmG-`djPH9E?__?o+^9Krg2&-*$PY%lksOf`NqiYSvV-j|64jU8p8uYEngEyt6eQY1x` zNlxWr#H6B1fNjN%qDr%vu?5$pS4EUb^Ge!`P4T7I!d~;06E3d&3S&eN&34QuzFrb% z5SfT$7#QCuG0O!9k1BD~1&EkA1qPfcbJ0fi#8<+;SBR+yJT!wsr(L-nrS;9HR@L-E za7HQ9gR{(^lI?_!!Jb(#G)(x$5G0pXZ4s)G7D+oFbjGkwE=dWgFoD?mqMRx;K6I!y zmp=THhzY~Q7mF2;*XXxUa;1*!G3wwi9dc1L|7=Kx0) zFww#1Lze?vKuI%*V^#f{9{5(#MvcojM9G`t>?$NBX%t>#389d*vi9Pjc~5)ogqrPU zDpj$`sYD+~W-t{K-@r(F-;BMP-&=hq4W77~BXUf@k{9BXvF+RLqt5sYdD&fP{-pp+ zDkM(S-oO*83-$e?`mLBhtINm!8hC#pyl;Z{Gn(m%KSjB^LR^EmW`J^6vMGC% zdjZ#TQ+4Vzx|GAin)Y@pK3K~SJtd);kNCC+ajU6E2d9qgykxqxXXt1K6K0n@t)x-O zz-x#7x@O+M^gv(+p)Mw&ruv98;;@wG3`b}wfrktOWmnCNF+GbKKn;KXN$0ccz~so z0Im~PQKG7T`6Y>0;s`KEBjW~G9JneN%_xaLd2!`GpZG!QW2m4Or6irxsWm~2+zH0i zRA_tOA9SqDKo=TRg&RqViqr%FH)tBoi5)d|Yl-Lgn|zMWiiijGzR%T_p#EhL?y1Q* z_G%7smbJ;S9QPsU4pv=#!tYQ|a(sbf5^FXTtr7)@x)h4j<2e)|Y$r7(NZmwWxhkN! zjYd|Vwh8`8gshs!5wwt z9r%>QUzX8jutNJPNL8lim@ZW+AA9!b2RLJ!ZfApNN**csr7!(S)N}lOqB(Mk63N z>6k>Cn`ST1IJS$>(l4oMgF8o&D&<2s2uiuz@_4ZIR6)Q=y-F^k(%g#Q_|vLzgOzbY zT5IHvv1F*iYS=%OBiWv!NM+a=v8zi+sa`?LmMy{`?wUUvD=racJ? zBYHP*WlNK@YzzD=D5IZs>l5}9LL1xeCEEX~0>5ovI8LPL3W z>op;}v3~RPT}4xEo&9tCSpy7exsbGkwWb5z9*#Ysn4D5tJ`c0xXH=lOp5Y+uBc`i1 zxVn`v7!J!!5}pW8ut)^Vbx1oq8lfI6#0XA5EvZgJrTTlnKn}iQ3Nf;1q9R8aI_{_y z6H{pwY$N#hvFN6nM-&M`DMEm7pCXv&2;h0>$l$&L%uc}wk-Kf;`S`x(6Q2##CkPOG zU7TS|dR;#seljn0XI0gBl_=UO_e(xMjTPP8T_Juru2+{=31yAzi|dMLjfoc$LL_)d zWRtij1n5Mt>lQ^D2`ws?M%;`zD8VykWPC=U#_K>Nj7(QKZul$?;1X!%xFu}G$2P}X z3Z;!VpF#zou}ra?)-t8$!?lp{Q6<$$iTjS09i<Nm*S=kaXvzD1P+NuE4I41uIMIB;^eSJsI{IN zV#j>zfArb7J6%(sqASBi6ep~AWkMo|(qVNpda>5Hp$N;@=t0&7;sy$2t3S}>3~i{v zmS3^ExZ!nZ*apiH#JyI-fv7V9M;xSPO`{TKAU<5LUrg^%Q(T?VQSWR`Ha9hJ#(({l z8j=`JLqg*Ld#qqcvRID!7)M7ram6LDjUMOJ=>+d&zFx*ZQBJ=W0Hd9bCL4ybj3(m8 zgh^qmJ8YCAFimWg&aAN<@pP2K+hl62SrNeAl+v6048RYrfB&CXBVv_&*Raz1xjR`u zcc)^NUOzW#Bb%|tP0>d^cS)>r#6r1|BAd^z(^n9A9P^2_z$=P_K7Xv|E=P)k@|5Nn za0B+rxdz^6a~yONaP{D;6bB{XIi5^!7+3dQce?U-4Np{)H*;%PubthgH+FYM+*)5t zpwUrHy|e4BCw8w_tn%KCo11&qiB%HWBU%zqIZw|W=f$+W+7M}_4=Z#;#aqEsQHc9Ll zt=pQdG?{YnbC;=#K8+P|>RTl*aNG7X&&+3B*R`?AsE?;KgOMQHCNQCjGuRVFHqWo= z3e+<&sNASa(%WyTs_9f$(Hiz~z}c1aD5FDIk%r=8|~_AUaDJS$}Fs56#9s*;+Z zYWDP*E1f^x94V(7aANsF%)^-wx<&CmGkX8bFoQ)g>KN0S2H=dO6Nphx| z9||o~5hpWt6LmhtLf)gfbfvk{jlX8HT>30sP~iBWE|YPwQW{oL0hLrhW<1ED2GvYv|Z zYpk@vOtwZxLUrnPFx~xDTL@Cl2m4LGEvZJennN^rqCYTZ^1G^f*Zo@DrwM_5sKFT= z1M&x`YL>jh6~2z}N0?u34rEhJ0FpEZq>CnvU2cq#MqxyZD76#rTe@205>EZ2ByN)F z7DXoHX61a-)cRbLOh&%=$5|UH+D3I5^;ej5k zXk?wG1Z<>D(k@1({2id2jwO7Jyr+(B5rf8ff@Gi(jDHD0@*l=bk zfKb_xX!jH~3MWv^9}r9Omb6kgnnEl+2itz^WC09o7%d#;bLtIAfjpU1_2Z$i%IL*kc3x`=7A*a* zE_0C*H$I@n2_{HqK-A@!1q6on(F(n()aa3tyjB`d9YM5+F%(^;Bt z3Yi7yFX8ISE@)O5uu@1VoQ$ea%rM_P2dWyB+!laz;bPr%QOYcJjC*Sx)a($zJ}Kto zpPz7G=2a?8Le4mONx)wcxJN;{8K4M_3^YN)G?c@|ZL2SHpdp@oKS z>vk$rBw0V&IQh-LqNGlgau&+bDpHIgnMgd%B@7Ol{sPs?qEvLMN|*(r)|V0{*t(lW zBXKm>Hk0(?o=keUj{R@^SZf1`lE9>Ziiahyyt3->Nj4AMOgsa0RY+8}%cWV(09quI z^(w39f4k7>Djj5xIJW!frac;@1_&2#(IJr`V3t9u3!tUA&)jnpzu_Xso2-=1sUXlY zquu=@lHf^;Yc{3|DipltUK(`4Z3AwKJt`t_41T9H^_VR1Bn5Af_xq=&@1eRQn{hRK`ri?$q1|yy6%*Xk~L4>mx-t} zZ~f9oCcw~<1;DMcmMp<8<`OIf5-oF|%3jXcdey7$q^Q7xhAi57(O%7!j+prpm&+iu zm;L0d3^$3BC4J?s>jHkL)7%D}NF3aB!DO2-yt%z$m{S#li;Cz+>A$tk9sh)A3CKv` z$klI}|G=8m|M~H-Bt}E%W(TGUtmgP)&NXT$4p&%fy;UvIc9$ zq#W1$NjVm&D3pge2)c}6M644tC*`&X-ViOpUfe;W+&U`47<5*Ep&$_xR?ju#32E!i z-%CdMZ3K$5>Fsc(3;B?47mQe56nEJ zNt8)T-&o_ONYLnh*3Yr@LC;-QJf)f53eP*_uU9y!d64_c=QpG2UC*qhPxjlr0N1Ra zTMs5w@!aJDH*PmtZTAPnrOpvbdLirQ6nuJGJ$b;`tj%lS-K-On;wjDT&HHHkyW#(7 z-&qkvIm5fa&hn(Dp3=OXCn=wspVGXApwBIeGL7HBQ<_gZf5+%EGCvR^~U)0*XXDcSfYCEv+J$VM~ z-3w2bST zM%C^rp(D*Vx^5ZkMPU4s#812l9i?-x=C$2T-W=vhgTh3p!WKJ{15(@S`oDUmXnpyn z3eQ$?*8n)?IZwSlA%lSbTblI{A(Nz-f~|7^msh%l5Qq^NcN>WUfNp@SjWiNRrZ&|o zS%Asn)P)~ReuGJPI?b0D8m~%u4u%!&^&L*uE=u6oPF#U-)TASwVv6>Oid$sLf?09l z1MmPRk5z0pMy(K>+-!C_OMpFDUs`(E_sLpIdh>Oh^l7$Y9gx6Snw_D~ZpV9mWYb%+XC0sFZTwAsEqqD8ZE67AJG{o z5;T)?+C*gX&?-22#C)}4!c=nJF;hkAbcQv5$X04j5RxEu=w;Sn;D2V|p_gvVxQ$kS zg68SRvmXEq;b!>K57$=wNeX#j$d6Z5vO~-1%!M?~bq_=lv+ z-(s+++v2}^wDmGOHOjLNd(Q&^ECE#OpWF|an`f66iLt{>Le=ekG&g3G7*Nb}qc{G4 z65%-rJT&uMk;gtX8-N9k|NfNcv6y<5TD3qMygV`}Ns*+N+sp-xZsav$bhE0Hf+Slf zWkf6llFYmE=q~^wCTUY^t%z~MTgj>x9_phIDS+tN(#*ra6%Z6f<&@R^M`YzBY70Ro z6Z!@b+<5v7Yz>4^56nm)e%^mkma62$QAjW=s+LAin6Ij2j;`RG+uMirM5zt~$gIk- zDVOdQ1ai4siXaTaI8}Aw7hTJ29`a;zGw~GRy3kJZp9QMZ==)9)E-=ks9cZYnr5Rrh zhM6uL{bZCF>#03>(|er2yy9DBHVEiTVR*x+^@wMxMPr44x1JqVlev`~4~cAluQ|A< zWpqw}5}_I^%cqo}aw%j6X{Zzk>Gme-t4DtnP&u$TU_6v-uO)&h`v}HJsLgAiG6h$# z!8Grarfw6ilxl^mthGTG?jJ-DvmJL6n1pJM{06KV?jN+T{^Tq)k{<)qCXdLAfmqyJ zb;e89)$cbRsOmKGl&nL@57#xowMkpRQRBzHL?m6pPU)0yU}&uAL+R^&B^*^Ib4t2c zs(y~4Y|MNVQe16Bg>Db1OWlY6#dS+ElW08LrIVgP{+M$s zS;1i>4MS;_l|soD80JYR97M+faBwysu3><()4iFsvehE44u+>PW9InRtW2?Vzj8wp zB6Lj24b0vox}cR6lMiQ19Yc!hI%s6w`PuQ@1Y`8@Itt~&(X@}${N?$aXC zD@`YYKtJu!1%w@BM&b<1tg^wJ`Yl+cYO3chd9{>8tnxVDHuhlh)^mRfx1ZstC5x-8!nr%!d*|0U#WRhzb<02q+nHbiibCKwY-WQA-`GId0KcyfR4e*JJjTu z*jB%>a|bU{^#UWS9#3|+XbS;4eqW^-TY7UC?zW{FU%{^@5+Co5cJ|S1?S+3Rp?fp)U=J+jfg?vX*^e|Fq4Kk%^xP#;rez{QBUUE~JbG|LaU-HkXY~QY(fuq%YTqGGsOoE3%k)H; z@Z6=b^?v&Ct4&mCHQ;4nx?Pad|kb^ zdmT^WtZr}IymBo|mQJx+aszUHv|=@7*|?-tMJmIyZKCKRr<bUPIWI56fnl$wx==`^IE2a z-hfS@37&R2*lp{X&bTho4UKuLnnVw_F)67*IrIMGFQ}^NH0z*BFJ!>al{7hK0a3P3 zFmq`tWQ%J*w?^}^E)1nH0Jql{Vz{N*XgXuu`09fRj+24K;{7_Bu?GT_n&zsM*FkR>zkWCSokPz>W=p7Vh zC5xsT8zcE@Q`SaJwC-KfO6&18kFKioNm}zLfkYLTUc#n8GACJtoN+LlFP&Y_&Io8o zEj=x0v?e-SMU$Dx7bzle=LJhsxPRS8!C>k4{u?z4eq#J#wj9BALBP`eE_h;OSw3On zSb&mqqrDh$Hs+ikeb3w`bdmY4iWW+1+CuA?ti_EQ?eZ`D?o!>6f#K^0p#a>~cdCVH z9~N*XsSEcc$#sfBAw`U(T^KFZRxHSjs!Sq(X}!);<$6i7E(lrEiGz8N@9<+w#wY)4l#~0h8rV!8Bx(NlF*gGUQy5yDE-xv3X+EO zzkHWD;5nM(+o9%LVWEZdyK!o~mC-B}Qnkl#Vr3=;I#@!;Nth>IikM#gYI5LQ2?K;x zFjK~(9tu_1vSg@z?E8e+B@u`};x$n!OA1v|MkpGW0aQrqgBK)T&|^Y!467oIi^J~cCsTG`0mW_j$g%Lv(A@Hcy5XRn9y(T%S*^9gy z8)^py0$W`f33R=EO;qY#8z)AC=40uR>?O@aqMe8*?|qeuq+rE96bRtHy|A*%kxc_! z(5MJTW@x~Ee%2u#Cp1QnA_NMc?dj?CWvFi7+7zN98Z5)+3gB%Xt6wUmJ_x(cpxQ#c zW_BF=+<*RiB_IY9H^SV+OSH~hma5zM+(BAjL0pOZS9_0oiy4oLARdTAjtDdm6cr)I zu+oAOae)DoFhDXSet;8@z>Qvw7nG`vJ_qE;rYe3=ABI9b4?rDtk_OY0mL!u`n~5}0 zXYtZoAn8F0bY-~!F^;NKL()iMg$GwQp4I^?Sgk8{pP#Bt{rcdaNC0vrlH5*VFqY@M z=jG<18~r%BV-?MFut7Bm)7zGG@|0>MX+c)DAR|Xm1)I#tUB51{oD?_{SYZv5$%?S^ z6^Cygl&9n_);&b}X+o0?8~R7C# z^(>5Uo|r=-fgbrgiE6XeuBC0|k*MyaDDV~=j%0!q61t$ba zFh)HY^N{8y%bAEUDayo(r?-3s?dNg35pg4_=@+`QO7EGhTcS*FvovT_e-pp5%g1#> zz*@`K(xKRbl}d{CjBf**kPYvGCt$NIYQ)t&bKHozQ*VDm6a!6<`SC!gl$LMF z&%spNG`P_Y`ek_l9WUjWdFgb8#WV}>FVl?)d$DGYtlmtzPJ=O`7fP`ROfL$<3H2Pvw4~KZqhDYF7%?fmww~wfmWeWriAm{2^bIj7qD;IZ>~_VZ^j$;NGJ*Zd_+}#S zn-TcF8%~e!TdOAeuHmbHx*DC|P?YIrqD<$AGM#OsOvH_Luj5@{jxzBM`3rhq*R?z? z_XOfbM47&bDAR3VKbz3gv#V?0N|cH46F|j{b{%Cp4e#s0<{7v!*}sA} z&MV4vkr&p#(eI}}tM}7y@h+QZuukeTd2&wQHGJ0Ze-fTRqOZ68A4U8+o@&!PLBg18 z`u8+JkHzYwRLN*aF)7z5wi(!}V9VGUJzjSU zRNpPd)i9UHx)T;-UmoFJsLC`Fm_Bnd_vok%uk-vZr}ND_FjxT8Jm^!4l%-bu7^AS( zk)n@it9zgT-ST%Ret}AgMps6YXCzOYNjYN}CvFfaYnmn*Kg6+5c)-<&h*J25%2TmH z?0jSnv9W@Ly)2o`*F0wFGw?Yy^C?N!Kx%kgw8>&AAtcmQ8-!{VunGS!VGk+ z?Rs73yLE_A7HkP~GdM;PH|zkBm9;v+QXeBU^gH!3(8Pg;=(HtHG@bJ+9>hQRajP$Rk|$di5xLz$vX%LPDc|u+J^dpeWXV*UevyYYL`=yk z2~MRrB;KtEGU~c8hg@4VI--2CdQ0dsCC*u99Tld)u1d0!ZZ=4hJ<|U}TnP*6dW`@j zC{@nI#5ml9s6@o1LLR3|br0xjA%tKhw>3))OFL92hvn$*mqsQ~g)@Pi$Ah46yn!7K zs>#C|@M9Nk(KJH$2u26{#LONAG8*jQ%I_f~evT0%5%Ul_hnQ;AK|1*f4iBlIkS5ih z+n|v%>q-gFF^xIKwH;Y1np^%kHD-_$m-C5L8mg(qwu@C0BT#Wl$paf1zGD}C>O?Qr zv&Se^*QwsB_`*R7=!ZcLQi6;M?q2&Uc})!gg`NVP z3JMowoc--V(p~EXMCia&WIe_-szs08bd~IxjiYid#x=YE81x@rTUFC3b!s?C2C)rX zrr{!q|BND~tv}YSltsD3vN9QxA0MF-zb58kd5%jTE&3 z(7B;ap-2?n+o{qhc8yRgoZlMCc24>=osM{)ljUp!w8g+dh zZndiQ1EVS|Jv}}wBgd_|XxLgMPM*$)-Czy4n3+%v9t+5{b*Htw&u6J!yrA8VP z!gIe#tCW&VVYR|i6)gBq(71R=1r8cmZheneMxFtQ+0v)}p0UMFis=Mx25xggS4Hbp zvITcO2BmQ>Qwt|js*)xKXfGWdy^!q!tZH)Z7#Ikc+7N7H_YZJY;$-f=0BG4}_O6df zoDoN<>kVny@^r6Jwm^YB>=S!q*%ib=silizr=BSV{O(somG;7phUqpqsfMR>2JAC( z-aD#9XJwK|JFS2Q$FPpM1N-IkO;*onnO%%jstgc)^=02kkLZ3i!~lzxuHqvF>18Pz z?6}X-436Od1w}L_@dK+TSG_Im403DpSPP`+OBf}Kn>MO~U-NXXgh_&BjSbLF$_Z=& zc5!NnVjo+>5Eks{HY2B6TXvSMJfef-97q0RfM*yir;2QfZ^txpfL)Ws^+*JEWgjMp zr{r1cgcq3J_la!$K7sYl7)^EGpCO8KkUNSqGq$Dy;nI{)o9C_bg|}M z7pHI~?)J0YtP;k^8Z(!3Gn8+(tq3cN25eYGV_;Hu(;xfD=I~u5%#_dQdLTk=dbNi=Q z0Q0TmH@atM@(mpnDCWwI?qa-{t%Sz;+6-jU)T}&VD{7(v@v2{cisqx2^Vqh(91BT`qXnR@<aaWqs^F`Tn)@Tl3Q~#~^19l%i*6%QG~%f?l;BrZ79hdw zc0x&9;BkrO(9ATxJ*eI#inB7hmLoGYaVD=6I-_MmXutwCqB`UAUiqX~gh35-hbQ|~ z&vSl^fbs2ehxn(-#PS@-!93KV@wOdUdcW*xNW=cDit^KP#I5*|o<|lHIi!lH7?u~6 zt+l#J=!gKFvDGRoM@&i{#WE&d%*}m1=D-fb9kC=jsZ;bsgI8|NK2(h8*$^~iCfx@NsmZE(bmD&j_dknV-ucMTud zAe{7?NyMaxG(E9u-u#s7mx(e}f6%`3$=@|hZ=BEXr)TAp!Rfn(jiO9%dkUAXUdwbd zQKmb+mg&^p&uzS(iT5(4wM;zK=Cw@EULnGC+jWXd5jR?W9V?%n;FV9$u1>x6j>&78(pL~anJAOq zIIk$vGrslnHH_qu5oMA;MvC_`MHLvtjr16*{Xu5OGx#U5qu<(?R3M}%lV&;oy+~@C z4%hijoz`E=1ioDKq-z!5UY0Qjyr zZt#3sk2y8pL6|@%2PYlakO(UL+~AND2yU(r0Md1#n)0r0>U(vDaB8W^fT=4Ta!YI$ zts!PM=^6+ms$?=uC5e<6=4AY`8ICUKPCG7_fEW+nk>TL3s^tm+ zO!eELT-M;ny{}q=v>koQsVAz@y0ohwBTJ%)~YONA3>$oC&}pTu3@@*@)aLTR#HjoX;DZiYoIo$KLCUu#JNJc09AiO zt3hfE0qqnnxeFxK+;wrIOt21^WeLIE;Eb9i4P2_yH=fG7qF`SweUA?!wSmlDCzRN=P_Eun-kDT*%U_bob8P4oOr!=?YA=9r zJRLC{_5qwW^|)8F0Rd5Spi-iBYd{cBZCGb-ivpZ!0?oKp^`>tSnD)w0njBIJ@5-e_ zB2o0O*pWgDZW}xH(wh`}kYkooy^v{{?yxd_+sg*z=F@=0zpB0{-Z-y@CRkeq$iQq@ zmu-`fgg~vp&B?mX5+^mO;UCpv3e5z%-->)v7~;MTP4#UWt-aK(!8K6lLU)mNiIT3d zED#Koc>vg-MI?QbRJ2Ot8ko-^LO3{u=~_bZxSdxY>EeyQG~-7X1Ovd_isG%do?m^V zuHk;x^_arpqi6u*QD^p0E(zhFLGFbwEUn=dos#sWf`7MNCGK)LuyG4(*4i~s(AwPW zU{pZCV8s-=??oxv6LWzanXw?|itgaz%w4SHKp8IMP)rTcy%2aB?%XBw;1CC0dq|RS zY;l#eX%{YIeZ)R1mX6c63r&I(hFcSb+<6kE>CCJ+nL84vb1pD&)Hn+hBVBCzCdh(_ zM_@R#LN#@a*kB%^v!QfoVJ&4h!`&iDtTtZ)tuGc}UE&uACmy1p&G_OPSOilP>|s3&&)0D=!)FoQ zf4XmVzrfqa3ny(2H(vpZ9rtlPe#ZYr%ZOvai^j2piNVg74fzTmOXG;V5PH$VCTYmV znkHUVt|U`~jvI1Mz)PG6gg~KS4RIDiA_`?G*vD!nuX=(vZ?h&?qtJ*oQhZ5Mm`o^7 zQ#=b>rf3S@S2w@*LY#tyTZ*9ZwLMnNU~%^dtay3rykr z5GdvJ{wYP}6hG42(e)7qEWsPmaes)_0mPyRY3UtYTAIWdWZ4rhY0?f^v_uMWl9yVJ z9uY^>^L2WGI!)AQMWP0#oQ@oplNMN0yd9cDL3tNowEafb&#{7Nm(@wTJOg)bqgp=K zjAp)6$b)nbzGg$gC%w;G>y5lRi3?!f=UqR4rJ3G!W;A``vfdTm{EFYSG#Q_3c%OGu ze-n?zy;(6S7W05zooir{)-q9b|H*3nr?1>#iId*`U0vj7^S9i1y1DN}QxRoqzW7tB z5nn1yPt2WL-QgLyYx6U3iZbyGoYykFmbZW3pjU$H`8OzbUQFDG4-x`+?#fPl*H8ha zo0}ipAST7zzn^yZbBQu-+;%<7q5Q6J)-r*u&lf(Mw>X{Lz5XH*CIMeZ1WUYmV(wOW z-|Dx2-@9?<$zLS{lTwt)_BJ5mMr1Vy&@zA5kZ$qh5!gN#_@vJG!%ab?dxS#V==>TE zNLTx;zpW?c@~K1Xq+{DAwNlRmES~d_t;;E9#*DQU{ zrc&>UnwA&^w&Ry(J< z`gkB%W+`wbTSz)4iuzGx4fps@R@61Gal}w2H9D(ATrbjuor!~UU}bb@MVbV0WO8&- zOqKchiwf5uUDS#|&=c(G%b?Lam`2xR*5CmKCF>Zi*E9z+_M6G+1<0GuYf73qIk5RZ zLEW-I*4w~=CJ3zG@#9u2+1N}%U}W2UVwKCZEt-c)(akMFTuoUIuv4>gw;G%%#Y2jS zQk%f3ikv|I?$4E(O_^a2+6M&#L$XHs2!(28!|2vNo!RxI%QJE@25zrxPDF~Qy_~U!oZJ`!CP*@r3G%U zf*YWm#Cqg1B*VeoyFc-?V3j(<1y5^To9$;%i;Ge_hmaR1DenqlT7W!}owPxaFPz9QIYUIL_SnA98v7bpFA}klnNX6)4x9f4LVi zQxromGj=%jnHJmSDcJlNm4x@OgI>J(^*HM$Y|i~{YI*;+AJM|nZ&__Qt{YTz1E>5% z=sX=Mz_y`jSMzZjS7!_fqpt1rz&47g^TlwY>8mDC!v3MpO7$6#i=AqQ$PWD!bT$$c2P_uA+XycK4B#MgV0So!{z`5-uL7KfCqpw zpL`6IektX{dIxYYsI3LNPkb=`$N#_{F_|RJ1HrH^%2L9vcQD`~jd^#Js0&$5y3?kQa7v0Xr*)Fvpvw$aKQYxj^ z_@u;6>tb4~*CO z4(`}PNDq|yr>{H^ydT&x?Q+QiGsyi~)l)tIG(&P|;amUY(5n3vA*C-)c`3l(?D%uZ z_(7DJ8BI1l*sd8Zj%WUOwtR__v@pv~T;zIXshN}UbHT?{3yS!3m@`Pd!sq_)y}~83 z)=KqlrjOi{YH){iDJ^WpKAXMy*FS~pm$Rhq>@j^gsdsI;eVWuY^Ek0@x|P&v@{@m2 zEveMIu#{fCi@$B@<((U5#17ktR}}lER=Me4vPA8Qrq0AiVS8!p8-L~~JdTKSX;FKb zJT&$v|5kKskFw`(g3_a~X*qR0YLZ@$x;!_wsnTvQ@AvEV3^Tyldr0ZF-D3c19~<3f z)SDl>ZMD_t9Ik@#AG`z0^~F_dGq*yzd!AA5>^Rd;l<}i}n(=`vjNR{5WPae=`+^rN|F%zDFopW+6y^HXm?vK>H;=XYxW#gP zYs`}`mYc^~ecWQXzBT5_7t76Ktv+tCT;Ce=f;v6^{p{azF2M^YxQx9<@(l`CtoZ#kG1-^#d3XX z%#$yco5xyx++w-DHRj0|%gtl0K5nsG-x~Aei{<9ARv))mu5XQb^2KuVSgVg)EZ4Wj zJo#d|d92mPEtczBW1f7m+&tFm;}*;Htuar&SZ*F`^>K^k`qr2yUo1C|wfeZla(!#e zlP{K=$69^dV!6IG=E)b!&10=TZn0e78uR3f<>s+gAGcVpZ;g5K#d7mltB+eO*SE$z z`C_?wtkuUYmg`$%o_w*~Jl5*t7R&XmF;Bi&ZXRp(af{{p)|e+>EH{s}`nbh%eQV5< zFP59fT7BGNxxO{#$rsDbW34`Jv0UF8^W=-==CM{Ew^*)kjd}9La`RZLk9%ax`Ta+; zFG1?_*^?LIk&*Y%cEt}|*X0k(WhwdiP+xBDH&ulltwIJ9bi#iit_&h?i< z+RyTmkdJ)%C9C&T9$7Gm4`rDn@Os~O?va03@yduhjQhdx|Iy!GI=F5ws0?9a9Kj+- zWqhALnDP+m3W|FONqee4@WDNRt5a<4d`WRHeS@mI*D?F^3sL4J&tWsY+gbnVRi7O{ z-sjwYx*=h>GTzzl>1wX5 z=#KAUxsB%^Rx=-b9=$VeJ{~IRiC=PP)ln-#hV~CB?Wimh-jgoQNpMN@tX)3tM?Sn} zKW_EezY0)On&vufruHtreoT}Ks|5fK$=(^^Qpc=x;6$6rlW84- zB3OY~{nwwKxa~Wu8{Rd#`(%WfY1c9lcNKM^&`}7IlcC8bpoA`mVDoW{aG2`3e+WM} zo*n=Gd>yoW5VDg~^W{@@7TY!PojINK(P!juo{BJZ%G4@KUAC-26yXz?nZRfiGN!*_ zK|$#CNBj@DaoLN_)59{cF(33+vDWwAAVow-i$>y)ZlJYn%_7W55D7hhA0(MDSS6Hn zuu>rV_@d{-P`*rx&tXZ5gj<~9Wc?ix2qYK;YV!!_0avt(t29co36y*W$K9z2TFNel%wUr9yfEj=GnLG^7)hK|wK zB3Q!~C(~EelRgh%l3~%>r3-X@lTFUo`=+vLHIZR^vifATKAf78&k!m}1&)#B5cX3U zx&#GFA1YHxbE}dOvujYUKk^lZOO#T&Yj8fP?7Rf3EL{^Ewuwj>01daWkP;M$JmDBg z-I$mNY$v0U83YR{&EY@&nPdnak{Ah^`K@M*`m90XC__etut-)%8QDun2E<-K@eUlS zWvoa7lX6jL(WU?b=TmWSGEUaO1$NSRFD&X{!k2*cIZxM9Ok$Kv!VP2>J)Y#ZYCvET z?febMBvc53(XPO4p8C@*_asi$kb@@aZQrC!nhmLvWEq)?PA+U!a2JV12$D!Cl7wd6 z;Gh~2fE(4F2Lt`6zheiE%|H&5k8hsH+!*56Pd$xQm8$bWdf1Kt$TD7qpK8g~f1{gk z^{R9T9fe0vdeDw^n&7lx7zp~Layl*04^YX7F$;*X3ih99e^{7Lk4T_Cp`4Pq#kW@g z1=!PzrcXi4h~3*B6Q`$kG=ykrSUy&5Ic|+*Mx$j13j?MvPxG~{ ztdp=pe^hV=Og=HKY#OtP$N+X#^QH%N;OpEvkoeHFztT+>nDmkYQJePqe6K%`%h#&; zAUV*aHUx3L5I3JlqXGtz_>#A{=905$L@OZVGu1I8W-p%x$1*126D z5x<%5eRH5w`EGX2=LO(8vWqR(e7AdBUj$!;QlICp`G%qe(2;zOoG(tF#E1w)5Hj`g zWLcEP$e8}xGC2rs>iYZ!lXLopwrJojV~$^Ot-kQBufwB-VVOVqk zlP{F>d2(>|t#T7_&eQ*;UnKPS^H29W2u9YY~|D~I3m5OL`f!9Ry&L!XNA|B z&e}|)w8!TlsZa+4ulWKZA0W3x zX;okEZ=g#DaO&~x>Oj!NkzT!U!pdN1zyNo$qc$J&QPt>f8B58^$&Au~BK?T9WJsoA zv7)RG%kqJEHWD92M>(mdYGlxIb|j8cjv8y}K9zDt31%X6y)fx;`GBmZ?q|ohj6aqA z4Rv+Pjoa1kCf_b^zhB<)5%kghH}WC$6IJ!#tJf>Ojeef5olp5d*!?$NU){f1tvq<5 zsqY+D7w$S!O=0rDjdk)5uZzc3%u{cmha|^mrwsGEXlXam)R=5cE0Veo$C|mY!95+Mvk&I1NK1T2Fj?=f%6ZVM)_L8k`O}r_+;3KQi zbc#?5Vdt#y_&&Aqb&4<*Fmjq`1Q0%~$NH9fN}}?N!cjz_i1J2}zmpM`Hj{hj5^RD| zOZS+ii3V~$p&~LY;}HQoF3^Kj?3oY6*L$St^h(lR^{5tEBj+ni*8o>Dly_y)t{QI8gykd|PnhpLn!jmy`Axcn#y_ZWnBk zELV`Qkw!N;cb*ss+FD-ONF2ipRE+vKwooa>rq1nC2|s#N*ALcCn54-yyRWBi!mMT| z0@TyPAS(yl-49o$GoNx*NnI%CW4bjr$U>lM?6GRMC2Gg)w^uvYiMP?R6F1e{{%zLE z9ROXMLM}zyss%fPsv)kEGmGF-aFA;IQp-HmU^G;;Sv%afd@p%fBQ8N)5e;$HJY3s; zx?7$WBiQC7JCjVj2bNlZcw2>D%m`|vP0b;RRd=jJ{-)QeIuB!6iMaxNlf!~jtbNbi ze4-UIPj4g7f?}@?gm6b?qHK%9iR%~JCGm)QP+}y89=Zxqn<-5KGM?0T{*aI;ItoHI zbQ6#SJC%_dg4{!zK~zIwCF?=aqS^Zx1+lQ=W@;%alF9Wh0}v z)7S}d!p@cM6Z8~E@S>x+Jfav0V6e&*D3w^JzR_g>NV#5fnDx<4e005{56;SWCe>$t zp>U&Rk|}GyAGXP=T(9yEx<{+z*UL=uvI#H#b=YFqW(uq$k0?mvMlFf zM`!Q3*B3?~i+qqKs(yidD#}txt5!V-GN{uzaft&&C!W=7KTz}YMR3AIepjT{x*4nG zk;xK;#b(oWnE3)K?rJiw@iZbkRg=~L-taNAtdk&g@xd=G=W{?K892TL1t3kNvN;_sss! z+H2^ndP5`pGB3u2M6cb%Y#E&jJPvbyndjEQ))U7W;_K62YG9tQaU7WnTDQ|twaU-~ zOc-S1?fOvVCQTpnXwxSTi^PWwfH=BTlmsF2u1N+RS|ohw9va|TfK5!<_er+7iBn`m z2pcLTHMJmB-8mtiL~6_2q*>jhW=$7TsPg~#YDbC#a6wDNi}5jHC;?V2&Twfd$%;md zB?R%nx-^RLDi>W9pkGi#(IbxsY|9=`)ERXIC-jzxs1N!=WtJ=yJwp&)b4cBc&Nf?5 zW^{O?X~Cg6cI*bmAl`C*03u${RYUSV*Uw^FQv13Wr@Tw`x&;!9f`*7%nDm0D$0$ZKjow^Wjmz^@%j$Wpnj? z>^g)DwrEWbiZ+>wW1If~V}edL{)d<`B~N^#bL>ccNy*Mhn&>8W5?>6BhDnr3yzpHW z#NZrtv4zk9vxWI{mm$^htfS+QW!h?{Z+!md6bT~9GF{MYJa*p^W|^kQ1WkcH4YVYm zn3H0H=sKV^ZzSaVj*JbBR27m>g5G>g(UN5{^yv%74RTUjH*Pj3kdvaxPar3SEK~Ej zi<`}($THo63==a=X_g6@AjvWv0~6^X}49z{C0EO2r3?Xcir{N{VNUS;!72x>hrNqcQjX0i2)>l9YT9~}F2?je3 zKm(Gj8|l&zfK$hReRs1ly%|l|Gylv_oCtggQ8@&uYb2A#mfB_2--5Cx3S2z6Cl0|8 zCkPgILzy@#OfW`Idi&3kztrY#q(ZJRrM2qjVT;<;49I_ek+Gqk#Aqedq{7QiL<$Ts zQ=-LCqT*3g9yD5VR1L~rgt${_(2}r87Qn%m;RZ)=N|1)_RMu2jL!g}?0En@I5H_Ke zuDfzC$>v6g@1?3cOGs>9^W#l3P3WkqL{39X6_bg#VrW;4v(&9^KKKQ;@m#-^qz0+1 zF}jOcCT`XrE~!M>$6m8LU;PMBA@?)ETNRq9Oclz9L}*2@BjA*zS9v)x2T}d(vx$tC z2G&I%cC7J|A_O6_?iD*;s&Wi25?dSiXB}y}378hSxrh2GXGeM%Ucrz6xf5Aa@F@Q0 z!OdLKs!&2z{1XSF8=_2Ah+1WnkSkIqxoXtfrj2L`7~A>+&^$wN-6!1%y>gitl?WO; zb&fEQAQHbzlEknO&5db0)DLN>NTq;trAe4FSOf4dS|n^r1l=(y$G`AT1huzZpS6+> zP4HwoC#feI5^zAKw2r#@c=F#mcqEDTIVR?a3333`CZ}0KVI5J&-~rV6k`v*8(XlBc`DchaM zzyTO4emDjLJ+d;<9*uW@Ncrq8}f!l1h|Iq9@R?DR2)eim9 z=NS+QLc}gGtih$nD5nCghFYYI&n8kt6~+%`!=X2(yz&*1XY_StgsE zWLYLnJ~3~^i zWv59aI%agy+gj2yO_N}eR9pEQkuvs-hCpzuhHm4%?Xb;H|5^}jSBMq73lWgkGXZCK znK26+@B7!>B2r4C-fYa6$_e2ZXT5fymoOt4Gc_kvV^N7FF!W4C)3!VKpXv?CQwSxM zB0&|ntO+TZO2JMg6b&0vac8_VWunB9LE}sX>YpU3eogflKb4cZ$A|osnbI>x8)%A? zB2|-+?Z(R};~Rb-HU9U~2^xGq_rzx8I!av;=fKo2XXH6DT>a%g0kK0pVU=E@O+GtP zbMoh}4hDx;>AgnI*>Jr&R~q#ixf;3B2$agzF;^p38i7)|b7jm;{5ypnXnGq8O3VZ) z`ykMdexx+!2Ot-^^&lc)$J4t{cJDuc2$Y&&v1fmYsVhP`y0WnTC`cb5I=G+&+T3`-AUja-i)bwX<7I>XX~SR>aXNS%-xxz4ck zAf79co8;dMdS^5w1ZzRpEK_NpFv~RUF*eTzWOM^oQ5$rYX*|#@(^Zeg9}>XGNC(G2 zvP{!q4!ZRva#nHz4dD|)F8#y=AJS51n2HzBa7KEkCS>uUNIg zT>rkb|3GPGbFL3yw0SQdH4OXa$y5=4jMsPoXDCdj?3 zQ3yC|WVF4-g)8_jp@bHz=VNin#T)QZB&(<7PCXeQG(sgPb4q$QE~5<*BvoLHU&Db-17}nQzN#a4J-QHB5+wi}xs1O;jr){5g&Sh0nxNVXvb+CHl0xU(5xEEwY#ig&k!)o?0 zy3<=F`mU)WaW7LnJ=Yc3+k@bY|>GvQz~d)Cqe%L zNfm=A$Hh|MB)daW%^JEd`dO2WPs~Y+?J-ud z7M@U0YbFGtC2#7^r1>8 zJ#}?+nLeMa;v^5!`OK#=9;6$0%zPT(xT$MCA>S3}GL4%@-_yukCa(V7V=fb)nBzRA z?cHP3ZLa6dxlAPT8Mv^XH`iv8wZg%$=j*tN6VbBXlcDALIuiA1JkFEa*11evmkEro z=&x2Wj_7OaZnik;(t)?q2|C$y1$CcL$n_i^t79x$`IDqZB-E=L|fKh4$M> z%AjTku->`ph_aEDp|jDZvfy8SLDNj9rfQC7orVHYE%tB?67GY@gt(e2SLB*Uqc{KZ z+-Nyy`Lb=MrNP;0>GceH{Xb+)=^9cT>}8HhTZTx1X+4GRUGI>3*WMC+xGk-%W`_nt zL?CMIPNvxA^-XhgF7Gn!GDo#rjwU^=w{c6fM~`8O+EfL)RCdw!R=>A|bG;N@S%VZK zj4padH(#jfE}m#Jx>6YG;4gxR#GW9T{PS#?KS$gwwng!#mo1;HNp9EpQMSuw2q(Hi zv~^a&PpCxJU3i)zfLBOsx0s!$flFYS)2k$YekIrt>6MqHBRIt$jrT zZ6`zfP1gns;lnF1LnUBVTQU8anJ}LZ)TjR$y44?^gnJImOSb$?|C}qnrj4{N%(9(! z?&x)2bWs5=c*-CHDafj?q zNC)w2-=Rv9doOoxj`lg^8Qn94If5yfGAbv*`^7hriNHl&v6jj$SuatGebgaXoKcxO?8ZOz9JIzWO(QV~(phjj@UoSO4a(#wDwNr>i)%bUT`>I7yZX zi4&~;-MsfA6__`=+f4mhRT|ys>om=?i5&Zzg>BzWs8n=Y16GdCN*m`ovti z`nRExGvecJarN)`d>zqPC!EWIr@ABh!fWs(-ZjT=-RA1wFZ_*v3_UclM6VG#^F{%@ zpPbYl87vtNLH7%8X`1OY+U%@RgqxSz%J!;NNd|LLlyZtF${P{nYR99|kHlGEPMs-T z(90Qsd+h)zts&=zN|54jRt@3mT1}8Y@E^__V9AMAQ}b)_M_2m*{9x14>g~9wXbNn{ z+m$<}8Qt=r)5=QMl$5Ocdx27ynge^q(=x{L!OO%KHryL8J$gYfFi3e-@&kAQv1}#N z*eSdi0kHt`%D;qvX}Z#V2hUYY=?&GMwpdTwM6}vpf2oQk`{liB=?pdkeQqT%%)Ydh zi2ZHfnYgu%YTNwYHgfdC_y*viveKJ-tJ#a&eHnp7u$>|TaYp6ISG#Zf_i09XAavPJ zXi|;sP{sSja3>vEYDWB|H!Y85k;L!3lV16sL~%tELG7CvT}4p&7}2p6}zkf09!0NVA7@ZU62; zNn%+}MOrahI5j17bWP7u7E&ixD(C&MrX$2F`ly`!?Ijj&NE+gYD0Q#3A#_8q2>uKE zym!z!*)9QBd=y4DH3F1u`U2V$RjF9H6ZI-ANd5zD$yAU&p;ZeIsK~8=K(1^$-$xw< z!O-LJ`#%_*b0_y5JlYMGBp&K_MmE2?3PX>_H++G^4WAG?mnlRpWY843MBE^Nxl9&U z#vw*oTgd!L)EGH1H76C1!B-Xs^Y-&4a_XSPPW{=}K6lPl88wMk?Anwlco1Il%&sg` z$9$vk8*|76Ew?U{{l;9HWzt2#JJ)=QbD5gvrjO}w%=MhhwEVhEoXdpYnd8hSB$H(3 zldLYDt`#05#1%MDm7^~?b|4Xq1$JW1lhWo0yJwLtKSmoxgm>s2$P;Skd6+;F@y zPpWgJT~Z@gBUc)MQn@jJZkv?O=b|63h%qw=&&zU8b_QVT#oKY4itH z=Lvzl(QsG)uIn;oN#vgMq`3O`bULuHrtZ{rnaaC`XX`L~6$__xiMPRS=gJ5F@B&yP zSK22vay4?L5h#_bW3EQ7GyA6^&3GdT~ zK-|FZ%YC!FZ@q-X6B}5UN#4h|z}Wt3=v0ilbl_psr2|h_S_Ko7JU%S>Rf3z)X3Gyl}4acu3m)q6S-2^waZDj zuH8zRf%<=lvbaI2enOOnu12m#t~3Ira_7pJOK)0ntm#cFnr9P1YkI<-tt?Yb8w)iGBiR~ms*xjN=* zqFJ*{t^N<)I=r~mxlHX}q^on8_LGyUbD3J_{z{uenah+Xw8J5O?U7I&UL{8w)iGBiR~ms*xpQUA)hyFeJsi4qcyX(9 znd)4oeDWd2XgW+WPcfaUxaj%1{#mA_&Y*(?^K7jtu#$*}>s*QOx@D?!r4g=?tC1^> zK&f0Eb2W0M5h#_bW3EQ7Gy1`;EHN6c*vpzA`>i^KK!;4$Z zGVL$RROd3~IjR0xrkcoUT_t)o!=ZDk@atjy#b=usA?g7nZniIKw9NcHyWqbp)jssYb3>m^wf;aveeGMXHhO6{ZeQja)}idXZ}6 zdWES2ltgZL*^)E$iMjmdr9LrtAWzKUH{j}T%*BfZMqN7a@B?%8H|An==G6{24PHIA z(dd7^zX$AWL6T31v*p5kyVbe*vDuqbBe!>agqwf*n!vA~>>+s&kS_189Ex*Y0r*B5 zbFCj{=?cdZv`_x#65xY|ej|13YGb>~$gOt3;W(f7z-kY6r4GLEZL4)|rP@~6tt)km z%BpjvAzgXoR(VET{`S(tIsiF|+?YQZuN!_s9`{B&e*DZleeamRBrhB1H-Y46&Tj(x z!2~^d>J0ig{u$0qWjW@EVRTAd#f-StT!8yDrEs}5! zFL-8?uLKQSVoscce;N9+1P$koZzbqM^e>_}#_%uRWedaZvUk29-mGx9(QJ4mJG9DO T>*2!