From d5bb934717a97413ad968249e34ec4d55293e083 Mon Sep 17 00:00:00 2001 From: Stefan Rebernig Date: Sat, 11 Dec 2010 11:29:12 +0100 Subject: [PATCH] decoder for st-op --- cpu/src/decoder_b.vhd | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/cpu/src/decoder_b.vhd b/cpu/src/decoder_b.vhd index 339d11d..ae69be8 100644 --- a/cpu/src/decoder_b.vhd +++ b/cpu/src/decoder_b.vhd @@ -312,6 +312,11 @@ begin instr_s.op_group := JMP_OP; end if; + if (instr_s.jmptype = "01") then + instr_s.op_group := JMP_ST_OP; + -- instr_s.op_detail(RET_OPT) := '0'; + end if; + if (instr_s.predicates = "1111") then instr_s.bp := '0'; end if; -- 2.25.1