From ad7590f4f4876c485d17c38f9ccb5821cb7f48b4 Mon Sep 17 00:00:00 2001 From: Stefan REBERNIG Date: Fri, 17 Dec 2010 10:15:32 +0100 Subject: [PATCH] uart: blinkt zwar nur am led aber des is schon net schlecht :D --- cpu/sim/testcore.do | 1 + cpu/src/core_top.vhd | 4 +- cpu/src/r_w_ram_b.vhd | 13 +- dt/dt.asm.rpt | 16 +- dt/dt.done | 2 +- dt/dt.fit.rpt | 814 +++++++++++++++++++++++++--------------- dt/dt.fit.summary | 6 +- dt/dt.flow.rpt | 49 +-- dt/dt.map.rpt | 842 ++++++++++++++++++------------------------ dt/dt.map.summary | 6 +- dt/dt.pin | 2 +- dt/dt.pof | Bin 524489 -> 524489 bytes dt/dt.qsf | 2 + dt/dt.rbf | Bin 290405 -> 290405 bytes dt/dt.sof | Bin 281523 -> 281523 bytes dt/dt.tan.rpt | 731 ++++++++++++++++++++++++------------ dt/dt.tan.summary | 26 +- dt/output_file.rbf | Bin 290405 -> 290405 bytes 18 files changed, 1444 insertions(+), 1070 deletions(-) diff --git a/cpu/sim/testcore.do b/cpu/sim/testcore.do index cf8d69c..aeb7491 100644 --- a/cpu/sim/testcore.do +++ b/cpu/sim/testcore.do @@ -8,6 +8,7 @@ vcom -work work ../src/r2_w_ram.vhd vcom -work work ../src/r2_w_ram_b.vhd vcom -work work ../src/common_pkg.vhd vcom -work work ../src/extension_pkg.vhd +vcom -work work ../src/extension_uart_pkg.vhd vcom -work work ../src/core_pkg.vhd vcom -work work ../src/decoder.vhd vcom -work work ../src/decoder_b.vhd diff --git a/cpu/src/core_top.vhd b/cpu/src/core_top.vhd index 9e0c996..fdd760f 100644 --- a/cpu/src/core_top.vhd +++ b/cpu/src/core_top.vhd @@ -10,6 +10,7 @@ entity core_top is port( --System input pins + sys_res : in std_logic; sys_clk : in std_logic; -- result : out gp_register_t; -- reg_wr_data : out gp_register_t @@ -22,7 +23,6 @@ end core_top; architecture behav of core_top is signal jump_result : instruction_addr_t; - signal sys_res : std_logic; signal jump_result_pin : instruction_addr_t; signal prediction_result_pin : instruction_addr_t; signal branch_prediction_bit_pin : std_logic; @@ -137,7 +137,7 @@ begin nop_pin <= (alu_jump_bit_pin); -- xor brpr_pin); jump_result <= prog_cnt_pin; --jump_result_pin; - sys_res <= '1'; +-- sys_res <= '1'; -- reg_wr_data <= reg_wr_data_pin; end behav; diff --git a/cpu/src/r_w_ram_b.vhd b/cpu/src/r_w_ram_b.vhd index 5ba03c0..22e32f6 100644 --- a/cpu/src/r_w_ram_b.vhd +++ b/cpu/src/r_w_ram_b.vhd @@ -13,6 +13,11 @@ architecture behaviour of r_w_ram is -- r0 = 0, r1 = 1, r2 = 3, r3 = A signal ram : RAM_TYPE := ( +-- 0 => x"ed2802d0", -- ldi r5, 0x5a;; +-- 1 => x"ed008058", -- ldi r0, 0x100b;; +-- 2 => x"e7a80000", -- stw r5, 0(r0);; +-- 3 => "11101011000000000000000000000010", + --8 => "11100111100010000000000000000000", --stw -- 0 => "11101101000000000000000000000000", --ldi -- 1 => "11101101001000000000000000000000", --ldi @@ -123,12 +128,12 @@ begin process(clk) begin if rising_edge(clk) then - -- data_out <= ram(to_integer(UNSIGNED(rd_addr))); + --data_out <= ram(to_integer(UNSIGNED(rd_addr))); case rd_addr is when "00000000000" => data_out <= x"ed2802d0"; -- ldi r5, 0x5a;; - when "00000000100" => data_out <= x"ed008058"; -- ldi r0, 0x100b;; - when "00000001000" => data_out <= x"e7a80000"; -- stw r5, 0(r0);; - when others => data_out <= x"07a80000"; + when "00000000001" => data_out <= x"ed008058"; -- ldi r0, 0x100b;; + when "00000000010" => data_out <= x"e7a80000"; -- stw r5, 0(r0);; + when others => data_out <= "11101011000000000000000000000010"; end case; if wr_en = '1' then diff --git a/dt/dt.asm.rpt b/dt/dt.asm.rpt index 14dd01e..ebf135e 100644 --- a/dt/dt.asm.rpt +++ b/dt/dt.asm.rpt @@ -1,5 +1,5 @@ Assembler report for dt -Thu Dec 16 16:55:03 2010 +Fri Dec 17 10:10:39 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Dec 16 16:55:03 2010 ; +; Assembler Status ; Successful - Fri Dec 17 10:10:39 2010 ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; @@ -97,7 +97,7 @@ applicable agreement for further details. +----------------+-----------------+ ; Device ; EP1C12Q240C8 ; ; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x00162065 ; +; Checksum ; 0x001F1EC3 ; +----------------+-----------------+ @@ -108,7 +108,7 @@ applicable agreement for further details. +--------------------+-------------+ ; Device ; EPCS4 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x03AC7869 ; +; Checksum ; 0x03ACAE9A ; ; Compression Ratio ; 1 ; +--------------------+-------------+ @@ -129,13 +129,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II Assembler Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Dec 16 16:55:00 2010 + Info: Processing started: Fri Dec 17 10:10:37 2010 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off dt -c dt Info: Assembler is generating device programming files Info: Quartus II Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 229 megabytes - Info: Processing ended: Thu Dec 16 16:55:03 2010 - Info: Elapsed time: 00:00:03 + Info: Peak virtual memory: 230 megabytes + Info: Processing ended: Fri Dec 17 10:10:39 2010 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/dt/dt.done b/dt/dt.done index 3260487..13a94ed 100644 --- a/dt/dt.done +++ b/dt/dt.done @@ -1 +1 @@ -Thu Dec 16 16:55:06 2010 +Fri Dec 17 10:10:43 2010 diff --git a/dt/dt.fit.rpt b/dt/dt.fit.rpt index 67e6c6c..25561ec 100644 --- a/dt/dt.fit.rpt +++ b/dt/dt.fit.rpt @@ -1,5 +1,5 @@ Fitter report for dt -Thu Dec 16 16:54:58 2010 +Fri Dec 17 10:10:33 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -63,15 +63,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+-----------------------------------------------+ -; Fitter Status ; Successful - Thu Dec 16 16:54:57 2010 ; +; Fitter Status ; Successful - Fri Dec 17 10:10:33 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; ; Device ; EP1C12Q240C8 ; ; Timing Models ; Final ; -; Total logic elements ; 398 / 12,060 ( 3 % ) ; -; Total pins ; 2 / 173 ( 1 % ) ; +; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; +; Total pins ; 3 / 173 ( 2 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; ; Total PLLs ; 0 / 2 ( 0 % ) ; @@ -143,8 +143,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; Type ; Value ; +---------------------+------------------------+ ; Placement (by node) ; ; -; -- Requested ; 0 / 466 ( 0.00 % ) ; -; -- Achieved ; 0 / 466 ( 0.00 % ) ; +; -- Requested ; 0 / 1125 ( 0.00 % ) ; +; -- Achieved ; 0 / 1125 ( 0.00 % ) ; ; ; ; ; Routing (by net) ; ; ; -- Requested ; 0 / 0 ( 0.00 % ) ; @@ -167,7 +167,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ -; Top ; 464 ; 0 ; N/A ; Source File ; +; Top ; 1123 ; 0 ; N/A ; Source File ; ; hard_block:auto_generated_inst ; 2 ; 0 ; N/A ; Source File ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ @@ -175,59 +175,59 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /homes/burban/calu/dt/dt.pin. - - -+-------------------------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+---------------------------------------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------------------------------------+ -; Total logic elements ; 398 / 12,060 ( 3 % ) ; -; -- Combinational with no register ; 257 ; -; -- Register only ; 12 ; -; -- Combinational with a register ; 129 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 105 ; -; -- 3 input functions ; 195 ; -; -- 2 input functions ; 80 ; -; -- 1 input functions ; 4 ; -; -- 0 input functions ; 2 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 298 ; -; -- arithmetic mode ; 100 ; -; -- qfbk mode ; 35 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 44 ; -; -- asynchronous clear/load mode ; 0 ; -; ; ; -; Total registers ; 141 / 12,567 ( 1 % ) ; -; Total LABs ; 48 / 1,206 ( 4 % ) ; -; Logic elements in carry chains ; 104 ; -; User inserted logic elements ; 0 ; -; Virtual pins ; 0 ; -; I/O pins ; 2 / 173 ( 1 % ) ; -; -- Clock pins ; 1 / 2 ( 50 % ) ; -; Global signals ; 1 ; -; M4Ks ; 2 / 52 ( 4 % ) ; -; Total memory bits ; 512 / 239,616 ( < 1 % ) ; -; Total RAM block bits ; 9,216 / 239,616 ( 4 % ) ; -; PLLs ; 0 / 2 ( 0 % ) ; -; Global clocks ; 1 / 8 ( 13 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; ASMI Blocks ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 1% / 1% / 1% ; -; Peak interconnect usage (total/H/V) ; 4% / 5% / 4% ; -; Maximum fan-out node ; sys_clk ; -; Maximum fan-out ; 143 ; -; Highest non-global fan-out signal ; decode_stage:decode_st|rtw_rec.immediate[3] ; -; Highest non-global fan-out ; 66 ; -; Total fan-out ; 1487 ; -; Average fan-out ; 3.68 ; -+---------------------------------------------+---------------------------------------------+ +The pin-out file can be found in /homes/c0726283/calu/dt/dt.pin. + + ++-----------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------------------------------------------+ +; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; +; -- Combinational with no register ; 841 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 215 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 467 ; +; -- 3 input functions ; 447 ; +; -- 2 input functions ; 123 ; +; -- 1 input functions ; 18 ; +; -- 0 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 850 ; +; -- arithmetic mode ; 206 ; +; -- qfbk mode ; 77 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 84 ; +; -- asynchronous clear/load mode ; 202 ; +; ; ; +; Total registers ; 215 / 12,567 ( 2 % ) ; +; Total LABs ; 114 / 1,206 ( 9 % ) ; +; Logic elements in carry chains ; 214 ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 3 / 173 ( 2 % ) ; +; -- Clock pins ; 1 / 2 ( 50 % ) ; +; Global signals ; 2 ; +; M4Ks ; 2 / 52 ( 4 % ) ; +; Total memory bits ; 512 / 239,616 ( < 1 % ) ; +; Total RAM block bits ; 9,216 / 239,616 ( 4 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global clocks ; 2 / 8 ( 25 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI Blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 5% / 5% / 5% ; +; Peak interconnect usage (total/H/V) ; 31% / 32% / 30% ; +; Maximum fan-out node ; sys_clk ; +; Maximum fan-out ; 217 ; +; Highest non-global fan-out signal ; execute_stage:exec_st|alu:alu_inst|Selector76~0 ; +; Highest non-global fan-out ; 115 ; +; Total fan-out ; 4170 ; +; Average fan-out ; 3.92 ; ++---------------------------------------------+-------------------------------------------------+ +---------------------------------------------------------------------------------------------------+ @@ -237,10 +237,10 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. +---------------------------------------------+--------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; -; Total logic elements ; 398 ; 0 ; -; -- Combinational with no register ; 257 ; 0 ; -; -- Register only ; 12 ; 0 ; -; -- Combinational with a register ; 129 ; 0 ; +; Total logic elements ; 1056 ; 0 ; +; -- Combinational with no register ; 841 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 215 ; 0 ; ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; ; -- 4 input functions ; 0 ; 0 ; @@ -257,9 +257,9 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. ; -- synchronous clear/load mode ; 0 ; 0 ; ; -- asynchronous clear/load mode ; 0 ; 0 ; ; ; ; ; -; Total registers ; 141 / 6030 ( 2 % ) ; 0 / 6030 ( 0 % ) ; +; Total registers ; 215 / 6030 ( 3 % ) ; 0 / 6030 ( 0 % ) ; ; Virtual pins ; 0 ; 0 ; -; I/O pins ; 2 ; 0 ; +; I/O pins ; 3 ; 0 ; ; DSP block 9-bit elements ; 0 ; 0 ; ; Total memory bits ; 512 ; 0 ; ; Total RAM block bits ; 9216 ; 0 ; @@ -272,15 +272,15 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 1572 ; 0 ; -; -- Registered Connections ; 590 ; 0 ; +; -- Total Connections ; 4343 ; 0 ; +; -- Registered Connections ; 813 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 0 ; 0 ; ; -- hard_block:auto_generated_inst ; 0 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; -; -- Input Ports ; 1 ; 0 ; +; -- Input Ports ; 2 ; 0 ; ; -- Output Ports ; 1 ; 0 ; ; -- Bidir Ports ; 0 ; 0 ; ; ; ; ; @@ -305,7 +305,8 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ -; sys_clk ; 152 ; 3 ; 53 ; 15 ; 2 ; 143 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; User ; +; sys_clk ; 152 ; 3 ; 53 ; 15 ; 2 ; 217 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; User ; +; sys_res ; 42 ; 1 ; 0 ; 6 ; 0 ; 205 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; User ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -323,7 +324,7 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. +----------+----------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+----------------+---------------+--------------+ -; 1 ; 2 / 44 ( 5 % ) ; 3.3V ; -- ; +; 1 ; 3 / 44 ( 7 % ) ; 3.3V ; -- ; ; 2 ; 0 / 42 ( 0 % ) ; 3.3V ; -- ; ; 3 ; 2 / 45 ( 4 % ) ; 3.3V ; -- ; ; 4 ; 0 / 42 ( 0 % ) ; 3.3V ; -- ; @@ -376,7 +377,7 @@ The pin-out file can be found in /homes/burban/calu/dt/dt.pin. ; 39 ; 41 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 40 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 41 ; 52 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; -; 42 ; 53 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; +; 42 ; 53 ; 1 ; sys_res ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; 43 ; 54 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 44 ; 55 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; ; 45 ; 56 ; 1 ; RESERVED_INPUT ; ; ; ; Row I/O ; ; no ; Off ; @@ -606,22 +607,26 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; M4Ks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ -; |core_top ; 398 (1) ; 141 ; 512 ; 2 ; 2 ; 0 ; 257 (1) ; 12 (0) ; 129 (0) ; 104 (0) ; 35 (0) ; |core_top ; ; -; |decode_stage:decode_st| ; 43 (42) ; 42 ; 512 ; 2 ; 0 ; 0 ; 1 (0) ; 1 (1) ; 41 (41) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; -; |decoder:decoder_inst| ; 1 (1) ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; +; |core_top ; 1056 (1) ; 215 ; 512 ; 2 ; 3 ; 0 ; 841 (1) ; 0 (0) ; 215 (0) ; 214 (0) ; 77 (0) ; |core_top ; ; +; |decode_stage:decode_st| ; 103 (96) ; 72 ; 512 ; 2 ; 0 ; 0 ; 31 (24) ; 0 (0) ; 72 (72) ; 11 (11) ; 5 (5) ; |core_top|decode_stage:decode_st ; ; +; |decoder:decoder_inst| ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; ; |r2_w_ram:register_ram| ; 0 (0) ; 0 ; 512 ; 2 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram ; ; ; |altsyncram:ram_rtl_0| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ; ; ; |altsyncram:ram_rtl_1| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ; ; -; |execute_stage:exec_st| ; 191 (129) ; 34 ; 0 ; 0 ; 0 ; 0 ; 157 (95) ; 0 (0) ; 34 (34) ; 61 (0) ; 35 (35) ; |core_top|execute_stage:exec_st ; ; -; |alu:alu_inst| ; 62 (30) ; 0 ; 0 ; 0 ; 0 ; 0 ; 62 (30) ; 0 (0) ; 0 (0) ; 61 (29) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; -; |exec_op:add_inst| ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 0 (0) ; 0 (0) ; 32 (32) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; -; |fetch_stage:fetch_st| ; 28 (22) ; 14 ; 0 ; 0 ; 0 ; 0 ; 14 (11) ; 11 (11) ; 3 (0) ; 11 (11) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; -; |r_w_ram:instruction_ram| ; 6 (6) ; 3 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; -; |writeback_stage:writeback_st| ; 135 (28) ; 51 ; 0 ; 0 ; 0 ; 0 ; 84 (26) ; 0 (0) ; 51 (2) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; -; |extension_uart:uart| ; 107 (13) ; 49 ; 0 ; 0 ; 0 ; 0 ; 58 (3) ; 0 (0) ; 49 (10) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; +; |execute_stage:exec_st| ; 755 (145) ; 67 ; 0 ; 0 ; 0 ; 0 ; 688 (109) ; 0 (0) ; 67 (36) ; 171 (0) ; 71 (40) ; |core_top|execute_stage:exec_st ; ; +; |alu:alu_inst| ; 545 (224) ; 0 ; 0 ; 0 ; 0 ; 0 ; 545 (224) ; 0 (0) ; 0 (0) ; 141 (43) ; 31 (31) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; +; |exec_op:add_inst| ; 100 (100) ; 0 ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 0 (0) ; 0 (0) ; 98 (98) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; +; |exec_op:or_inst| ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; +; |exec_op:shift_inst| ; 208 (208) ; 0 ; 0 ; 0 ; 0 ; 0 ; 208 (208) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst ; ; +; |extension_gpm:gpmp_inst| ; 65 (65) ; 31 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 0 (0) ; 31 (31) ; 30 (30) ; 0 (0) ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst ; ; +; |fetch_stage:fetch_st| ; 33 (24) ; 17 ; 0 ; 0 ; 0 ; 0 ; 16 (13) ; 0 (0) ; 17 (11) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; +; |r_w_ram:instruction_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; +; |writeback_stage:writeback_st| ; 164 (52) ; 59 ; 0 ; 0 ; 0 ; 0 ; 105 (48) ; 0 (0) ; 59 (4) ; 32 (0) ; 1 (1) ; |core_top|writeback_stage:writeback_st ; ; +; |extension_uart:uart| ; 106 (12) ; 49 ; 0 ; 0 ; 0 ; 0 ; 57 (2) ; 0 (0) ; 49 (10) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; ; |rs232_tx:rs232_tx_inst| ; 94 (94) ; 39 ; 0 ; 0 ; 0 ; 0 ; 55 (55) ; 0 (0) ; 39 (39) ; 32 (32) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ; ; +; |r_w_ram:data_ram| ; 6 (6) ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -633,30 +638,242 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+---------------+-----------------------+-----+ ; bus_tx ; Output ; -- ; -- ; -- ; -- ; ; sys_clk ; Input ; OFF ; OFF ; -- ; -- ; +; sys_res ; Input ; OFF ; ON ; -- ; -- ; +---------+----------+---------------+---------------+-----------------------+-----+ -+---------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+---------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+---------------------+-------------------+---------+ -; sys_clk ; ; ; -+---------------------+-------------------+---------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ -; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; LC_X30_Y13_N4 ; 56 ; Sync. load ; no ; -- ; -- ; -; execute_stage:exec_st|reg.wr_en ; LC_X31_Y16_N0 ; 7 ; Write enable ; no ; -- ; -- ; -; sys_clk ; PIN_152 ; 143 ; Clock ; yes ; Global Clock ; GCLK7 ; -; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0 ; LC_X39_Y14_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; LC_X39_Y14_N3 ; 35 ; Sync. clear ; no ; -- ; -- ; -; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1 ; LC_X28_Y11_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -+--------------------------------------------------------------------------------------+---------------+---------+--------------+--------+----------------------+------------------+ ++---------------------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------------------------------------------------------------------------------+-------------------+---------+ +; sys_clk ; ; ; +; sys_res ; ; ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[0] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[3] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|idle_sig ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[3] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[4] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[6] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[29] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[30] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[31] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[30] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[29] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[28] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[27] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[26] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[25] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[24] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[21] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[20] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[19] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[18] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[17] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[16] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[15] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[14] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[13] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[12] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[11] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[9] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[7] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[6] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[5] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[3] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[2] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[1] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[0] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg2 ; 0 ; OFF ; +; - execute_stage:exec_st|reg.wr_en ; 0 ; OFF ; +; - writeback_stage:writeback_st|wb_reg.dmem_en ; 0 ; OFF ; +; - execute_stage:exec_st|reg.alu_jump ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[2] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; 0 ; OFF ; +; - writeback_stage:writeback_st|wb_reg.address[1] ; 0 ; OFF ; +; - writeback_stage:writeback_st|wb_reg.address[0] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_detail[3] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.brpr ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.condition[0] ; 0 ; OFF ; +; - execute_stage:exec_st|alu:alu_inst|\calc:cond_met~0 ; 1 ; ON ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[3] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[1] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[0] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[0] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[5] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[7] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.daddr[0] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg1 ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[9] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[8] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[17] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[15] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[16] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[14] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[13] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[11] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[12] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[10] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.brpr ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.displacement[3] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.displacement[9] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.displacement[6] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[21] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[22] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[23] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[24] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[25] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[26] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[27] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[28] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[31] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[18] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[20] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.result[19] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr_nxt[3]~3 ; 1 ; ON ; +; - decode_stage:decode_st|dec_op_inst.op_detail[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[12] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.displacement[1] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_detail[4] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.saddr1[0] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; 0 ; OFF ; +; - writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; 1 ; ON ; +; - decode_stage:decode_st|rtw_rec.immediate[6] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[4] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.immediate[14] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.imm_set ; 0 ; OFF ; +; - writeback_stage:writeback_st|wb_reg.dmem_write_en ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.saddr2[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[0] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[1] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[3] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[4] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[5] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[6] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[7] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[8] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[9] ; 0 ; OFF ; +; - execute_stage:exec_st|reg.res_addr[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[10] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.op_group.JMP_OP ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[11] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[0] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[12] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[1] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[13] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[2] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[14] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[3] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[15] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[4] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[16] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[5] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[17] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[6] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[18] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[7] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[19] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[8] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[20] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[9] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[21] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.prog_cnt[10] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[22] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[23] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[24] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[25] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[26] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[27] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[28] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[29] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[30] ; 0 ; OFF ; +; - decode_stage:decode_st|rtw_rec.rtw_reg[31] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[10] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[9] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[0] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[8] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[1] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[7] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[2] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[6] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[3] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[5] ; 0 ; OFF ; +; - fetch_stage:fetch_st|instr_r_addr[4] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; 0 ; OFF ; +; - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; 0 ; OFF ; +; - decode_stage:decode_st|dec_op_inst.saddr1[2] ; 0 ; OFF ; ++---------------------------------------------------------------------------------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ +; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; LC_X27_Y17_N9 ; 58 ; Sync. load ; no ; -- ; -- ; +; execute_stage:exec_st|alu:alu_inst|calc~0 ; LC_X36_Y17_N6 ; 32 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; execute_stage:exec_st|alu:alu_inst|pwr_en ; LC_X29_Y15_N2 ; 30 ; Clock enable ; no ; -- ; -- ; +; execute_stage:exec_st|reg.result[1]~9 ; LC_X27_Y16_N4 ; 12 ; Sync. load ; no ; -- ; -- ; +; sys_clk ; PIN_152 ; 217 ; Clock ; yes ; Global Clock ; GCLK7 ; +; sys_res ; PIN_42 ; 205 ; Async. clear, Async. load, Clock enable ; yes ; Global Clock ; GCLK3 ; +; writeback_stage:writeback_st|Mux9~0 ; LC_X26_Y19_N7 ; 7 ; Sync. clear ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0 ; LC_X40_Y20_N6 ; 5 ; Clock enable ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; LC_X40_Y19_N5 ; 35 ; Sync. clear ; no ; -- ; -- ; +; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0 ; LC_X27_Y19_N6 ; 8 ; Clock enable ; no ; -- ; -- ; +; writeback_stage:writeback_st|reg_we~0 ; LC_X31_Y18_N0 ; 8 ; Write enable ; no ; -- ; -- ; ++--------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+ +------------------------------------------------------------------------+ @@ -664,7 +881,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +---------+----------+---------+----------------------+------------------+ -; sys_clk ; PIN_152 ; 143 ; Global Clock ; GCLK7 ; +; sys_clk ; PIN_152 ; 217 ; Global Clock ; GCLK7 ; +; sys_res ; PIN_42 ; 205 ; Global Clock ; GCLK3 ; +---------+----------+---------+----------------------+------------------+ @@ -673,56 +891,56 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-----------------------------------------------------------------------------------+---------+ -; decode_stage:decode_st|rtw_rec.immediate[3] ; 66 ; -; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 56 ; +; execute_stage:exec_st|alu:alu_inst|Selector76~0 ; 115 ; +; execute_stage:exec_st|right_operand[0]~10 ; 89 ; +; execute_stage:exec_st|right_operand[1]~6 ; 77 ; +; execute_stage:exec_st|right_operand[2]~4 ; 63 ; +; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; 58 ; +; execute_stage:exec_st|alu:alu_inst|Selector53~0 ; 53 ; +; decode_stage:decode_st|dec_op_inst.op_detail[3] ; 49 ; +; execute_stage:exec_st|right_operand[3]~8 ; 48 ; +; decode_stage:decode_st|dec_op_inst.op_detail[2] ; 41 ; +; execute_stage:exec_st|left_operand[13]~1 ; 40 ; +; execute_stage:exec_st|right_operand[14]~1 ; 38 ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; 37 ; +; execute_stage:exec_st|right_operand[14]~2 ; 37 ; +; writeback_stage:writeback_st|wb_reg.dmem_en ; 35 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state ; 35 ; +; writeback_stage:writeback_st|wb_reg.dmem_write_en ; 34 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|Equal0~10 ; 34 ; -; execute_stage:exec_st|left_operand[28]~1 ; 32 ; +; execute_stage:exec_st|alu:alu_inst|calc~0 ; 32 ; ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; 32 ; -; execute_stage:exec_st|right_operand[6]~1 ; 30 ; -; decode_stage:decode_st|rtw_rec.rtw_reg2 ; 29 ; +; execute_stage:exec_st|alu:alu_inst|pwr_en ; 30 ; +; execute_stage:exec_st|reg.result[11]~12 ; 29 ; +; execute_stage:exec_st|alu:alu_inst|pinc~0 ; 29 ; +; writeback_stage:writeback_st|jump ; 25 ; +; decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; 25 ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; 24 ; +; execute_stage:exec_st|reg.result[11]~13 ; 23 ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; 23 ; +; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP ; 21 ; +; decode_stage:decode_st|decoder:decoder_inst|instr_s~5 ; 15 ; +; decode_stage:decode_st|rtw_rec.imm_set ; 15 ; +; decode_stage:decode_st|dec_op_inst.op_group.LDST_OP ; 13 ; +; execute_stage:exec_st|reg.result[1]~9 ; 12 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1] ; 12 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[0] ; 10 ; -; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1 ; 8 ; -; execute_stage:exec_st|reg.res_addr[2] ; 8 ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; 7 ; -; execute_stage:exec_st|right_operand[6]~5 ; 7 ; -; execute_stage:exec_st|reg.wr_en ; 7 ; -; writeback_stage:writeback_st|wb_reg.address[0] ; 7 ; -; writeback_stage:writeback_st|wb_reg.address[1] ; 7 ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; 6 ; -; execute_stage:exec_st|right_operand[6]~6 ; 6 ; -; execute_stage:exec_st|reg.result[3] ; 6 ; -; execute_stage:exec_st|reg.result[1] ; 6 ; -; writeback_stage:writeback_st|Equal0~24 ; 6 ; -; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2] ; 6 ; -; ~GND ; 5 ; -; execute_stage:exec_st|reg.result[27] ; 5 ; -; execute_stage:exec_st|reg.result[26] ; 5 ; -; execute_stage:exec_st|reg.result[25] ; 5 ; -; execute_stage:exec_st|reg.result[24] ; 5 ; -; execute_stage:exec_st|reg.result[23] ; 5 ; -; execute_stage:exec_st|reg.result[22] ; 5 ; -; execute_stage:exec_st|reg.result[21] ; 5 ; -; execute_stage:exec_st|reg.result[20] ; 5 ; -; execute_stage:exec_st|reg.result[19] ; 5 ; -; execute_stage:exec_st|reg.result[18] ; 5 ; -; execute_stage:exec_st|reg.result[17] ; 5 ; -; execute_stage:exec_st|reg.result[16] ; 5 ; -; execute_stage:exec_st|reg.result[15] ; 5 ; -; execute_stage:exec_st|reg.result[14] ; 5 ; -; execute_stage:exec_st|reg.result[13] ; 5 ; -; execute_stage:exec_st|reg.result[11] ; 5 ; -; execute_stage:exec_st|reg.result[10] ; 5 ; -; execute_stage:exec_st|reg.result[9] ; 5 ; -; execute_stage:exec_st|reg.result[8] ; 5 ; -; execute_stage:exec_st|reg.result[12] ; 5 ; -; execute_stage:exec_st|reg.result[31] ; 5 ; -; execute_stage:exec_st|reg.result[30] ; 5 ; -; execute_stage:exec_st|reg.result[29] ; 5 ; -; execute_stage:exec_st|reg.result[28] ; 5 ; -; execute_stage:exec_st|reg.result[4] ; 5 ; -; execute_stage:exec_st|reg.result[7] ; 5 ; +; execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|tmp_sb~0 ; 9 ; +; execute_stage:exec_st|left_operand[30]~56 ; 9 ; +; execute_stage:exec_st|left_operand[29]~54 ; 9 ; +; execute_stage:exec_st|left_operand[28]~52 ; 9 ; +; execute_stage:exec_st|reg.res_addr[2] ; 9 ; +; execute_stage:exec_st|reg.result[6]~21 ; 8 ; +; execute_stage:exec_st|reg.result[25]~14 ; 8 ; +; execute_stage:exec_st|alu:alu_inst|Selector76~1 ; 8 ; +; execute_stage:exec_st|left_operand[27]~50 ; 8 ; +; execute_stage:exec_st|left_operand[26]~48 ; 8 ; +; execute_stage:exec_st|alu:alu_inst|Selector97~0 ; 8 ; +; execute_stage:exec_st|left_operand[12]~34 ; 8 ; +; execute_stage:exec_st|left_operand[11]~32 ; 8 ; +; execute_stage:exec_st|alu:alu_inst|Selector98~0 ; 8 ; +; execute_stage:exec_st|alu:alu_inst|Selector107~0 ; 8 ; +; execute_stage:exec_st|right_operand[14]~13 ; 8 ; +-----------------------------------------------------------------------------------+---------+ @@ -731,132 +949,134 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF ; Location ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ -; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y16 ; -; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y15 ; +; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y18 ; +; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 16 ; 32 ; 16 ; 32 ; yes ; no ; yes ; no ; 512 ; 8 ; 32 ; 8 ; 32 ; 256 ; 1 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y19 ; +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+-------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. -+----------------------------------------------------+ -; Interconnect Usage Summary ; -+----------------------------+-----------------------+ -; Interconnect Resource Type ; Usage ; -+----------------------------+-----------------------+ -; C4s ; 433 / 30,600 ( 1 % ) ; -; Direct links ; 43 / 43,552 ( < 1 % ) ; -; Global clocks ; 1 / 8 ( 13 % ) ; -; LAB clocks ; 12 / 312 ( 4 % ) ; -; LUT chains ; 46 / 10,854 ( < 1 % ) ; -; Local interconnects ; 653 / 43,552 ( 1 % ) ; -; M4K buffers ; 64 / 1,872 ( 3 % ) ; -; R4s ; 439 / 28,560 ( 2 % ) ; -+----------------------------+-----------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.29) ; Number of LABs (Total = 48) ; -+--------------------------------------------+------------------------------+ -; 1 ; 7 ; -; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 2 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 37 ; -+--------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 0.92) ; Number of LABs (Total = 48) ; -+------------------------------------+------------------------------+ -; 1 Clock ; 39 ; -; 1 Clock enable ; 2 ; -; 1 Sync. load ; 2 ; -; 2 Clock enables ; 1 ; -+------------------------------------+------------------------------+ ++-----------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+------------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+------------------------+ +; C4s ; 1,397 / 30,600 ( 5 % ) ; +; Direct links ; 137 / 43,552 ( < 1 % ) ; +; Global clocks ; 2 / 8 ( 25 % ) ; +; LAB clocks ; 32 / 312 ( 10 % ) ; +; LUT chains ; 146 / 10,854 ( 1 % ) ; +; Local interconnects ; 1,899 / 43,552 ( 4 % ) ; +; M4K buffers ; 64 / 1,872 ( 3 % ) ; +; R4s ; 1,532 / 28,560 ( 5 % ) ; ++----------------------------+------------------------+ +----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.10) ; Number of LABs (Total = 48) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 7 ; -; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 18 ; -; 11 ; 4 ; -; 12 ; 13 ; -; 13 ; 1 ; -; 14 ; 0 ; -; 15 ; 1 ; -+---------------------------------------------+------------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.02) ; Number of LABs (Total = 48) ; -+-------------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 7 ; -; 2 ; 1 ; -; 3 ; 3 ; -; 4 ; 3 ; -; 5 ; 4 ; -; 6 ; 14 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 10 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 1 ; -+-------------------------------------------------+------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-------------------------------+ +; Number of Logic Elements (Average = 9.26) ; Number of LABs (Total = 114) ; ++--------------------------------------------+-------------------------------+ +; 1 ; 6 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 101 ; ++--------------------------------------------+-------------------------------+ + + ++--------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-------------------------------+ +; LAB-wide Signals (Average = 1.44) ; Number of LABs (Total = 114) ; ++------------------------------------+-------------------------------+ +; 1 Async. clear ; 69 ; +; 1 Async. load ; 2 ; +; 1 Clock ; 72 ; +; 1 Clock enable ; 13 ; +; 1 Sync. clear ; 3 ; +; 1 Sync. load ; 5 ; ++------------------------------------+-------------------------------+ +-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.08) ; Number of LABs (Total = 48) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 7 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 1 ; -; 10 ; 1 ; -; 11 ; 4 ; -; 12 ; 0 ; -; 13 ; 12 ; -; 14 ; 2 ; -; 15 ; 4 ; -; 16 ; 0 ; -; 17 ; 3 ; -; 18 ; 0 ; -; 19 ; 1 ; -; 20 ; 3 ; -; 21 ; 5 ; -+----------------------------------------------+------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-------------------------------+ +; Number of Signals Sourced (Average = 9.97) ; Number of LABs (Total = 114) ; ++---------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 6 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 2 ; +; 10 ; 60 ; +; 11 ; 21 ; +; 12 ; 7 ; +; 13 ; 7 ; +; 14 ; 6 ; ++---------------------------------------------+-------------------------------+ + + ++---------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-------------------------------+ +; Number of Signals Sourced Out (Average = 6.84) ; Number of LABs (Total = 114) ; ++-------------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 6 ; +; 2 ; 1 ; +; 3 ; 7 ; +; 4 ; 7 ; +; 5 ; 14 ; +; 6 ; 15 ; +; 7 ; 14 ; +; 8 ; 17 ; +; 9 ; 10 ; +; 10 ; 18 ; +; 11 ; 2 ; +; 12 ; 2 ; +; 13 ; 1 ; ++-------------------------------------------------+-------------------------------+ + + ++------------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+-------------------------------+ +; Number of Distinct Inputs (Average = 16.04) ; Number of LABs (Total = 114) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 0 ; +; 10 ; 5 ; +; 11 ; 8 ; +; 12 ; 7 ; +; 13 ; 3 ; +; 14 ; 9 ; +; 15 ; 5 ; +; 16 ; 5 ; +; 17 ; 6 ; +; 18 ; 7 ; +; 19 ; 4 ; +; 20 ; 18 ; +; 21 ; 16 ; +; 22 ; 11 ; ++----------------------------------------------+-------------------------------+ +--------------------------------------------------------------------+ @@ -896,7 +1116,7 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. Info: ******************************************************************* Info: Running Quartus II Fitter Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Dec 16 16:54:47 2010 + Info: Processing started: Fri Dec 17 10:10:15 2010 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off dt -c dt Info: Selected device EP1C12Q240C8 for design "dt" Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time @@ -914,6 +1134,11 @@ Extra Info: Completed register packing on registers with non-logic cell location Info: Completed User Assigned Global Signals Promotion Operation Info: DQS I/O pins require 0 global routing resources Info: Automatically promoted signal "sys_clk" to use Global clock in PIN 152 +Info: Automatically promoted some destinations of signal "sys_res" to use Global clock + Info: Destination "execute_stage:exec_st|alu:alu_inst|\calc:cond_met~0" may be non-global or may not use global clock + Info: Destination "writeback_stage:writeback_st|extension_uart:uart|new_tx_data" may be non-global or may not use global clock + Info: Destination "fetch_stage:fetch_st|instr_r_addr_nxt[3]~3" may be non-global or may not use global clock +Info: Pin "sys_res" drives global clock, but is not placed in a dedicated clock pin position Info: Completed Auto Global Promotion Operation Info: Starting register packing Extra Info: Started Fast Input/Output/OE register processing @@ -923,31 +1148,40 @@ Extra Info: Moving registers into I/O cells, LUTs, and RAM blocks to improve tim Info: Finished moving registers into I/O cells, LUTs, and RAM blocks Info: Finished register packing Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. -Info: Fitter preparation operations ending: elapsed time is 00:00:01 +Info: Fitter preparation operations ending: elapsed time is 00:00:02 Info: Fitter placement preparation operations beginning Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 Info: Fitter placement operations beginning Info: Fitter placement was successful -Info: Fitter placement operations ending: elapsed time is 00:00:01 -Info: Estimated most critical path is memory to register delay of 18.381 ns - Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y16; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3~portb_address_reg2' - Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y16; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3' - Info: 3: + IC(1.233 ns) + CELL(0.442 ns) = 5.992 ns; Loc. = LAB_X32_Y14; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[3]~61' - Info: 4: + IC(0.757 ns) + CELL(0.590 ns) = 7.339 ns; Loc. = LAB_X31_Y15; Fanout = 6; COMB Node = 'execute_stage:exec_st|left_operand[3]~62' - Info: 5: + IC(1.395 ns) + CELL(0.575 ns) = 9.309 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~142COUT1_190' - Info: 6: + IC(0.000 ns) + CELL(0.080 ns) = 9.389 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~27COUT1_192' - Info: 7: + IC(0.000 ns) + CELL(0.608 ns) = 9.997 ns; Loc. = LAB_X28_Y14; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~30' - Info: 8: + IC(1.387 ns) + CELL(0.292 ns) = 11.676 ns; Loc. = LAB_X30_Y12; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4' - Info: 9: + IC(0.900 ns) + CELL(0.442 ns) = 13.018 ns; Loc. = LAB_X30_Y13; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~7' - Info: 10: + IC(0.752 ns) + CELL(0.590 ns) = 14.360 ns; Loc. = LAB_X29_Y12; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~23' - Info: 11: + IC(0.900 ns) + CELL(0.442 ns) = 15.702 ns; Loc. = LAB_X28_Y11; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1' - Info: 12: + IC(1.812 ns) + CELL(0.867 ns) = 18.381 ns; Loc. = LAB_X36_Y14; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' - Info: Total cell delay = 9.245 ns ( 50.30 % ) - Info: Total interconnect delay = 9.136 ns ( 49.70 % ) +Info: Fitter placement operations ending: elapsed time is 00:00:02 +Info: Estimated most critical path is memory to register delay of 20.863 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y18; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3~portb_address_reg2' + Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y18; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a3' + Info: 3: + IC(1.586 ns) + CELL(0.442 ns) = 6.345 ns; Loc. = LAB_X28_Y22; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[3]~19' + Info: 4: + IC(0.063 ns) + CELL(0.590 ns) = 6.998 ns; Loc. = LAB_X28_Y22; Fanout = 4; COMB Node = 'execute_stage:exec_st|left_operand[3]~20' + Info: 5: + IC(0.117 ns) + CELL(0.590 ns) = 7.705 ns; Loc. = LAB_X28_Y22; Fanout = 8; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector104~0' + Info: 6: + IC(0.995 ns) + CELL(0.575 ns) = 9.275 ns; Loc. = LAB_X31_Y22; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~2COUT1_196' + Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 9.355 ns; Loc. = LAB_X31_Y22; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~12COUT1_198' + Info: 8: + IC(0.000 ns) + CELL(0.258 ns) = 9.613 ns; Loc. = LAB_X31_Y22; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~17' + Info: 9: + IC(0.000 ns) + CELL(0.679 ns) = 10.292 ns; Loc. = LAB_X31_Y21; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~20' + Info: 10: + IC(0.771 ns) + CELL(0.432 ns) = 11.495 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[6]~22COUT1_195' + Info: 11: + IC(0.000 ns) + CELL(0.080 ns) = 11.575 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[7]~27COUT1_197' + Info: 12: + IC(0.000 ns) + CELL(0.080 ns) = 11.655 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[8]~32COUT1_199' + Info: 13: + IC(0.000 ns) + CELL(0.608 ns) = 12.263 ns; Loc. = LAB_X30_Y21; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[9]~5' + Info: 14: + IC(1.264 ns) + CELL(0.114 ns) = 13.641 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector65~0' + Info: 15: + IC(0.361 ns) + CELL(0.292 ns) = 14.294 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector65~1' + Info: 16: + IC(0.063 ns) + CELL(0.590 ns) = 14.947 ns; Loc. = LAB_X31_Y17; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~0' + Info: 17: + IC(0.303 ns) + CELL(0.590 ns) = 15.840 ns; Loc. = LAB_X30_Y17; Fanout = 7; COMB Node = 'writeback_stage:writeback_st|Equal0~5' + Info: 18: + IC(1.093 ns) + CELL(0.590 ns) = 17.523 ns; Loc. = LAB_X27_Y19; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~8' + Info: 19: + IC(0.063 ns) + CELL(0.590 ns) = 18.176 ns; Loc. = LAB_X27_Y19; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~12' + Info: 20: + IC(0.211 ns) + CELL(0.442 ns) = 18.829 ns; Loc. = LAB_X27_Y19; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0' + Info: 21: + IC(1.167 ns) + CELL(0.867 ns) = 20.863 ns; Loc. = LAB_X28_Y21; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' + Info: Total cell delay = 12.806 ns ( 61.38 % ) + Info: Total interconnect delay = 8.057 ns ( 38.62 % ) Info: Fitter routing operations beginning -Info: Router estimated average interconnect usage is 1% of the available device resources - Info: Router estimated peak interconnect usage is 3% of the available device resources in the region that extends from location X21_Y14 to location X31_Y27 -Info: Fitter routing operations ending: elapsed time is 00:00:01 +Info: Router estimated average interconnect usage is 4% of the available device resources + Info: Router estimated peak interconnect usage is 25% of the available device resources in the region that extends from location X21_Y14 to location X31_Y27 +Info: Fitter routing operations ending: elapsed time is 00:00:04 Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info: Optimizations that may affect the design's routability were skipped Info: Optimizations that may affect the design's timing were skipped @@ -957,9 +1191,9 @@ Info: Delay annotation completed successfully Info: Completed Auto Delay Chain Operation Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info: Quartus II Fitter was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 266 megabytes - Info: Processing ended: Thu Dec 16 16:54:58 2010 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:11 + Info: Peak virtual memory: 269 megabytes + Info: Processing ended: Fri Dec 17 10:10:34 2010 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:19 diff --git a/dt/dt.fit.summary b/dt/dt.fit.summary index d71f82d..20c6921 100644 --- a/dt/dt.fit.summary +++ b/dt/dt.fit.summary @@ -1,12 +1,12 @@ -Fitter Status : Successful - Thu Dec 16 16:54:57 2010 +Fitter Status : Successful - Fri Dec 17 10:10:33 2010 Quartus II Version : 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition Revision Name : dt Top-level Entity Name : core_top Family : Cyclone Device : EP1C12Q240C8 Timing Models : Final -Total logic elements : 398 / 12,060 ( 3 % ) -Total pins : 2 / 173 ( 1 % ) +Total logic elements : 1,056 / 12,060 ( 9 % ) +Total pins : 3 / 173 ( 2 % ) Total virtual pins : 0 Total memory bits : 512 / 239,616 ( < 1 % ) Total PLLs : 0 / 2 ( 0 % ) diff --git a/dt/dt.flow.rpt b/dt/dt.flow.rpt index 14bbe65..2415abd 100644 --- a/dt/dt.flow.rpt +++ b/dt/dt.flow.rpt @@ -1,5 +1,5 @@ Flow report for dt -Thu Dec 16 16:55:05 2010 +Fri Dec 17 10:10:42 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -38,7 +38,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------+ ; Flow Summary ; +-------------------------+-----------------------------------------------+ -; Flow Status ; Successful - Thu Dec 16 16:55:05 2010 ; +; Flow Status ; Successful - Fri Dec 17 10:10:42 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; @@ -46,8 +46,8 @@ applicable agreement for further details. ; Device ; EP1C12Q240C8 ; ; Timing Models ; Final ; ; Met timing requirements ; Yes ; -; Total logic elements ; 398 / 12,060 ( 3 % ) ; -; Total pins ; 2 / 173 ( 1 % ) ; +; Total logic elements ; 1,056 / 12,060 ( 9 % ) ; +; Total pins ; 3 / 173 ( 2 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 / 239,616 ( < 1 % ) ; ; Total PLLs ; 0 / 2 ( 0 % ) ; @@ -59,26 +59,27 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 12/16/2010 16:54:33 ; +; Start date & time ; 12/17/2010 10:09:48 ; ; Main task ; Compilation ; ; Revision Name ; dt ; +-------------------+---------------------+ -+--------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+-----------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+-----------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 91815333562.129251487317236 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MISC_FILE ; /homes/burban/dt/dt.dpf ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; core_top ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; core_top ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; core_top ; Top ; -; TOP_LEVEL_ENTITY ; core_top ; dt ; -- ; -- ; -+-------------------------------------+-----------------------------+---------------+-------------+------------+ ++-----------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+--------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+--------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 91815333562.129257698817483 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; /homes/burban/dt/dt.dpf ; -- ; -- ; -- ; +; MISC_FILE ; /homes/c0726283/calu/dt/dt.dpf ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; core_top ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; core_top ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; core_top ; Top ; +; TOP_LEVEL_ENTITY ; core_top ; dt ; -- ; -- ; ++-------------------------------------+--------------------------------+---------------+-------------+------------+ +-----------------------------------------------------------------------------------------------------------------------------+ @@ -86,11 +87,11 @@ applicable agreement for further details. +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:10 ; 1.0 ; -- ; 00:00:09 ; -; Fitter ; 00:00:10 ; 1.0 ; -- ; 00:00:11 ; -; Assembler ; 00:00:03 ; 1.0 ; -- ; 00:00:02 ; -; Classic Timing Analyzer ; 00:00:00 ; 1.0 ; -- ; 00:00:00 ; -; Total ; 00:00:23 ; -- ; -- ; 00:00:22 ; +; Analysis & Synthesis ; 00:00:24 ; 1.0 ; -- ; 00:00:20 ; +; Fitter ; 00:00:18 ; 1.0 ; -- ; 00:00:18 ; +; Assembler ; 00:00:02 ; 1.0 ; -- ; 00:00:02 ; +; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; -- ; 00:00:01 ; +; Total ; 00:00:45 ; -- ; -- ; 00:00:41 ; +-------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/dt/dt.map.rpt b/dt/dt.map.rpt index 2c2ebe9..36139c0 100644 --- a/dt/dt.map.rpt +++ b/dt/dt.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for dt -Thu Dec 16 16:54:44 2010 +Fri Dec 17 10:10:12 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -37,18 +37,15 @@ Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition 29. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 30. altsyncram Parameter Settings by Entity Instance 31. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart" - 32. Port Connectivity Checks: "writeback_stage:writeback_st" - 33. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst" - 34. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst" - 35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst" - 36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst" - 37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst" - 38. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst" - 39. Port Connectivity Checks: "execute_stage:exec_st" - 40. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst" - 41. Port Connectivity Checks: "decode_stage:decode_st" - 42. Port Connectivity Checks: "fetch_stage:fetch_st" - 43. Analysis & Synthesis Messages + 32. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst" + 33. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst" + 34. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst" + 35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst" + 36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst" + 37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst" + 38. Port Connectivity Checks: "execute_stage:exec_st" + 39. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst" + 40. Analysis & Synthesis Messages @@ -74,13 +71,13 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Dec 16 16:54:44 2010 ; +; Analysis & Synthesis Status ; Successful - Fri Dec 17 10:10:12 2010 ; ; Quartus II Version ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ; ; Revision Name ; dt ; ; Top-level Entity Name ; core_top ; ; Family ; Cyclone ; -; Total logic elements ; 435 ; -; Total pins ; 2 ; +; Total logic elements ; 1,142 ; +; Total pins ; 3 ; ; Total virtual pins ; 0 ; ; Total memory bits ; 512 ; ; Total PLLs ; 0 ; @@ -181,44 +178,44 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+ -; ../cpu/src/writeback_stage_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/writeback_stage_b.vhd ; -; ../cpu/src/writeback_stage.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/writeback_stage.vhd ; -; ../cpu/src/rs232_tx_arc.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/rs232_tx_arc.vhd ; -; ../cpu/src/rs232_tx.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/rs232_tx.vhd ; -; ../cpu/src/r_w_ram_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/r_w_ram_b.vhd ; -; ../cpu/src/r_w_ram.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/r_w_ram.vhd ; -; ../cpu/src/r2_w_ram_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/r2_w_ram_b.vhd ; -; ../cpu/src/r2_w_ram.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/r2_w_ram.vhd ; -; ../cpu/src/mem_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/mem_pkg.vhd ; -; ../cpu/src/fetch_stage_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/fetch_stage_b.vhd ; -; ../cpu/src/fetch_stage.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/fetch_stage.vhd ; -; ../cpu/src/extension_uart_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension_uart_pkg.vhd ; -; ../cpu/src/extension_uart_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension_uart_b.vhd ; -; ../cpu/src/extension_uart.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension_uart.vhd ; -; ../cpu/src/extension_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension_pkg.vhd ; -; ../cpu/src/extension_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension_b.vhd ; -; ../cpu/src/extension.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/extension.vhd ; -; ../cpu/src/execute_stage_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/execute_stage_b.vhd ; -; ../cpu/src/execute_stage.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/execute_stage.vhd ; -; ../cpu/src/exec_op.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op.vhd ; -; ../cpu/src/decoder_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/decoder_b.vhd ; -; ../cpu/src/decoder.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/decoder.vhd ; -; ../cpu/src/decode_stage_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/decode_stage_b.vhd ; -; ../cpu/src/decode_stage.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/decode_stage.vhd ; -; ../cpu/src/core_top.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/core_top.vhd ; -; ../cpu/src/core_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/core_pkg.vhd ; -; ../cpu/src/common_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/common_pkg.vhd ; -; ../cpu/src/alu_pkg.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/alu_pkg.vhd ; -; ../cpu/src/alu_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/alu_b.vhd ; -; ../cpu/src/alu.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/alu.vhd ; -; ../cpu/src/exec_op/xor_op_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op/xor_op_b.vhd ; -; ../cpu/src/exec_op/shift_op_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op/shift_op_b.vhd ; -; ../cpu/src/exec_op/or_op_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op/or_op_b.vhd ; -; ../cpu/src/exec_op/and_op_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op/and_op_b.vhd ; -; ../cpu/src/exec_op/add_op_b.vhd ; yes ; User VHDL File ; /homes/burban/calu/cpu/src/exec_op/add_op_b.vhd ; +; ../cpu/src/writeback_stage_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd ; +; ../cpu/src/writeback_stage.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/writeback_stage.vhd ; +; ../cpu/src/rs232_tx_arc.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd ; +; ../cpu/src/rs232_tx.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/rs232_tx.vhd ; +; ../cpu/src/r_w_ram_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd ; +; ../cpu/src/r_w_ram.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/r_w_ram.vhd ; +; ../cpu/src/r2_w_ram_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd ; +; ../cpu/src/r2_w_ram.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/r2_w_ram.vhd ; +; ../cpu/src/mem_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/mem_pkg.vhd ; +; ../cpu/src/fetch_stage_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd ; +; ../cpu/src/fetch_stage.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/fetch_stage.vhd ; +; ../cpu/src/extension_uart_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd ; +; ../cpu/src/extension_uart_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension_uart_b.vhd ; +; ../cpu/src/extension_uart.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension_uart.vhd ; +; ../cpu/src/extension_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension_pkg.vhd ; +; ../cpu/src/extension_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension_b.vhd ; +; ../cpu/src/extension.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/extension.vhd ; +; ../cpu/src/execute_stage_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/execute_stage_b.vhd ; +; ../cpu/src/execute_stage.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/execute_stage.vhd ; +; ../cpu/src/exec_op.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op.vhd ; +; ../cpu/src/decoder_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/decoder_b.vhd ; +; ../cpu/src/decoder.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/decoder.vhd ; +; ../cpu/src/decode_stage_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/decode_stage_b.vhd ; +; ../cpu/src/decode_stage.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/decode_stage.vhd ; +; ../cpu/src/core_top.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/core_top.vhd ; +; ../cpu/src/core_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/core_pkg.vhd ; +; ../cpu/src/common_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/common_pkg.vhd ; +; ../cpu/src/alu_pkg.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/alu_pkg.vhd ; +; ../cpu/src/alu_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/alu_b.vhd ; +; ../cpu/src/alu.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/alu.vhd ; +; ../cpu/src/exec_op/xor_op_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd ; +; ../cpu/src/exec_op/shift_op_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd ; +; ../cpu/src/exec_op/or_op_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd ; +; ../cpu/src/exec_op/and_op_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd ; +; ../cpu/src/exec_op/add_op_b.vhd ; yes ; User VHDL File ; /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd ; ; altsyncram.tdf ; yes ; Megafunction ; /opt/altera/10.0sp1/quartus/libraries/megafunctions/altsyncram.tdf ; -; db/altsyncram_emk1.tdf ; yes ; Auto-Generated Megafunction ; /homes/burban/calu/dt/db/altsyncram_emk1.tdf ; -; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; yes ; Auto-Generated Auto-Found Memory Initialization File ; /homes/burban/calu/dt/db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; +; db/altsyncram_emk1.tdf ; yes ; Auto-Generated Megafunction ; /homes/c0726283/calu/dt/db/altsyncram_emk1.tdf ; +; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; yes ; Auto-Generated Auto-Found Memory Initialization File ; /homes/c0726283/calu/dt/db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+ @@ -227,34 +224,34 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+---------+ ; Resource ; Usage ; +---------------------------------------------+---------+ -; Total logic elements ; 435 ; -; -- Combinational with no register ; 294 ; -; -- Register only ; 49 ; -; -- Combinational with a register ; 92 ; +; Total logic elements ; 1142 ; +; -- Combinational with no register ; 927 ; +; -- Register only ; 86 ; +; -- Combinational with a register ; 129 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 105 ; -; -- 3 input functions ; 195 ; -; -- 2 input functions ; 80 ; -; -- 1 input functions ; 4 ; -; -- 0 input functions ; 2 ; +; -- 4 input functions ; 467 ; +; -- 3 input functions ; 447 ; +; -- 2 input functions ; 123 ; +; -- 1 input functions ; 18 ; +; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 335 ; -; -- arithmetic mode ; 100 ; +; -- normal mode ; 936 ; +; -- arithmetic mode ; 206 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 3 ; -; -- asynchronous clear/load mode ; 0 ; +; -- synchronous clear/load mode ; 7 ; +; -- asynchronous clear/load mode ; 202 ; ; ; ; -; Total registers ; 141 ; -; Total logic cells in carry chains ; 104 ; -; I/O pins ; 2 ; +; Total registers ; 215 ; +; Total logic cells in carry chains ; 214 ; +; I/O pins ; 3 ; ; Total memory bits ; 512 ; ; Maximum fan-out node ; sys_clk ; -; Maximum fan-out ; 205 ; -; Total fan-out ; 1762 ; -; Average fan-out ; 3.52 ; +; Maximum fan-out ; 279 ; +; Total fan-out ; 4453 ; +; Average fan-out ; 3.68 ; +---------------------------------------------+---------+ @@ -263,22 +260,26 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ -; |core_top ; 435 (1) ; 141 ; 512 ; 2 ; 0 ; 294 (1) ; 49 (0) ; 92 (0) ; 104 (0) ; 0 (0) ; |core_top ; ; -; |decode_stage:decode_st| ; 43 (42) ; 42 ; 512 ; 0 ; 0 ; 1 (0) ; 35 (35) ; 7 (7) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; -; |decoder:decoder_inst| ; 1 (1) ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; +; |core_top ; 1142 (1) ; 215 ; 512 ; 3 ; 0 ; 927 (1) ; 86 (0) ; 129 (0) ; 214 (0) ; 0 (0) ; |core_top ; ; +; |decode_stage:decode_st| ; 109 (101) ; 72 ; 512 ; 0 ; 0 ; 37 (29) ; 52 (52) ; 20 (20) ; 11 (11) ; 0 (0) ; |core_top|decode_stage:decode_st ; ; +; |decoder:decoder_inst| ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|decoder:decoder_inst ; ; ; |r2_w_ram:register_ram| ; 0 (0) ; 0 ; 512 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram ; ; ; |altsyncram:ram_rtl_0| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ; ; ; |altsyncram:ram_rtl_1| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ; ; ; |altsyncram_emk1:auto_generated| ; 0 (0) ; 0 ; 256 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ; ; -; |execute_stage:exec_st| ; 226 (164) ; 34 ; 0 ; 0 ; 0 ; 192 (130) ; 1 (1) ; 33 (33) ; 61 (0) ; 0 (0) ; |core_top|execute_stage:exec_st ; ; -; |alu:alu_inst| ; 62 (30) ; 0 ; 0 ; 0 ; 0 ; 62 (30) ; 0 (0) ; 0 (0) ; 61 (29) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; -; |exec_op:add_inst| ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 0 (0) ; 0 (0) ; 32 (32) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; -; |fetch_stage:fetch_st| ; 29 (22) ; 14 ; 0 ; 0 ; 0 ; 15 (11) ; 12 (11) ; 2 (0) ; 11 (11) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; -; |r_w_ram:instruction_ram| ; 7 (7) ; 3 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 2 (2) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; -; |writeback_stage:writeback_st| ; 136 (28) ; 51 ; 0 ; 0 ; 0 ; 85 (26) ; 1 (0) ; 50 (2) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; -; |extension_uart:uart| ; 108 (14) ; 49 ; 0 ; 0 ; 0 ; 59 (4) ; 1 (1) ; 48 (9) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; +; |execute_stage:exec_st| ; 826 (185) ; 67 ; 0 ; 0 ; 0 ; 759 (149) ; 20 (1) ; 47 (35) ; 171 (0) ; 0 (0) ; |core_top|execute_stage:exec_st ; ; +; |alu:alu_inst| ; 576 (255) ; 0 ; 0 ; 0 ; 0 ; 576 (255) ; 0 (0) ; 0 (0) ; 141 (43) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst ; ; +; |exec_op:add_inst| ; 100 (100) ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 0 (0) ; 0 (0) ; 98 (98) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst ; ; +; |exec_op:or_inst| ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst ; ; +; |exec_op:shift_inst| ; 208 (208) ; 0 ; 0 ; 0 ; 0 ; 208 (208) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst ; ; +; |extension_gpm:gpmp_inst| ; 65 (65) ; 31 ; 0 ; 0 ; 0 ; 34 (34) ; 19 (19) ; 12 (12) ; 30 (30) ; 0 (0) ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst ; ; +; |fetch_stage:fetch_st| ; 39 (30) ; 17 ; 0 ; 0 ; 0 ; 22 (19) ; 11 (11) ; 6 (0) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st ; ; +; |r_w_ram:instruction_ram| ; 9 (9) ; 6 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram ; ; +; |writeback_stage:writeback_st| ; 167 (53) ; 59 ; 0 ; 0 ; 0 ; 108 (49) ; 3 (1) ; 56 (3) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st ; ; +; |extension_uart:uart| ; 108 (14) ; 49 ; 0 ; 0 ; 0 ; 59 (4) ; 2 (2) ; 47 (8) ; 32 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart ; ; ; |rs232_tx:rs232_tx_inst| ; 94 (94) ; 39 ; 0 ; 0 ; 0 ; 55 (55) ; 0 (0) ; 39 (39) ; 32 (32) ; 0 (0) ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ; ; +; |r_w_ram:data_ram| ; 6 (6) ; 6 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; 0 (0) ; 0 (0) ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram ; ; +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -310,192 +311,155 @@ Encoding Type: One-Hot +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+--------------------------------------------------------------------------------------+------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; -+--------------------------------------------------------------------------------------+------------------------------------------------------------------------+ -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24,26] ; Stuck at VCC due to stuck port data_in ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0..2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31] ; Stuck at GND due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24,26] ; Stuck at VCC due to stuck port data_in ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0..2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|wb_reg.hword ; Stuck at GND due to stuck port data_in ; -; writeback_stage:writeback_st|wb_reg.byte_s ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.op_detail[1..2,5] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.brpr ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.displacement[0..2,5,8,10..31] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.saddr1[1..3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.saddr2[1,3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.daddr[1,3] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|reg.res_addr[1,3] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|rtw_rec.immediate[2,5,7..11,13..31] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|reg.brpr ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1] ; Lost fanout ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0] ; Lost fanout ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..30] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[31] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6,23,25] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3,15,19] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; -; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; -; decode_stage:decode_st|dec_op_inst.op_detail[0] ; Merged with decode_stage:decode_st|rtw_rec.imm_set ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..30] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6,23,25] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3,15,19] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; -; decode_stage:decode_st|dec_op_inst.daddr[2] ; Lost fanout ; -; execute_stage:exec_st|reg.res_addr[0] ; Merged with execute_stage:exec_st|reg.res_addr[2] ; -; decode_stage:decode_st|rtw_rec.immediate[0] ; Merged with decode_stage:decode_st|rtw_rec.immediate[12] ; -; decode_stage:decode_st|rtw_rec.immediate[4] ; Merged with decode_stage:decode_st|rtw_rec.immediate[6] ; -; decode_stage:decode_st|rtw_rec.immediate[1] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; -; decode_stage:decode_st|dec_op_inst.condition[1..2] ; Merged with decode_stage:decode_st|dec_op_inst.condition[3] ; -; decode_stage:decode_st|dec_op_inst.displacement[7] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9] ; -; decode_stage:decode_st|dec_op_inst.displacement[4] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6] ; -; decode_stage:decode_st|dec_op_inst.saddr2[0] ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2] ; -; decode_stage:decode_st|dec_op_inst.op_detail[4] ; Stuck at VCC due to stuck port data_in ; -; execute_stage:exec_st|reg.alu_jump ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|dec_op_inst.condition[0] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; Stuck at GND due to stuck port data_in ; -; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; Stuck at GND due to stuck port data_in ; -; decode_stage:decode_st|rtw_rec.imm_set ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; -; decode_stage:decode_st|dec_op_inst.displacement[6] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; -; decode_stage:decode_st|dec_op_inst.op_detail[3] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; -; writeback_stage:writeback_st|wb_reg.dmem_write_en ; Merged with writeback_stage:writeback_st|wb_reg.dmem_en ; -; decode_stage:decode_st|dec_op_inst.saddr1[0] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[3] ; -; decode_stage:decode_st|dec_op_inst.prog_cnt[0..10] ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.condition[3] ; Lost fanout ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.AND_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.JMP_OP ; Lost fanout ; -; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; Lost fanout ; -; fetch_stage:fetch_st|instr_r_addr[11..31] ; Lost fanout ; -; Total Number of Removed Registers = 330 ; ; -+--------------------------------------------------------------------------------------+------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; ++-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0,2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31] ; Stuck at GND due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..31] ; Stuck at VCC due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC due to stuck port data_in ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0,2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|wb_reg.hword ; Stuck at GND due to stuck port data_in ; +; writeback_stage:writeback_st|wb_reg.byte_s ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.condition[1..3] ; Stuck at VCC due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.op_detail[5] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.displacement[0,2,5,8,10..31] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.saddr1[1,3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.saddr2[1,3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|dec_op_inst.daddr[1,3] ; Stuck at GND due to stuck port data_in ; +; execute_stage:exec_st|reg.res_addr[1,3] ; Stuck at GND due to stuck port data_in ; +; decode_stage:decode_st|rtw_rec.immediate[5,7,9..11,13,15] ; Stuck at GND due to stuck port data_in ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1] ; Lost fanout ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0] ; Lost fanout ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[23] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[1] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[19] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[15] ; +; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7] ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9] ; +; decode_stage:decode_st|dec_op_inst.op_detail[0] ; Merged with decode_stage:decode_st|rtw_rec.imm_set ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[23] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[19] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[15] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7] ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; +; decode_stage:decode_st|dec_op_inst.daddr[2] ; Lost fanout ; +; execute_stage:exec_st|reg.res_addr[0] ; Merged with execute_stage:exec_st|reg.res_addr[2] ; +; decode_stage:decode_st|rtw_rec.immediate[18,21,23..27,29..30] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; +; decode_stage:decode_st|rtw_rec.immediate[16] ; Merged with decode_stage:decode_st|rtw_rec.immediate[28] ; +; decode_stage:decode_st|rtw_rec.immediate[20] ; Merged with decode_stage:decode_st|rtw_rec.immediate[22] ; +; decode_stage:decode_st|rtw_rec.immediate[17] ; Merged with decode_stage:decode_st|rtw_rec.immediate[19] ; +; decode_stage:decode_st|rtw_rec.immediate[1] ; Merged with decode_stage:decode_st|rtw_rec.immediate[3] ; +; decode_stage:decode_st|dec_op_inst.displacement[7] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9] ; +; decode_stage:decode_st|dec_op_inst.displacement[4] ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6] ; +; decode_stage:decode_st|dec_op_inst.saddr2[0] ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2] ; +; decode_stage:decode_st|dec_op_inst.op_detail[1] ; Merged with decode_stage:decode_st|dec_op_inst.op_detail[2] ; +; decode_stage:decode_st|rtw_rec.immediate[19,22,28] ; Merged with decode_stage:decode_st|rtw_rec.immediate[31] ; +; decode_stage:decode_st|dec_op_inst.op_group.AND_OP ; Lost fanout ; +; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP ; Lost fanout ; +; decode_stage:decode_st|rtw_rec.immediate[31] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.OR_OP ; +; decode_stage:decode_st|rtw_rec.immediate[8] ; Merged with decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP ; +; fetch_stage:fetch_st|instr_r_addr[11..31] ; Lost fanout ; +; Total Number of Removed Registers = 261 ; ; ++-------------------------------------------------------------------------------------+------------------------------------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -503,86 +467,28 @@ Encoding Type: One-Hot +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+ ; Register name ; Reason for Removal ; Registers Removed due to This Register ; +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+ -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.brpr, execute_stage:exec_st|reg.brpr, ; -; ; due to stuck port data_in ; execute_stage:exec_st|reg.alu_jump, ; -; ; ; decode_stage:decode_st|dec_op_inst.condition[0], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry, ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1], ; -; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0], ; -; ; ; decode_stage:decode_st|dec_op_inst.condition[3], ; -; ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.op_detail[5], ; -; ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.op_detail[1], ; -; ; ; decode_stage:decode_st|dec_op_inst.saddr1[3], ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.op_detail[5], ; +; ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.saddr1[3], ; ; ; ; decode_stage:decode_st|dec_op_inst.saddr1[1], ; ; ; ; decode_stage:decode_st|dec_op_inst.saddr2[3], ; ; ; ; decode_stage:decode_st|dec_op_inst.saddr2[1], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[31], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[26], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[25], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[24], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[23], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[22], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[21], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[20], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[19], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[18], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[17], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[16], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[15], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[14], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[13], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[11], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[10], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[9], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[8], ; ; ; ; decode_stage:decode_st|rtw_rec.immediate[7], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[5], ; -; ; ; decode_stage:decode_st|rtw_rec.immediate[2], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[8], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[9], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[10], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[2], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[5], ; -; ; ; decode_stage:decode_st|dec_op_inst.prog_cnt[7] ; -; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.op_detail[2], ; -; ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.displacement[1], ; +; ; ; decode_stage:decode_st|rtw_rec.immediate[5] ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[3], ; +; ; due to stuck port data_in ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo, ; ; ; ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3] ; ; ; due to stuck port data_in ; ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1] ; ; ; due to stuck port data_in ; ; -; decode_stage:decode_st|dec_op_inst.prog_cnt[31] ; Stuck at GND ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[30] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[2] ; ; ; due to stuck port data_in ; ; -; decode_stage:decode_st|dec_op_inst.prog_cnt[23] ; Stuck at GND ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero ; +; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29] ; Stuck at VCC ; decode_stage:decode_st|dec_op_inst.condition[1] ; ; ; due to stuck port data_in ; ; ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND ; decode_stage:decode_st|dec_op_inst.displacement[14] ; ; ; due to stuck port data_in ; ; @@ -610,12 +516,12 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 141 ; -; Number of registers using Synchronous Clear ; 1 ; -; Number of registers using Synchronous Load ; 2 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 13 ; +; Total registers ; 215 ; +; Number of registers using Synchronous Clear ; 4 ; +; Number of registers using Synchronous Load ; 6 ; +; Number of registers using Asynchronous Clear ; 191 ; +; Number of registers using Asynchronous Load ; 11 ; +; Number of registers using Clock Enable ; 44 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -637,7 +543,19 @@ Encoding Type: One-Hot ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10] ; 2 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8] ; 2 ; ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ; 2 ; -; Total number of inverted registers = 12 ; ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; 2 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; 2 ; +; decode_stage:decode_st|dec_op_inst.condition[0] ; 1 ; +; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; 4 ; +; Total number of inverted registers = 24 ; ; +--------------------------------------------------------------------------------------+---------+ @@ -713,21 +631,33 @@ Encoding Type: One-Hot +------------------------------------------------------------+-----------------------------------------------------+------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ -; 3:1 ; 21 bits ; 42 LEs ; 21 LEs ; 21 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[24] ; -; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[12] ; -; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; -; 18:1 ; 3 bits ; 36 LEs ; 3 LEs ; 33 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[3] ; -; 3:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |core_top|writeback_stage:writeback_st|ext_uart.addr[1] ; -; 3:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|left_operand[28] ; -; 4:1 ; 28 bits ; 56 LEs ; 56 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[2] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[6] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ +; 3:1 ; 21 bits ; 42 LEs ; 21 LEs ; 21 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[6] ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |core_top|decode_stage:decode_st|dec_op_inst.displacement[1] ; +; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; +; 5:1 ; 14 bits ; 42 LEs ; 28 LEs ; 14 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[30] ; +; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |core_top|fetch_stage:fetch_st|instr_r_addr[21] ; +; 18:1 ; 3 bits ; 36 LEs ; 3 LEs ; 33 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2] ; +; 9:1 ; 5 bits ; 30 LEs ; 25 LEs ; 5 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[11] ; +; 9:1 ; 13 bits ; 78 LEs ; 65 LEs ; 13 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[17] ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[6] ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[25] ; +; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[1] ; +; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; Yes ; |core_top|execute_stage:exec_st|reg.result[29] ; +; 3:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ; +; 3:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|left_operand[13] ; +; 4:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; No ; |core_top|decode_stage:decode_st|decoder:decoder_inst|instr_s ; +; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[7] ; +; 4:1 ; 25 bits ; 50 LEs ; 50 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|right_operand[14] ; +; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector53 ; +; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[2] ; +; 5:1 ; 6 bits ; 18 LEs ; 12 LEs ; 6 LEs ; No ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[3] ; +; 4:1 ; 11 bits ; 22 LEs ; 11 LEs ; 11 LEs ; No ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector107 ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+ +-------------------------------------------------------------------------------------------------------------------------+ @@ -1012,15 +942,6 @@ Note: In order to hide this table in the UI and the text report file, please set +----------+--------+----------+-------------------------------------------------------------------------------------+ -+----------------------------------------------------------+ -; Port Connectivity Checks: "writeback_stage:writeback_st" ; -+-------+-------+----------+-------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------+-------+----------+-------------------------------+ -; reset ; Input ; Info ; Stuck at VCC ; -+-------+-------+----------+-------------------------------+ - - +----------------------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst" ; +------------------------+--------+----------+-------------------------------------------------------------------------------------+ @@ -1116,7 +1037,6 @@ Note: In order to hide this table in the UI and the text report file, please set +--------------+--------+----------+-------------------------------------------------------------------------------------+ ; Port ; Type ; Severity ; Details ; +--------------+--------+----------+-------------------------------------------------------------------------------------+ -; reset ; Input ; Info ; Stuck at VCC ; ; ext_data_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +--------------+--------+----------+-------------------------------------------------------------------------------------+ @@ -1133,115 +1053,97 @@ Note: In order to hide this table in the UI and the text report file, please set +--------------------+--------+----------+-------------------------------------------------------------------------------------+ -+----------------------------------------------------+ -; Port Connectivity Checks: "decode_stage:decode_st" ; -+-------+-------+----------+-------------------------+ -; Port ; Type ; Severity ; Details ; -+-------+-------+----------+-------------------------+ -; reset ; Input ; Info ; Stuck at VCC ; -+-------+-------+----------+-------------------------+ - - -+--------------------------------------------------+ -; Port Connectivity Checks: "fetch_stage:fetch_st" ; -+-------+-------+----------+-----------------------+ -; Port ; Type ; Severity ; Details ; -+-------+-------+----------+-----------------------+ -; reset ; Input ; Info ; Stuck at VCC ; -+-------+-------+----------+-----------------------+ - - +-------------------------------+ ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Dec 16 16:54:33 2010 + Info: Processing started: Fri Dec 17 10:09:47 2010 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off dt -c dt -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/writeback_stage_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd Info: Found design unit 1: writeback_stage-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/writeback_stage.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage.vhd Info: Found entity 1: writeback_stage -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/rw_r_ram_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram_b.vhd Info: Found design unit 1: rw_r_ram-behaviour -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/rw_r_ram.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram.vhd Info: Found entity 1: rw_r_ram -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/rs232_tx_arc.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd Info: Found design unit 1: rs232_tx-beh -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/rs232_tx.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx.vhd Info: Found entity 1: rs232_tx -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/r_w_ram_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd Info: Found design unit 1: r_w_ram-behaviour -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/r_w_ram.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram.vhd Info: Found entity 1: r_w_ram -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/r2_w_ram_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd Info: Found design unit 1: r2_w_ram-behaviour -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/r2_w_ram.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram.vhd Info: Found entity 1: r2_w_ram -Info: Found 3 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/pipeline_tb.vhd +Info: Found 3 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/pipeline_tb.vhd Info: Found design unit 1: pipeline_tb-behavior Info: Found design unit 2: pipeline_conf_beh Info: Found entity 1: pipeline_tb -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/mem_pkg.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/mem_pkg.vhd Info: Found design unit 1: mem_pkg -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/fetch_stage_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd Info: Found design unit 1: fetch_stage-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/fetch_stage.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage.vhd Info: Found entity 1: fetch_stage -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_uart_pkg.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd Info: Found design unit 1: extension_uart_pkg -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_uart_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_b.vhd Info: Found design unit 1: extension_uart-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/extension_uart.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart.vhd Info: Found entity 1: extension_uart -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_pkg.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_pkg.vhd Info: Found design unit 1: extension_pkg -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_b.vhd Info: Found design unit 1: extension_gpm-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/extension.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension.vhd Info: Found entity 1: extension_gpm -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/execute_stage_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage_b.vhd Info: Found design unit 1: execute_stage-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/execute_stage.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage.vhd Info: Found entity 1: execute_stage -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/exec_op.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/exec_op.vhd Info: Found entity 1: exec_op -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/decoder_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decoder_b.vhd Info: Found design unit 1: decoder-behav_d -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/decoder.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decoder.vhd Info: Found entity 1: decoder -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/decode_stage_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage_b.vhd Info: Found design unit 1: decode_stage-behav -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/decode_stage.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage.vhd Info: Found entity 1: decode_stage -Info: Found 2 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/core_top.vhd +Info: Found 2 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/core_top.vhd Info: Found design unit 1: core_top-behav Info: Found entity 1: core_top -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/core_pkg.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/core_pkg.vhd Info: Found design unit 1: core_pkg -Info: Found 2 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/common_pkg.vhd +Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/common_pkg.vhd Info: Found design unit 1: common_pkg Info: Found design unit 2: common_pkg-body -Info: Found 2 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/alu_pkg.vhd +Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_pkg.vhd Info: Found design unit 1: alu_pkg Info: Found design unit 2: alu_pkg-body -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/alu_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_b.vhd Info: Found design unit 1: alu-behaviour -Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/alu.vhd +Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/alu.vhd Info: Found entity 1: alu -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/xor_op_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd Info: Found design unit 1: exec_op-xor_op -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/shift_op_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd Info: Found design unit 1: exec_op-shift_op -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/or_op_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd Info: Found design unit 1: exec_op-or_op -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/and_op_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd Info: Found design unit 1: exec_op-and_op -Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/add_op_b.vhd +Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd Info: Found design unit 1: exec_op-add_op Info: Elaborating entity "core_top" for the top level hierarchy -Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(24): object "jump_result" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(25): object "jump_result" assigned a value but never read Warning (10541): VHDL Signal Declaration warning at core_top.vhd(53): used implicit default value for signal "gpm_in_pin" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations. Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(54): object "gpm_out_pin" assigned a value but never read Info: Elaborating entity "fetch_stage" for hierarchy "fetch_stage:fetch_st" @@ -1324,25 +1226,11 @@ Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|al Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" Info: Found 1 design units, including 1 entities, in source file db/altsyncram_emk1.tdf Info: Found entity 1: altsyncram_emk1 -Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" -Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" with the following parameter: - Info: Parameter "OPERATION_MODE" = "DUAL_PORT" - Info: Parameter "WIDTH_A" = "32" - Info: Parameter "WIDTHAD_A" = "4" - Info: Parameter "NUMWORDS_A" = "16" - Info: Parameter "WIDTH_B" = "32" - Info: Parameter "WIDTHAD_B" = "4" - Info: Parameter "NUMWORDS_B" = "16" - Info: Parameter "ADDRESS_ACLR_A" = "NONE" - Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info: Parameter "ADDRESS_ACLR_B" = "NONE" - Info: Parameter "OUTDATA_ACLR_B" = "NONE" - Info: Parameter "ADDRESS_REG_B" = "CLOCK0" - Info: Parameter "INDATA_ACLR_A" = "NONE" - Info: Parameter "WRCONTROL_ACLR_A" = "NONE" - Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif" - Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" -Info: 132 registers lost all their fanouts during netlist optimizations. The first 132 are displayed below. +Info: Registers with preset signals will power-up high +Info: 117 registers lost all their fanouts during netlist optimizations. The first 117 are displayed below. + Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" lost all its fanouts during netlist optimizations. + Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo" lost all its fanouts during netlist optimizations. + Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]" lost all its fanouts during netlist optimizations. @@ -1434,59 +1322,41 @@ Info: 132 registers lost all their fanouts during netlist optimizations. The fir Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]" lost all its fanouts during netlist optimizations. Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]" lost all its fanouts during netlist optimizations. Info: Register "decode_stage:decode_st|dec_op_inst.daddr[2]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[8]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[9]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[10]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[0]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[1]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[2]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[3]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[4]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[5]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[6]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[7]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.condition[3]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31]" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP" lost all its fanouts during netlist optimizations. Info: Register "decode_stage:decode_st|dec_op_inst.op_group.AND_OP" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.op_group.OR_OP" lost all its fanouts during netlist optimizations. Info: Register "decode_stage:decode_st|dec_op_inst.op_group.XOR_OP" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.op_group.JMP_OP" lost all its fanouts during netlist optimizations. - Info: Register "decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[31]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[30]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[29]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[28]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[27]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[26]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[25]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[24]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[23]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[22]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[21]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[20]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[19]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[18]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[17]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[16]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[15]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[14]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations. - Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations. Info: Register "fetch_stage:fetch_st|instr_r_addr[11]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[14]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[15]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[16]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[17]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[18]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[19]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[20]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[21]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[22]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[23]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[24]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[25]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[26]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[27]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[28]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[29]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[30]" lost all its fanouts during netlist optimizations. + Info: Register "fetch_stage:fetch_st|instr_r_addr[31]" lost all its fanouts during netlist optimizations. Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM" Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM" Info: Generating hard_block partition "hard_block:auto_generated_inst" -Info: Implemented 501 device resources after synthesis - the final resource count might be different - Info: Implemented 1 input pins +Info: Implemented 1209 device resources after synthesis - the final resource count might be different + Info: Implemented 2 input pins Info: Implemented 1 output pins - Info: Implemented 435 logic cells + Info: Implemented 1142 logic cells Info: Implemented 64 RAM segments Info: Quartus II Analysis & Synthesis was successful. 0 errors, 12 warnings Info: Peak virtual memory: 267 megabytes - Info: Processing ended: Thu Dec 16 16:54:44 2010 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:10 + Info: Processing ended: Fri Dec 17 10:10:12 2010 + Info: Elapsed time: 00:00:25 + Info: Total CPU time (on all processors): 00:00:21 diff --git a/dt/dt.map.summary b/dt/dt.map.summary index 28a4479..1ff45f6 100644 --- a/dt/dt.map.summary +++ b/dt/dt.map.summary @@ -1,10 +1,10 @@ -Analysis & Synthesis Status : Successful - Thu Dec 16 16:54:44 2010 +Analysis & Synthesis Status : Successful - Fri Dec 17 10:10:12 2010 Quartus II Version : 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition Revision Name : dt Top-level Entity Name : core_top Family : Cyclone -Total logic elements : 435 -Total pins : 2 +Total logic elements : 1,142 +Total pins : 3 Total virtual pins : 0 Total memory bits : 512 Total PLLs : 0 diff --git a/dt/dt.pin b/dt/dt.pin index 73506d9..baecbb2 100644 --- a/dt/dt.pin +++ b/dt/dt.pin @@ -105,7 +105,7 @@ RESERVED_INPUT : 38 : : : RESERVED_INPUT : 39 : : : : 1 : GND : 40 : gnd : : : : RESERVED_INPUT : 41 : : : : 1 : -RESERVED_INPUT : 42 : : : : 1 : +sys_res : 42 : input : 3.3-V LVCMOS : : 1 : Y RESERVED_INPUT : 43 : : : : 1 : RESERVED_INPUT : 44 : : : : 1 : RESERVED_INPUT : 45 : : : : 1 : diff --git a/dt/dt.pof b/dt/dt.pof index 8cac76b3b792653d35dff024f4327333be2792d2..a9a8ef1bea601f953ae7d4bd3887a466c6d89e73 100644 GIT binary patch literal 524489 zcmeFa3!EicUFR8jiB5v_nsZ5$oV3iXxs-^9EoQU|}6A{mN`oAcOVxd^{{#ool>nqRR^%Y-v_xSYb z@iXrFvVV2g*%!U&&b!9Xy7R8PU-)HTI)3~OkGo;~)USNum%Lzn;)y55H$3SRAOECJ zJaNP0Zy4Wp=Urd*!aMF9KjZ8jUp#*N__j|U-+t%w$ERNK!mog?7+AuoXWVq#Cl!w< z9)+A|e(6`d@GHLL&KDGqE`~(~Aks;c`S7;%MZfjoZz?wL{`g{NW(V#z(hnEqHDy^| zb4~fkIxQ|byBO&aj~FOD;>Z&gu6oLi9`BKIy^hv$mtDr$gT*-4>$nTKK^bRWcsyy3 zl=al{se7c1^J%U0^(hIYP8N=izd-uGQ&K*sOYz9{I=+?kTPZ1@Q^skj@o7&^Nw8dh zwRrpi={Y3HYwPq4DLoWAwe{#~n+;Otvl|cjwEE;-DdqmrG50~H*Ks!rXP$y7sXQycgYbAqN_**)dHh34 zho#5+Qo58LPf2MvJwB3n{837mV~>OIcpd2rN!jQ2v+qIpsb79BrQQ1IO7J*nKezSB z<5|Z&hV#WdzBEVX^xBkE&hKRvDlkGA}r&w5C_gneup`+3{2mjcRcjo2J&gbqOQ|Hh* z)3H~sYlrlf>n+#S0$u5PpX)8x)dF4V=HKV0udKSZ^U`$VOQTF-y!7vLy>n}>WroSaf;y71*cH(kEsrIVMz@w*TIN_cZP= z5j1V56#vbj6k^TA6fLfcGh#Q3J;XsF)^^I8y!W+j=$z$i%VlkoeluEPx>c95^1t5+1?pWMx6@bS{%gJItY z_7RvIh4-+S+;OHNzF%Ctj*YPYgD)Edm@2^cUw6E`AMksYi{j1q@iWBA);PAa<#=tY z7@xZ>wg;#}q`Orq~`>0yx;J?p^eD{+KHU zCjn}E-tgYVlapVoI})#JJ04!wc0BxO%9Sn;yZ*t(($fz%J2ZLBj}*mZQiJCyv#_w* z-|=_s5RKOP>{S+UeXf=f&TFdA5c8;DuUyw&=`Gh=uB!#Q()B*qTdu1Gy3+MN*ITZu z1-jDpKKGGsx%N#fZEfGQQk=SJrF?nV2aA@xw~sPyi~JqTZhe&L;$=mdhyu-Jlxb_N zSy#gNYY)LWi86JaBM+TUr>{N)*2T>IiNA~0MAKWYw_H~XbfuenpX(>#E?qnS-P)y9 zSAnMg9ip?5=icW!x9qS9d&_mTaBsPfbj!7GT4`$^W!k^P>j5!;cI%@|mltJ2E|<{(=*I`ZnL>H~=MtZrg3M3hs@_A4XKlAEH@E3(`rOP$ z=#`tuVw1jGCc%O z%=K%Trq@XwJj&E{8ai}3ogwD`XY|pb+hKMAe>k3<=BiQDC;r}YGuphi+>CTr1-)0U ztC{ECa`Qh4cQyTC(#?Oj9%kz=gZEYa9b#rZ=e}EKZqd~u=q=aP(!J$+%XPItSGtzZ z^--poj96Q4{_NJTW$M>5O+Vs_kG9=(#^>t}9%bt9A!eFG5^_D2bRj-$8Ywq9Y#|-_ z_xBJT4c9-;*V%CWgOHs~H}~^g=awBdVQ;yv7Va(ATdu1Gy3+MN*ITZu1-jBbTzsy5 z8%kUIC+7C=@VZ;)&u)E`>GGmX{aU8!nAE|eO#2U+hp<+owGV-H>418k>q30kG`(_N zE!wT`bTvrQprF*#e-26pL-rGMhS5A2y zZ^&tG=%k(O~Gk1`0%x%4(?tVsVd%(`PI%ztHy#E*JMhAQ^)4Lxz zzk!@bKjsm&<>oCjDt_UE^QKcvADzA?t@2gPj`x?g@aey}KfsA6xmBGhLgAW1wel)osk?(=`mvL*zTBc1RO>Ad}!o_Vs6{&q$`4b>$_{^ z<}V|kdw8s6nzuI$ebf)mUvJhL)swIwz2$1VTskvlxl5y8U5sA2uJ)dL%RM|M;jZRC zEV}vc*28M~W%BKxsqSpRx%auwEjw(&-f~?n+*|G=-E!@lR@!>th=%QQDA;|JscWC~ zQKng9Qrn|U5n-CYDAUPzf4H86@Qk)|%t@4~zlW$hXok$(pIaw0H0Ele_V*B-tv&ZX z*STefP1swmtA%^Z^_J^ufv$AD&-Iq;YJskFz0dWQ>uQ0nbPpGwYu~ie)2jh>TA37)rhY9`%mnQLyVvt|{aU7OXNZM`)pvBGyed==m($l(F~&?n zddtmZt`>4{xt1|z%Feyz`rjdD>d%Bc|J~Z9Rab$g{~e;Uk>}p$I=Aew3460q&lH!$l1}@p~fV}%B=DPMtzm}~rm+()|Q+P9&!wS8krv5zus>;HN{%%9!* zwM_k5rs)BTkG9?P(4tKJJ;b(I;y}fEDmf7LRYsC>ldCNApyK*_h=W>c2j1NK+zyTB z1<+frYfto+>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D=^idV*S-yLab^h$u zN0}}!%GAG?sY^_%|Hj<@6UJV(t#8cD;~R$laJ-k|a{#X=;ofpH_C{^F8R@PHdaqnp zGxwJ3E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY`)jmYct5N&m!L*FNc=n7f=O=K62U zb&WFhYni%C#B+c8nsjN^RiNqDGIcic-1}VTmK`=>Z@I1(?k(3_uB!#Q()B*qTdu1G zy3+MN*ITZu1-jBbTzsx;M8kGDl$B3?l&Nc<^iihEi8A$TnYu=qy3Ub@PNzw@4}oKZm%S@uicK5T3339h<)-FQlrUF{Z+A`QtQG}dbKVreFfTFh8nTKHsTe< zK3OX_`RgZlb%?7#H}9j>-P*E4%iRQRdek^gkGk0EQJ1eM_K&Ky+?@y&$TOg=y zY;>Da?{cvlhbv(G`rlwcw8a%G)3+wvJx?ijdJ3C+?P=vM6MIUzSKj})`L^6#ck7fB zVaKU{qTKG)?Pk8h*zI0L)Q7%<-MprGpXcV)Zbi{su4~`)mg_Co)dF4VdY|hp*VO`D z>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S z0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp z*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC z>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4V zdY|hp*VO`D>3W~*E!WioUFmwC>n+#S0$u5PpX)8x)dF4VdY|hp*VO`D>3W~*E!Wio zUFmwC>n+#S0$u5PpSvQK8+GM!NOT|dgNMZUO0b>sx!!U!m{gXV%z%G2QTtD7b+-1@ zfauKSkO=K_lS5*Dd2AOJR^M@X91fLw{=4;1o8O&zKb3U0-Q4?J=awBdVQ;yv7Va(g zk#4!G_`!gw4^r&?F@pZv=w18d)O~Y)4{@R_wr)ILZXGF#vq#y6#pLcY)dcXx8`%Iq z__~zZ#D`$tQxU$$M;j8U=@ILwO57(0rp3!!WL;k2td%*7X!J1p&jn7SL z1?MUA@VJL)zL+&nwmZ+jn9pA2+p?egs4&%Bn(ob?I#2z$O_zt;_1s{a{tsR8wST`u z_FOBsL)(W8@No0Fhs;nr1oxKPVUhy^ddnTqP&?3i%k4190Rg?`4rr(yXuaijnB;(f zhl}OfH?2iko*GWI@1gjJ<=6dpQ!3Pjl2jKSs?77gGfAGZTTz@EPAT_L*sUnu`^eqR zGB=i+>nM|AMmwWSw^!qbILfs3150(c;&pAuo0BM0t=_z4Gzm{8^QM*c*);^V-~6^) zT~$m$Rmk&qMPaIPrxk*l@1gI&8x=S28Y|g>xRYr|Nc~mzU9{Q3^2J|24Z4I{dsMeX zid`=5W{+B2&B~cwQ9ti}_*_j;XKKnCvS(%&RDs&yoX<)(ymmtBx>2$4qR;QBToza} z+auE!-_Ft^yDK{gk%|pDm#{K&9CN95honIHUDrFeUAr28 zFGaT@Y%6A}$`LE?t(!)#Q@*Mwlg`nGY3<75$Df)R&Eav!B%Io?kE*%#d!eXa774uA zSIH>izKaBdw!;?|zV@Aa$>;#D*@u4998?Ls#wp5_^`QZ`sQ&g>TZNR}6>%rp-Vp1? zF8%{An3h$xVM84d>UYR}I-&kagLsgfMDLlB4m%_XzD+oMwn4UWU3l@QY=flY{$$%} z%H&{z*Sb4H4aSNDpso}m{i?F7Yovth!%w}vT}t`PZI`NpfFx0Kg}2BD$8soGs6@Rc zmPS;Qt7Sar<#qPTWvVGV#9St4lYAYgEZA16od$Ce4R6DT{>7Mn*)u?p|nX8388~w;)f#KT%Zyt)IuSg=+5Z@oiiKOYs+O#K5(&C zuF069DPB5fMQSvJqD9z6c`1ZoDOaha7?J;|iyGD19cv!WeCxNSa@IB#JKIe(eYt=(l?E_s}+H>{H3bhdKGp(L`j zv$bM}W?8vfk%i}eM^WsIGM&HNwM-QYqR!pTcA~7dtz{B#z{N#XVKmlB33~#z)=9P3 zGQH;KX~eEkCe}0U8)cfb)-oN}BBzY~1{OH6)hnN_kCjg^WOdRRRz4BEGWJoHL&X{? zuamkl)=5pRWqQ$LYAe#$O?PwFogT1ztv5>Km%<+IZPFgOu20bdEXeDi^f- zpP7W?Ql4X^hFMbsJA?&O4&-Zgx&T)N(_ak}BVA=0>O$Hj3^O9133SmJ{zi>~Eg_M2P@8%uLQq*^(ONeg9+rG19MG-Uz0HcSLC za5J*h0hfc|O%rqrnPg5M%$TZY{WrlAGv^|&`#QnnD4bd*6^#HRH4G3(wM5!LmhGK6 z)H#(>%CI=H&j2#Na&N|Jj&0M)t&%RGb&VFqVP;mJTNC>*3X)nLmpP@z)M~)9&O4Jz z|4Bd0Z4+C|cP0>X2UrJz3^rlnBt9<7cxsZ;9-Lb(2|Tl_*^;p%zW+BMK0U*M$zkg$O0 zf{!%`j-W|TrJ{QCUnN72ZI~#?qOuzx8H99h#U*7)WqI7g6^-D5Qd=q-TYQN!fkJoc zUxLRTKSEV|s?$MiRsbhQTtTHEU?-}g`Y+E4wu|ZHiUb+KI~`>eFk}m0qNXdqV7Pmby=Sa|vjs%rNf`*O+ z(M}>k59k@V6#_&@i}Ea>yHEM~x(5P#*oqsSJ5li1-7Zhe6)=HOjxv?oqfC{an0xH) z1%0-)%UUMIjZ!3Nx46;u?I;s*qe9pUC{_2R=j(1f_iO@6ezxv(dcN*-dcN*at|~jp^aQRzQj&sO}I5W3I^Yl%S{* z?>4sBlcEEOgeL3&jnmZe>Nf_C6$;eQ&HKpW9w3qDAc%w{wh|mj+NW8StV+R6d*|52 zG4L}(sxvP#U}!ib%qM&T-8#|il#yDrDQn#ll|UvBdWxv06cs3L{wi9PEGJ4)=L+Gs zG0cRqoe3*KV9)$#Ku57|qtbGEYnF*~` zW4xpf{v;bppcVHdEgT~j1-ePCA?OlLo2?uBKq&Eka3QyD<@@duu|Vl4_-?$lPbloj)BRie`7YN6DrhGa@=$Xbkd3Dfz7KYm*#tP!kxhRXc{ zAiIdAe8Q~7Gk_Vv6;f22_@&t{nGirgl~FPZ$7ow1M~_6!v+^(1;*==jSQqj@w=V3c zp6b9*&FJ+mc!8OCwOH0TuraFu2qR;qwin<1)>M}Pmh2mf=1f`B4LC77)dM^8OYp`T zH6R#ETUr^mc30NjDNNPY6p?{$^~Q{u<_C8a<`K`di7Z5jObFR9xqnl&dOQ;uFEbIH zL{ryI32GOnIJ7IC`rEcK^@$1Wn-g_|na>$Mi&k2j9G$C< zO_WB~{jI|2a#-$L@p)Sig~3u4W79bhIL5zk&0W_BRPOOV8D)Ed z64L1^jDa}tj0_+hRTh{O%molG${Q}_S}C-3R~EzHy9dh=iW(eK3=9rLT^1LYmQT?s zG}OT&EOPD$!56MD7YECVTb0LTTM4woM=VeBWRJ2uwsp9SR5`%F<3aJg!P~TX*fi09jk%PAwC%@ z$|l2EtQ8M?;}mE{B~=KLauCYMqOkHdwCF@ey;*?;WB*_C?mt$PsX9d@h$vIVdzoTk z(bRjH6lE&Umc@lwofJ_f1&EFo5qyf(Ns1e>Iw@mob<%k)SUOQhnS_1r?lTdpN^6<^ zyjja6?DkrwYk0d*xpHcA(UB&-m+91y^+wBVL*hoKSZ{PnuU{J8x4L!V#(Qp~a^=kC zJ&qmey-daiNW}w30-Gq)knO?~0UkfMaw@H5@>`q&^W5#Fu`jHgW*yTh;!>y1$6BVN zM467X+{k^Rm4{6v2pnTam3quEsirs;H`)VR zg4CPEPTncX^mnhMZi4j^o+zAZH7TXM+&bTWC9JqQky65mBYXM2OXf;JHQ?yLSanL! zpfMzn$VO&i;R9csF#*-JwLY$FN{j}Ht&>JY@T$R90H}2kLr*hi9qL%|x-GfhEVKN4 z{Hl|H`TZuu@ceXIvsWi3SL) znLEgk7~i^M)mYW7>z{Dqf0@hLS(X&BOm`uAB&ik?b}(zJ7p4rc0LbufQ97~#)I0&q z_!WU6ph?S$yZ4x91OhGCk9=iOEDTufs-L|Es02NMIx?2xAH!hJ3@6WmrEQ=IPuwL? z;>fGWwx}j;>vzr|G||eEF^zU25*2JX011pr@h7sZzv8-Qw7&ealmkz=Qq6)u5mZ}J zfn1H5FRI#At`9M4sDzvEj&4|P|uifNV}@P5Mc%j?g6}-VosI?6p}Wzvj*0 z5i^v`qg&OfeF3uO0d-H%P_-cgP3=u zn!CR`QOffChet|;#kGIuQ& za@-vi$&R=-L}~FMU;LYQiY?+|jMnCYvGV8|DUP{XI<)gGq);#SRjO$5Na_Pw&Aby* zQ-f)pe)?}2nYyRqA8{2wSp&CQag+k(AeCIrjThJP$tKkMv}h^KE_a{=2x_TGbfrjs zfK!EvB|)Sgy~EYL2dM?M9kL;4OZ5KC^!vYw1>(0rGJ|4iiTizi z6vRz%3(aHP$1)tOCNSiq89@oCD*~Ma2a?FR`}Cy7jjcwKY|V|e#)Pummoj~o<@!1* z(1Q@H7aQwCIUTJTmK*ZF^@8e3u&Vk?79r>d)WLvT_`rgbgxZuiGXi`SFRjoMr$)hY zNlnr;BQBz|v6zn{FC^VZ2x?fuLSxAtX;_R9q~Cr`N#0CQOg)ZdD zb%_{TGxSq;6HyAgVM9j2pju4gD4{0mXXD8N3a!A%YC*1?c8NrOCJ8`RnKC#iiJ}}~ zvtpw{ZBqdreJL^FrINqJ>ew>UmI>Aj2jx;VxOs?*OY{nc#PKg2B?7ZFTqGg^*79Ie zR$P`Yo+%-Mk8yIY3b-mnJ-&kKQ6)hQV5RKrh zv9VFEZ*E}U)zyvn9HUzo%jM7}{}Bco>x_b-eZi$QVCo&{)PGeq z;YmZ!W-6oNSnA7;>@d~mZ_to()k6a12qdUw#d^in0OD_01)DA(ZM^Y|;HuFnTR^J_ z7okB^!*H^kx{(nP5un9o1Q6aF5}%{zwH9Gf8mUjEn|y$R^uSn{Lk-f{04$U8zwYi6 zHg2*(|BW`VJNb1KU)|Wy;59nRzx0~CmZ{uYDVEaPzlj7@=WbxV(UTlEDjs~bpK8-H za72O>Wg1?D2~j4!6#V?%Tg|(|S%*VRO5hZes*D{mDONs}yjgsGa;zMsH;a#qUBV=I zzwO3xc|SANd)A8eFK4xDtYx}&vsk%fczo?v)*ERNlAnRQNdYB2(N?{Fb?f{S@42la zZgi6tA#JwTGV#QmRwr$3UI1GwpNJiue`2$iDPy08{Ml@2ozw*|h5bgJuOlX|zO*;PnN(kQ&f5<($qW$nd5^PcwF2{qfzRH|Z=Q;9x~ z%wQ@ezJZbUz8QNfzqk5K8a#0|N934*B`?G&W82r=N1gE*^0K?o{7V6tR7jkty@4lG z84hwOHFV!1NcHl~I2nT;{N+MwOIiH%|4&RyYGLiAMKPHa`qRHR4i8x(K&YqW7WB99BX24e zzHV6X2y(&vS)AZksSj)vKX6pjZ^8UoTt4>K!ut#1eG=YJt0qVO9OcRaaTVgK4$580rfgI0F0SV$>eNYe zDTjwNZSPimu#_KqNP952K$uw!((9sMg%qDqSNu!d1R}cGD&Afr> zfxrwxT}(n%@iC{wVIj{Mj?i#&NYs#tChi3orIZn?6OJYkvGv4j?osPWO?Voq(vXWY z*5*avM`3YPGdg>8utuQi$c>9Bq!5XG#lm@hbO4_yV$9;mLj%uvvWU)*HbroCzz*eA zWke3H7LRQ0IQMA=u~<(zV1wsSh?`DLSV(s`q2z#*s=;E-cE|PX7E-0@F^0JUuanzq z)6nT55n}YK`3f57*)qXju`d6rK$C^Q<*t%(8?CbGLIEKd?Uzs1S)Y+45M%4qB?hu3 z?F&ng*O`JUtPGAA2+8{T5`hx6{3}^Y-KjZ9BskSR`$s)zi?D)tfTfcFt`k>LqAGsn zWr>3e1T&UYc>?E5(S8w6pGX1ITRsmCp9HV-9%uyDxkTIMpmEJ3I0ih zteVnmJ~m}=G!NFA9Tz54nYp`3dfLsD2`8)_$WChXp(5EP;{z7meFOf zLi;L6Ri@{d3&=ZE?W*$Kf8y3LpkS+>%2Sol;1EA_%1<0?XsxJE;bibXKYE zGXd1sd5db{FfQU|A*h4GLmZtwX20i?M9Xcw9ZAt>!qKeB5rG<`5fGeoOd`!qvzKQa z+eK*UmsGXEouf#V@*x}qrQB_KJlJ}wAmF54B^Oa?ZpCl>8CAH!$~YmdHgdyQGE`wT z?4Qb!Y)?_7GVF}l)Fq@;ub^ekmR}#HQ}#f?Z~iQHHEIhlyL@OL(}8Xehn`SOPAM&)hgtG7D$rfea1izp(`6f6-AWh?hh-)S zPlP8}Bm(9-q@5j&P!ASj1gD>tRHva*{G(qa2VXIT7+Ew?ks}NpchriBsk98X5&ZjD zbW_PAiiDsPAwalK5zKQ0@H}*6a9;str(lH0-PZAZd|&g4&j#uf1c<#Z&M+puuAdJ- zgO|Fqs%p4Q6m6ONC7+*$if(Q#5kDN3%Ztl|vWDe_RYkOh#0v=_5%$F&(uV6#qJmr6 z_07*BHomwhadd1|p(NmCInF@J5uUShK$qEu1YDW&={=f36p^4F9ZEEo5IkW!*0vE7 zRU?#G#)d}F5=L^uhtW4_ft`8?d6 zt|^bvmEj_a6P8;tArVCBusj&NRBPN&gyn1WAZr700|m0xA82xlHk4q?uh?B!^Exza zgXIX~UaR3i)R}-I4pOqFQ3*2;A1+rfq<5$(uFmKvH#bJ>>l!%2zx^r=NerhUq49t{ zmM|n)EXRC|qobU-;u6?Kk8|pDf_E}sFXEplr(X+z(N0H`4MSN*6Y*ohq_EW;Hp&s0 zCbmjvmROE>I?CZ~GBwsL2w<;E>2-bv;0M=#{4a|Eu}Z#cSZMv+9ju?bL$OM)pBvPX z%~<26=%b#yBvv_Kq1-@`%{$BV6+|A#yrC3$Npa9ShkEXEpg1T`X$}EbU@x7m;C(vB zLDvBn557imPy(LA(d5Lixc|E2g}-Zfq!_)GTf=hY^k%uXwK?F{`dR{wj%wY}qmAt@h>}Q^t&$zCuW0g@KPiY1tLB=L9p^8)3BSkjPt>_BWGcc&!s7um2 zZ!U_-L|4%o_Hn@3mGk7M`7wS>SB8xW!CcS$t2x+3olZh^{T8I0rurpM<#BR|$xv*V z;p)??E_`O7aOqw%Mm=6&`q0+2i_WbLRkmO-kLnxJa-^qDK2KiwQD zry6i#`9jRYnIBVd)-EpaK#zc|xGACXsQmUvtB<6vu31SwH+Wu0yv_@M`ivDMDE)Rq?@ok=h!HO3HD zlk5Rj1_}g+Pg0M--1vkoMcu?G*I6}PsVaW{8;~I;DT#s55+hkp#rQQ=+F&MIqamR> zbvu~ueyc46Dd&UzrrnlQqgu@&8a&Y-7&G}@QT)M0E$-8Vz&_OA42}W$15{KCUf~K~ zNBASmFE)!A{4^}j?&Qbz4(k5va zBUAnkP)WXq`^wSp-cCkng^a#6}e9y>OiSqdOjHYD0TMUBD< z6!QnflDr|U)QzSPOV7c!A3Iq9!x~1*2fs}t-Hv813nfA1UrkOireLWHIpI)HL;1WP_c7iFf#GmLrQ% zYiv2!PtcrNLsB45CPn#JD6BGi@t2*~nt}yO|EtSfq{NL6XmLV` zUJWcj(KXC><|?pe0#TZndM0H~TvC%w@sUVC1XGgEQjY%p_8+l$gVWA_3F9D-P8Lku zk{ojg^vrWx+bt{AdAPV$L4xJl)h){8jzUv*^iNUFy(4wpNOi3&sA<-UqLH$$l!ggU z8CU5-0bLamm2GlqRx^MW$z-j{;?D07I$foM z>=DO$AKkP~gVX@w;w?HPG6YOBNOb|U6!)2XZsa#yn(m53bT4uC+epC`XX>rZQ zR6&J;*W62kF1T&LO|eHs1dhRPl%}538g9#dYA9#YFoCAIp&ij$yXOJ1+QzXGvbCi= zKp0$FO==)9fKQdrqXl|}{ZJ}5R5+-`Ju?}BbwbyjvQe_;$@?-9mFBHq`p5(rIn=Y7a6NWdpHw<&CVsKFr{V4r6R=MLJ5iJ232^_ilP4gdEqw>E! z7M8?l2;FSLRDm4A2gI9-n~1>>D$x=;7O52%)*V3tqUCnwV7wTo3(iM`YKkodFBXT( z=%R?w`8Djv3NqbrXvNl8en8ZPXhgx{vr|NuSV6KO71l?k-j~I4FcpRvZgc}cJaYJB zkHK0jo^zB*Q6V&CVca5-C&di`6YCff=|DB<&2mi^i7gUqBFP%88Iy8c^C#t4q@qwB z<{;=Ygb}e$%$$_lAb3Nx1bcA@jdH7~2xHJ$0fvG^Ojtcv4M(Jnw|*ZTvB(PVF&LPi zAQjP~!Sd5NpdLmdRx=pf!@H}PgS1?Yi>BprtcVu9te!xbn~6SQ7~xlh^-*bOr{4+7Zu7=(1`F5DzJ_Y92&!VO3^5yr*Ijo z0114_Ev+12BodyJ&l6q3SnI3nC`G%yED}#3Ptcmn6%4rgoCl_!(j>~HrEjcpQzU3` zk@a&deb9541y5Lq!+V(PQjFjcA6(O z^_1oro}_$keoFIZf<8AZ$~1fvPia2o+-=Lp?>TbH&t0Ae`wf1FyjR3&0SBw?j<9y_ z=*?`W&VSkkVp10rOrm0Pw$1@uUg;J>AVy%^Z6pc+x&g8_(nuVc+ElA#0Va!67k)7MO(x;V zBwu1^yej267*@2`cQ{$QD1l=;aRtIrla6$XDcUD0ZjmVqX2pdMzyq8-Raw$vZ>QCMX%N5FNNb5=P#Z%#f%N}>{&RNO8z2*=RTBj?(K60Rkw>t2y9~AaE8xHd&3v9`z5!h= z)rEy_x6D&MqxlckRx&qBxMD0>z9iN(%7ma5;?~;@)(<#w6(P&{0sxBm5B}oXfS&!Y zT(YDrF^YLo?Wi6E4jq+2kNOWU;)I?x~hZcOi7869V8?d)9GO$fRV(P|wP^36q6 zeDzz1m6$&5#XKcAswQs%)k-MAOapT2rXT~TjQcSfEv*tC(HSTbG?Q}LL}c>NDmZz> ze2rqlRC3-iQ$^}@hBbf4mP$?#k|1^HW!7Qfe`esJmu}3sjaGkx=IO_?9{>#DX86(% z*H-*V3VC42kC#=lL(A#p3!K6EQC8uSLG|(fEIK7eMC8NxhosBjVz8*&;=g#L^)fp( z%Cio8&jSD~0aWXs+z*(WXO|XZ1@TfauxM%)`JH5EMn_l-2!5WaT7k3qd9m`UVl)c=`-%4TMk+ z%t#1*>t8#40rF#W|TyB;k2!k+A zQJnuJ*D{-jJek}~JVm%JwA1`&f$B8+zEOk=O!HR<8ft54##e)3rVB?u870PgY7gG@ z9w#ub_*R(>0{T)I-Y{x8;F)UCSRvrGXNT2fZY9SiD1e;f-w?m^V+9O!4+&U&HJRO+k`8nTHz{d zZP11L2NA?<$K3=bp_(JV0jq}l2knbLI}MHG#{jj-Bl2P(7B^R&@sf4*`;7;xI*mLf z>k#t8bq#QB(iU*k_^~e$NtduwI^`P}8f*Gc`ublDN0rH(k}j62pJON+GarQ%R~u2G z+XL!S_u+qW-IB~CS`P$Yi-p}~930!$skzFE6ufEaq-T&n<=jeEa2QF$P+DcBP_hPw zc@hc-(QyDAoXv-87@+KQZ)UA*wTP>O;i=4+IsP>*Q!L%D+|Yyw9aC}xvp0z@Xl2FZ z!x>Y@kfOQ<8d-OKc04!17%jYpLb-4>?ITrxeJ*D?%1mLZ+QmY*1PnDpjZoOc>ADGs z<+?{|u0VCwq?%OGk}hD@m3G^=avA&n>L`4|hSD`Jkp^{(vIG*~O0Hn-gvXwv?OeJe z27UQOf_Wm&v(Q<-ZOi?+^x^eQ3>{B*p}(|iI~U;+re3?h1TfK|5kr}KXr{U0=v)2{ zHpB}!D`~Zhs8P)U0to=3Wffw;pptm*&s^F7Y zNQ*QpDewh^%WZ}=0tiQB@6wa3EUw~|VSPM&c>)}x@yItw)z9BT(}^I^Pn&cBVF#I! zIKv{VY%r&O3s$L^=($T?EhQ1lJkB@99!%bP?oZ+NQyjHqk(En0S;ZT$Fc<__k|;_w z#-xNc(0+A&qZplHWl*s(st89&Tb{ZYl3(X^yEd<*;H%tQC?rEv3Kt+Q!a5(*Kol#V(41!t z*Es{Q3};p05FXSVZKyN#5F*hr0wlookY=^IQ9OZ1t7uv=ymm;Wl^#R3-i`nCAu&{k zW@!9U$(#Ch5sM`SD~e-0ys4|@W$Xy~O}ft0!YcxF3{KvmCdb6K`i-4Cc#*0X7+K|T zw6#H72+;BSD$Ur?o5OIo4bAupe%(NrjE=^PAN`3%aStwm^>l4cNRwwTWhy7*H_Fyp}5;Wk|@=NKt zOQKARM1lsaP7-+V;F{t_M43+O1BQc(EJdo{Ay264>sZTl159}C(%5=G{cv>Q?o%VZ z&He!eptwQdU0{k}@dT*WGL7y(as8^-GI0t1zOSL_D>q$Nu54Y$lQ_%cwVRf%Wy#Vp zwrhz5UB_CcB|W97=PrpdRmaY)Z9R^sG_T_+&1HZ~*9myfZN)L(A%ERHYg^0T5B7a) zr_jm1;XAhe~Y zH2M?dO!o@O%{%^bblhB|}`C@d08 zod9vQhkWEoJtkk&5timPP5A(XWS}pmd?HEnEDcX*R#X#tuvb1^)kWhhcT~LO6R3b* zY{9hU<8nBn2-?fkLVo~W+jWJ8yh3}YE#xmO|))Z z(n{;$HIFQcb4gnD2!TWumtMlAKr$y;gq(3On=hSR&&~*FNG&}rXtX9eTt$_y0#V3VvezQMMexbwR+={VsT7WLZ98;#h!^bECZ&aW>|hAAQf< zCUlYcu8I~)YuZBVn5@N(8tw8g{O(fSk%8gs2B84l(s!zbX&)AFDya*%CCPP)K_Nwq zrCk^;)fOzsjH*l`f2qCBQssI{vMvZ}4ngteM-$sB$^}r=a7nNMvaSH4siIVh-o#8o zrL$~;mQu;2uJGlJ(85Suv4~oiBEghnll<}=xCy3>?6mpJGwR_V1pa&8SBJ*1(p z3$Abk{Bf)TQUmAQqZyM_kTXZMdrzE7Bk%`_Z4WPF6?87ZDmI(^!a%C05WY zrP<7R+YEE6#?&#D2DKU>UR26o++fWg!N&B?vZKz`UZP{6{vrD({Dx_+U-^9vH3Usi9kdrV^yc99L`qkvXxe^8lt6-*#M?Dm(uw}_m`{?%z zu}LBjf5dB|RF)K~q>NBBE(55N0NSBN5Cjld;3O_t8y|%daQ00hpn!C{^VaLZAul{_ z8hmPI9<{QOyUp_0WtS1Mxyr$$gZBC8Iv`6Ph}Bv zXm0gESKmk>1-?RTG#|9gS~iVDFM%s$4SJI99MJ`3Kyrv(4dOr3=2MpGv!7T4Fu1-C zzPb#cc)_KZC#{9J)WVapagu~GE;7B~^vzSSv2MKoB3%@x4wJXYT?r9KF|PNCXDt!6eH+uVQQ`y?O+6F0)# z#7nf!T$ZZa_}oESUqM`n`&WC9dW#v4iy$6|LyibE5EK<5$FS0Z5^;e6lQ2LsBz}Ms zkid;zjTe-vjXnqD$fhcONFRnmJr6(~b&>|tla?ftSDT46QD^beTOjE{3Up<;05OiL zR7288Vuc4+HlEf2D_E^7b)TP#b^ZF_pGW|5C6e4uVKA2Gy!Vynp&R`;xnmX0bFe`* z3Det_bn=vHBxylbwjd)%Pz9UJ$z8uLu$&Y)6IfvllgWy(^A(409+ao#F4jFn`e{Ow z4IBR(ynl{9U|rABCMHKkf-XRc#hW>w(}x5gXu0Aa1nO9c<|d;=&ruAgsfBmmvWh z-UUVsj0IS);)yvlg0Fbua(b=2RHV^fH>5}3Sd&CxNy-taA(A32HG(J;L9QjjNiqpJ ztiwoqPTwiTw#Az*)Vs*<3%Q(m1f~~-;e>jYPae1N(ZA!hOgu3+B+8_B zfe|-iVbSR&uVp$>F0p7y&%kMQ()qh9Jp;GMJLKbuIU;Lki-MSx7ApI>A<|q^IkUy{YbzRHTa*rc!M3m`;M44^{`*uQ4 zw-?vGohTFGCxD6@Z8^$x9NyQ1%`*LnVy)A{Bd7%Tv49`vb2%2F$Sj8RzYNYO{M)jd#vZvG&OpQnFaPJ0F=tY^)$*FH0u#RgYSD z7Cwh&J|*cINDYsRHd!nsgoL_kgHWvkHsN2VR&1_%YDB8r5fwf22ppM^>2~k^p#nL5(b%FaErF<%x+aBKOj*duKFCnP)dIUK%s|)LuGe+GTZaf`!Im&LgJUFd z!wwKxS*rsq^)W(2zhkceO&n;5PFvzc(>cH5LHvU+R|^rSsE~>T8ERjGV2P8YfNb!w zK41nXd9rm8k=rdKTbUo2@*Tg_(?9Y-mQ1zjmv~r1#FU(p;8co3;$4d%qpk~c$hB3Y zBg!YM*Mu%p;+$pHQDF+~sw6AvW`i`^CjCFhm9U_$*9cI8QsrDsjKfWcN<>U5OAG>IarV+YFFgn;L zX7(tM(O?Hxeh(S&bBq{?n1|3g#8jgW(#cP7ct{0>G^zI7292CqS4wz}Y0NRM?Z{G5 z-TW`9F@vPIoKLLMP)#kiU96fIfr?W~9@xn69lPjLCwj4_O&DA}gHkOmWjawhsb@Xn zOlojlN9H@fUiDVR7Y~9Z}?piM(LIoKNLEqd&xt7Ok?9F=o1uHgm1p#SvRqL@smQ^QFzh;8694HrrLXA~)I_38bX2{3Oa zM^UoD&t$%#2;N~=s}}M?sZdZ0;G5G1Id08G z!zMcGP_aL~YV>s!1s@)StS7M}6@#uhs%rW3RoxXlS&6}4B%7Toz5l*YMCEu2WHN}3p; zy>xW+LbeOAs>!)yU?5;>L$HzEKg3mulezl>pk|xdyFMmyMjWN4H>73F)4fL70tNQ4 zPwb6lR}crKnl6fsdZrZcdtMb)+6y}xrrY488lKJ>u+7MM@2C=;l}RG)v;rC&!#d^; z?3d3sSv{j=b}>?^GC=gjmwppHqWjel11wg$ijNeem!)X1<32|-IEDih6w#Q(53H74 z^|rJ#$gRy|Es&xwVU#Rx+NcVC)l<0=CJB}`Hb6TmC$I_F#i=EVZEOugSg@npjGSt1 z*=e@&hz62#9Qls{o?)<@D6%QO4b#X0c1;r3A`#e?ZI~dQlBcN?USN9PCbIF{1lBua zRK@*&fhf*F?kLX8*qR1}OH)E^p09ETFl|tzR`2`K#hQCvoWhm3+s}5hN*E(+%v{cm zs}`=abkEM@8#*XZ%#|D6 z#dtAW361l$8OWrmS$V=%)I=l9GLb72hbT2#XKw33wc12U_DTq0_*4+^Pk-3K1wswg zF)tTVK*n(p-t@womRO3;SSDC>;V2gIOMUd3wPNWyEds(mL>v@?@RtgCAdE&3$lrN`9>aS(}-HoUijpa}Z#ej%A$moEJAGFRy(^J4_$Z5q3*{{AzV@QDJU98YEv zXrfL>=}#0!%a&M2q^?pk_52qLowV`^)f{C~42U2b`J`8bK@D`1C;L>-bAF6~;TgF@{L^G&c@E@Y z9_r9|YzLO!uXqa5us^G!{Inc#D}JQskwrxgsUj+dbDxhnumf>NEQwC)6g|EdvWt!!w1#~C%tYIF)1QVHx$)dpLG2q zQKsUL>UTc*yN2nF^ZEVstb8&!eb=y3l<6H$;?mV?nQkJ=bcfe69lPhbmDe-zUZ%8` ziKp7Umg)8-B22elr??bxqvbcS^67D2`E+}6?CnRl9``b$Osr)ZruQ-}9lwV+IGtNL zz4F~gXZ*db7RWkAs)J%L=(?HyC08086BH8IV3eh`W3bywq|msB##U=D&4 zltT72OddB>HUk%~`CC?4BU=JOx1^j70-C@PGROe>2qdaxGE60jlo;k@ z{IVI2F6d4>E|-8958jdC;I8C^1ZP2&IQl$KwtDUVO1DbYs1!URYA#a}AX*X_K^)OV z7-$_c)#zwS3lXBtoS3FKN$8lGVtm4J!cFY0Unm)Vv+$`bip9COd)k z$J+z}y9J&Q;TRR1KtvT{<#*=F>y^ToW(7!3$|>2FI%9=A>?S<9u}q@DM%mXPq3`D7 zqDK2rFdqboC(x85sgXH}e)n8)1)A=+cG~6E=$t%eVkcFWZ!39ImjdWV+U>QWZLUf;Ue56rP?RS z=m!@t& z@QOle3_fPDxp9qnkd?|e@$QJ4oNE3vvz(EEB!nu972N%0)W8le5&KpWk&rnbyIbAf zwty-8RPe+ggFyH@te*>aZ)0jK2IHiF&IH(4;20YgOi>enuqpG8cs-vJU}e}+f9iZ= zXBA%?P0bQ+B!PffDG>s#%D+jK4I2F=zTw+yNS;-&7v$}n`i?cdUbP6U?gDSNbKscU`7!LaYPMdn%tJ#2ns5ww6 z(YiGth^IEJv%N(D&NP8$+@g5PHwsL9WhhM!DTR0CQX-Kk`d92op#`^%4SVTLiap3N zOQ~MSv`lwcnZE9219J0eK;mB%FN`;BqSkFD{ynNuG7RxO=|c@ zwU|OPf$p~=pA?3;twU9OyGCm(HEVDU)Va`Iq+OzLXpe@t0=&=z?GXm|Ic2)zTz0|n;nb_C>X4mLifEWMSEf{kRvk| z#9YxGT%5U!l^iI;WgLpBA-Wd=Ps5$NWF8#iplc6F5{@mdk~ZzaWvq|bXT{QS@=l>i zaKdnFqL4dJqBNbE6(@5?;&jdh296qMVPd3}I%IB#FiP%b@ke!qPFI1Oa&E4k3cUfG-uQQx#>><5ZI)7>2LP^7e0nIg3ljh^^@L zJn$zzvbaV30^!6%6to#$SOJS*ih@0?hvE4;E@t>FqWe$xt?n0i`*{ASt>NY?V6o{w zE{9M1zi1h8EO^m4mM}5c`LZEj;bUnWkrzTQTG%8F*;v!WtICyRYS3{*?g@B_6M+yY z6s#f6LP$iRECu^m&E!>2@aApS1Zxx;u||q7X$q4G6TzU7yq5`e^-oU%fVaRDz8`^7PVb*mR8H|Dy&YX2VZai+ z5gqr3SRFttijbDx!KI~1j6s$?@scL(kVQ+RASZdL<>(P{L_J@p7pT)jjaDRTV9M#p zVL54mHO1SZITVz40Y=+zV*MN|h_+arw8b-UXV;3wv(;egONBg0_u%W+6nxVAytUrQ ztCP3@=6&AfolDi^?o)%w4U2kLc=fA()6!^ow&H!>Rr$?47WY=gq*%-Yc5$|XNm|Q9 z)y1R5@Xuep#u6vJ{kyop&*pEt@pyIrk*XldRDIEB76ZOin4XwByS&LWaM$K%;1p%z z891+HdOdIdKA~5F>-je*HeX8Ihz}A1c<$0>eAiF`rJJfBS|cXK+rOW3&vS_~t=)P( z%c1HI5j{q(*0zi0eg~urqOR4y=q0tw@s~j!cd&im5Wca6#c3q>EY+2zr7&eHk=* z2h-r1%o;qvpky7R^_u2j#(q6Hy#RUBc}+<(CkHnFC#YK%$a)(%&;)_?yMDrIB^#Sb z2#jpKPpop8wng($DZ05vh^r~<0d{Iu?pA{nrFcjYQEC%7Rgn|uxBgO@>696^plwhv zFeGb~k5H&qHjHj<)0tgMx;!HnW8k*RM*in~Tvn{S=!kJ8G4@)qm9H^XQ09RscZ!Cn zPV%q`3vs2&m4bju02K>}JO#4&Aq@N|8NB5dTw37vD!2j4NvuaMLoyuPz5A0-30A2y zT=2BkwAp?JwYVtNa|n5HlJc$)MxHnD906g)X|C}cH(7KhNS>?KBo3)zFcrd2JY9R- zMr0BzJU2qQ7$?O#GLoBOB%xvp5eSFN6A3YQT_G#uf`^SR#3?1AVk}mgv$*^hea5FC zBuype3|aL`EwnmlvP`(gF{qkSf@Y)^(`kHH0BS>9$gS)fezR5BGds;^suTt9GkhB; zgKO>yz+p#KjpNMy|2db($MX+HhHRbouRyu>+$+6^nW7kinX$vEPu18iPr&BKs3g3F z9rWVOug6(8VRP=UQ_cHdd`t~Xzh%|sxNcC<4V>^3q4RX40AoYbuH@r3uFe<|MqSTDxdF;GgrehGh0n zA;BJ`T@({Z2yFI|xNVcj8oqG@T97Imwi&ObmSnav`u;F)r-Prp^nHfuHz1 z3N?qEPiG@nATIHTLF8KQqTAUx+ocv{7VuhC!j5=#=suMe#n< zWbh@9Ny%+kJG4^$uBb`Px;D3Z8^gNzz8mW7zL^kKbKj1;t1h(?Eo=~ts}RX0)90SQ zBuYl8xz!ohsKgfk8JF@jR#Oq^X}=E=7~lD!DY63;+WaD)AU^B`IRN9GD8GNeZcUU) zk8ONfYHh38-S=|WZb#l;-aNA%vUj=BVRs!{T`7d!J9ZD!U8Vl{t9J$O1U5{YT(ZCv za=TXbly?D5k?dLcwm;jmYG*}AX^T@{3h*~Q{#-JC5M^dYlTCNGYetLXsXv`A?^BW% zX4!~~T&pZKb25G|_?T)z5uXNg3aM3i&i~#j?31-ts;@I`IcTuoc^Ew&&mY zB(7ghlbW-~wdMFYscGh6VxPQ))M)Zke^o4~)Vi>gUagD2vGnrJ4KrecZNw{z zeX>?=^4Ba;yP~Nv@j=+`kA36M9)!mMarPIroyn!KKjU|zTU(SZcN3Hzg-y+==~0uk zder5au}zhB+j)OLuVF#+-xzkhF+z(GHH#2ryxzp8@a<6>J=6qXjuDf;0iLm2TKT*by+G)xMt}u4HSCRRl z?`R92v-~?gZO#;GtJ5pjw#F>^-g2{8tBu=Ru5FE3^1bC|u~r+mw_MvAv*dfr&0?)K zZg07^HD<~8mYc;|ZQR~+ZEMVu?=3frwc5D7<=WPmCEr_a7HhR}d&{-0F-yL;+$`2= zwQ+mPwXHErzPH>g z)@tMSmTOyMmV9ryS*+E@?Jd`~#w_{XagjoVwUZH-y-z2#=HRvWjsT-zG6O-r4T#6qVlp zESCDnK{!E_RniMARmVH0wTWW~5f$}YvFjSKr%=Bt z(<%!_3T$J9cA-tK5DJwdjcu{`#)HpuW-AsuQ(K3fwc8>4Fq%gFwttu|Urwndw3VIa z*sf^jr?FD$MgFqJu2lyIHd310!KwGgd~5!K(B3Fb&6O41@ZBr7cIRF-v%zQ4JLBf# zo|10(;ytSlS`jj|zofK-vP^hSxG*EZzUW!IeB6n=cg;@R;`4qDpr$mpcluf6X^GEVLMpg*wZ;L+U{>Q=xckzNl70b%M3Ftq35D85D$~r z=;N~1B<(Uzu&C=YxIU`|01nCC8DYO;RyuH^&E(0{4nYyDKrH_I&raNSoYf6)8r^&{ z!pyX*nTWfJx=?5+1j)(JWD`(Amp!ogxJ5Wj@!UU#pBvAPe}BFXT0RKb$SL{qsXB}8 zn)uF~PWtFG@HbCI7&>KY6{Rj))*y=T3Cv7jGzuBh->{${^zviABsVU5v3Yt}CN}1S z-YS;*-W#Nd2x-ws{Lu}xmbF=g83`hx=kJ3g69%h9Q#s!Wfk5|tp`h+Y=9|vp_1!)^omD~V!2?mlrzizHzScLDRjY{%+mqENtM%d3lzfIzNh)xREQhe4%Frb!So%jnqahydKEZaf(1$9<3;I5q=0 zOhz`l9(j@H4^9e|q*+M#R>OeMJak9`qmwOIC{2=!Nuub3!uzCEtD#kK-yaw=@fBH4 zNnPyOR3=!qdwgy(2pXi!z{_fh{4_^3ZJZm z<)o{cT61HFV>`7pR#mFb2kBuu0wBwH6@IEESN@%DzSXPJA#@ZTJ?TL^&}o9xf?*)& zlgjC|KtDhwBgQNs#wyry;uhat0Tf_QFPc6DF(Y>GcvPI8>d_F! zL0vfD{pb>7KyD@Zo?!V{wdJ@qmKlwf9V`r(zC6v>wz5vb3jI;R88G?8w6bZ;CL#ma z71diF)Pb*a>p+`+-JT70W=7Z!wliCo(`9j=$B8>_dMB+={ z;+jj&q7kitkk3@dko8^)m)HJV1Yu%yI)++&P+RAAeMI~wzW2?6PUXAVC7&07>%cCy zSn}QOvAzht45dELUGfb@3!o$U964W{K8g_$h#+L@RNr_TVIDq4=IP5eC<}>9%qwYRaVfE;@&d6!CYu z5yMBmX|ndA9L7=yBiYKSTW~~rRf&>Js;qVxLCy-Vx16?_28+jJB`jk_76)6&sY30+ zJ!@hoPt>le9;g1}L+Z;VA8C)zK~kX(243<7L_R=niPEaP-rqo%4&ao-GwMLl#gSe; zf5ggQXutqBv!hm@@Nvc99vMr?%E^qmD56kj_cs3FrMMpWQr)p%- za&{z+QjQvH={}WmMhRvjbiFX?aQT3&rtW9Qw~U{`{zO^aeB-#-TIbv4_4mswK7u~D zcq1P|KT;GAzIL_X+vw-`+WCYJgk8Mx`r_hxvGm}Ps=Q-ZoWJ{2F@ebgHiXM_!#6|(^Q?C=e1XUtdF$Kh>keH;DWwXKYO3&4|` z7gnAJ@M(AbB=*zP;Lm$7s3K9u>$cLhygY%AflTzZbE7hpKpmIN!J-6Of9+iTQEF`S zd35C?8JnzpjNacGrf;Js>?0NIC0pH^cvHITV~fFLf=~-#=dAGfKDF_6iZB&0a++ua z5I(Gj`j&c1qVkNwQADAL@S4ddk=7i^I%SCFugMmITko)`#PTVB~n9K#D# zjQTj%P$|Wx&TUf(KYCQx57thYq|r57Z=`O-tY#+y)Y8KsD+k=&4_Br$pK?`6T`1>c zx-~b*LZGVbv1+#^YRBxiT|3u_x6!f_SH(O2L)OY209~CzE=Aj_20MeQA+C`#jo?yn zkZLpp*ybcVl}x-1mRf*#TZLZC z2x_EF%^`_ZcPvHzFBhcJT^NBvMwY}hc0!!6bEW$PJ;f2c=x8pFC`JMp ztTF{kCDy5LbQu6ruGbu9eY6uFU9aeav+|u$@i|{8+-R93%2bdlhbP87;C?kjmD6wz zWH6JUEHfRG1;sE$m&hP7VGAsUWTZ4YlloH8Suj6*V<=+1tknPC-r2y|c2#x!%;c&= zJ8JU+6R1dTniqTlA+^YdMM_`kLkLtsJ}U|>MMV=nBA-zMH&{z6O$`W00Fjso4HRO~ zNHrw3gcqabD^g=b6snS#3MiUN6cE>ct-bfz=iGB=?wq+Z?>_mRnS0LOYp=ETI={2e zy>r*>yKk6BA4w{e%4@_P(RIY?GB$PG+TJ?v`91W8PZ&)9CDzVM` z@>1;VV)x$bOQY7Za+oHox?<&26{!?e3C|3&sEs*!iGvxP|BUMVP3r#qkKm+f{kK(X z_1Iji_{e6-!n@7rTFv|iRJ^Onx~``?vJEvwZGsQ}K-YB&Bwb_$8_}o}{W0&Ixkypx zF6NJIP^C@7Tz|}K8)%LjCpUPk4}E4*)(zW^qvS%pr`s{S`p`2qZ&0H>vOgF-I^wRuo#XjN1RD=$S4UW{E9 zv^P=omBLiFv3V&~vr(u<`=4HxH9Y_eA&$mNe3GynO*y>ehHULeHMO0jCKq@F>5{f4 zdX{TLb;bOI;*LIbPtoo5ryF(7os=ti&&1sqf2A-lc1heJhBpNiVYb0G+xHe7z0pi? z)SWKurj0>+`2Arj9)&_pntGp`?_%{7{m0&e%9k{rw@hT6n#|tMy0$!Jqaa{%k5WE7 zKzri5<(=|nedpWKUcD4p2<;g#y|lSEBsA4>uZGAN zC|dp6LwBspCA92GG=xk7y<+&WnSA3fO>7s*`k5*UB_2F8(fpYH9k7Y73ZHr*remv5dWe#*v4Vtu@ z6QXCiimzR!S1(;Gg=BdpC7A5j#+_xC{8v}jg16W#QKGboWmA&2l2X=zibN5HuZ0w+Tg5eVg+Xfy=q&;y38g#_7S^=)>Sig5(ZGcZEo5-cyl12R&6HN z88_=sSsZtK+zM*c2(*S%cGj*CLEY%u(zHB|T_xk@nHxr@Y)@vl`Q9@ zv#`*oUbQHj%ig6+XUMEC_2ws~K9!17UH<@6g3dSnhgeM2=CLU_UC8+Lqb^QWwacW7 zq+g*4OzzBi+25)rEWyc_YKG2qwr&30g_i1g)bUBlGHo}rmpyT7W(gwCGTpb?yz5=Z zlx3P(CTM2br%l_FPs&LtL3D*_N8ZTA?>aU~+Lo%asSmPv!t9e7T7YJ;J9$Hz>f=PesTwt1dLPbo>HVs5j7 z>FJ@Tk|fq`r12zi{1z?O_C`ImxsL5L0F$D`u}SU~SIE-I-@LuqoW0Jf)?bP*#c4N! zeq9(XQi{+dmQ1ziSH=8rtyHyxJ$Yy^J%i&xNZG?J$0|^7w1$m)z4jN?zOOBZQ5$84 zwX9c85%#1*)uQ>&Pfg9{Uc$DQQu2bHc3MqWGiD)744q1*7H#G+B}bz}-@B1^VQsPs zn`Z&C@`d&W$Mr(sm9z`n~O(QPsRE^z1wz)vQe_dGE#8rG&)R3roX>)4aT_NM)_PO{isSv_jY5yq=rI@9wkD(lD~O`$a}e>&mCWSCwQU!{NzFuN(*7+e zk+4Wxo6>exJ||E~DudkWRjEaTN~U<8)g;(*f;lihPJa2Hq}1QK{!*>Fpq0KFb4yjO zJXFd>vz85%Q;fI%+d!K{?tMWQ3rxD=0owsl*CuKan(eRu&w=LJNn5s3TS-lGEDzk1wc2G;}a%I>nMr_T8uIkC>uV>d^ z?$OPnDJ!&18iYO&bu1m&-?mXMqEaY2QAojk@2~dcRkd}s$Vpb-t3B)4F=AeLfm2w1 zUANwJt_)gZ6-(6|rE)rF9r7dFq^6L&&?U){+b1WGZ0}^^kN(HW0}|wG3{n+4%VP6sh>_(R44Ak`zxI#QP#@Ga`J7vsF<1mxU?HgrD#stTJ~6u7UXUJ z9yN0~?P}V4OW(}5Hp^(pm2^~Xwc}Jgw<3AbcCC)KB(|A`WO(oH*aF!!G7`;s zZwqO3kyK>qo8n1s%%zGQr;^nV^=$R|EqRlR^pHe)(NgviT)wVPePL*|B*ObC<$z=y z&OAHkkx9Lo9B;i!A!{cSqij;&Je*2f)t#&l!y;7R~P;46)zrg1*ox1pVbJB72~v7X?q6C<}%%O@egjN zEK~FJzqb>#`9^J)>6B(B#pCn?Y`&4tOw#Nm%{S5{ryUnsLg{J~hPGxtX?9YY`E*M2 znLKZF-11Q+Cnas4BPIXyzJ2D?rD-nH3%B3B^MqG^-H7UP`TaLd7fuq-1hw_Z8)f_{ z%aofT=LJDdM(J8cQMCY-D*s`?e|9 z(y?u59mXFeI?s)b6Lv$?TdnWqT2L!`xovDqxuLbL+U)L$U_(~5RKDX1*#7QID(R6I zhl49&-1NV8S%-BbEoZ87JY3l`{Kbh1t{EB~d9RYk~h|oAjURP0v%fq_&bE9Aw*M6k<8G=Gr2( ztq(8cVDd3Jb0=A|c$_Pk`+Iq+KTJ8&z2*&l`DyXebk;yiq-uX{Fk?neUusddW8$ zo40q;=Q2&oC+3v!NpqR3Q5mL`W%5jrWtq&vvP_l{aY$g7(th zt$hIAfanuf?&jkRx0S!=&Tt%q-4om(mL-IcTLRLc3giZ{EFpy45|9Q}AUB9*3E|m7 zZrc63VCjs8BRCXovP@&IiLy+yCC28NP}#WBR#98)EYoC7vrL!X*?y6Ljf{G5tVxz> zww{CT@De#Ixq;T<6~dqVwev!CTEFnzLwG9bcT)AYpW?>*Pwz+l&~!7m?rDAU;#GIp z^?xAmj~<)ZTo{8j^xN_rmnzb}O4Zcbzx39Q_^>M7Sh}?~nB04Af5+WfvcF@tAGDfl zoD+1+`mLpYOMFjl(&L%-vz+JBPto9en84LKW<)po;HmBxVM*5^5Y zUTN-LY>iAWRXVcW&Arw2hm0y&TfC1gF1hz+`mIT)T#E<&bQDUZ6pFJfsqgG(|JR_) zAz?PJ+6F{b>(a$KpxWrGvs6nTl&{-z*+Ph4pKq&T391xKH|Z1>*?fs(xM|(G$)q`U zve@n~7w!J)*vyZrJyG$#xKJ#tP|8xD-0^pdtroO&9L(u>t9Dr}A44>~$zZF1w@eAjiWw%m`G-I+?cmXDRFZLCXf+1dTkPj;%~D*6yfYdJW)UD%J=>XlvV zA(uXP;Hev>+w4+D3F{wk^FTbHu(ADccsb%5?#MnD-w)ACoTTisZCHA1UzD9@<%lL5 z=;)3-ykc7l+4>1LrBeDZdY1Q&X6N2atYUG~7TOp?(d+KT1FQXo!1u{MyXhP!lh1yo zHru0|y{0}DsJRQN$#PkO_$i`rY(hUT9bH}G9FP|~mFA7V6;rBh37-q97Sn9=M()!; z`Q;;DJH5q~f0caGC+57S`ovbT_BNMTshLX2YW|S#jlfvcO#^u=lwC;uQ_p7}Jf57JErW z-ikG!EZ^16Wtud1zNPWGOj`YWiMdSr#GK|a?VLI>+tGU7n#&}iJ_A?Q^VZr-zE-$3 zq|euB6(?!?dQVB)KVK(dd>T*lq;_I1lh$RjhOfo9R*9os>vXwQ)2OQl-mY_*Y#P~+ zcD`)>sm|8-fVLJFZ?_!Dn|`|eD249Z1p`lGq5nvtXprZupz`1rBPxxoj&^6Xg{`$e z;=WBYnl2875jL)Bw-n?#4lB@aHiz!vrIy8QwIoozLvM@WedQ43E#{05p z_hWXDkGpHHsP&kAPxWk8IrH9^zlN3NzKcUA8pwRV@g3)St|Zn1+qd@>8T5lJ^_M@P z^iW>BN@FP$oz)hS|4uh$KA%Y+`WJRt^M@xbysX$gs+J#$FSmNEb)_zQIB1 zId@gSb^Td)^F7W>x<*UZ7Pzs{BD&Apr_mEQk8VMT*4qM4U2(TIA7k6zmKJM0>!r~q z-SReKfnkUjG|qS7l6>DUXg0C@^?W)vTj=+G_Gh{^#V2?JTZXr{-{>)WPRCqb5RRG$ zRR)CL{JwBfz4yw&E%3fTJm-5(u)weu%O>m`-mkn|jRvmu)s||zeU`^NiF?7jyFmYk z(ARmSH~v;jAJVSEErAVmS&K@!6x>N$>p3b7c?1=K-LCfYw{H?OTdGh?LF?M;qP9B8 zDjR3#twNTJKlXjuQqgjz=K*#9Ute+rDE?t2j+;6(_C!t#6I1 zR{yS7aq9Wb+FHfQvrLvavDLquw|&yanXk+AI9vVun(e7A-5u+B+tS^q&-eAbmGIHy z#z)wC-p6e{Z(nICJ~3CX{++ZfXQYq2wX1)(pRbd)trM+CSSSstf3Jto_BVWg71m)C``z!}M_2ok_7^}#m5#Z( zyPMrwho45wqV!#B6yco9TVIf4J9tcU&Wm8E|4Qb?wq8n)eNpkSy6B2`_g!kG5M8!a zE0szqn9?8I;qSRzUD2&9!qkZlb${xpC$nl#A|-9V{zGxJ{mSAh;nX85y74#_O)sK( zP3fO}gQChmPH{y+dK?i_i8fdBF^8Gn3`j4BZH384f7cZwpn9L}#~u@!{g|iIY0v7B zf3+X;ed(%`wxd)rK}Xit>Gbg8_jjtRsdT#U4W~}q9^Jj_m-bNDf3tUAVz5F9FSqC@ z`Ch*7_vxO-!EcA$ZN!vo(T&TJD95}w#y9_rtSq9)9xAkhhi6Wzp8Zx-FG*HzO-HBD z;vUt(%hL72xj!E12#-oJM$5tW&LVf@6_3N6=BT6M@}!|diQk*geZ7M7?E-7{7`>r0 zHNqsF=?hk$hRe|PccQsyJ$U}Z>Yk~Pe5zg(Ad^~d#RO{gE#~_~pe9J#lgWGDSAxqy z9vGhbEj>Aph`S?BaSa`k_GEJ9mo(h$6(Z&`6>=qm7MlCuW(GEwDRC8tmU7fA^XJq= z&Ke^p)t*CMS=<_LypNNM028~2=Ro^zaM@LoR)51k-E92zh-6K z5SBMu-_^fam#LFPUUHt4R{x&O)@-arIIPPw{<7$9UC*m(3#V&|x3%5QmKXl@0|>dX z*93Bq8%toU9b*o1V+oA4W6VKrEP=6hjJXw%8=KMat`8+AMV4voH6hEC*JWyALev#o zOU`BDJLbmDW#T*L#yttITMDfE&xYoX$BsL#Be7bq7kj7E13c00G^%No31G01#a!aG?DMGFXWa;YJLT+qE!$FW`%H!csNF?V=`zFhD zkSr5(nV8FzZ|9>puKg>->?kOV=!3aTBcO%J+K;)>XLi_zkQ+-Fa*!KKV5}Wu4sv4& zjJ0FTL2fL8v36(6nCoYmy63ys`a=8Dwq+ozZPr`bAV+fdJ1eDiE0)WeqfG&}Ury?R zGr_HC{@Omhw6>eb=@WAo9iN=EPgY*NV-H&#=w+uGpKqi^m`r@vTaSg4Ub;oXhkUh>Z-#2ag6sK#p8;Ngw(DBJ_CjP?rG|jE{SwmZ$duo{`dt5!4 z?wo9Fb?$haHlZ~(wxE(8vx~eTgw}BNL=Agt+qz6zn<=l$^f+6a$sVWAG2y0tzub5F zW+t#r2^>R^d)YSveMqNGdVx^^`Jh#%){vlxd#18XBy#fg+0Sw9Um<4uIh!NY{N|@has3MAN%)M02DugEUysYW;G_s{ z0hm3-BFFzB_QW)xu=ZnaHW2hWi1NnTgDt&rhQ%Ea#`1p8*`bM%ha8GXk)YBWuAU`qHmJ-P4k;fl(jX#$+T~;w5Jc8)4t078LrJ|Lv8st$$Q-#O-;I) zeQ!0*iS~Qa^hWk{SXy`XYkk?TZ5EvU%Gxb0IQzB8(&Q`Gw!XYO56XtTo3RuQ}E~=URKOQFHBe)8~Cw(=;2+qiz38>~H?M^S6KP*WJ;c zJ=@-N`wO3U`}wc_>RWGbpLy%;cii^E7qp*v`V&sKpZRsSJ^#zwGuNGIPhbCpPrUvK zXHI|O>GqaeZ~y1FJ@?l3rt{DJs`eAxTmDJ=tXuzSd*hei_O-BUj#v*j-t^>KKDl{R z^TFun3tsTGw|(vNZ~gM-!<(&U0EU+lf_ZqNeC2OG{IcfM9si)2CZ=>JOdoDGKWKAv z^MgKU^D((R`q4%{+RLLJb%f|6b!`xV*vo1ca zpHm`E)}J}2gwCfI@BS^pf$Z>B;zO6U|}eEO48Aur^I z<>U8Jz7&Q1l`*|EmCF%R8AmNPCs4xAEFYZH9Ft#9CB}z!j6vA5p5-IPXi*=#VS1Q8 z%6T8h8pl%N5OnC2c@&(|q{4Q{BaTOskN=j+LGW0_$Lmr48458Zrn}+0h(G%H z=~PHhj?prFEIQ6*9Q@#BEUYihDG@8Lkx}kWh3$~Dp5x+D=!8A%-F&<~mD1nk@KN?3 zbi}dj`lC^JIa#*fx&DStGi-)~A^#fw&D9LSzy30#&TlsOJKFFMW#hc zMaFI{I2;io^Fq~=jLe%14lD#`r3=p6N&DKq_PGh|t6bTR<|L29+YGKX_ts``AN55^ zbseh*de@^hi%`MU4v3Hwan>JI%Qsa(4UL z=vPRP|FP-}Ok%sC`Y%aZcH!AdFCXr^p&ZthdN zTkJs(OtF?FB6-iplI4%~T|heN)qn$C_F8YlQO&v3|H| zEtA$V^&fG?94)8mKA*2!Jjd- zwFuPOY0e3`S_EqCu9P{qe%X@u=!v<_-mJqfyZ`vkDF_GmfQjwm852O078jsR!E&`n@XPHSeShXJWgIc<%857m3*AR| ze`0Q8b<$F_pY~u5Zv6<(Ii07IH$a7J*tjU4##UT&?ZO?QB?A z-b-Bv`hSQz++d|oh+61`oRF(Upw{k6nRDe$D~@&HjE3Q|5^ZIfYR5!brv2okCbLYQ zVOqZ|)9As6^F;_VqnsRTl4VjNClAm*h_(NO81Lg?4pUY45Y=d}{hX^lvQ--jxmtvU zoRF(Upw>=vPRP|FP-~|-C**1osI|LN=3IHxiep_#-Y8+Xpl@ZFYR5!brh{aexH8Ez zOTv6z}QzOLT+Dh70N=c0ApX|+9Rj` zhuGJ6Cgt@X)|#U_1RMQ7L^a53Kj*5CY}JNBt`=b-_ee*sHltyU=B9 zREJyBD9dz^ER)tU)vS|ZmPz*zz02N$jkW)59WQtwhpnc2h-$>we$G`N*{ThNTrI*v zPRP|FP-~|-C**1osI}9a6LPf()Y@GsbFMa{VYn#E6w_lRNF?VA`&O3eAXz4@Wvb0G z#YwPsH7~+Sfz=GlmGbdbb8M@%u{pQeE~>pNKUWQ%PKa9QbP+xXa<#TAx6^g57GhmW zgj_8GwRW0wLar8pTDxM-Da*7k5HoV?hnv3~s#YPAdH?S5gU_e%rMgIQ=SaHFRr#ovS{wRT~PqT7-q1 zkgG+Y)=qOy$kifHYj>s0x$?#m$6DT4qPd)B;1Y%l`qmS3wPT{SOj^rSKD3H?R8FM@ z^G4m-TAr}GWto!2`c=FLD+N|FE?3IOSIx1l)<($H;w$7HvB>EnTr;$5e?p9Fj_MF> z^#2gmAg}$Lt3I+-8w$BvgoWHA9l7#06vtZLSfZ&c)5Q4a6Jq^v(^@92W$K@>n4{%1 zU0Ie%_Yf0TiG`Z^QnHZtAuBPs@geuQXm`4YSQM!#y|tfnQxUHVL&(*Rgpd<*wFuPO zY0e3`S_EqCH0Oj|EdsT6SIV3#Z$ojc$};Uf;CWcr4>x6*4whxo?=scor1Xxt-7gsP zq=vPRP|FP-|Dr zx%JDI=!v=7G0_uq2YF&n@0hF2GHESS&4swQ2-lde)`r22SKCFkcdccrhHmZWT=kKy z+EB>VA}r*DTrC2%cA9fSt`>n>JIy&ESBpTc-IX%uYBL&!%Su*0Da%wlCdx7$B+I0= zOto32+H2&>?RXJZ3akd^E9K*>=GaziV{>k`T~vEley$oioe;Iq3AuwK*PiS>{JwLx z_iB#utB>{`l|K~iZ~p!6uxh`snuk@~M10&IMR02uTGMPiF$LFd@?S)X=ScW3H^)c$ zFE{b!>@c)n{JH%eyOzaQH2cvt7GELm9SgpO^^T=d`>o@mgLNZyovR%YAt&T&5vaA( zoD*`j2-MnX&OKt0+c}F;e0O^_O8MRGBuep3Z-v^Ur=oO`y!p?X4YrCemW-?TVrkz% zyRV_9*lC;MQng1pxbgpbQtgB|1iN)VTWwei9E#~iZa1Q)(~YP#ixIWwcYESKRb2&H9nioU6r7$O*Yx z1ZwRx=Y(7>0=0IUb3(2bfm%DwIU!ezK&_qToRF(Upw>=vPRP|FP-~|-C**1osI}9a z6LPf()Y@sz3AtJXYV9=Vgj_8GwRW0wLar8pT06}-Ayn>JIy&ESBpTco#vd7 zt3{yJPIFGk)gn-9r#UC&Y7wZl)0`7>wFuPOY0e3`S_EqCH0Oj|EdsT6nsY*~7J*tj z%{d`gi$JZN=A4kLMWEJBb56+BB2a6mIVa?55vaA(oD*`j2-MnX&I!3%1ZwR#=f<_h zE4I@swWp!eDM|B7`x|CFdy!Lah`p8yoDCBAp7IKetQeIK;%LznM@_6tAIG@D0vPWg6ztG#+>&|bL3xBHLpoWhIK2ls%9?cx~| zK$~wFiSbMQTS@Zo$B!ptZq$BJJnQ=YK%URd+A2QlZyIly%yHa3nu zuukzz#Ip9Et>cM+*QKd@i0YA8`#D#AWUDq5a=vPRP|F zP-}Ok%(?QW6~|iMSfY73USg>%Q|*{A%e1zIC&XH3nV2(L$1Ky%XZ}(iRLqQWa;!;~ zDTlXi1m~PmU^Sz1rF?wV9NTJbY|gE=i)!zdpBq=3uhvk>!)koj{%qAnxMpnEe^_gd z>JV&nDX9i|?dM$ek*(TL$kie&{dS{!i2Wj#_1AvRbe*dPdF|(1^^vXGP{`FHEaV>P$gN+tL{H4s zj)~SXX)Tl1GUY4w74W-EgB)x#*C~y%v&+%R$uG@W4spc?(EO(p}QR5=w<)G{rXe)2<~!<_nvc?F**fT3%-yO zafLv`x!@6F{$|q%+YR5#s%XE+|lYW<}=J`54F}JpbpAc8b zf1~eqlR7QyZbV6WEAyb9>0)RyFB2xr&U@_NyQ#3;lgvK$)C`5)EqbAtNsse`-xh8b zsdw+npvvB%3wnk{(m<7Uvs%YtIREr3`uVvT&Zstn-S<;;ME&|wxZU`oKeH2J%+*%- zv#s9q!7Vr70^0`vZM^A+nvJjDYOvh1!MhfkGdB*J_g>Sq_aATC3wS5QokyF8K4TLv zU)aRE7Vwq_Y+t`MhV~fRV`z_;s{Io9J%?=s-%lBgul)i9w>jtq_o2gHaM!dq_JZ5I z*b8n_`(*D>KJ6*HYEK;a4bU!v`;MPm?_r%iQD&S1vlWdyp*_rJ(Dp2&Dea_GoD9Z! z!l%r#25-Vap=FW~M_tG#NvMQY)JbKKq6v1?;o*0FBB+IHcM*=F?wbdtHMl$kHi*dArpUWACaTsOBm_Z`)DmsEf2`owlU?n?{M0Uc^<_1#!2ck8mU zNtzA|UiP03H@Dro@bOA~e03%1p_*yYDNa)yS4NP>*8(bI8d_sNj<5Q@)Xy9vvSXW$ zh#Ze7QPzKsh(ymA4gaG$3WZU6iq8DYBO&zKY&)AYlFlgieJiwbL><+!lH5*?&N;Yc zqom#aTYr`+6Qf_Mk*0V;#jS1Mi=hiGYe3EsEyg>GKuq>k%AWY9q+mg~W{RU{n0;iT z@M7Vm7{CTqY8jm_Po^1`St)nulC1Q^TSGP1?kqP;B6U%+cBQ?Wbmi|paKomEu6H;g z|COH(Dm0@Geo@aC<%2ctu7&YrXf&K{Je!;P{4D;LrfFm~5(Pxogi2p2nX~GJV$FmR z1eD=4K*jxF+uC89d-Mp3X3}`V2_r&aG4!SYB`zJHfDYB@!Xsb+?Adoo28PE;%ltXk z875b(O1I{RT7UIc?qSM^d>6qmCfeAR0*s1AUCEJIXnwIZDY^kz7MAx&cZCmjXe`qv z*(-N(&KV|yb}OlRKs(ekYqAFykK5sA`*ySQgN}C)#4wd+8`?=a+~!~o+Y{T^vtd3x z56W)YZZ00B+Y}qrPAA{P-!wLZuoyi8GopK%=aN*&?-!zi&8}wL(Sj_N?QI5!c()NA zdx;>DZ?x@S=F=#-f9MlQz}cxSuT5z%I7{y)ps;b=yiIf!pjk_j&~-yHeZm)cP75($bhd>#N9LBnOMHp@VcMs-fOsp z`_WVBO@*iU3d8%4pT#Q%&lc}Bd=6e?cy#K$hTC|z;Wo5yhBn`TXcpyL5N|$p=h1&* zZ$YHro$->u7$j;eYx=M;PE@9{E(_kk~WT-G43&_FkYC7%^*MO$^M<0q|4Sjdm zxeb1*X9(OAE^j2xq z4QQcS+Mo;555JI^_^x-;<8-0kumcmiVd=X4R<<8Bo8D`Zn;SRHE?l8IqAbW=#E0w0 zr@N0V$o&LSUW2^LiNI24q2H^iI-%Z^ca2$EKlE3WLsGawyycfy9EV$C?}t)`)5C#{ zQkeTTW+6>v!J(=lW~y%ZipL}e-oH1DPD;*4g9Ns)jXIed-*}S(Qoe%6Z+Mlx?Lt7|!ROc z&3+5q7=utqwYlx{!Zhlyd_3e4T-0uo5NBL|#v0+uZXQldzhm{-UH8WBWF6J1^Z*?; z55KGsImt+pWr{Wj4Zr~d2NPBNEMZeJd= zr4x0wZuNbC10O`Aj|i@F{vnC!*GbqYKO^YE+;kC?*DZ^V3>O;}nq3`K)-yA+`IGC7 z?uQp2UXB_r#6IDm3B43+bm^|J&z)pWue&|O$;b~Sbl?6Ta(5*BU+T&=5ex~tH@Cpb zu;9j<^cRBg-9-seF4S`E%$g=#;Y0aN*!mnGqd_esHSqCu;>3+x{KRJ_28kHtjgSd~ z#6}g~hb=DBti4}mOK5Cu?CcgdWYyXi{yG8Xf!U`8V%k2od2AC&3*?3v9g}PiAnn&9vk6EB4REuXyWwE?B_}o!9FkVdVKu{7F@t6b=na3Tak{X^ zA9u_$<;JjiRerS2xwYDF{7D>Qj>`H6Zq4u*3OckgH4K0o!PxGFVX<{lS<}!Xa2dM9 z_9NMk_8VWsEYo1;hLeLGWG0YhLds`&@s6iseFAbukF!kB@S)dWr3A7-v$9NNC+!;| z%M{woG7T@?aPpWXpRQ?!@D1&4XV275S*GE;p#AXqrn&Pt-fL*vZCmX%X9uV6e9qwH z1tgU22lMWuHxKSb>IoY%LC@Jfec|ZM*ZcOO6Zc$w^Y-bxZW-7HZ3uR@e+1h1m^K7p zB<=Ii-hnxp*xs46!7Q~$(7p-VIcoo|-&AuDaQ^WWw1TnO*+M3WCntw<+cQDD@cC7^ zrwxT!c_Z`WyVCdK+F!`^bL>8LHnZ5~-0}HipAn{0GO5F2I-PPD^<)r-S|Y7!I^8Z* z5DUp+bKQH9%()0M0xW|I4?{Z{=mi|NVR1ZL*%kHOPw1bn?!WleN(s8#jIo zrHsg;OZ#j=rVq7=U8hh=W1K2n4YMCm?1GZiEi&rnHz^wpS@LPk&}0Mj!${Urj4f-W zc4(pw5$X;_bCjj1b!hvcaIMrFY;rm_<> z!i06vq*fU|nxRP%=y&k{A*`gS6`r#fXQYIjH(+Plov-e&%~vN#&b=ypg8`-NE~%Av zpx>Q?E8LViVE24RBdJd`$<5XvAZC+VncdOBN=_!`W7C|z3A$x*MKi-LnOSXM86%vs z4vo@B$X#qFq_g_UT`=LbFK@o=6{XjiUF87GbizKYi+F<7!zweK@Dpf)$!6ha*t{J- zj@K*v|G9|*$R~70Ant&gR=czOW!^Fqz{*z;hM6K07X0xEvIp1E!O7{p%k6 z@RAX6gcqxekBhjhz$zKObDUxbr>SX`odPAJq@xe#Sdd4+|#8^amOJWWx~7gX8MFpy;?L7m@Huzp*Nq}eV+>r z>$dPqewddbCE7IohzSdu$#^?H0PLC(0#n7#j)rye)t9`dljF@HSBkxS;8h`l!j2J>cjIL!yU6YD_^UWimkTUwh&Em1X8%WI>!d^+S zrO*ped}I&<{!jlcUvD0glb*X2(ZFJ{hG}&>x+QBnw-6_arwK({R0NXY<56xU+hoOM*N%+~M*EKwsqTaU+%iVOq6Je|=QqNoOg zJFfvofDWipyor@haB0VI>{v7Wk}afZ3EhcfoA3)H=!`wUVKcn`g!zVnEw_3$O%WIh zVjOVg6Xo~=(%XYmSTP0;WUqe*awQB7u+W%#ypnOKImiqg!Ew*gWrW<*732h%!JR-x z%40ir3|-O@gCh>m#4sB|^oZ_#J~2>(50SV!rNq+x-t)8_E)bmG2K25W#U)7E{+cOlEve8Rs1K35~lgw5A7-MEF7Nn2QNwAJ2!&5c-{ zbR$Imd!s!GrOVwco(RfQ$wNd*<{z2%;aPGSf)Hh%1E=PbI9&MC=s2u(e4 zy+Z$FCa>X~ESGoxvErJc7_NE#pg6nSH$Td&CA}n7R$&$WdE3rregK#Ts-(s$k%_Y7 zXwmSVvarn*WGo2wlvUPpikC)f0_BhXLJVsdMcp$f4BaTKCk5VSKpvELLZ8-7qhItv zcAGw1&Div^!f@jy_Vki7x{=EYW`mZAY*Z4}^=ycm9(ZuidFA%4bGavvO>;#C3z*wY zn|n2*aBuQA{iW$8ckb3*8z1()Qiw|aY_?4%h>~=cueQgG%d@$L_4na%K9;y>00%!= z-DA;fLApt~htP0Hk$9K9^nUni=9qHGJtivn+ayTZDAXlp~Zt6w$%b zV>46Exd@qjc0v8tq%pLv8ZJ`^*-diCuTNbWHV@p5R+2@{X0Sk%7Mbln(ivzf-eF*2 zr->g0zb{P`2&mrc2yJ(fglK;^o`*;d3l|+}6n4%DzGIVmw6QS1?mUjh$0$swOnK7D zq_thi$U@#cczx;uRrZsKf>+8X=+1-bC-;RDaPn-Lv`yOVJjnP4-MsNj+;!oK=DA6X zqLHZ#oJ(TZ=$u~|trLg}JFue5m?a(x_RerSW12DzMt|YK(Nj1}7n3BgDvRbay{}T7 zk?1j_;rcVIk^&{qcwT6G-H(E;ZVSpZ%SP^^yNXh5cUq=QaL551AdTt;=Q2}BJBWwP z`+p_1Og^oIaRRf?XRF|FRJ-sX%MBYa(@ZSPJU3*U8=d<#IQaxK>4Nd?Z^o`)%-pZJ zg}^7AySBZP<>xlE(K~!6QR-*%TuAVG3|%C-FR=Wtx$ebe=BaLUR_loF4C#2Gn?o@X zLxJz|SjdM`7e_bx;F4`FxHBE>T=%t1fsyUqXDuVZ-fuBisW;e$8(hls=oKzKhJulT zO`IROx+h8yhR>d?Xt+EDV*Ka^yg)fCIRUjyxGUZ{2G5N6ZL2H8;lF)aQlwfojt^4n zDLU)SNI{q93Qgh)Mz)=d0g?+a(00eqo>TW01S7+(E3Hu2i(Tu;F~ec=1IRL=iwG=- z=N4u~OVhD9gO)bm$Asimw)D@NMS?H!?c-r;7ARq&Af2Zo?+0>lh6dbO@mvXeMoB^Tg7ZLga6WgK=CHg7`eblaLbwRp zGELM*NEk^5uPDIk&NMP)6EF^nkE`kG}E1%G0 z-1KBfKp*po3Bgw(*TJ|L0;=tH3-S4|GW4?mj8+!jVEeVqNjVHm?GQIc2HO|^W9;aH z{X{UJkyDC7i60uB_~wCma2!6@ftB_?HoIl2#)Np()Rz2Z?H+u$-Ps}k@wdJX* z#}!#7vYC@QM(u5CzuUF#X}lZkiMeAb%QU>|2~ERi;5Lt*#pX}U@rky_`&uR@llc6b zZCuObc_UhMd81pl^D}UF;~BUgi7b=ljo=q6pRk=rqUtQn?TNW~zAk)Yozz|Cn@`K# zgzX&DT93UpMla`f5+gD}scCtmtcz#hwo*=tlOyGg(&(bu*S2%Jjfnz-odHg7H{|6b zYp2UfdyHu*ptfNX4pht=khx)W+F_a{K^KFG{NiM@-&FXmD5}59suT{Ae+W=ghsMLsnc4B+b$4aD@SJ(c zn(cy&j=ZM8-P)c&f`vb87B#(aim~|K9kgiENmn=s(0I=K{eC?OsN;GGIN;|0sL=P2 zOcLAXiz#q2c>xdI!V>plZ1vf@c$5B!{y&BoytUWLSWLKfhi=vq`NLMHP1(K z265>mck#;~?j*)@wudmx7BdK1A`r&nxyHULc>e@_yHac!KP_c?h<5W{84ir$8J+ z=O)yG&8JON|+RC6!3f6)_D!~0BL z3x#QNo(_U^Ct+fz0`8f4yAK&_h>C&j6rFN&kDQ#*qp|Dzbj7@PA@^CWV}T1_)}~M7 z98!ph6`G95?)9DFR)h=f$QQ83E-?6ME_@hRelS>*KsgNTFJ+mG1DlW%$D%ZFul^ zne4c^Ou%?;0~VIIgS^@z?{4O81^I>-EjNa2$iuw z8y~sbe7?>Pm}uG4crI^l#rHW_@IX@URvUv%D-0=%=?P-jw%U`K#0CMOJJW{5I3ANb z#gt^ujwc9p4J-^ay`e$a860=6@hkX|0e@m{3s1~3%fxh2dSb4@dZUy#I@-@No!qi? z61DleOn73BS*9D1J3`**p;y{3EnR#r9*;Y-1vY<|X)yGaPurH0Vm^w?oQ^izT+8IY z%f#pF;&++ VXJw_`2W@pxkHJf4`tdZRnJtjJdxFd@ryH?}*m@`-Diu1BKkdI;=^Ipn0a`NSMn zKV9U?Cp=%rr{&^_xtl(lnL_{}v1@YQusxHkPQp)niSH*iJtC zA~LzzVGuTNm^1Fs3JLoOWd30!+XQ(K8w8>b?u2}C&-j(#NiN*WRgavlfa@oJetq&{a62I z`1K>Fifyioy%DUP(|3}1C6itDV#qC`v3`@d=^0^ zj@4>&%5O6Kk3e^kRvO_W$|7(!X>7@9gv!q^m$N37Ix?^!#NV&K#Qk!gbT=ag_tSZB zjcA*}!0_&|z%Q_%UlwEa`T3AsgL`w7rYM@f_?@LF2@5BtX>R=J-Gw1%vBRR%_5`cX zGYHazBQ61l0b)CI!T)KBh+IGL<>!C%887wjB18)>gJ_1%N}mYPFnu(VKAZ5C4my|? z0CxUob@YQev=Y^KW_nM-lJ~d_4sBbPB3yaTbLIZmqCE%qXee$`@&v~y@ol%?w^!D;4@Pr&SJ(rsbFpF*lQ7i ztHpW67KEu;9v1`$p^8Ej&G#Fs%C4Sw&> zWfqxug(#_~(6Vts_{nP~h%@6FKBBe*Da^N^g}rk45+O)28xjA z53!@7sO!yRNep&C#j^bG-|$w*{BgPbU!>;AyeCt)M}veLrE~`)1a9DW==lkXbHxVM z_(cHGXBm%&o|Jy_0}-6BPQp`Nb^wD|ljGnG?AO0xX;LN}Y)uX?Kuka&%VfD6TP{S0 z>DRxRbzm~bevJsZ5)ZrkF=4xaMV5)j>znTn-kjp#GGfDY~@q=>)#Lj5!W)E#nW>B#N0_+Z}go0 zFLX0Kgltf(WkQw-7F?Y~!6{qdWY55Hfz!><!chplmNft>u3pu&@o357Ha&3i1h?i9+BB_*J*-^1_`5`k=eZi>9qZF zX)ezpVVz57mszukte6Qu;7MSKbUM(a$trN0@J&(S53M(S>4eJsW@%W`ZZXZVp2+4F z1DMoiXwT^Q$p2%^!Uhk2hh8_NA-CE;U3^3bTlW8LwBV-~rWwv?{|XmgP)97N(+SUD z^L5aeurxJ#pcLglrrKMSh9l<``w*nsDh?WBay6%1Kwe_!+K5g!P2ibY=J0`sP=z=} zl997istH{qU*?hl&JI6Hwt18m9S^-LooenUu~>yB>NwTt2Zhz?KR0qeJ9pT*jt+8H z9RqI5Mxrw-AFOwu`4P6%E?eeU68r2#0yd8J8{*&2}XM|{=>*P zJ9r@sKA)qEUwxFH=1~Ui$^12ey>{AWaxrO#z57mI)8M!Cjc=Q;wnybdxyh0I!GDeZ z?8`vcurC^AGlyn_!JjnEQo7}Vn0thP+P*qF$GCiag2AzYtvhU!ns1}rXZm*ZlAnn# z5+jH7cS5n|K;ZRDE~#1E7MIo z6sVit3q&309>@RkvzW#y^X|J1~vt3%szQ zJlILoY>gX&@by zc7@Ol$e7%+tETzjs)be#miN^MF86Ol*_x$ou_Yx`Sv>{#jvI?e4@}ZKhHA1C`VC&x z&MI0|nkYZ}LlcaBHW5j`1GM^#a5$SA+BBOws?QyV@jmN`n8G@RVExPpXPU*RCXA!m zeC)SnazBLm^9;vav)m^%O1ftN!4zOw;Vlnma=*mf4(G)(VbE{(TOP|+?}sfGk8hT* zX=}r_i`9*0nSwWi{r%6LcDWDE-0u35E=Dfu$sT3|MwM^>ib=)A+IBvK&!}nYCyK{5 zasDN>Qaj@XgC5_a_z?f{uvkPrEjpWa$R3<)``n=sX3}$F+F)mKPx@2e)oU)=aA)B< zTLpV!_&D_}*51*0sGSMDWlu)D_TS^%&RLX>KYlez_k9Q7no){xdMnf(Jr$*kyPI$g9RI?f1G#>1#cdYCz#VR{yR(B{aIapjb}zVBfA0scH*#w|toK+taEp$Ro$kifHYo|FUn>JIy&ESBpTco#vd7t3{yJPIFGk)gn-9 zr#UC&Y7wZl)0`7>wFuPOY0e3`S_EqCH0Oj|EdsT6nsY*~7J*tj%{d`gi$JZN=A4kL zMWEJBb56+BB2a6mIVa?55vaA(oD*`j2-MnX&I!3%1ZwRx=Y(7>0=0IUb3(2bfm%Dw zIU!ezK&_qToRF(Upw>=vPRP|FP-~|-C**1osI}9a6LPf()Y@sz3AtJXYV9=V4jVcC z9$5ZCuo<0G*2z0+hN`n>soeREbJeQ_v-+uW_RN1?WwwY>KgRT{meYn*i)}*sdrzKV zEUDS=4y}owL7eJ_29xERzG;%PM(tHxN@jsjo*vVO-^V%2KfUg!Ea%OV?00cu_Mw>l z%|E=jn+eTbyqe}-@(Fh{n*Z?r8O$DQvX9AjPkC-*SF3r#pMUI3fAiFf3+qg~S_yWnnsGUQr`3QuDAD^m#Hx)ynDZ6x$%_U zlyp@upZ$|HX5Q_ho$swTN8{n*b(J+?uGD4~A78r#Jb@9L6Rg7en?E}@Tyci#3|2Pk z6P;#9?b3PQc)Il$ztZu-p}%FK<0mS_sgCCS&P^k$C5VbP{iY_W^!}T&+u!PxNm&bD zy?Q@N+NpGwC9k79DtvWyPu4k#@K{gA_j?h>Jln!ej=jg5(I|bDG*u_tGt4vVtMhws z5-W_O&YF`%sZiYOBz7sCw47+;eTQ?jUp8{p5J#OI`0LIl*tyB~EZLi`dtOY;-PCvU zY?%D#fwXrxL7EvP-t>y3R#bft{Z^qCWcC*f)?pQODVwH~7Akj^TweI!g{RJEVeg7X zCnTeZA!#scQ?rm58Cv0OvdviXJFe(zqWsi*J4W)2YTAoymBTbs$CxgeDepR4g!;V~ z_q8e<&xm3MtKZFHt;c+Rp_d~o5h~;$7w>j)&3+F>>$NZF8g#dd%Lx&h&guB1tYrt+ z@w+beNB#J7T)*^G(%xI26FnHW6s5}@Siy}tO!imb`sdVlALTxIA*<^kQJFE>PDW;u zl`?bx!~?&*piQ2J-fP!)N13Ot35%|=U@v{O*V(%?`@DV&9ataHQNNB>vSD+A)%Uiq z)>nK?sKaMI(oBRf2Hx=t6eEnNC$)3ClK5BM+hwDRs4G1#(d^Ru=?w7zEbe_b(E-Et zt$S$@G|4hMBT~Z^1NtnXbl+wCGcbXR2`|x%+EjXtWR!XAnT=p{he1hQx%=;zcHupG zU|*3h4PMlxU61Y*I;y9zaO3*FGF1)(UKn7b3I=O?VE_l4GQPjuYPL)(zlYn~u1!yULGs;G^PPkCm~aH6jyi{4NN*->_Wgp+i7M)QF6=ly=^pzAtwOIAr|g%uJn zeg=khSN{=_*Xee*yEE?~%wEDG7~Y=bhBVbP#2YX9vA)uTPT4eiMsR%xldbF_6O$U= zo+bXQm(14fxM3A_CrQ+lV2UwivLNH+KMKXjg;{=~9IRP=1uyDwGPf=j3pxe@b_E$; ze&^w!3KO#W&Cb%YP81djoz$G=^EXtYHffHc&vi@e3uj--L{2I*v_lkis7%%&@5Q_a zvl9muUZed{pA%HC?#7txegeodb{%rXp(Yg#=%gOdC!kF#zTlwEh-aV$i5!^*UnL+Ddl0KC3=k}E;bvxC2_YG)DbQsZ% zf6jYh=lv~((?!)$hY=Y2cw2tMcYNqrh`m4{u{L%w^oJAPe|_rge&Qn{qO{PXG23JX z+{c*DfXyKS3&A;1ljp%<2Kb$~BNB$jn_~_icSd^9+=tkdjD1MEw?C=u$YAMX!^kYW z*q8b%ra$Ag);B{qwdvs5erq$mY}9~6VB?fGvS49@Timjgx1r!K=arYA|JUrIi;5KE z*-Den+74bC?>?|)fJ>)8-rn$y5G>!8LP7O(0|tRGB;bbZoMDziI}F^K=8L{10mrUA z5JQN_nZ#gC(2`;7L)zVnTSH`m&LR`EgG|tE3uS`#mp9s=bJoD*o>oWv`3M)Ki&Oq{cu3e~dP-}xjE#+JuP=Jo zHH^8!{?)i}cVP12cOJw=agTWrH}h=20X@{@?E?B!RHm4 z>qZ{-YS(=ozk=HrT!r$LhTN_vP;p$VCtv>1>iekfVb-v&4RrtQYpaiI^@g*MTYaw! z_MqSv1Zqlc3OSq&YjZ*z`wuzZxD8FWTPw*MrOp=g{iFXd2j@ge*i3LQPjlxrso%g2 zqsn1j@Ys^^inLo~Hpi(8tJVm)jo&l6@oV83(dk}N3n{;z8adQRbd|fWR zv2o`U`yS^6F^Ao^U3%-;6Dx9--oZf}^5biK5Cd!A$s_-p^IWPA{i+JhMHZoBb$KiXHw z`Bf)!v#)hXt+xuaMNH#4luvi|V*;s+Tcv>fXsaT!2-Vwp`({rAJLR-ZK)_o~`I z@%e@4nIT-p;9hs42yS{fcek5zsa^&!QhW(ogssPK#qCKU%e8#Cam``@f z_{u@GHdw_c*Wt$2{OiU~6MGqxxeS`u+LpIb8qyDU)wq7`N zd_1Z9=aCrS<1kS_>Qx8$=3i$IU7w`Nt?1vCI@Ln7BJz(vj?q~0-xosX-oHID2e{b6 z#w?TTc_ig6E;!{aE}F~9Nxl2~*mqeXIE#V7akOpU3(mwV-hIR~*a7;+FD+RF39GXX zA0?FYcbQyb?OtjC@|ED$G~a-4C1VT0L$iH32YYM;>cR1dR$ewf;{VD|%$>y(b7?Kp z#XHL1P8u{1<=;+9KdH2zUs|evV(#Tn@wH5RVy^pLreZDAo%wf}&er|X(m~cTO=OwU zRKxIL)^$(J4S4?fDVQ{Hww5RCf_bAf6&BRy+P?9)m_9kWf>+MLlD%4bW8*)5$aD|W z@s*sCp0-^o=b`wCw*|bmQ##X}DV2jTzWw>Ulyv0~ap^jpLv8%px0aGULTgLiL!kfY z9S7)S)xkdP<`mo>piLdZp1GANi~Tb`=;Z!wmTb|^_4fz=GKuzw+;VZ77vAeWdT!j8 zUode~efa|_BT#T98vCJz+WbkSZ{~%tAuS^GVvl`pWAKWe@d-|&$ z`4{0w>agx|T=v7s?gW>Env2}VTfb(_vrPW99G{x=cg!976LbDXI{Rhu-tRJf(qr>TVywI2ww!P| z@$y=xq<$ewV2xgQeePs{4dkW(usW z3t=~_AAJ9A(#tGc-e+sO{3H20g52_fYZe{WL(h}&Sby!iS8&A3a=9pS#gog+>UwWK zANf6d>s&UCg^{})5h}P^)P<$@8CC|rq?n(_;9`mVP=$*1Lt3P3TiD=28zTo6FEJ{%W3TA6QbR_%LP>S zc9Jzty`_1itYz8*m_5W9kwuB?KVwnN%b>TPId|ycDY#n5g`AM9MWEJBb56+BB2a6m zIVa?55vaA(oLd5N-@KRG? zyEAqwb{SwAi8s>E-_44=U<5h!xlK`Wbcoes1VQ-HCb> z4xKB8V%5;@+HHb&hSms9xGhw^Vrx{_-^v;4&0dcDZr#xw?Y5rc#3{Leo{ zuyJ%VFiWDg*H6FIG^c&&5<8@*og6hNHoS>qo29kA?M6`0BU?NLUd_1=F#&6c|vr6n(LEwqeJnU|JIZOGB`0>b~$nLBAmkZ`lorW(~K z$Wcc&%~{O3(@6pcZ75CGyNGi5(^ds%MA~*Fb}OTiD}LWgpfzmU&;?;Mcd>zt((+K+ z;jn`IH$Gq36A{Ai4DdVcW}efFVKc z9ui|@weJ(ls@7P+j~XJ9+>X5iyZx57G-!Llmy%)V4M)KS5wwLi!eIo1G!LsQzukLN z8u&gEMTf>P2-_YWNd;op=zLC5qu`+bOlN?c)#k7}jeq%JVc6Bs>mPx)$+kFhJhMiY zTKzJj8FnbXH?p?_KZ#Q1(g(l9>+X3puKZ6SX$rEYr5E3|K#S<8il=y5CLoN9s#7%vL10~ zE{(u$gcifZ4u9K!O*riG#*9YWwiq?kr%#_hh3N3FwN`u28Oj)wm(#A@Vwf4l`BTuP zA#IqGf;LSN+qs)=y6J0NyJ^pzJ2z@tYNK}!YCAf2?mlWmFf#j5b8ZtG+FSo7g0ntP z(k&;fQgBpCTm;8i0l)k+rtP4OCUy;mbwq7r0}wDNJ9mzeu(%+C8v!^E?p=R8 z`MXR*{QlASj;C*r@uT3EZrB`NI^GPscgz7pvMp~keCQfXwykFT-13cdO?o38oNS$j zcH6yUZe-ebJpEMi-N1M8{yOtrJ~8+2zXdm(M{mBK+vd?1eR_NK&i3gGx16%=oyX5# zf8pr)ZLBpK+;bh)8@=I{Q|(z=D$G-%&&$2PV>`-eB|oO;8V^QZ2) z+BU3C0`tO|9cuqG)4uLJwV&Ej@P{vf_U+JorfL82nV-Mo6tvI5?+DuGKIfk6&VAdf z0Js;NtvLmWQA3tDCwg0+fB3V(?6lKuG#RBfnx*y#ZTfx+>QrWFC(#HswQ+hkM{z=o z$LusZPBp65c;0TI5d|DFO@Q6$;9KoRiRB+XBeZ;r&sh2<6DKRGc0}5Ft&wP8!fd<@ zr(N=Hoq^faEl6**)Xv4(A?$?%ouW+#EF+H&=Y~ZIPD_sO&Y>BxfoegI$ZFbWe52Lt zx#R!fj02zP5aZAj0;bSTsH2U)qO@$LjfN!ML1Kn*WSWr9E*n4igR=#h+kz@XOM;R` zm40c_jO}z1!6I0!Sz6oA-@W7`Ojh>QQ=TDcdkU$MnVFcSRq~)X+9SN9FM^2wnCh~1Hus~B1l6)-yP_t~O8fSI9Awn!s7H%tsR_oZw`F<; z;hoMO*BK@=SrPnbrgVxKYS-dh$qGuF@#u(zO+u`l0o7z6-^tIg<(GDb%RaB)nxPKX z=iV`!e;{t#M+Hrm*7j-FqS<4V!k}-*kGij_v{R04NT=CTdWrFu%rY&xwD32%&0tYw z_j3Aw>@Kg6w%Nw1uAK#mm@jdK*S&JmVkzyp6RL}+qvrPb7rS2P=9=vyV5gH?QsPGXOk@DZMyEwW6; z4V&?ipuCPqe)FgM`~$a&5Hgv%%RrISC6*s;_9mSI|6mT4jqz$y`@^fyUi&#$#JXOjkgFXJAt&T&5vaA( zoD*`j2-MnX&I!3%1ZwTBlsQ-4wBlITAY1YtWtk>2e~V@3lx6Ckn8P}$^nBgrTg$Yp zEYr@_y_JXM3A-j)rrK-d%I$a&ek7;B`qznN!ug9Am+5WEzLxOWD&&?tCQER)gkfDm z6mwiCxH-V=$$q3?g!crXT4C+y+_?JCR%@vLhp5JP?dM$ek*(TL$kie&=M&Q%}Tsttu)Ey6-h$kifHYo|FUZM8N+t`=V*C**1o zsI}9a6LPf()Y@sz3AtJXYV9=Vgj_8GwRXjvQx6*4whxoS|+V!+Sj$< zfXew&azH;TF=ue&m3Th5Q>|sH#>DT=kKy+EB>VA}r*DTrC2%cA9fSt`>n>JIy&E zSBpTc-IX%u)-PM~9<624TBbX${CCW0EmQ5ab7^+G2p{fRrr~ZcG%t^9W8?jwTDI4W zUe3o?|2np`LwwOsEXma>;(PNOmlWJ8+$?8UR}mR}D!5u;g`AM9MWC5@)$^i$zT-m{ z)w~RPhjl_!VfTS2UIs)K?eegYTQr`Yo`UPav5&^mk*k{da{|8ZWpf0z?6nTA_Mm3j zEqz#LbyCZ-;5PZncrSQ`JZ_J6VsMyw`s7W%N!|*7s|l>o=9}c8eW}oHKW<9<+?E^L zd*=rBCV6APQHxu@BjFprY<;SGzZ{`m*jU zIKD_En6p(>}6^`0$)sdQ{B>h>XGQ{E0=g#NCnRNFX`GtKGE@hYkN` zi2JPXA3dxh1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOwVf5D)@FKnMr{As_^VfDjM@LO=)z0U;m+gn$qb z0zyCt2mv7=1cZPP5CTF#2nYcoAOx-)1dcZ!*F<>-h>hl}Z#w_HTfgjddpZ>mXFlnP SpLFK>Pa8Bxpz*xl{r>@PBG|eB diff --git a/dt/dt.qsf b/dt/dt.qsf index e5d979b..6cf1be3 100644 --- a/dt/dt.qsf +++ b/dt/dt.qsf @@ -100,4 +100,6 @@ set_location_assignment PIN_166 -to bus_tx set_location_assignment PIN_152 -to sys_clk set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" +set_global_assignment -name MISC_FILE /homes/c0726283/calu/dt/dt.dpf +set_location_assignment PIN_42 -to sys_res set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/dt/dt.rbf b/dt/dt.rbf index c9fc0f71b715f44ee654944ad3ee4802839fef11..8c37523c962fb5bd32111de813f02d498dde9454 100644 GIT binary patch literal 290405 zcmeFa3!EicUFR8jiB5v_nsZ5$oV3iXNy^$br>B>}W(Khprjw?&yKGz3UPNUS$`;qh zsw2HCzP)JKTVgFBBD;&owk&F^h>q)n@xgQpy^K#}z_+8cjxTWB5nRVrk^TOD|8pYZ z=A$}qRAu#TKIddc#5w=-f1UsNpA!-Pdm`ckADB&F`0F3|`fBH{kF54*s#(narK-NB zuIp>AsUO{@mvIhZv7PI6Jb>JwjI$^_ zo^nXadg}P}LsG{1_+I*&lmt>I3rEMFCH>ziDWB6r@yPW$zM1svDJh>*#_6f?@lQ=j zuv~w&c>Esevq_TI*Xe6hdN_3I>oL?eTcphAARh8*^~pO@%Kf8b?t@IP<3T)fA03s) zrEGdNdG!5W13kC*{zFo(@7tr#BiCnp{6b2H+4Sp3UrZ8y<|&wx%JcF&3Xiv?beK+= z$KR)PTzb4GrH9hvX(=6~#|IOSKS=3v>~RzxuOWRtDf`@|?0Xb`>X)BR>7YKk5XeIe!ag;N{k%QcD}n6RoK`z-GC<00o4t!t?)H~DyO_JT z&74CQOvj?!&<-hZs+%%)kY~jm(dbWJUOE+Bx$L~1)E8$D4cd6Pp{oQ4>?6^s< zzRxi?9Cdnl{LdeE^Dl${)wkRn$`xvXu{Z%#|hw(6m* zd^EdN)vvyDmhVE9WH|!)yEmM!cM0>K+wiXp)4R^-$A{{EZGazq<(lIA)4SLVK3yAp zH0&F}J^_=H@E%vwJ8y4@?^pL<$41!y!Iz8zOcmh!t~*`d2l!p9RrSVu`59tucRRLo z_4dYYwSE4M*dCzb{Mv4H4?wo*4Xy|v73XSIJS6rGEZEMC!EUzC-yGWmD*#8k%{|NB zE}U}3=q7;Lo;SW{`KIYFw;hSs^&Jnd>pLEPG~-H_hu!{QW9jJ!n|+!*?gy)CI&Hy= zlv!F@FL(R{J4B;(F?*E-T%Ylnfvvh4WbGN^Y6zQGMp15Fi)H*`xonAfkHvEHS}fxi z%VkT59)4 z%MG=_P`cuCSHN zh40q6TXeMuisgn{x>&AQZm0!@()E0_*}8vPzwyDd!+bW|2CAimM7*e-QjJwE}q>=l_*}8vPzwyDD?V2& zH`D?{=^iOQw|LQ#cb6yT>KU)&4LSXE*thvgl|`w+@izq9DwdaTyD9OjRdOQy?d59)4%MG=_P`aMa^>12Xw>P4}$L0I!YGA+fNBR;MEZsGWobDVA&P^3a(X z%RMyu)x{{v4Yl{eTkerD2@f^@anUV)w;or^FOzS1rh2de7vARvx9qqHi{*w|xLEFk z-E#e#R@!>xh=%QQEZ8N=G_+4jlxd!r)ZQplM3@#Y%5>8^KhRD>ct+nj79`44?jhO^ znj^FD=ho>Qjk%hrhDYRGqD({kq(qr6C(5LiNfBu(Ynfsu=nvS#p06uwnTDMq zmX_AvHjMJBP(4ykUsuH#a|tPyo6B51J8r^axuF&=miu70+|Y=I?b5#u1;Cx?Od7_!M45)!t*T0t>2jh>Wi8W? zbyA8lm3xSpY43=Ig+I4WkJyl_jaKd<23vgLeQt2ej+?MpZm5Ne<%;EoT3{$$@wsBT zp%xfQSA4EmZm0!@(mhgqZfHcqb}3P&>>kHriNyTKyi1hna-vLSEz{5_Qyv5hchn@j z*dee*d#h0H!biU{=N9njbL%2oZn}U*lS(B%H@IUSDc5s@8+dGli$4h;ThlL-@xuGu z^fDWGT;ye*8*JHy_qo9>J8r^axuF&=miu70T!}Kx)x+(8SUkIxwM=`zF?Z=ViJF&lSrJwZKriM~ct&Z!BqR|HhKym3am(+3<+G z%M)`$`=qR8Dr=eg53S-yeOEeS+^8F^{Q-NaC{vai)XhIWxBj5Q+Y4bkeF2Y#@wYRjKgYs-JF&lSrJwZKriM~cr4jcC{|$FlONM45*6Nr^IDPL!#vWf~e~8ahWF zJDn!s5&|1y=10ouYe?IUm&QJKydnm3FY&p-rYi$tsOgI3F5hz7r)M61-#t6?X^!d3 z*Jd7-zbe?@^m|>ihF-9m!*Se1T>D2^xrI}WFMWPYQf|A}U)Gf8Nc7hhr)&Cai(H&t z4eYP@q*;kw$@=w*v)WbGuU9?O7B0hP+AlHLv1gQf`F&4V zY|AZlx6U{bcAV)a%Kcv5YvwDA-S1UIz5iR;Eoxfyd2UhdR#nAvL;I#!u2^oU1%}cU zpDUIdYJs72#pjCUhFV}KUGceMxuF&qN>_ZYSZ=5VhSC+EE0!B-fuVH8=ZfWqT3{$$ z@wsBTp%xfQSA4EmZm0!@(iNX8mK$n;p>)OPisgn{U?^SjxnjAY78pube6Cn-s0D`7 z6`w1X8)|`}bj9b2<%U{dC|&WnV!5Ff7)n=su2^oU1%}cUpDUIdYJs72#pjCUhFV}K zUGceMxuF&qN>_ZYSZ=5VhSC+EE0!B-fuVH8=ZfWqT3{$$@wsBTp%xfQSA4EmZm0!@ z(iNX8mK$n;p>)OPisgn{U?^SjxnjAY78pube6Cn-s0D`76`w1X8)|`}bj9b2<%U{d zC|&WnV!5Ff7)n=s?uuA$GL*|P(S6AG9TVd#!FI;yisj}osVq001OIBGmQQL8w)V_` z7|i9E2<>yzV`6@JY?qeS-*$N%j+J`xyY*O`Kb(1)N(S3*;eBp!%Z{6{SZ=6=i{(Dp zEq4_^7%=leio-ufP`-^mv`^05yWsZ_H`LYcji>9~6IFHYB-^-}-gSF31$_UFY=9qp z&02t|GI*Y^o!<{{GdU9P`@p^rk?#WgOaHE_>b2dS*v^f&H+Gxt^LNDdz=_=*KAhg% z1CZ^)4XyxNUsFH7c5bYSD~o*-e6>9f-Z#DT1MMV)XY`%pm_I7hAFzjgu;#XR;&anh z!9~hEGVUR|FJ>*0?Jh7d7PD9Rw(Ms-CQP-ErhDVZEmA-3(dChLJvZ8;|HD^&_22H3 zz0k_-)AnHlJkosbVKdY|!Nqd>Omaj(vD^_2wGXXWZl6hx2q>02qM`Po70c~2$q@mM z6wCE*TC2J~GoESR!|@Tzul}!QRA>t|sVzKQndg05l00L#syZ{CQSRZeTUEXL(FdDl zVJx@MQ6|NV_D7kX-E2S1QKsGRU1_@&uj@PBf<&2G^%gCoNq9P4G_9=9fg!L<&2ISw+OpKA%)OiS594$T~ZDo`Js^I7SR*M3M_H>sAs__GEomj$-W z4$1Vz_w%j#8JLe9pQYcvP6aBKI^dw(!OD(8q+&zPC9I4b$6RXNAt_LQ`}NN4)vm=q zOwm0Edy1K=am31d>!#7`l&>o4q;s@kR=c|Tk*8-y3wYc&38yw(Le<>*!%$Q&iv(Wm zn`9JmKR|*(d*Mq_fj<4ypv+;#76Y`p|)URDbf~U8x4Jt+4aSNDpsf@l{i?F7Tcm{R!;inUUrPDR?SQJIfFx0Kg}2BD$9gPSs6@Rc zmQGZcYh`@)OWW*~%QQ1~h`CJ8CiyzfSg@~DKM(HicO9hD9;Aa%_QGVYoYa?Ho?KgU zPEL@}t!dko4lStP{tq*kt99&Jk*C7G@-td|0Mfi@Q3RAViHU}EjV`-Bn5<&;##1BQdxj-dQsD(l}(VepcJc<19-)d!V zxBO-Os=s^zoQH^(^kPyHeQ`ta3@I=kkD1y4XaIGwHBaVUu_ z?QE^sp;=b0Rb=V8-&$4sqf8ercP&%Hf~fO%vE5KNd)6|EH{j}iRbe#NNeO!jw$@4Y z*D}58XK2KMQ6|K@W-ZefKd!YRecg38XWiKWd)RuTME+3Na|*)GPQdGquR$$pF~+m}tdSW#o;L0!hEPaBKOs zvf50`ng`5+hJ7M7$3zQ(XzHh3tg30i;tp8(gr9Vc+St3MW7ky)*5VsM?6VXy(H_G( z`UwbKDKzSMKISc-p9?`BCA&m5sylgtOB{(xNg`fr_sQIWB?M!oIW2&yNUFC?V5BGX zxN;Bt{vIUe?&|r8CRMrAmysw9KY&Ze(KD-xd$D?*ZJ8!n?+DwRYiFqc-*0Ry=gZ7+ z*<-ZBIVGxo^Y=HWCI9BN9A9cp7!0CFepZWq$s#f!jw?DO(fCP?XQ-5T*VW42XDz!j zc*LZHoww>gWaV1jvNi@tRu)fIDT>}RF$4^Lk!zL#hH znmqA*B$M#*r99VU3GE!10hd00(H9?B9~Ta)qE*Q}=^$H>jn;*G2w=|k2@?#u=86AVg)BE^#n7N0+)|>dXq+P-=Bl4L*7oFj6)EMZ} zbKWPi*%YGosoX~r1Gc`xQ5~E$iCX~!eZ3piGYt>WCH8-^>B@T4ji{C{?308}P_VKJ zstwOdXnQ21lj*cejiEVBoK}Fjl8Q7$gY(ROhbv)YX%2|gDn~JCp^UM#&oG##EI`+W zi2w#}MwU9@auB?0f^H#`%-Mq(Q}t>8MexMTxyb9jPVhJirnYHKE#6FCIq@KrRPN^}i8t`oM z&ZN?R&<}Ha#J2LC3B=q1)#wL zwrh}(cqU_DHL6T58AcRDxBNbeLfUEbUTA#;6#`P7d5W^#xmhY@O2MNrGXO#mwv!P3 zM`OqWP}#zf5jXVQ^8+Mt;Eq4(Cdzj3xEchtRESBv=BK{I0@99}V3gbk#6$>%2QG@V zAdtn5vXz2{C=#53YLXBq>a3b+R0sh!vx>H-cS%m@2SgNSKAWAIo^D#j5iLkWf)L}W zND+<;C#|+>2r$&mjxpguYz7zHRgeL&bOQT4(GsWt2)}B!r8Nve=1_B&E1qDAL3IJ_ z_K(btx`kuCYGtbBRx z3f-xH3EuYj5vtnLoDE{L0ysV43MvHwJ5g25fBLjwyPQt0NRSb{(@|CdL$&}WYPv%0 zSJoY+y$GZaElMX(K;&c?@vx`eTdmymKy|~f&8!8^VJK%}A3MMehQKoutl&R4Z zbB}*^MW5{+u$D=2qZA1`C~kCpKgvYhs1mjUO3l6L`MMj=KZk&lpRGHap07Kbp09g0 z*e3+s_cWfOOt-S>iMg|%_~kMw$)j~!_LlRI4vZV+>bhq9ZPUYnt$-9mP~9OA#$1!* zDM8UB-hFJbCq)Mm2~F4m+D=o)D_lXOx6%REUWucSW|~rc`~yHI)uX6z2u!!25pPOl4G{%15{G)lb{s8> zlNykOkyFb4C%Df}4Hr9DCs?fjod_pIaiHzUqb=AXS%{jdx$Q5jYMQ7iyDHTSsxD3a zkW676*g0uvh)qa$R%odhI0+@N+>ePuGlQ~9i9&Z*iXfmYm;v~Y}ARp=(Q#-K|$ZMJUg1EI$I!G+wq)$e(Mh%G{V`>88i zy;ekrlVm19#*d@wQhnPg9c9iLW0sAo669@P7L%~bF>|RhwZs{ljMjh<-7CaQAC;N{WfmkV{`fP3Ncp@$Nj4^})SM(qJl$(DgwSV_aa$;*$g?-HoymoyXZU8~liQN9 z)Ra&Ch^m?<5!q>a5?fKga;NsHrL36msS=eoR|}<0EhJM?L)K!vOPJ0t{oz|OVT)kh zGgR&u0NF(>X{A<)r{Wmf)|*HSC3_j0~@mnfG{#vYJ2q^Z%%a?V9CCr=+2Za-G~#jQ#-IT zzXb2B(E@_8w563{Yj+t536g=K7v_5k7l-_`gfl? z14xMb#%;jcQ){xa+oGzLzck8CO*C!YjG%sDhC{#N>AztcQ=gc?zB^HOnE9OHvuLBW z$Wq(XrxNR|&`L2Y)*As( zz+iQ>yuzI*S;r9~urNJA2xetvl?(M|yjriEQryV>M$myM6U35CH)_VXc)~d<_($7q z*V&B3^D2p#j-N-7GGO zNtv7Eq4~v=VlCaF0JLqB!h8Jh{;(RIr)qh1Mcu139xaboq!=t5Q^I;sfixbYw~oz7 z=MbL^6=jp*EY^yLy>SXOqmn8FNjV5*WKmdo8(MTCqu#8*g0cUvdFLN0%G8`85=4}# z;k`_;uxRGJOo}qq=j!TWtWJt3lLAC1s|Y^D>LkUDSe=xywL0m77A)P+Mwx_t{;u02 zRF&2;{b{$BN!b0hOxN&sp?dAi&axv-dN0$NG3$+1*~Y|;&amF-j9$MqzIT21;*EFT zLFL-*J9j&Fr1vrz8z2=AoCs{9Ok=i-PX>7U{MwncmdS5%3e5A*u8nr;; z>&-ID&&Myn2{6CkgczPbu$1LWrwAp^3OdBpButfL0tl3JNQ$L9aG5O>a0L`umhg;A zL?_Vzfi-gn84}}LcdQz#x^?{{PW&%%Sv$*;B9`ecM2{rZV!{q)ZS}&GAr=4`{w+#J zHh`KZfEm9bFa$Jd*>LwB^Nc{C1^bDwEUKjut6lZ8*9euMCs0SmQv72W?3v-@S+KMX zG~tQ61WFuv71@^6q&@x48H6TUSu&>4PDG-D4F@2B(J1~zmi1R$_e?fde~NP830JCF z5GaCbODd3SG4n-LzsmI?Mh%s4^WE32s&VHzTo>vY6Anq&P`3KYf2P=+>l{E#Hwm>1 zp_pwNR)Jjlh#<4`u~Wdc3B?E5M4e^ZLhgq_HFh3!vb9+;0I?z&NreQ^F;6i`b<~jGlY-uR?_|Y*sTSS;4+*l> zP(==>bzESC%WM9lWzpdw2Qx^p6$gplwaK$N#N3mEu~iUuBxO~ynH?RL3ZAQg*2G+4 zrO+Veov7xnuSk@#JpbX55@GSKbj~u^QBZaz)hnM`YmAf^tW(Q1Nh#HM4i59l`z$kp znUoS6Reb%E*d;poxK2=Nd8ZIJ4(>;YnDjI;W3O-`qUwTzFOITc(k%edP?_E$s56g> z>8#FOi-jC_M@6y|t_@LIe8^Y-`t4$ixEQ0YxnQh3x<-m)u9gn{d=Dwq%YBt9dOVW) zK-M$wgw)kwTBo1*YeuH-srW}+!%x=0?N%J6Ks`z&S99aVb$qf3^*$|HO1H}$C;@_6 zY7*Tjk{{qyp(2U;bvCZVLo;UR$=7lvEG3fDlhc*DV5AZDR9Xhaproj<_@@Cjv^s~p7YB=BJ;u8LFi1%b{!ip^Sx21lH@?y=f*(k9 zA+pg;5H?qM+(izk#tk^$d9n_B8Q-R)tlLzJW>0d#IeJU?H%b_fF+ev{D+pFzw`#(6 zqi%kYawLh2L{}#H2_Q>}MesCGS@n6EjsuqKGozNHt9!eNrV%++f9fj(WD3}xnb5EvRsjRz11QK2??|!tC`@rx_``y3D)sxp$7f#uVI1sEs)Hp zT3O+KpC1Kr6Wl`c827OZ2dfDT`DjK^0_ui9C&7UvGVVS-sc~bgktADlW34fvEcc~M zUv<5?i3;=}1e?{?=2%WgYsU4K{BN_Ox)H2t{+vY!`T=z`;ub!z;3T0oCC-chU&Tu+ z^u(!AuwGGGKiTc@ivVcM>FtS>ZE2mu|k)KHdkaeaE z4oae^C)ljoYEau$fG1xGyn*K0*f z##=-qIBRTe)tft8*mr$>>s_bl*5!J2b-Y4!scKeN33D~8E2{vPNAQ*%S5{YTpD|$^ z)I+INf=nx;6$Q)Cf~LwE3XMo3=_Nl?9LHfO@>Y(Dt#$o5ZEc}oLlH29>sy?<8SqK_8v}7aAbDhU12m3(HYA?%gfxs>m*jMuHb)!!M1fq!O*_o(i$-J z4s`0jrkV1jp=UFdQE^-9%Z}_Y)n{$dkb2!i0_6xKsAbh=!_@%dpSTV-T|U`*{TISj zqf@qkRuL{jgQ$k#WI1&sBO)R|%c}?=yg4R5N6%|5!m>0{pGr6R00rrRu`q`kq_F{5 zCgp$A-6w3^WQ+crY+-lun<&1%wWYypbd-PbHF+&ly}MSeq_=+)32M$ik@ZGTaonhS z@Rfe5P0zp)2~w14d_PQxGU=t@7w+2a-WAR|9AZ)erc zG7-lxFuqY@mJ1FZRpO=#5HWKK3^-HfqK)c_uY`TC5K|F&Xazhxls_BK` zj8ditXPG}G+X)?mJ+okFnDC7uNG_||B2*(Sl6F4mjA5Nzk`hv30fhSZM4st0qcHbgM_43U)8G|1DE9cNhb<8x)KhXx z`dj+JH&jbsJ+62JxnlmTZs1p`_ia_%Z`~YipP!7*U0kakd|Jg6$-)c& z)tFyUUs&7aWWg%e_np-ATQPrDmyi8b@cw*w-vsYxG}9A*igI;@xCU{}0OhV^Q}!tL z0eOj;DTjwO?d?{4u$CWsNfxrwxT}(nv^8utuQi$c>9Bq!5XG!@_xfbO4_yV$9;mLj%uvvWU)* zHbroCzz*eAWke3H7LRQ0IQMA=u~<(zV1wsSh?`DLSV(s`q2!2@s=;E-cIWl%mQtnZ zF^0JUZIwINN}os_K$kb7GVYP081wU zTqmxgL{v$M@q^UIP(dw9Njj%fYl0ZL6O5^; z(DvRx=vbM7E;OhLH3(bR8I)(y12rJ1~&*q7OJL<$c z@F|JEEThX{h4xjDs!Y!@7m#3>8w)QX9B3N^A^>@VO+$`LQn^Vhd4TW%zo!T6D_y#b|gik2}iRgM+9n&MnG`V zF^M!c&0d~yY!{)WUsBZuca9=e%7<_elybM_@nGwzf`F5Hm0U!nxfQ?hCspAFE8~Q; z*2o=W$xwyWuzxB?vOPtS%CIwHSC^1dy@Hl4TYi0*P1yqlzvWZdwWvM3?DC;adlD2z z^lsqFmL_M%KUJ-YfuR0Z*F=eR-!@$v{YqxlL6dOIae>=ZkNZ(Lj)~9t+M90mJmx44 z!qhFypFpLZ;vY89h+9OWnhHyivTVBo(NJLuo(3uL&t(NnZEW>enuB#B(%|HThVtyz zYeIHo{pRVril*8+`{($x1{lo9G00RJQ1E?kqDUUkal)7LOocB5uAQnQk{lM^$&iI9DKzTVr0=oMUF6Z+)*ng zrqU|dM)2=r(M>gvC=!BFgaF|_MKI41!1K_N!F>gooq`b}ciY7C@qNuFJ{zb{5Fqxt zIK!Cqx_&wk6E7r$Nbr!z zCUH*)(1~EzEs8c0T2w5JxEXO!f@jRg_>4l0*MUeFnXYo&@L3$dCD6)oOW2B!ZH~7T zN*ixJg$izIH+MdT*!c3Y#L=-$gOY$($f1t@3+E9Zn zzhZZB!|Twn4VEK_d##28QD*{oZBHlJUouORX`<`ZjyR}=?*{#egljuZ#wDa|q9 z2JDq{4ZP3hIOrze>cLkj4objtJel4wuI{_;bmi|Fo~R~o=GL%YJG)bF?Cy-XwZ4`> zqobO7XV+U#>|U=}<-Hp>H}|X)t0b~X)bTb}#NFn|roxheO~~_s6F0Y!&DRkJO4X7GUedsE>jhK8Y|+|w@O~%w(V!0na{YcYh#sBA5UopBSE%JU_upVuqTRao?p`y zsAphMxlxy-x8G7#)2XhaHSFVnvn%JxPxE8^n63;P6@s~*`B!tWi#nZz>iR86IZgE| zp339o5R;+UFvGQ{S6%ixrC)_brNsNSANS{9N=D;PJI(v-T?8O`R>;~>XDowMB{f0S z?CCRCI)A!3QcgAC#PWrhhciE>;H+I-;DH_iS#eWBfH(h0O|y%Q?5h^=M5~~ zcu}P}*AUoCs0G^+x`~x+PbJ_h=i7JYVv_(1-Jl__W^!U@fYyhn5CN^_+ff6ZjM(iuHnXg5Ln4}~ILQ9NfJr(2E zSZRZqY>keD>eTIEy8ErR5Tu+B_M3iNQjKaghiLFbe_+hycUAR!_iJ&VCIt4O24`># z$RD7pS@H^3_&UNLVSc$ekWDoKNYWgTE}ArUxiLl>g%L5L)K0i>>1vTnIQ5T`xJjm4 zBoV&hft?03{_=!(qg;v^2@w=7Fr9R$SC&Fa;3~S`@jy=^@)&?fNKWCSKwkHT2YRrg zk#&|5u#q-NyBL}BcYty_s?g8P(U0uE^|=tJ^GLRw`cW&GqH&_YyC4^(Oysd+!Of z8JKv7zh*hI7`4V0MW%B;N~#MsA|;olB>e=q=>u@RV_tP6Wcx>5Am@uQK$6)JrN-6poxqCw)!9k*E)pthDA4rTQmMXKB7E zWEP;mgsUsNpjlzSN+G3iGO9u`!+i4`sA^DhTL999i*?gQDYMiu?yYrDvqJ#;q?nI? zei~ZIj#{J1WMZtOds6AiEd!@G*f6S9-x63W?L1O7Ajuo3p{_ROSyUAs1aY;578<&( z+o?>EWc_I4uws2 z#L-;aOwx;cGU?$u_P_Qctqmkf0+RwN9+tfF%BsUB**tJF@eI&aAyL^bmu58sXpv0T ztE`^?twN`(bdWvb*zTj7_GpkAAY8mfheU>eSq7;tfR^GubI(ouhKn3;vQj#yfGhv);xJ%CZf{3 z^-CX_07FL>0Jq9ovIM)BORx|~w9I`fdpTq4Rj;~}q5=yVvS{Z;do@=&V&+R+E`!is z_LH+R+$2tx^p&@+3;3ZGrQ*|>j7(yjlLdPPt>f)v&NI}#dHym2AwJkp&>OwT4V)5A-qD!nG*^&yIlUnb~VmX)! zV+=QWB0xNH{KJpKS}dM(lu1z`G-YAjGLa|64FMDD7!&D0HR;WAO%{nQ6Kf*L8mt+U za$NH#8u};jKl-nYBL$m~YaR-fZ>!=80&{+Y7f<#PMJ=csUq^&o9 zHyyFe3h!+&Fh4;mqD74+6hI7G#Fh@RmvgmIej`ew6B1k*mI)i+z~7kF}(P|iBd-_rX+o?H$iNIkvZjCUR9xz>2C{IBn!b7OQIy!J@ z4C^UHqlliuWvl`u@Flmja)6OYcuqb~bO~c^u5Y3g?e?-rJb^qxYc5wX;QD7jF!Piq zQ6?>YV~v|4L8JRwKgZGsJ$G60lxBJ>JnxXdUg4zXLGCM`-;AbrJ+qoV*>Cp(T(f>| zJ(y6%bC(a?xZP;A-5(H_I!7q!g{+@b@abvwLdOk%KavwlwJ_>{bUE*Gj%tcc5H$2PY$19%!3 zRlBQ%jx^usx@D{vf$>igKk+7Xl+L}H*LF8~bC@R$3KO9UTkJ>Z_2ru? zJX^(G1K^nFJoWm73w$1@uUg;J>AVy%^Z6pc+x&g8_(nuVc+ElA# z0Va!67k)7LH74QdG+$z9yej267*@2`cQ{$QD1l=;aRtIrla6$XDcUD0ZjmVqX2pdM zzyq8-Raw$vZ>QCMX%N5FNNb5=P#Z%#f%N}>{tI^58z2*=RTBj? z(K60Rkw>t2y9d;_{#stXISNaK%`%d`YZnlnFsA#I3g*tRHaVDngd? z1ppNBAN<9&13l-zcgd2n#B|Ist5H|PC4x|9l5W97E^XIJ=s<%2xG}wRWptdWwX<_! zHzDXgM5}dF$Tt^F^%ZX-R$}_J7xR?hsG7V5R4btbGY!b8n}Q6WGVaG{w6scmL}#E# z&`io{6OqY7tKj4j^OcGTQ^|S9Ocklq8P@zETd6rgNP^U%msy8_|Cxb@Ub->kHd_4& znx`MnegH6po8e18TwC!cDdd46KVDVI4lSpXFK`CuM_GkSM$Je5^XQZu5s?q$ACfMA zi@~C9i~s7;*30bFD9<|VJr4k|1W>Jiaz9{ho?Tib#tt(HRk!!i+?Y*bKrzpa-uVAX zgy$ge(9Cm19{bR202Va<`%|9BV(L|D)dFqs^2nehMUq}_GZ!?vk=KaP&8kicl5Cxn z5wQ?RGVjWxKM#nQq)n~0BE}7GC97I^sE_Eb1OL>650M}7?M>8IkNyata$s@5cqrFiO9WH)5sZ;go7X;N3a(&- zY2GJI-6mWq)e2WxYlAM_KZqb^JMJbh3Dq3=4OlhYKWJb5$ysP5KL)5x9+4LVvADVF zjF+sd-)}rn)oJ7@S%;7xu4{m6leU1P#*cl8NVy~6D(Rv{GS}g1?io`;A}oz!vJNcdoycgt3_NL3{Pdo%<->TnPTaF<%T9i z=$Miln7v7KK`SdJAI_LMh7{Fx(8#*;v*Wo5#^~X76v~C8X&~dC*SxtupwT+SxKv1M2%_=5J&(JEvpa%29?B<*U1JsawIvsJj@C96yx_E z=?0LqDNuMTga)#J8VZ^}*0a$7OJ|VWD$zyyUEjbt+9_LjlF+Y6?gvm(mjyBt4Y3*Z zaRrtPHBQCJo^TY0Fa=WAdAvZr3NQAlhc%urTJH zPvvLFnlKkSSSc7NB4#fmih?vW@d_v6E33Q=Ov=#hNJMGcqE+$C68I|j77ED_mBIyx zi?GheG!VtgCp70-!%fZrEW=q>ID`i^CtK=FJ%mVfi~tF6J*3&JZ&gp?(JGo&jjtUO zX{E=It#{);b4(1?p&1&#QuC&MUBqHZ!K&&M4{z#fc@;ZCezUIgwD5`m9fOm1sL3(0 zt$t(Y4ql|{1x8jqp6qVX76NqqzDhH;^yV)$%Lpxl5u<%S3`ktWFYm^x%f#Mnsv;>H~(O`&o+AzC)f+)mO8Y>4`Am zxl3c~{q*C>#kXQj==_$?s?xVk%i9th&NKk$k7^Vf{^+p_>>Ru!$V2rS*JneF@+txFkab2Pt8uL~)i5_fYQc{C*=KaT?S5?z#)!a0qHLdF z=F(Ki7T11mjpk!r7)oORZm%!Ia7(k%bjG;x)dv$CCj*Pc`*k#94+JPR%~g+m%x^x! zW-^*NdbtgC2p3RTB$zq@;%X21$dh_ZzNjNC&1;(S0SL)JUrhN#lIB?&p3bbOCh}mf ze7dTO##!#9deKKw0lnCQY0Jmunoc&+WU-O7I7;nQ@PTe%y3jcwn<6kFA>JR+J1ERb z7EL!cM)K9Btc{vz-Mgff*5hj)T~+6kwB}I)i7GC=giV2DPO=C&<6t&lI=h~o5zvrY zdRowEO?0@5CNq&QQbgd+3znvE|GJNY!P4*kH)<68#P~yOIfCngfTjCg@WjZne8R-B z043)}doki{%sD^$p1DovBJ*7pEtJ-@h1M}yiyJlCn!`BT$0l2I0R14ES zEZ|I17w$=t>lA}RiWp0~Fj}guSdbZ2nMD55dYz@p^^#;=5VRbE;*F0bwpGne(<8=2VTTV=4`5H9)+ml)t#anm>Y#>78XqovXb>$3p!> z_K*H=A;lchB%D}UTGWP=#2#sFmAT6uVjN`*H%9U@qM~6Wp(}&EqM##C`l}@sBn|0* z{d?ws=V*>^hnjDNg%-~5#;NgEMzd5%)gHfzm6;UiUo-DO5=rp=ewNP$dDhLyI5?Ah5tmT(UMk3MJs| zyFx$#>2~MM*Mmb|c-l1h)XY3;Wg~Z+<*~~yBV==xgGmSN^U-xc!qkvtmkX+>X7BpA z24JW-d}3rFFsh%VvMnkwOZ5h1h65XqmNa8i`&4SI8RlB;7fp3(A1x5W5=0 zf2Pf+EYoK{u?AppeII;v89?!(OEFJc3vp?MCuQR#31wVlq_e(EY|QM<$g&Pu5%G&Y zP%g%>-Xg?&5>Dku=Bz-{o@)X1r*C2F<`sVhkwhx|AIWC3yJQ_h7<*6kn&hNrFY;<^ zs2vmtY;|QM(Dn8;QK@%roEQz7kEKVlmoyWJb|Rj<=T$0_f))EvAb|V!!pbT~HVtq= zqaqlYp#l5(S%-L>&=@_65Ga7Qr>E1Gp}Ku*Q;3Raune0kfVX+9eyNoDAnZDWY76z6 z*>UW1|Jm=BfEY~N2y+uJ(K>Tks&3ME zN()NF1qMvQ0LhT}0Zu>yH+nT*P^vci9FQZMs`!3=7z*_~0Cm(!8ca`Gl1yH0CelQm z#Y=C2qz5U`mE{7&II2<&Nh66B9$eXYS_iCPwXW2CeyTS0>w|wH0mzj|ayx~=Sf2Cl zmz#%f^yB1?RW#4R2Gt}?Z(GvIQ>u}q1zFjGj2uA~Y%(Wz{kp(%Qs7Krg*8kjE5goK z9KLx_o|3y*_Ymo)2~9R^{BQC8Ir@NgJu5qy92E(=0I8O5;e1XX5`duPihmHOW3iIf zvoOASVh)J}dgSjcTDnDM)B|dStcc|3S*|gbBz{c@rmA+dz)4sAM7?+!*|RwC5ZbyQ zdu*zU8#IHk4(naU1aNp47%?ywV7-DT=FkYf;)%=YwenJtMtj|m9(`j?5`iTtN2G>G zim=oOqD%z2RtP7_B;>FXkJ~wBMSO*IOpY?GEVERIs0w{t6IQ~dcwUYu6JaJ4oDd|z z81-b#LzDk<7Cz71?bHoOa-fX%Y7@nKyB6OuyiwK~)2bgWarR&@pqm*oL;yp&_+rPCD_(=5QhOgAR%#hN*?dNb)d4aSIGD8(W$y(kPP)U$l@ zxUCQUO|NC*iMcURCcO)cxDgAB&aQYZ(+%|siCZ!kAH^iifGVzMA+ZB`2cMVy~1okWAn~A({ zM&SEyI6b~^t(xe&hOhp~YIJ@>QKp-TGMyvJbheE$5jWbsj(34M%EUY5FX(+;*YdR7 z6NnoTW%>f5Ot*pkY(h`ZuC9G6Q6|Dq02Mddb(HBeysrnFXW;H6Zgh6M{R-Y>{|eqX zuPD<+UReJ|zn}iB-cP^9yKJ7pI;l_R$vJ)3@L9kA33vjDzTWnK6!GhLs!j6*31hD5 z-_ry=7ORs|C8Ht5q+FxeW?-v=En{c&ge|_BHmbnZ?B!Vn-s=&;dg)hby7g^{Cxe($ zeYX@>!(1ZkPFRe6d4zkRD$__{`pn7PqoX#w&hxjN&NuJCU;$9`pieDQmRj*+jKW$+ ziaw&P?tuby%ip2+1u7{TT^UWDkvwrG<&0sRxIv_>X_{pG5XU~@0aqg;O5qzSPsIkY z^N~5k#tIVlvScz}^O&Vi!{^YR3N7>+_or7OCTzxu1O&lQxDA6wZQHQGtjlR z>vf&))*(V!uqDjR;225VumeO^*6ILDeT>l1@6^jc69*ci)0Q~Vbk47M5dYxI)j|X+ zDx@MohT4}PSm7ioARBzF517G8o@`x2EtIkJfwm`npAsk zgGSD*DuBsHPU%E>=y9K*cE~4{T)kj$QPr6TMi| zCJZi~L8+FOGMy-$)UzIOCN;RNBlB%vqk6023kNBn9|k!{2{J0Ud+n>_H8lhjdJ1$Z zC|r@?h*YE;h(0_bwRZXYVso^9U#5Qo5 zhKnTrGm4b9`t*Lx1eiCIqbS+nXENVV1n;n`RSS8cRH}qbJq(%QO@;ikvuT)b)9| z)vDGHjHxW+LbeOAs>!)yU?5;>L$HzE-_KQvlezl>pk73D)4fL70tNQ4Pwb6lR}crKmM)5&dZrZcJ6{o1+6y}xrrY488lKJ>u+PYO z@2C=;l}RG)v;rC&!#d^;?3d3sSv{j=b}>?^GC=gzmwY`vqWjel11wg$ijNeem!)X1 z<32|-IEDih6w#Q(53HVC^|rJ#$gRy|Es&xwVU#Rx+NcVC&C|IOCJB}`Hb6TmC$I_F z#i=EVeQXUwSg@npjGSt1*;%&ohz^o-9Qls{o?)<@DzYiQ9n;7Gc1;r3BN5n@eV8Dg zl4q$CUSN9PC$jPT1lBuaG}V27hA7TK?kLX8*qR1}OH)E^p09ETFl|tzR`2`K#hQCv zoWhm3+s}5hN*E(+%v{cms}`=hXVu5WxPGNKEC?2BjY# z%`RA=o@?0~MF;WLt+KOXBCk}1t|M7TB*ggP$6c-m@7BBi}7N%5*p`gGmuGBv+{(ksEJ0HWg=H54pC~f&fM06YPE@y z?3ED0@Tnl+AOC=Z3xpb)Q(i8lfQ;iHyy=BEEwL1xu}rY&;z=yxm-^^6Yt_niS_FiB zh&U(&;V%{PKp2f6ki%iI7gI%(w-syWJ}7#PoY;hTE9 zlX_Syn2>_rl*JAdI9fTX!}1)cg0Dhq?vE%dNGW2->uTdJx{XNDh^N|6f?ru#fCRJK z2_C?pn8`m&dTUoj?C1=nY>cyjFt(Z0SnlO>Wt5O<&$0!1~t$fp6pXS z&-pO|#<$BI;-4lH%X1(H^H7Jz+jd~-{j#Sa4g0ey%1_G?x8g^79$8f6kSd~LSYA}N z*6J#uBLZ~BR;#QWF)4Wz%b0jEH~0CN13M6R#FFTwPSFz$dO4Q3(1&8qQM$lcHiRl{ zM^^qO8-M68<~PnO%2W|$Qh-QroOj$vE0j*uBgc*En)ODt!4WsAh#UDqx)*leHGE)$ zaMEig5tAa)^u(%p^HZ*0CdyR(LHo`pf7dX*aX!DFo|R7qr|%jziZZ?JDO|dGEz`|J zneOyjrc-x6xAA%=-piEMGVxTK*D^hOg$UDa*C{SV+-UW6tbBTcS3W(vI`!6*yH9ut zQ6|z12q=E#Q>*IyH^dBMR$_-h?UeyVi}VC?Nw;@Qg<_N^WYxqp z=lMY-s@GkOhh9?2EQ2`+PEZQj(=d75P}vMzy5_H0VU27F2;Gu$ItXY2N5~)p;Jf0u z!SiW7=G1%#VFH~ToOEDABB=0lgF{jvxVb_ANY{mG%DcL$@6{Q?sih_Zrml3zEwNd& zhM3u;Yao!QlF2ZYBvN9Slkv-DIJ%%a?YLY5Vmx?9hJ(A36B3*SRpRLLJlW>e|0~@p zRijeyh^Vs`q{bH+H*6(tKg$Na5P+2Rt zAkbAq@*y-BjdPN7R1N=(=+HZ0=;Fui=w-sft0lG~{h zwDimaE~3-I@!e7|3!c%Ni5v~0?vK9<2q$I#ss|SgK{wKAwS#34=?c+(%JI=+C2XsU zhB_+&i;ypQeknx>ZUeinz2M29J2AxPZW7t96|T#dUeJe%iap zx!3IwVxbyoqoX8~eCmwWP|^%0u2H3niiFKcKY) z)o+J#S%V+<-f9WbcJwKyo~TCa(yo4tEQvNkR=+QCF%k$ug2yuaq==`Uq=YKuXP#Ot zh6^6b6NzzItFokh1eIE!B%`;xhUx0bmwhx@NhPVLMIoiEf!d({01$o<=L+cpRQ(OD z2B|Rwv{Sg`E|64n*Ts!8!8%};B?NbaGis7FaG|PR`x0kD9NFMOMhZCvro=>)#z0$M zl2nR@WRgVKDkCIdG2IwDsM6l-T6Kb5z^eLJ!r!cw)R5j{rXfnA!O{P;$w#7c<}XjA`Hs_f9{FYyiERzvcvg1sPD zXL)c#-6IkkZW^or;G~&o_2LZG1~Pk{P-53Yxq44|XHwxUe@Q0Kv7u8iks4H~y#T`T zbi{Dj2XNZd<6g}M1Vqh&N{QC30YN;qVV%7#3UHE0+?9 zMA5%uM+z;tZS2@fZ&K_*j#*0eLZ)T9!^-q+FB_1XPXiMFs``R>M-9_3ZO1j3f zKrm3|0bqX?k@QVc(JGB=U_OTk;ouaeYYD~Uc3yp?i#Pt#j2~SP3;=U0inrQ&e)WyI zhWlC9V+x0lq5+IYo!LXVB!q(oxfi~$w1!)BO464K{@r$!xXb0h#x1N_Yu7wMYjd-M zQ2_;m6;tTG7o})V%ms2}#)6nDx`T@|cd?QKWw?w(F*QW@Lf~n*bC=A6LmYJNAxXlq z#Z}U#UAT<(5&NuII!@m%Gzm@^ZcP+&=Sh^NGqd7k?ns=@xxm0t<19>!bg}81APXWM zf#J{!)zmR!gL#C`hSH&hwUpfqcZ(#k+I$JLzF1f}1(YBFuiPO-FdFftLUpR5OnRJZ zdIH1nRaxHtO)zJ94 z>Auzd0&gELoU}FEd<86a+{g9!8UGh8BaQ_x8pjeQ20LFigq#+w? zns`;Yl1vRcZpb|WFL5Fe0)>J##90W5D3qmOAFG+X>IvSw&6;41LL=5l@g+@RGNC+8 z@hohaqA7S^-TcZ6aS9f0DT2n6V|sNxc3EBCWwDQvLNtW0rg|?E9JEqNHrS>uh;svY z!tZ99swe5VFxFBrVtL?5J-w;BT0ZTTOA&_NnD;WtL+C^>Xe94tLS6mSlK|i?Foo|y zpp?`5rxcY_{77#{*GCwz1aCyg{UKHd5Q`$DrFU>?X%b_QWly}MNjqfG5-G?@UTQgd zL>y7i*Xaf7G*P1!i5i%4I&xS}T3}7_c4!U-xb4NC@D$D?9OBLj{y> zZoYqmm=tgSe%js7CCapM+x0Am^1H%W%LKMQU-)d^;&gKN`in%E1biJ4Eb-=vxm)3V ztKa^8@5Y%Ye}xQ8N>L`;+kl80k<}bP%lutKy2X=6VEbI)lRD!MHwBUI5ejjm^J_RD zUG1~}ww{>Frw*-?;=N3g0y6y=5iA1)l-F&`1Ag-tD3~j3G~N0HU=(&to2v699n+iv z@(WRcj(AGSm+yp_pNC6Ax1-Esd>d*+2w|r%Ebcv-Dk` zWUir(;seO@m6OS%oOJ~xrD>dKvJjn=16h0PWeLIw0>RBw@T>qpbCCIjKvJ1o?VRfB zV}W3qrNEVJA?cVX>PL|^+~Yr4QP;f25kr~O=&TZPy+{*wCJxSlmC>OUX%fVd$1bXk!|;hRW8%EXdWs>H@66JHDx`(PR+{QYH*?y4=Ex_ zZ33q%asvJOpDQz)GQ%FU4+;i`WR3C>3f0Pn(XD+tv+GHhXXIiG++Nwp|ALRpij@}~ zF|H)WUMsfpHKq#6JP_qh(Gb;19yVbiu2i{F5KsxAVgZq-Ko&oQfgdGnD3*24> zH$XXw^~hyNhJ(9zf9z?&Ds_enp4PfH+s~jD7o~O%Aumo+-W9^g^9G(HAgnmeb)MrU zi_QeebJd!}AvFx9Lio{VYLDB9Ok#!SMkp8Kq}oJAa#M^XRBRyv;c$5(A?B_tWMy3N zu+fD$r6g30#Y%G)m;a*A_!NYssl=QiYhJE}R!2>i3HLY#RaZ*TjMQT~i|-0ReP|E4 zmwnx@^$Le(XZg&OqTqdo?*V0S%RK=&?5k>VoVo8m=JNLG{DYApyJ!6?P_8}yaxY@0 zD28BW>~QKcEw;;3u=z163GZPCy?FEMan?=Pocr6<^8Rl>tc9iDvf6T7H>l_aPWg$@ zc{);nZ9~(p=HoW5&KMF#UEAq_Z4^=Gi{V7mS52UV{R5wo>N6l0JJk%49r`KArJB`i zFMt9EVPVmzuY0NqL8_%19S>2BXK-JvYSK0wdOUWy((mbaer>vTel4?Xu?;{!;i{iP zRj$v3KZ|`j;ewN~6J!c*U%yV1Kjl@HIn8JnJaQFB$>R_l*>6`pd4V0)GKS?j7X%4g z1#Rfpx&g&m?V{~~f7;I)lDTvW3HBiEqL@fRV7Etn!btcBp__Jw%>fF$_sItU4*+F8 z`4}kuQp$(*4&Y!=TMKrd_+b2x{k}b7GD(~Vf`wuEB$2FQ4}(^{6Q?Sq>1>eBNv2d~ zV(2rF3xUmwabagRZC-#3{HM>O&~nK6bT)DY;u3!tM6Ty9x}A-)U1~vQ0Z+!HR7$P! zNr|1-#k4?a7!tga%^)BX}jV0_#AXUL9F z=<|zwg7|P4yERcJJ@)YFskNu(VBgDKyBB$HdFS@Mki*NJ4hQSl>q;RU z+_8s{9w_xsUwI&SKd@uk<&p(vko&c&r+ffthUCz~H~-0@Rr@PKN?)AvQh>kN@#m89 zgD5jInrwQoT{Bu7&;0Rh`4S~*VV0e^$o0xnGbiKcf{&>d6!GaWXOMb@&;H+gg-c|u zmFn9}AGs&h;120hTG)zxHhc50e+t(xXGz`JWBPJZ@7i+vG^uOmabn+eE2-1u$N!>Q zQmJ=gDZP3Zf7{Z_J2%XT9kvm#DE3XQa?`(LiP{xSor#aa_R`ol{?t);91-WzqV_U* zXzWk?&FI!1WzXFNrAJ}Ya_V~2B)uMWd2Vb|rQKfM@6+oUW`MKzkkW0t#{kqmHoDEI zH$QgUYOB#XTm|Dlcn6m2i>uaVZiRIBJfqy%8Eo!{XO){9yRY2YW=6S}zi4N%Ew|9! zI^#sxai*Ur<4658;{#V1yWgwGeE+xh1ut0sZ6Cj23iZ_~%Jr==Prg`g9&7b+i{<*( zm?vK>H;=XYxW#gPYs`}`mYc^~ecWQXzBT5_7t76Ktv+tCT;Ce=f;v6^{p{azF2M^YxQx9<@(l` zCtoZ#kG1-^#d3XX%#$yco5xyx++w-DHRj0|%gtl0K5nsG-x~Aei{<9ARv))mu5XQb z^2KuVSgVg)EZ4WjJo#d|d92mPEtczBW1f7m+&tFm;}*;Htuar&SZ*F`^>K^k`qr2y zUo1C|wfeZla(!#elP{K=$69^dV!6IG=E)b!&10=TZn0e78uR3f<>s+gAGcVpZ;g5K z#d7mltB+eO*SE$z`C_?wtkuUYmg`$%o_w*~Jl5*t7R&XmF;Bi&ZXRp(af{{p)|e+> zEH{s}`nbh%eQV5`;TT{ zg4E};CojY!Bk!T@itoR!%O94@Qu6Vk@S^^b*i?3J_dASV^(&uuXx09TOUYB6>o0}0 zpXDVXAO7-7R`01ivS1J&$}&gb_1jWA^74qRdO4!)AK7v;NboK0AKA z&$<0{L&9)nytCce87jU1SuFLDgK&Z zZuObJ3{X>=<~nVr_Ab7EBUmJ+ubA=p+)TobO3J3w%lRXDo}&;mUWUd522g+w7_(xU z8kr2+T=jMaM3$e9uIIi2*;XXJ05iZFD_)GA6{wyZ%E;S-pdz-SaQroUl9 zLFn~|{SUcu*^AB7!!ofkAM{qS*7x2ZMMOx8M&gfdptWqxBFsn-2|a%wB$+T+C6siq zQXu>IqUXaV!SF;&S8V3%MZ=|i4@ z5JzDO)lIO|r0xyFHP!#KWMzaMYDJE{IZM$VJc`sw3)W1Pdw6;XnVGWC$LT7zvvBt!9k+tU=-^Lq>(LNLEJ~*-J z{0+z?R0x96uE1@c`jaj9Bu>?kgC^;1-=s{M4XKi38JUVsE^JkB7l}m(l1M3%gl65~ zpc)Z?8`Yf$1O14LM3Sy628?iAT$phlECO>3l>V3uDKoJ0v1>u0Rj=r*KV_VNvbU0& z^|JewOG1^k;S$7P?-GSiR>E@9RZXk8F~qT-dK#-LRp*2BupI%AWxNVM)sn0KMmOK; zRp}5q3Xh)jpdINn!D+!T5cEmqbXuSvppp?|77$|<>_5@|urQwqbC2w)fC1=ryRzS#Ss$Ek3BNbGtqw zely?u=0K6L zUnuAEhl~{Qce)Y7N4{yY_MsfcQU@d1%Bfp$M0!<;l1!?sb{Ij<3a>Yv zwV6iCr(`88V?~xnyUD3S?a|#EVkb}3u4$g2{^LXHt2G~KkIz9;p$-OK^94jcKyHcB zs=nUeK$i~S)Z^RLfuM^cy?Wtq4%tYvVVbbC90a;Dm&yH^ye=_?U z>gtvox2xSvzFppazr5ii=%f2@+;yg!!sLM)>*j$I)%d|zuK7#qUk$|#RrSCP{x*8`AdHC2T;SX2(y}OK9~L$c;AOD-^CX%pZ*hAl5dwUvsHZUeA{0;*C)`~9yqbfr^I{v751P1$fiW#kJ=Fe8vlY4Et$n@aH`kRFNp-b-U?WUY@|mK&JZI zxltKPpl;X9!J-7(e(hZSQEP1Td35C?8JnzpjNacJr*ESt>=O;_C0pH^cvE`8hgYNN z6rmQv&ROB{eQM+D6k#f0q5_=ww&27+@o2#=C+#!v8pg}rF4!Vj zt{`C}jc#)8JTVZowY;*CIEELf81->%p;C%Xo!h4pe)OoWAFQ1)Nt0`KUr*hHSd5a z0J=7XT#B|;3w8!oLtH0k7Qv<9Al3GzmU*hdXsBqjcDQf(Zt}85T!OeF8se;ZxVHUt zw>&LIu+2$!CYg8-EVTgfwhFzN5!6VVnnMz+?pTTZO|MmT9>%f~a|QS&hXtot`<}V^ zL@Q>V-bS7U#a!Y3c=z2vToR#lPs!#uX z;YQ0OQKo`aIXp4u0r#sJs+@*%AcL6%Wtr)iEGUL4xuxl59Xh$rs{~J4fRl28s}lcVt915?&n8Dj{ZE#Vp{ayqS3#@EcS>AIp>f!dNH#hX8 z)Y?p%8*)>HR3a6tl%CRqB~TU1tD<;Ii9r({k(W^elX@+!G&NMHq^*^h2n`ft(8$%0 zcuTl3T4;^b81VsBNz4^cG?get{J#IO9((qj*|W~fx%yrcWLgi4Pk9adfFD2}0yulMFhvNchq{G{Ca}o0ziilWcPnr^tv9 zHdIP#YC)>Hb3#0c)RwtPv${#mnl7YJ<^S>3juZ#rf|iIE<73270<2n`;nGr)6^$56 z2;zZtX%yjAF1ji}zo3YsM;;H@mOY-RGwKLV=q(XZAM}OFELkXeh9JD=kh&S2ZML4w z=thN?4G*^PC!S7`Ibf$EXhb*17(L`F zzH&7`z1UfF33(+ZnDD~5Lv~4C>{&Pu-;gL_+637YLo+D_GvZz-X#%z+Iv8?rLAs63 zVWWL$iq4@4GMz((pW5)4-3;Q9kD(7?s+mY65I|bn*uWh;6fmG@Hsf0mH`rqqM-(4L zg-nh>Fm`d&0#K)J7c`N`4m(p7=)R*pd2@lAV(@(M{|mz8D$}lPHsT;kzn` z!8z(;3!wvM3-jkLL#pFhN5>({wAD=C`25W&5=4?^x}e#3?7kz+GEI>QngV?qXh}XX zC&dKObwF$0NXYjc85Ow2G{3-ocS zc;^>&I3S)Ad2xivc#$+){Nm-->w6gJ&vv?VL%pa(=ypggo>L3s{MY9Pa(a17! zaEb!S2~RK>ntMJ03a?ujLf9lv!%K>hSalvM!12vXiII64aXgW%uYeM?FnMVc40arV z1|(TG(xo8)r;h*n?q*|pGn%kx{+XXR5%?0KatKn_NG6Rfwaco%1!YYXxOi|+9D*ZG z5G?M7GI3OxV2qyh_MatxsmOI3H4kl4KD$D3xF&{0*1oQ9SvCKGSP(5@I~saxB8@C$6?xqd524N_TS zbQiNs+^j!bQi-yUy=Hg5`VpW)?q`CxDl}1dj`T3Rf*}ENC$gsCQT)$? zo4KS_p@gdVCk{k6M474(waO+TSENjG)u^>i8_^Olw)F*|d4}S;Pr4I&KgX9{$~d}RTAr{#fC|d zVD!k7S~B*6g)UlP#tPh0|6Y7oB(`d1Sg6r5n|Uh{%Ned9rKa^nuvJyxnvWcB(P#TJ z*XI^ROj!7Dx7lp}q1kn;mPyO29r~ls zGawR#h+SY>oo#ssM$qk@st*3{F=H4Iqb!Pu1~(!N?8r|rp)T~GjH6Y6AXAbXt4f#q zRy3TWeA&Bu9D2K#CX7&}6a}S}3LC=qR%nm`qP`3y%#NL?h~Ca3csC8V!JL$C8nTIIB$~tTRjQgW2qk5sKc)sMSbZxpjkyPTP%@6#7!zLCsK z;_M{OH{v9xZ6P9|bR&q0=FBI~PO_O#yPVG?d84DqM}?de(K1Jh`e${^%%`htF4Jqa z-nV`2TfT~jvb*H`n^?z@BAKAr*1VC2-?B{32Ab0eBX<#+IjJa|qc=JJ#gm_YX46ck zQLB0y+Efsg1ahndW~e9+BTFjWN0zs&jEtyIzm)JeN26mnA<`|yOFbo|K&eM&tT`h} zCp?@z>a5ZdPNG|T0Q)mnCe#D3b~_i`xZ{7(t=$+>!;!MCZvjh&KPX{Ekc!CYt%i@w zPLoD-%;=)GwWMd7Ccz@9w(>V3W$YOZf#6mR-Nt*{VVj@+wIJHA5G!~WA|S120?zO< zV-_~v_piA{q?AOx*_bhv6T&ghdhI|jVMa1$YEG!eq7qGD=$VS9ZFlfL)fjR4Wm+lv z6m)x*>Ge-En76khbD75Bi8&^GY%UX+%rIG&NispmGC=}aCS-zk6uC0AW|>Z4E)#TL zjhTnGVbrAqPqIwTYk0P1C+tC>U+`$OKe!sl+;loPx9HGl^yEj@<5+${Pi&AO%z21} z{`r?Af_qmJsZQV`ey7l$#K&97!+GFkEziZ1F_%f}3G=5ugE2?iDz-%LB6WSBqYuA) zk(vYJ&-#1ExArzJ*^H1e>Mb@4ob_TBBb3`_vRiY2MqY(;ds|4j?|*I5SX9k3L5t9P z@jHUoLHg7Ud+9jKyzqVQEc?-EzB<<#mL9|!xgJ64gw)7&hNTCwMy^MYIw3W3onh%g zJXaz&$-fu$&S*#o)`G5CrqVuPmTB5!Y@Q9s=mxB!Hs~zVc%WIPs~(L%B!H2T4vv9j znWn=Wbn8pxtmFh5!Y71W{JE1#a#%m}$~9a{+C~z4%Tz}nI=u{hZCH<6epnA*v1)_4 z{(Wixfzr(8Tpz$_^L&m=;%OU_u%i4MPG;nFHF96_R&>U#JO7?DPFb#W`A(@B<_sYd zYjZ>U0ex5_1Mja9RGXT1I|OQ$(KdWM{*$E5O50S_rL2pV%5_U6hz`Y3=b=AMkb767 z5OCJWXnTtbSMXgz2`yI7$KsNUH{hd4R!_;DdNM$0gi286l=RGg`G0k)>>|2&!s{R< z+}_pHp;YM0Q)}6S@+r^ja6w-(-^rgN* z51TDg&_2HsBv1);!p9H&?R=>@oJ_$CM!4KL%u7XD3q?+!(L?^T@W{cUjcP=j>~gi_ zxgDvCGS1Ixc88>zHJW$*x=yLa5aLMlZMcv)>uwbFAPo3^$@0fE|C^hs5u(Zq{@0$V9H;E z=_({*vm)w#+*XU^YF5#;b`8RmH+v}5nyV8ysR@WYYr8h3sI2f>YS1*jlmKG;!gwh)eLh*mNgky0nNMRpNH^}7`82+9Q`dY#zAMgU8aI!=r;)i#T>ZPpTqZs-$9YWK zyT_*6T+f?xnMmX_aA7@fuFWKCg@a+w*KrjmqGi1&L(B7ZBi{OqfR2h4yZfr#hqV4m1}RkDGgP=TF2(DR|z_8F(BE?YEJX zLCp?ey>rtMWg{y?XQNGJ!N2^1rkPGn)f~?{4F#fF?BNlyU=f5@8BHKaJ$%N&)q43PrUdJ5gU-XZm_y(Ri^TUuMq4h@Eg zK-AiuOtH=Do95Wy1sS0$d?4s?hes2lqdMUcH1}R1u zUG$D_zEIO$Jke%!r7+aNUjz|}JwY=0=h-rUj<{KDi{ec$TRvHn+^+GXY?sXtPIQH6 z>%^odKIo*qOIP6K-slx^kKlKzr_)54SnuD5iR9ANt^?JO$$s=r=Si+a*8*Ew`-%kG zPKNfIt_>E#hgV>RO2DkPV)`>PVLl(IPyaJ?t3Nym_Z*m)Z26o1Iahp58);pbWjpQM z(d)kGrb6IyeK0p`ah8$`^=!>i1J~5M&*Eux3!Hd2$3UiJp% z5gTqJ<_Icz4s+IoQ2e}~!v>l9S~?|eSm^gD_?ixuKEbPD^WZJks}^0emEN4=4%wZM z4&v9oLzN`=Uhdo+?Q_U8x@QP;1XD6)R8E5Ti*F(mfs49gEtOlcUamyX1u=IC{|~{R z^ROF#wCo}6#NFUnhsl%_>Y*Y^VymSnFnk0B0!~-_{mq>W8kQ>LQqb&Mc51|!lncA^ z2yjYy+17=nqN$ADJ^n}Hk1SL{zxdvJYbygf^*#B%(TR+Q-oZA@`lS81dD`=M1(DNX zLNt;9vhZ`$U|nk6U=AGISh5t2bYP-rkw6tIy#zRPFw4}5R#3Ph7EriprAn?DeazMg zzx!L@9M`Z0gHhvp*5(2oH4i{REi)%p48c09#85)EZnUGcRF!H3lB~#r)?A(mM>~GK zU3(`%D;C+7^}Nj<=wn&WN*tg%Pz+5IY|3x*NsTSd&QZJzJ;qOcQ3@N`L}O=dx^#>` zfCTTx&Bx!6&f99MA~V-+W^{>@*FOIH6*S8;0Tb~IOUk}MMv zCs_TvdGEs*XRfiDCI-;>oIF|)abw~7t*WgLKYmVKz&DFnO_#6KidT3&aUL$nojRJT- zIjKD|STY=f?ibwBG}CFc*;%6qH!ro7?NzIi4CbUL&5Rv7WYxXtlroQWZ<~%X`<-8Egdl+)7}WeQ7HZ z``f-Vacdpbw)wqnRxL@=!Res{1^6l z@1S$CT>`H7D2!}s1Sr|`1+*usQn7L;>Qz{f{0G{SsUUqqs}>+oky`Pl*Jk;-uY<_hWh8~Y^_yUI;J|T22Q;1y1peb^RxIqAOnJli1 zLyWSvkol9SF>+vPPAVRQuPhGc?dMD6)Ip1#`m?Wn?wqSKY7(v3wJA~XAiU(6U0J4% z`9|S4=8y?mZe1q(jkz?-q>F-guK5(_GBwRjAJgBM>p7Qc`E{8%mkGZ!$C*z^Cdtev zSzSC`D?HA8I)b@O+t&asbD2Jk*%7u)%I7k*@@mPn;%4GGvC(GwPsa{=s~Q7 z6FK)}{G+$!gf+O98?aXDnFDefS{L+qlEj6}%4pJRf#4M{XY_y9t7b^UA*9#2;do`9 zROd>&q(-hrt~3Ira&^qr$dyK*RPJ0EbCdkr!Tz!(m>H68WxDISOl5Jy6sh~u=nt&U z69Rdo;jaE&*Ja9*$UWytarN)%bYNpm-Kpy`m3Irz)?xN47Eb39Z-d>=l@I>m1+Yf0 zv`=c}YUD~IP%2l)T#a051WM)Vn5&U1jX^YaI{>EJCT&DUPb7dFdp{2m`e>OA^J*Vuj4n%5LAN)0PE9&%Nb!+ROs&lP? z^lsM3^$t}FSR>a8NbhEiT<=h|fHiWhfb?$G$n_3Y3s@u93P|tfxe~e3jD`fFW|@3E ztR)hrytnR}W!g`csm^7pbD8{fK8SMgpAgf7h(dvlI+v*+Xeu)JF;{$K>lUn$D~)iC zT#a051WM)Vn5&U1jXfV}z@yAJpa5m!BG=GJsmj=5ra(rU$8Ar!Ec(U@wZM?BK&>MFfnQz2Jm_UB) zt|LkYizJ|h+z)+4o@KfTbD6O2*n^kieF(bm*pMksH*GZ}pStwu_!P*W`?jVziDwP5 zI`;&bCcJMPPqud&tj?|P(;$M`U_m9mr_T_E62Z7}OvXYq)@9<_OujDDbFnrP-lq?N zxPjl7`(}CHdI^aqHn1*}ypL~zvHjK1sTg(Xz{99Z2cE996u>hpEiH#`{UY54eo-c> z4!Y0BPX`Wm<}$T{6s~hE`XHLsxzcW^k*kp_jXQ? zyOlBn_5ToMaf4L-geVPNja-dfX#`5;&XqBj-n8Oa)0f!YKkAgvkiS6yIaoI^n^WIS*DuE@%~w+9Q-H5beW?$Ky1B- zC=T}E$6WD|ty{20t~A0may4?L5h#_bW3EQ7GyCVhtoqK)&D~j_rl=ET=9{uTd+p1G{QA< z|KB4wyllytI+rQGfvIzun#T^{iMcwLDbGpu&ob3}h>}lRcagYZ; z=8BJO-GVi8r4g=?tC1^>K&f0Eb2W0M5h#_bW3EQ7Gy9dK-#nePXWF|Djul7q^;a z+FzEb&SlDTQvI_`HIdW0O7v=mOGz*EIzjo=NwIY07B2qlw7C!61DeY;Cvy8Zxb=z- zXUugRXLYV4D7{EEa=pUT0jiPf2ud$fja;uVb%1K*I)c)RR3q0bOdX&axsIUpBGt(C z3R4FtiQMqAC1>grbNS6nePZrFo|waLz}4TFix&%wx^&>-2j=Q;%*E);s~v6{yn1Y- z(f@pZ57^m)B%csx%Z2%Nt8?>Xvp1(kZtwUAH~;iCfnPn@L-HUXUEW8T4`dGn|{uhT4>0l9x6VO+ejn-J4BwEdEX!Z)8uaB+TIjTXbM@+3-kqXqCIx S!-pHbI@mes16O^$$M%1+il+Dg literal 290405 zcmeIb4Y*`kSsuDiQ$RVMKzVHDIkuf~J88|iWROm)!`K3;BaG4)2WJ?gBBG~5z+j95 zliW*2k$Oyv9qt4X=5j$NI1$7Ff6?29)Jy*1X_Db4xpCCUjqmziRsbw2wE>Oyjvb+K{X zl;&}=|B5NiWgefj@3uw~2bnVLe+lhf)(Css&xZ5a|1#S9tr7O-GD#SZe}XmAoPSt0 zK8W_sX!MuH^kQolBc?Qt(%c+Jb32o4a87efe#@GV4|^X2*JnS;hL6#_HfH7YFm0ss z-jCIfCC!7wE|cbCmW^Mt=KAbY8@$3k6^%5v`90Q%yDWR^?q_cv8~0hGJ#X&E_1XWG zHNwtcW*q?EdGO^0ZAeg7K6hP zAu??gJ;BHnWpE%NIB79B#ZCI^Tzan~eT53k(VXCMD9+$oF)uiS``m9d(RJ+Z%dSUj z7GVvpbU7mX1NqT+Li*21@nnoU55D%|NN%r84JGG)%k$-}Y2J5#Zg;IPW)6k?-BTxeyD0OYy;A;{ zVRXy&{LhEx0ymH!c=ZbMDK2pKlF&EdB2~*v@W3y5F9Al5Y200pgL}{+$n#?flikI5Gs0+ur7#4;zktBMc;FT{`hF z>(Ysbd6Z41gGq<~uo3U}A2wr=JnF4YGa3c*IsqFSNAFvwcsj9!OG$^m7AS)otwki` zL2NwZGscN)Ls|P_U7JjG1F4zoW@KKxW^Ue0b>(X2x*3@lubG=SQ(d{5xo$@0#cSr~ z%~V&eX0Dr&dGVULc{A0OOEQ<`TExx#3cBP(!QGXKY#I>Ju#YeVk z#hSU&4A;!n%#~)KRIkptnz_;plha+F$32k8IV7HFKpIu9>Tu zE6qTuUY&C_bEO$5)w@*YTzVUdW35lj%^vVDtm~JzT4g#|m8q^}%4<^dSD9v?G8d7{ zv$dAMN(LZY=Sq}Uty6<5&2Y_J&0J{)O7-fTtC=g!K&f7xb2W3N87S4ObFOBtGy|o2 zm&%-5ziP=x>JxLlY}Vlya?&>K-F&smbg(K@{avQgDpM`wr03_n@Y;VujOIO(Lzb&+ znTiv>_H(ZI$X2abGgq47nz@>}(hQX9)j3x)SDJxRy*lS==1MbAs&}c(xo(xIQ_;Z7 z<GB%ZW^p*+CilW+u6#<-kSZyTxWICQly`H ze+X{<0M5Bu0xKDpaGfhrUbRjQt~A3nb2W3N87S4O7vY0su2gsBdNQml_fqDd{y#)n z-k?=KAxcwMGgmWLnt@WiOJ&ZbH?26S0V@;||wU84AXaQjDKOsg79L!;g)_aKJY_I*CD?YMSE7r`FX1HdqX09{? zrFwPF)y$P#n|sWR2IOeO22SY@jB5ZPsKPGs#rTSs#q$YG1sdx+wUul<}WKC)FS*36Y= zxMr?qt~3LsdUej#%#~)KRIkptnz_;plnfxSJyPg-}S^_H>mP_U1tK`^Lt0Qx6wJwUS*ZN#>>gowmn!0)sK1k+Dbyu!e zuXCj-u9uRUxzY@j>eV?{Ggq2{QoUr()hg3MNer1=zr5A8Ox<_P&HiQa`nyc|;zP{n zbW)?XnU*(aJuz1cISXAwOgLOhN|aZv!{A1%c2a1+)=CN!SAW~JIC1q?af?&8_Sd=M zBU`m%&0J}QYvyX^N;6QZSLa;KTxkYM^)8h;m)=<7SkoIzpv!p%&T=@X?fS%A>6p~D zOm!_&`p_!oQ9708tQ*C%H9cWxRhdj<{W@NRYYD7mTrQQ5uaaY1txnBcX?|2xH&bJBYd`14 zB3>6l&0Oh7)Xde)m1dw+ugeV?{Ggq2{QoT!M&ZW1ZIM!NanmyoQSl2Ia zwaRp`DpUPkrjnXeeaGDF3&u2VeaGCi%M*#`YF!jtuWOl#Q@8eWuK37StynWxn&Fzcnz_;plIqSrx|+FzXRbYwJ^a3VCVMr<=#^X9qwL`%QB zoj^;z>8((D_!P7l$=g5LY!FMnSTe5Ui=})6jbB4WFiMNyQl*C>xY7T7Lg|D!1if`X zTV+_2JS5YN)HtFd6Gv3gWJCpDs`Ojm4V#(6{Qi`&r4AvQN+Y%`yn{-|3D>~zkG>Bl zMCz{D%5P0@_nZZHCX>d!b{^aTrL*8(dG{Bscjnf5ShGolJZ9qrJRQ|;nwKn{jw)RJ zeV?{Ggq2{QoTCoYUWBaP^wqwT+Li*21@nnoU55D z%|NMMopUvFr5PyIt8=bqt~3LsdUej#%#~)KRIkptnz_;plYS^YE6qTuUY&C_bEO$5)vI%^X09{?rFwPF)y$PhHK_7_skvQ3j?wj zQcQmtL47xR>6l!9*P7o$oa!~Zr%&{Dk2THNtFUc0qg!t1k3ha~8XL$DylzX$UrZ80*J?Ykj;H(Y)Q(%<>%rs-|%?&x-Qv%PY+-=4c!w|kE5?%>7g{X0R#cK(zw zAkDX_pW8aSNyc)ehp@|S54MN*KNv1Tm>KEhSn*3`(i3*t3u~TwKjz#h1h`JX#>Ub6 z)+wG&ENlPSI_dGymbRO=V}S8WK=GdkFSzrTdj`Fxz)NTwl4L#QL*}J6>IUZIKOLuw$_Vq$=I&{ zu$COvA?VagNpX_be$Evi*{T(5=1MbMGk3XXF1=~RvDPZn>;Vra#QNo}Y3f?0x|V4x znZKB$=`>wQO{%VCN)o;h7%n9XX&sU zmwV>cuUb-{m@6HVx|XS~WvXkL!WH`x_+6%c2(}!UulO;K{lwA_ee++3T1j%i^WHNg?qag{ zpK}*6x*A+*@@wX5=1MbAs#oV+&0J{)O7-fTTSDeaD;nZ@B`cq5m8o=0>hCfgq{>u( zm#O6WIzBPCwvC?w+=fxXQLW%_%!~>iRO`rupn8A2P{=kii=DuLCv9F{H z%+|<45tWScmLGI8^YpV_NveW%$O4|AVG`(qY!HhShVu`eo7d+i7^Bz(a&9MaMEUZT znBDlskID%#;%Y1W$yRRp;F=pSfo+5THs1OZ&Bk|aHdyZ2;9U#Nsq6dA$FFMIdyX~j zIlL3%wyoxYC-(62g&y9ufVVtg`>xFqq(_h*L3*@Q>04oU58Dv7pWGi^{r3@Eub&0? zh(Q+IRqgdzaJ}l*fMQByG?-1`;XZINSS#-vuG!Qr??L-=cA{_io|$e%7J6do|&PywBC0 zn)i;GtfStKW%=H3$gQpb8@Tf^+udR83S998IfaJ$skOe0iI6FovQ$$&4E!n#H1Uba z=U89_69JfDRc!z633ZYAjams=qYTpX03Mgh1}vJ7P;Smdf9EK24GJedckrt zf(5tAOHsl!n9zcKJKTV)c4Fh@`1p#=OfV5h)sxLE=9|L?BZJqJI=eBhy9xqnn5TyR8A0j+;dzs^lB`iDPS&A(o9(0*p)wi;JQu{U3NIm z|Mg#R$R)jZdeTp4g@YC8h+#Y#8WkriPo|pBujGGeibN12kfcaZh~z@1&Mpf@P|Fb* zi@FPqfC136?u-Y5#!1caITjVo z6uZ={*rC*4ypwyVG9ul1FpP;hvRQ!MQ7N_%nS`bnTj9bDk|klON197)(4mk-nqby$ z=bV$A^xI7n)qr*>C)y)VJk_{c4JnF%{op=0D2#pv~stMp6B9WnN?qxV7Fa{@wjYvXpl%Srl zXhC3Cr*ZWX7_bn6dwO_E^9&Yw&3>=p`CBHu*YG&rg7_?Z-C=Kl7Z?s6c-;|u3*rE8 zLEOG@`kLN_EAVo}EB&2`D$N%p@|}sVKGNr_6mj>=cP6IqHN5uc<9iJ^aX-3aZz|m3 zD-7>Bb_TB)Jd?cF@R@jx;nvuD4Y%=b!)-|41ZlnjQ8d!GAl|fd+txpqw;@w z-MMm3?sRiM>>@_B=Ssk{Lh6x-w%N|SOQrkK zwt9o%Xq&SRe=-x4jk8rEc_$J(8fiG-a85|4QY0uPbk0b7og<7=sKFXBK``s&YD|8{ z6?vtN(Kj}}{JqL|md9_nlPB9W)7D93WA14I#6CV_MPQ;O%SmppY0lfX5|2W9M?^TG z0PG3ciyubj(LHM*P>eS8lN(2>$rlg4Bs#?kzWE66horpD-RF5|uE`2p7A3xN$My5f_!iiGl)0+@`~e7P3-kh(r~go5J{QAw-6JqfeD48k}(lg#g{$Y z45)ua1U4b110jITb-g!rp$o0c5P@-`Q@js`%?0T{^4~;RLNND?fuA*G>%~Y6$ng3% zN>PfDQdWf68DJvXDJ|{^$(D+PpmgrpzUV(`ZzCs&M5<(u*Tj?a&JCD)7LxPWV{_C+ z*^kpY6r%6^6(lM~Ban*esS22=UOa^>(~o3{)Qj7qDK;<}_FJ{@bnr--GjB zRV?F-OV3yVR9MyBh{#7$Mvm@<9C;CnB3VKQ&4VvVSPC){)3j(q&;XPZ_a+$$nLXng zEt7S*TqLu9$8Wlj<&S|#FjPtuHF-)%$YZB}Fqf#iy!DQ%{fGs3PWr^FgSC(!q1ZJi zCxSCZaDBJv_~d>*Dbsz*znW|$P&wrmvKTZKDAQG~H~uATFdKPBaGlc+Nld@UA(DPZ z(1e(Y5v12GiH-zi3*?fqcPPk-n&|w=bpq$%`G=Qg4HsgaaFB$Y#p*8A6!yVMpk(g$ zVVja3ip$>n?}Ix6`p;acB!VFz_vR8<8WzkbN_)<5+c8S8LZg&Q2hup80*~M~Ve#2V zMT1f(YT)DR`0?vE`H9a;3<@!*8=(>ej*Kd-4_aKL*{fexOQB1g=+_B0OkU{f`@MxVAn!!;t zIFvD!93XE@#&#Bl#1^9xRImK(4> z0X3sXOC@OVz^k!R0#%?%RVI>6`m|S>T$)v;!G+T&j!NaV1QuKXCb`{brP|?&!j<2rH7Ed5!)W6e_wB^IS4ra zcnV6x*z9hi62y~}!?~@MAYSJhOfQpO-j zaN%Vfl_1GCkqJ8yHf@17Hm?7Cav70CXZxT*q7JpNRVER#F}4m@!(ayxU65mLQBfDW zCM+;`W>Zj?Bm($B6l=-G7NiV!N%YP_AG0Xtg7qlv!XYRj7*(c-YI094(G<2oW{BM> zl)$W+x?54I-!YJ?99$Gbux1xm>C~>WA??aEG~`HSA1HdP$ZL+$ejONNqS@*>XZEDHo2xxYeBBe9OyHs}o&?07SK;@7hT`PReo> zhzjTl)FBd0>Y1%FiXD3h8DpwIbrAN3I~c69z<7fV6-_omV|3(I6;k62l3D=PGD9*)LeQ z93-CuB4e`pb&qy<$?!PbiuB3HgnWs2_`SqyH}Q0e3pNC_$IK9nY&;VB6-3@;?W z)~k)~>6|S{!O`B>nsX% zF5ta-%>00*RNbpp`EG3lpJier?1ZTje|{g77(yOK#6&5g6x5q<9s!x;(H3qNkLG5; z6>TuHX0ci53Clk+m;?S#|IObe7R*Ry&LZkdEP{ws+|exQ+j?X@#Kxw!bZ?l4qXJ`) z`u*FkLXMC+WR2_|RzAU`9K+G0&EQ#^DAO`^$B*`47nz_jdVs-ZaNTjS4Fy?l^$e;a zFf_#2=gKGY@rO)r_jj;j3>5HQ_g>UW7#z|Gr$aNb^_tsam5Jo$fvaS75^7Q+eGjWlS2TkUzuc=#&FFNmf8kW; zDb4FCjp9>pa4(IhZL47QQDxe^8}%+!nVK*9m&nhRs4`*GwM^GY|q<#14=~Kpk{Oe8*5V6+>Vt`ciCE|(=1d`^7gG=EL%Fe z$zoM2M67&z-!WlCX%ws4bH|R+A!zv68h+9BJT~IuIRg@p?rPnoAR=w5??lE~I_s6If(>B#*-C$I%EhwIb%| z&zl(ssj7Of3m)Y%n%9-gYJ$bNB9?oB%sh#Eq&Q z{F7)_-8f0gkN>fvk}l|`dH$d&Io&ru&8sD?m?%5fa({};*~||Bkw9l+>32h2)qo4R7xwX$$ zQ5Lx%Fxa?No?cQy9JwGMI>3Y_qhe6>EEyF!&|sePO7&Z%a!(pjF+~C!h}%sYyo!>W z7yeuST;$B0npM+6hkn))R!W~tmdON`aVOboGooLf#Wb|vh{yTZ{Gx$8=*g-U6R!!V zD(N0f$%93rE_vw(B#3Jp!cJnR5uM1w5sWlx-;|uKW?AgWPUZFf#X@FBvO1GbCm9L- z4^W|Fa^VnV6jdz088idpT~r0)jzL<8(hXkxzQA*jev4qoOg=*Kj3PQ{YHXs)Ip-mh zP8XDKh3iABqHfYc2xiP2zdpq_XzsfMnDM+P>LdWEMj)#VI|D^T9eOV0G|{8r_oYq) zgW{PZ;A+B{z<(6aLzuzDL<9xGPAN{;+?Yoh3$d%l{b+QIT!q5qn@j)&S0MoteslkI z<^o-?6M%%4@;h*}Ao6BDF#<}MO*~P>d(goI3qWVhNArl$V5tW~yWdh_p z{aamH`#cI_adSu{QzW>ES5dO%PD_ND98!=DfC6Q~DNh7!2lk-(;-A5@aX z1a~5Xoyxw3B`}iJeUK6W3$6O$Wtl^0jI zhZa7R7^;{y1zN!QYQ8ztFpO_KCa*@g*N}&IJB0!;#3m5wqNG3oK?N)>l|w^nj2yY& zSH6ZuAvBi3Xaj+PE*h#N7%6D>F<}-5x8EhspeI!(iH*C>O;(v=to;$6GiGcj^F5|TVI=fQ!_l}|t!H!VpfAdmUPg5WDq>tI|A0o``H ziTHF_8TuI{j9L<2fBQA8Nog8b+F{-p8FXLt_pqZ0`te`@qo(AI96dA|(M;5d9L z%N1H&CnmOJSaIHRptbNMMp%ENa|wV9P6g4Iy@n;B4+j7E{|!&f?cxZgKQSjywP}^9 zFVDbXR-ww&KYNrlsln)Kta`!&Z}JQrpO|B*YEw&9k4~ygB(o-Ul+xRj{;*2R(|D)l ziMgXzWg1-Z*rwq#aJ{WF*z}1xKGAlKu4Q5|iO;{u#(kJmAX-o z#WQf5R+Hl7u)2|rF3?K5qa9L}1%t zni(U;AS}H&$?O*qek(Fj`WI>L)gzMbl3%Z z0if`BgQ`hP6f~kq*^%klE4JvF?w^gaYia;v2sEXnK~hTwN^BU64=f>*NkwLfy%+%z zBP0aiB%Rbt1{oruk^(9Y4o#sw{h`{+K9O)e?2n|lGxDS_V*R!9#U#k__6~@g~ft-j#F2r5(I*n8WUmn%KvmF!H6(u(M!md_zalLPP1XbB+>+p8vNk@mp=KG0ds!t zI$?LfXiY<5KiZ|F9!E1yy(E)P1XLjs^ch2Ic{$hJ#Rcq!N2%HO-UsY|R~{c0_#wo1_V3 zsyexIA|S8i=v9s}SRCvoPo-PF2&~mMaW2;aK*=>hLBz|Dt;-|%kk7fCya-0;KG{>4 zHi(;J2Q?U@vx@}9;{K7Jh;Rb&V3L-i39N&`Z*AgP6$nxW8>X8MB5@T_ZiGb?`9vD+ zQ%$YN{soUSM17joL|`18CW9c=h^xq{fO}@%?t{l3tYl!LphBvyk&`lf7*pl8i|1^_8GnOc!vk7tmu240f7xj|52%25Slkj6*uHlMH417^15X*}gO&%yUOXz)PHyYxaJQ5r)EY+3?arKQ(mCUb*; z;7+8$5sJp7MlmH>v*QUuSxFWKn%00Y?F^1PmH2i1$bddEw}~g_SY={4$)1>Nu-?e( zMq7E6>BOd#lPJyKWx^A4tTLTO?FeR2cs%aZCdm9VEZ%ff~Ao}lyF0Yfsrx=mWdbG1P~c6^2QVnNpj&QksI-F01Apc$iM)m z0vj9e=0#XqlyXYw!OFRE1|=i`VHmync8cEpslMH{#pncbGaj2;fcO9Jt3wC?RM5qR zlYSi|!!~~HrtL%)Q-YM_BT|^QQ^7=>!Z=9#{_bpvR~%#anD581qL*B-$HwNpVi~E+ zB5pQw7Kz;CFqk$)#29x#T>(9r`G@ny-~158D5D$p`bV-hYO%Qx0M0EVt27Wjmn;!c z15PdZOlfLcuWxFHws~Pp^WHR{l?|)fO!lG_@eUdp0TKy69HzrmY-Az_8u9R`KEd{1 z{GV=DkDMa1sVw#auvbcM#AqpzF0&W{CNqrg;&f#;yOT7kf9DBGv$=B=N#z5?Wx`nm z5kFSx#VEhY@IM08gsn8fN0daMWWw0YsE5kWFXgi*WR4`+VB+t$ZdJS7n{3ZxP&?6r zN;obGeaUx50zJtE?Xn3er-wsQ3GT&^O;Mn~`ok&9n28aQ6f=7CYC2ZMprcyd|u<+bhwseDb)Uq(MU8*f6!^J0v*)v;?hso`Pu5EFpwnU`s`uuBy zCILK&p}2Jxky%MGk=6rG^M-R*?hxc4(~E@&aW~d1BtLitYoyQq76=33_x{i(fksPM zCT^hxd?pve0#uvGAst8}5h!G!U>(NPUv_n1$4Cuuh14T%*huG1P6}@RJ zMxX-)+56E(;E_CWjXw79db%l3I=|7otJ?^>0=kSj>@M zBSNi2!;U{DEEh1ZGVyqIli$;Zm9zgm1P2ZuN#nC~jNdNDBoeh=j)|}~Fz6FbnK)UU zgi&U_hFbDdL=qgo8)4&O9X)t)p;9DhP#T=?o927*b6dh>CIDf9mFS<*&k9vXCiEFN z8ju zYq*G+G8R3bDO5OQj&9LJz#~!;sq~J#F*w=5l0*tgDTgsQ)(!Co2r<%xGzP@rNYaiV zS-NkUKYbypO!yrkRD!I^gpyC6>y6H7B}nT=uTHB>C=g+F5~^xiH?q}9s2j;zra|_5 z-lyf)zxlgND&6Zy>l1TYWs;Ro>92p^_eWgIbOuk$=@WA&WWCWd^Izy@c?i`YU(19l z6EwIwiHsdt;3Ut$ae>oKkmN5p@%OxCb&~ufxU78AwM-;>1xtSYJ6k6;ge0GuleJ8v zA7>h6!&<^tzl=z3LzPLeq;AB-CsiiE7`h-WXNF441fwke^ZYn$bLh22X~FT+-}rN8 zw5|UQ;SBF3ToHDP_Lw8l1Rzchx)iDaa@v{ijk-a=&`?nTFLp0 z-er`UV6X{HEE`on4^Y-M3qqEi`)6;$9z&Yo zm;EzpLcnFjkcWjHf6ijxNtH$YI)nQ1#vlVs<4>)0kMu?Os}-`o+Ij;4w!tQzAK@kBB=A3zhEAU(nHk^e`Ci4GqA zcDXpD&X-<4U35hIoAUo{)ZnKVrWwv?{R-z+(0eTC?SyBr;W{WpXo?sukc;*otXGU& zH>8wg?+oju`s16z(sayFq(D;!Br%{Y>F5hNa0}BeV}_Z-|_Vh z&EJcWF-xLvRCWrw82SVZzKrIqKv&?3f9-_!g#U+;PP|MIhm#E#fjVeF%A zAyJwu2$atO^hjPZ5*xB}no+Xo#G^)MM%tbXEHQ4o4Wd&P_lPbW3(2%CTon;%C@RLI z5otq38b-e3$FN(lAqe;5@wf%h)qzO3FVI|vd?%Zv=nB;tZteHK#GyrdS`ur~o0;%@ zbbV1gr)7tbvuNwZA2f>#bhKidgF!p-AYisjn5sZRcMGPP<}->GT4}P>SDv`wUw{;w zBrUO-5V|040lxS8B+>(ew2q)(ob{<3!;c5cK|FWxWUO(m!{g- zQ9W(s$_w_Lm|WXJ5I+;b87DESje;YBWgi?0 zAS#&=kp?-iGw#p-NQTafs4+Jkw4B@-K2AM}H9Hy&HLB2Buq421{vE#UoI#89(JRs7 z_Z@s|MoYfwtx$US6to!0+dtZD5KF#TGOpyySj)I%Cr2ZKQCb9-Dm@IrjsE8o#O2C% z!P8tGI?`YGmvd<YS^YE6qTuUY&C_bEO$5)vI%^ zX09{?rFwPF)y$PeV?{Ggq2{QoTCoYUWBaP^wqwT+Li*21@nnoIC8y@%O;O4}wi_PG00kXo9Gc zCW+kkJyXSt8I$}J8T-2bqeyKYr94LaRm*Wjiuu;ze&9(R!jjP39k9;NAdb1ALbrYI z_jW03gssH0G~7YNEYq;>m?|qTVFpR9h6D$^7W&OtM!_o9S#(vv(I= zggpfBCwYy$2#sfoyp*3=`p~@@dXbPR;obE^%Z(>>lfp$_zUt>n)YR>~&i9v_BJp7I zy2_F;SE{p$kFQw)cXC9uLrS#2@2di$f)hk1kb+VUM9B!vaGooVV}JJRk>&>VEfbM$ zkh86Kbh>kq2x69^1gBj?(b@ZNQn$Yog$W6UuU^@Yl17oJnbIO0A-5I#j28`gc3B)dQ=klgDSIpuaWJ2>8VI7Rv; zLlt$l_rZX^?yN%&DBrUrZ@SK`2%EdH@8&_#?1zDrcQ}C?2n62xG80R>+(M2eVh#p- zPGIj^-lx2A*rd>@F*AAYeA?&%I@8Yl-jx+$y^nus>c@{kk@4M0gF9iN&e7mf>@V?OE z64fqzlEx4ZlEuC5Iu01FZte=_VU624mNpw zf4TI;L@K<8TXB`9s>q+mTe}H+9Az&fc;WLyaPHQ7GTenkAnqhmbu2+js1^h}1{bBp zc=7JjA2n5GLPL?>UbH|z+$0m?RB*i4P#DS%@3mBcM4C~emF2BzzU%6y8O5+@N-;tq zbCxOfg%A=(M_PC=Arcm>SSr|UJnjqdZuDM!H~N)*yc@kaf6MjqqVfx;@y2t!o#}!2 zZuIkzzHkcfMkiUNM~U>kDorD!Td+}@Z&D}usz%8-^p$M3U$>P?r|(98=AYtw_SWtW zw@tk5yp3-=@3;3H<15hl?s9)OI$mn7??vbP(Vw?ThP@j-m&Qxe{oUx4HKKaPtu%sQKRf=+&^%$T$hCQF-Td3DJCwwvZxZ^oP( znHDVvcfnF1rEC{VX|CaW?a-oh)iV8d-xZFS& z3l;*=R?(%tDT}3DVB;-bK2- z^Rt-uAx5@CZq@P6{c4A@K8`WTc>zc(b}@6wp_+}viGq;;;fUmh%}!$OrN5g6C7RR@ z7VMiyO3F1>h`sISqwx?>BsnyLeF3=hfC7QqP9%IN?PKzl$=r^4kKcgCSceha z=$F+Co#&f{6QhdIVFX4W?+S1D_75EiF$)A7!Ljq9Kb-LX%Tp%%@s9|PQbKoRvdRjm z4;P!BHcb&o2u>5#Jr52uz#o1gB4KE}Ip*+jC!hw+y|YC~$cMDM_X(*ZNlTs^Mke9; zK9yfF{KVIYZ-%hb)8xs1vu7_Gl|&-2k=2bPSm@vuH*M){DCo<1<>l9YiY{VQgc#4J zO*+9fc{bj8V$%SZ4nIcT@Qo0p-e#eoyFn6zKo}D8hU%Q;%tAYiyfw`?e!nG-t~C(J z5HlwnNvoxn1R)>N?m4(ML?!49DnYxb1U+xAO3-3;qs64tD$}?AcGIv5v?-OQvzt3o zH@dlhB36P}Ws*u0>PAv!TC8rgm~>iY+WozqFhuQUatca`X;-G13SidZ6pW*aS(i?{ zxoT3u)tu7bp?CbjsQ>|!IW}btOz^Zi;A2Bvl+KUY58samTyfY*9|=E z)vgO2e+_P-bS1)7fQU zXQIZ$#xjSqVQo%`qyIj{>$jnHyS0+KkvW^w_D}!q5S#*5u<1}Q4!Zkl^XqxTsB&26 zJT_Bak#vj7=Ez(~w?>)Uc>n8dEt6K6&aPFJ={r9i*E02FEz=n+S7I><+dapmMAThx zv{>C}G3m6*H2l?gYlvAFC*IuajpD3JC*E?_GClQv%(*z}re5NvGSd0FTzF&Sw#Vfb zrvx#Doa-(fdq!tP&e9zm#2`PuMh7vlLftuQB`b_zmg%t%{#n9@&8xwcX1r#uX09{? zrFwPF)y$PAOnhQ)U8+pGU-6zWo|tv%#KReto>a@J8=12?ZL`X> zwvBTxDS@q1oEsZQ?>lfj?SU;!Gw`W9rg7GQzV_crMh8h!dl2Q>x*K2f)44#XuPPAG zTSQwN=?x&-ETf6Wq%tja{^ znBZfw%O~u-L2S|~<4XrsaFCKu zuEUM3`Tb9%2kaTtIGtl5#o(Q>>i+vKxQ8@td4@cNl35o&jQ%TsLA-G2_;^zMr;!L< z;}BLJ^{NAW`)|=h^hqe)68|a`MHAMFz(4*%Mk7ssUkJ|CzdSLAbP>bGDwE1-B63LW6Q7uizsr=YWx6f=F4LK^Us^iITBc5w$)*~H53?>lG1uq$ zr>CGv;A~A#*g5M)HWlWS=Gwl|D49MXx`dX_!IZpOcw^%~eCD`^@%U0o3Xkh9mGXf9 z#M>NJ+cBAO%9zMO2(S8fUP@v+#JohOQ=pCC{DG9SPiSo_dkF9!z4ri4Rvqk9Z?fR_ zN!r*U>|0wI(^#DF&=ZU6ELo$SYVQyJg|QaP+;a0aExgx$-qg4+KBIF}eenZIBdEcp z*;oXwnOh`Vscg+$nvF%^nz==?mCDx4rP){nu9;gTTd8c4x%4&^A}gwYi1RsZ*R@Qg zV{-jn=^(Ee%sE%rG8O##cU{X=Jd-)+vJzOarKKw_Wi1n<>McDq8oXxx|4Z}0$Gy7D zjSd{!Y7EG;h8HoonH8Fiua8d#ujcR%Vm0~7bPzME(Y^S=%^2B}|M>F12w$$lI^($H zvvmglVzTNp)y3Jr_?MCyq1WJMBxfe8W^QJBW-x2!W+Z1OE6H4GMMLb*SvRs+GU-0n z`{XftBwzAtIsGDFx(H~JCW#m zg-F)`SXv=xHOG=%gjeI>AXXXN=pY7Gs9WzLij%wcb8g0wrG<L}SY~ zwcoI&=}O^c$1%~~Lncz!gt%YkE^3%HxYG33%+<`5W}sBB&bgYo(hQX9T`F@fy=ld< zrZ=rXS6MBYX1lIsDjk!$mT8ga>(Y13@z=lW?=qECug4lS2I_dfl|FX=W6CkGf=8m=UmNP zX$DI5>YQ6b=F*#1m`iV3flga*WR6!NU8_u`V^XV32dOgEwM-=yth$z|>>|9>5?J;N zLv1&pp%J|A@+aXx@JkuB%`ia%Nq}j;a!jTO$PP%>#r$KmHWK`q53unX%f; zPmVRsNgX;PI~Toz!v;-;*C66-t?XqtR1h5@ZfL(PKikj>JhscpIkt~*!j5``hS>IL zLznva-=bv8-GtVX=6Ow|gifBemO`!bfoTJve{iNo+95dHq_x(g8#*|QVAGtzoI7b8 z7-(H+yr01e;g4%2lp$f+5t!A6Llu1Et&keDt;+%sm^b6$#GCx=H8d@G?9 zLGCfE*bPoHVdQatQXn89;0!!TXTu?+(Mub)fLaByCknzI3Fu`bEHdAO-;T&yOHKSq z3q1jDC#7o%N`u!ngctRk%R@l36QUJlD0}Px>=D5>!~qP4G9gJ38umZ)uFT!Z7N!^h z0EW;4R_8$2THWB)E_ULd)X-Xiuoo60V6W~GfVGzWkUKFc0Cq!Q3==#2ZGVdCkjon@ z8g1KR)X<+id3FcU;a@9Qd*>D&1n38O7Nh$WoCytVuzds)%jx#v5;ZzDhUk z-rnA@X(sEWdkoG_Gma{vwD9)$;K;GS%EkqP+2AEl6GvINDh89ZO!{j0p;aPMrNJj8<75X0S1 zr%<~$+#|Ue?mf9dye)ut|B(jAqsP`VZ_E{f1bh;oy6`O?fdaAMC}dOw$5{cp{1c+= zAPp3|2E#g}w2+Yy5XsxyVoCf!yKkoi6(*VDJG`i)6?Gb(y{KDzp;KH$H z5Z^I}9Fi<`qrn4LVX|#Dqi3gYq-*SrbTG1c64Gsa$J|h)Z@FQ|Y&Wo-yuVItr%%lN zyYI&h=hjWvaqDfp;PLI1yW1zv-Mk~)`;MKx?%dYdZLBrw-+3+88@=J?o%Z&vvpcur zjdWma-g)H<`t2KT*~Zpy|M2wg&Kpjh-MRBh*|0hZ#B-;1DgBQ``r5OUeo9NmAKnV- z7eeyuMEZ|U{mLynkluscA*A=d`p#?je()7YxHg=vp#+IhLzOoadRv}<_>;km(smn7 zLMjb3l^z18?I)wRHc8r8L-dr!>D_GMgcyzJ)E!%ot`(Z%EhM~wLZUFRJLz=kRmn*D z(K7_5n}5dAHizE-TK+WOMPX+6BunK zU`QYaV3JfygWzzV@U~t61<0XIh(qYp3lKpg0HQGFvQ;wo!w3RuiODYMNt4#z`}Z95 z9*$ar<&(_m^Y*UHo|}AWLC-c+QVWpVv^F%xf94HND~KF^5*mr530)+*yTA!R?!1LE zwzLh!8Hp`-Y_05LZzu>m?+Wk)K%Gt>*9j^UNgn)gB6p1FVpro^$qHH<@(}^cjb*Hz zkg9GVKg`dt<+pZ%$()vB6U0G!+AWjm2coulR)Dg#vbS9eG$W);hhK;vbzfC$#|+Dm zj+4jajPU2IGA+5au-DxtkSH>{o&4{!`32lI=qMENz%XDsM+IK{@~*~G@Tn6j#uK5b z9{+6Sb#AKK3U@QqCfaWsb5t!}n+h1>dkJiw_yiprf zCe9U!KykIr45GEoF7rl2Dbw=SG~fP*R9Iq5W1?B&YTh8hNHkoT6Zd;h(<;-c6TMv= z@7XJ{^_tNw*K0Y6B_)s_c=eW&!6FILLY_}rYf?>Gle!v49*yk^*nasDEmfgh1@hgG zKGC}ykc z`dUc80n%?kJ&GHouYoiQNl()4o-5AooI8T*)x%^vfAzU1_1kkt@ax~Q?QMeb;cG%d zF*DMMx0|1^Qa1{+7_I|JClxH%#H`CEp4)!ZTN8)N1luJTyWyD#e6$2Z6HK%dXbX@w zHvUF^rPc!ZN=5(req~CtPy*M?m1K=NHFF2a+^R0Zr7@Y_QWumSFqR4GYd_~k6M|j` zQhh%}@hGhQoGU)ERV&uam1ekR?sCr@?iSOA`_{D0TV+z6{iO5%PRnjuVZbB#$Q@|} zYX*lC!lSkL)*x?9^S=AnEL&+f%pB@QyK7rz>PdxZc~z!PO$rqzU-`6)LK2Hf*zUOk z#MxD*;pjI)9x?0EiMJ+Irg{$%2512wTuK(uIW$oQH##(Li#e+I5R2t2kzV^bm&CeW zV9i|Vc+||*%#~)KRIkptnz_;pl-sFr-BR+&1Lzxk?j zwaOHqn8P|Ld%o`Ctz}wPm1+0NY~^8k!mde`sq`ATay?#zFXs|iew|omI)C;2GOaDy z))GEjYvz_bCQC54gkfDm6jMyp;HD&IU-IRC5#Bce#R6+T=SIbcwpzvd{}9FbUHds# zd}OOuteGp#aLwH1p1Jg<6~|huOtS|(oDl1mw_0U7Se2=+Wy;q{&Hu#Q_eK|M? zH(W{%Vq{gi3~sb4;|H``?;(m4xb}0d_{dhRSTk3e;hMRcxzY@j>eV?{Ggq2{QoTCo zYUWBaP^x#S%(?ZemVBhHWy=4jJ^aA4&c$g@8rCY)!KzGnqHU?a%T(7gm0mlSrpJqL zErFG!^HTZvDmk{*>eS4Y=C@|9X09{?rFwPF)y$P|>6T0X z9dmUpQ|YyHX?nZ}AMRSF!K@dWr^mIi@yRbs@il{&^YN8m$Ch@8FZkIdnOa4BZ-3L0 zf?I`|9VVw{~x%>3vE<#4;b$M8s zn>U_Jt_GK-V*zC8nJb$4Qv$yBB~uus=;9@T_9{J|Ig&=4H{s4bcZ4uA32k3|~3#GM3(_%i8}i8O-S+f1adV0=;fNDuMh sIW>D!O&Exb!R`KuM6<-*k>-eJa)MR4YaI+4{!L)#q#qwXI7j>c0oiVF#{d8T diff --git a/dt/dt.sof b/dt/dt.sof index 5db20aca16654a38683cd845e100c5fd91c1f7a4..5f2078c21029acf4e8f38cb763c3284a01a07762 100644 GIT binary patch delta 28892 zcmZ_04Sbu`c{hBWqa*o9Huf!9mSx9Dj$~PuO=wNZN(f&^ibIu@f)YORK?h0*!KAPz zl$DGDJ5rQX4k;*BicH#-+i9SNvMtbbWBj(gN}6_t?cE&K?PY9z=P=k4X!|bk(&u6P z==%PTY=@9O?*T=Ybl>Mb=Q`KN|N1!R`?cWrYr!M`lCMr*B&u5;zNlJneXM%VXF}D* zKb4HtF20^G|Nfaxk1-~yn&STvJ}6e z>O44B8{5Gj#f91VJHGX2x>@`)W3swt>(-jNKs;QX`Oyko@q5+W7vFA96On_LAX7W` zx4gaf$me*h=5ThT!!blAj^88^Q?u`?7Q2c4i~ZeOt;7lVE1m9>+f;i>AGO=Gp)r&tGQ*XnifyQm&}>Z?_C z`)#w-s^Se>NOjqB1 zZb$9MZ4;f8zALB2%@Tnt)hBDcDu_F}E_cPxUdTd_#ej~@(PA>AU-LZXiAr=nx5oBa&fLfK zRd&_ye*e=CrkQ1xuqE+Dc!Y~5x7BXDpUdjV!+$q+sn-%NT7CY*fAtH6fr1Qn5~c6w z|31yI5VHEw_084)qvNa9?T5d}cx?^m?bRpVxVP&5!1?mIyStO#10Oz)hl^F*z+l{wVNbydUTcnlCq>ClHS3L9yB~!t=w=6xOF+!_TAxF zM{dl~50#05^Yn&dw7$+6uk_U>IA33z`7vKyb0u5fV?<8T%{(j25K&fmrkmoVM;o#- z&*ay28LWPDmbBpJ0WX=0D#ncorJ1b#x{Y53k}U`SZ|q|<*(T|2O*+G9?{$(U{5gGE zZlXA5JIA!8+Ws}{E-Mr3qxy#Ue(wh|7<%6!ePKC_tLVk9Z~^S_e|9kYlZlx5jQs})lG zOVz8Ot5UUz@38K^7U2dkq!+L(YsnmH@u(^PG0PoH66Bba!H}!R7X4xE7S8?E{~GRE zoPW%bh7rFzm=WJhtD9Pb=J&c}K|@bqu~_xumCxBBbw$iiLoM_^qkP^^YPlqyC7&Cu zt$CmA$GBtA9=T5~j3_0{$gr00D5r8cq_0)b+k)3lJ;A;TX}X#@je#!m6OEKr;h@%L zk1%d~F}Alv1_Pbt|5@?98cOx#mCYU?a5#Aj;dby z4LiieeTJ4y7xEtM-OX}*x4MyBp3f)0a}LuJ&9DEb=cPOneXfm(Nl5S#Qcg3;A2k`~ zti%MX&wuM$Of7|hZ;XK$rxV1MC#n4Cm@^sR!;`}xD9!VLuAaJU0@IsW%@;1fx6by+ z*!T-fo4d)+7SNu0C_zV7IO}WdRnJeE^ZjG@KFPxlh6Vwo6-0GBV90)~*8ln^EpvQ@ zeu%1TE>jprsG9%6;Rs==G#RpN<;4phb1*HjEBJ-gpT3u^{`%!>YJ*2usOm5gxiM0} zxW&<%C_q8lI@2#JOL?fe~-xVoJ&CiR~PF}-) z9GSdRQJIm1FqsxI{tFm8;^DPpx3RCxE7T#YAv&U(`7$(O60|x)d)5*aJ3Qoe2~%VL zi`~n@)g3#oWTJNJA-*t@Z=DQpCt2~OYO_PVv#iWGQK@?JsYz(x?gjOj zR8ttnQVjh9jINEv4*9LXlB%s~XGbj!Bc0Ps6J<9li`~ za3$4Dw2Aam2hg&)w<=F-#( z%ysKmYwGu*tHkil75yb>v1=(~X(jSRhq4BEVjpX-J$#4f@Vu0?VgjPO+(d~o(`sok zVU`G*X3__eg)m8rHTnR_R#&fo?Ura|rj64Xrpikw;BTYMaA(XMD2g&hma;vTKWpV2 zRG?P8eX=YybS8ELi>Y3*L^`Sdm6>-RfgxaD5;E%`0j_m){4PUhO@_RB>VL z`JDi0mFu{#GsfD{b75(~G5e%7YZTu_)L^}MlZ$i0e`a49G`4w@G)ve z>BI=tX*Efwz43cN!RX0&p|;}=PXcytZ`0{rq`Tw1y6Fp%p2LwtLdpQWD##sniqJ*s znH7d&RYrl@jv@A3(+3?T`h!@mdhvotkA9rgc2e7VC_^5Ne~dVqC38HPa)Q-06L$mD zUESokfH>PZOiAa0@wlRGP#ddb&cqnxGDJ?%FV<7sRr65lVOh+Q`k#V)RmM8HOiiBx z4d@aK{sIzawV_HvgK4cSZ-;5T%CDzN(=4uA0vJ=rVio+3xJ#;3cZgMP9&%JQMsNQ} zG4;5xi!H0^U*BUb$_ilv-uDHLfpw4$cj#$IBDn`dZdc2ZhjARTxQzRdK{7RaQj$72VM3?y`J zt8&J>D1{jXFr%k@@m)-&LUu71Ic7}gyC4m#mpbm4GUZO+Mi`A~OH6|PwH;UUZ-D0_ zK6jMN8C^Y@jC7YMz|~%;2bxd5B-(Yp-NFA7|ZSt~i zjBON(!rx?8lIC$)W#8&hLX)Bk8L?~e-cBtpcljw6CE0NphzC+oSa(lt`zX5-c$Gci zvl62!PX`T6GDd?-gXUnu9BP7i!FIXrJ{qYSIX|DK_dQS19Raw8Ux+N!zMMG1jM;)2 zEhMMj8H+{*?|$<-hyHojpFMvY|6>+Z^oi#1vHv`a7A8U<)j3T;L)GdlJQr2B$G>L5 zG=UG+MHW@a+V&QFUNLLi9jvc_#;{*Q@tkITwcA#(y)bqE#yY!QOdD$WM`V4B^9aF7clPv4nCU)0=ZcrKza@k%q(EfdZq_tE&n>}N+>Oyfgs3z zBjubRnVJ4nVkgn~bVM|?7skZEm0N2=3)$681FI@dH?0MubtFptLU0ND{Dxts9HWVL zVeX=36u-f>QjJMbx%%$)Px)T(4ARaJjAv(e)?>^Vc(b87OmvTbGi`<$r+!hr_~}3K zN$%YVAt*#Ux{YclIhEn{)oF6aC|zzYuE~?Y`qv6y;a|YKlQ4IeH#I9fYKy=2?nkU+ zA<1dx#B!uf4+i2PdZS&ipL9`l+MjY@op)59yyS|ea*3IjO59FDRr;aqC7gz}#f&Mb z%H;JbaT+{2$;e<5mQ$Yb{mfIevI*&0P;r@=*^WnEhZnd}z{1U79hGb#**|I$#!u-y z!102}Vv+K3O06(#X$BlG9MfNXorZZ7Py%;1bkXl%5?A<+3NT z-OVC4wOP2YXfk6;g)bP*yB?mY253|5+Yj>|Tz`|DOVc;{i0;Wa4FZ7WlHkN=dccbw z%AV*2yH!xZ9pSe%gJ`CZwqLSo7xjF+YFS)OxFg}drLV=B?XPZ{;9{c znq@3iW#MfWr$E6%5$-J1)(o=9f~YI4uOnRvfx__;=?*hq>TDp=QH-(A7f%u8 ztsQH(xMhJGW@7M%8hyZfY5>|ZP6GR9?S;t@z|Vh*=leZ@~!r0ADAfF(GuVO7it z4_RecSOS~gM!A`0sg4dO#(&((*Y4a!Lzt(s*k;uCj-TuI9FV`g*D*vB9tGH{Wp_qB z`dO#tkJ{+OXHoT6pS|CA zYcycK%c{9Aggy0&tX=PAk;QJ99#5^r^SX@LrvyDp;oq97cT*f=058@mmw6N*&yK~g zwB#5t+Q$t`NOUZ&(kZV~ZT)Ga`^SRVm#7X^PDernpdqvZ235&&?bPSQLaa{{(9eAf z_rhFZ{bm;%le7{5)Vq6h@^#8sx$QQoG=Ap*MW921MJJmD+vbrB1UPxAr(-TM(7y<# zBS-ZBkSIE^;{kVRyjA>Z_NG(a^xILGye&CWPxs&%(4s%NjspL>Tj_d#0>P%`HP5Y% zZlJ%WL)_6jp$uUw7OP&ODc(e@d1>z$>3`j;a+hT3iMbGusIB<|AI5C1>%j=&I(~vG zcskX0Nwc#WtVX+6K}+pr4zN_*Vkrs^QwAb3q96=Ue;HV6VBw%d7ww3oG<2%Lo5HJn zT&d0RVTepeBJ6rt>20a0yDzur@mOV|nbBP(mlD1@>>epRzkD_h(YIJ!nB(aHpV#l8 z32FF@6C^~Gy1f<>^n#^>VCiQ7)4|g8UP!oq;R#SKnS$shpu&I!C(@5W=3uxIIJ6+$Pcg#5Svxq)Z)lI>FTj-ZG>)F&#ewq5bhcOxujw< z17_!(aL1e}L8TG&u$nlvn;sb`n_Zh!10Rs`;yp^1zD+FaCp+hjFY)PRS{LB44QAq~a@Vqv| zDBF>lGhW7p98YC3@mG{%){+(>iX0lDF7VKvx%lfM5Q@yr=k)?9Ua3q)She0A^I@^^ zpjib$@(xP7W!!LqHL*5snOt-g#H$G?4d2cZD6H`3m+)FnxdvkIa)Ywz9cldCK`P%o zWWLkvp_jQa?(Os9bt~X)ALx_gGi|~u+jHmx5(?*vLiOa5&IR=*qIY3Tp&B{(NJqwH zWj9505t)ZUt}R%uV+NO)v!sE(f?~|BE$ys2_jfMRZo+UVAhk4j~!|+ulWzL*#fU#SLB7As*|x`y8N8DsQ2^K%bk0fQ!ad zv>ss;Ej93T4e175yYUOW^ZS2t?>LhNlu}?y>og8lpZvp+u!~u{_&Rvom30!Rb9+<| zK{{LTOkB5O7HtmUPf@M>b_U5%W81@z$Sb6W$MD%XBxr}}ZT z+QtSpY_poO7ua-rkMWW}&os{w+)VreB5JI+#>Y9(dS(m%BxogoRr|ZW4!6|+TB{4q zZIagDeh1(Vv%odzgXjmlDDal91%IDMl&QDIY$(~>hzJ2-ZH1`gXs>gSLn^@WnAXZ| z7RnAR!UC(uXUC5L!{UH_h_;F7^u7L2LrW;aoV?b#>EPi=OW9 zSx(x;W#=`OK=i-iruLSKd6=COI*JflVN7bC1X)+5$TTTT*)E08I;-1&!;(E0IxRVT zxy-}l$F#zqn(ndwXlD=exnd1T=q(IK)0^=i9c@oV>#gzf&g#Yg>+7|j-_HCCSCivT z*hu-jt{{b8Ps5Ne-Lu+4;>8HYFpJ?|)l?crNW7V;XS^Jd+kzUwpn#yOJ+Oj=6`1jG zd$(R&-0_f z6%7=d0<%SXOcT!!-T@EOSY4r}_Y&t>gwPYRDL-dw!XQrHBIiPfVBvHS1GH!yooe*- zexHv1O4vYABzdY?#?P=5MZ_Pd&D?03%;c|RhtW{tR@2PV)Kr5P-NjPXlb!K}gPOq% zD=QE%tp;xjf1UO?>385kpS&_Wz#JFPd|SpG8xnk+vG0CYc?NeYiYHyH9^%TF4DO3p zV;^j({o`kNza^aZSOYH6+1VD#O1GtGo$78uG3kQ4*is6q3*hzMK+5GT>OMB>dsf!1 zv=Q*+!oFLNP^#DVZ+T_Di2~!oB&-DrBYQKM^9wm8xOhd2@|en)&P~}%LYS+MBkIw} z%UuWpCKhRP8!RTs_8*84;CE0^Xl6Jk z2ZpgBia`HC%V*Jri*lqCSEoN|w9DxE9oc|<{le{!mzu$8He@;M1`kQN^d3KRVLnFi zSlD)hKnOMp{A-&X=gKp}r~g*A+F0)5cSa2Zk0Kr%Is%Je9wS!v z2BN)>8Uwd9R$cR{{ZJFjafL4r4dE)=%WP9KQ7YCQOSpz35x)`Nr&elOS`_A5Cqk_U z0<`-`QTpsX2DCJiA$UW1r zp4}I;QGON3DbLm2PXcg5Va!A~=C47&`hM0o57-%9LGv zF$hsS9VKW;Z9W?l6U~MEX>ZC5^cISshP`oLHGS)&N?DtVYh@PSp3`9MQ)%!~ZGSHh zFV;TTQw-`7JPPq9iU~E+K}K~7mFEm9aJZ-T(Gqt5!g>9cQs?wV_u)gzE31oMh%Cax z^c2Rr`j{>NR{!675s$bG15$iAkMR4B*HvxEu+LZj_NCN%1g)eUT)pFLZ>9~3gWSN* zSQ#eflTBabD80rZ?|`31mj?3C4?U16OZttwnlNSjl?UNcHmJ6sc4i&>%KEFEie>Rb zUGrUur~75>%x-cXw2EW?+qw5MO0!_%sRlE`1C>$4c2C3#_*DUJH7<&6Nt3yPLp-{y zpVMQJs!Gx6fdk>Df1FY;t5V*0N#$eKbnRQeh=Oo&=}@WBJ9;yd z6Ihut(atzNSwnJeGp;P`6ubK)(yUJN0A9UOmrU(4Z+QTMc;%yYdepY>CIGp83n z>r`X^bf=ACCvE}U%LnQn;xD!n#~Lt3;kWLfl@DM=z8v}u)+E^dP;o=Qlu%WOAsAm9 zg|@ea<@R0psh^u24g5y@N+Drywj!|Fx52wtWn#ThdB;JOhDuKX4RW_!2rMK+4Ks8u zp|nu46f#ew{8W0u$?v%qVy3cuCZ;zQBO`KZDyqs+iVevc>uD4`EMK??W*WWYN9Q+ zYXp5fz(wUMD+G61w`7BPGEXP4bT=XoWDyUH(}}GJ(RjIjIBhhR2^h?S2D}=Mk8rEAMkGl)e{@FQ3xb?7=7@WhPr9c z9ZC2LQ72WDx={i#|HQ_-;S`EDd-U6)o;s*tDn#j-3mBb70(>MM7unsEeSlM?XP)lK z^`pX~D*7+*t)($$aAIqPUi3eu^Atm*^t^@vX-6mrY!8?ff`902ZaeIKn$h~Fxv6X? zLw6L2ej5R^p0BSzpt7-M5pX$7SfdG%a09M9#vd{_|3=@Wbu%^fdqfI0^aaXKT&uff z#G`O01DX+286i@^3AzMX+spSYQi}keF!WQ_gPCoU5y{?$OdK={V4)*fD)-A>BQykv z>a)82P=7oqhn5G)Lj-q!dR6 zD9vfKcB+d-`lj!!SWmKW2eiUMT2rFwe29j-q0B35*iiV6G|`hNnA!0Uo@)g{`>PJm z6$SMn9@^?vn7pZ7z4GH)r!R5iG!lWezxxl?++r^v{Dc3EE#9!{jqN?w(Hz2v1ioGY zql`6j>_s`hV_DM@)v)u?M&gSTcN~DJZx@ z-eG>)OKvykChPaBB&U~GF1Oum`JT{fkeIm&Q(MUPEmY|uWdVcoXSFl}8;lJx>hd9n z=!E+X6;${TbUEdoMVjI&?)s5z-$wT8~IK9Hq^0W@$DjZ)xVtmBv5n&Cbe@j48$DEV8v?r<>$ooxu z$!r6LK`u$tjDXkOp46(Kei||>4jrJqf2nD3JV6L**`*(?5S!hW0RxalziPeJ-WFw(hL%^SwgtXB^8?Sb_C@N7pd$!vB?Cd-t@SLcHf z^1z(W#ONLnz z$NuT-z}u#daeYkU@L^jkL`Lt7r-`3WV#RYNv(@@I^`XtwLK~G+mYe8%E1YrO5j0D& zb~BR9xHwDV4F?o=6Xcuun8a3@40tpzQ={zjEa@A6Tvir|auZcB{J>@kDi!j*s<>~) zUt)A8`KGd%b;e7}kUre>g|2yjdZWIq7&zgjSH}m8jaLvzo^RvqMpA2jr4c1Lzd1Cl zPBq8WyR2Ne2tPhqs+1LH&6Pkc()a_-c@ILqv6_}eO}`aBnx+4gAkDO3g_WhOx{J-Vmd&Br!1yT zP(HPa`|^q|R#uaDSzrAAH2}f^y$j@SG+kiEbC*&k#V60}oJfCx6`gXM%>DAW=miXk z3>C>o3XnMp!%u%BDK_8(3SMOW@89h*+S6e2xxUE+ zD&^kVBHT9a9ob!=RKTxC!o~7Sz)%;Bz~^4F<`@R}u9uUYFkHQv{t&8R1#L->MSsJ* zYc5;r4obH>w0O(m8*WRhm0J~6RwiirW`bTI`W=6DP4K{)L@(CX+aRp{$21xJ@-h|0 zvZ?dejpBR>v5qK*;jPR{+)b1!-#7Ox3gV7CyZe{6}xBK&OpnKvy*g z#Q0-}w|1XT3*)dtW-Y9=@K-7_*SbDO$CI4ZyCgH;B{jrk@Q)3j?>h_^dr24KpfnfB zE8!7tKewJ_>Lpvd+@3U&imHj!=l~K+OdTJtg_&kouTd^A7+) zCa-DDJn?RyT%D)A8BY4&+xW;&5NA3}l+7_Aer~vVOaUA`c0-YNM1 zACPNR^(UJVYlh3~D0&|i!w;#l8j6zWH2J_SM<1Rf3J{hYN(G@ne}t}KABfuie`6hq!nz!deSXHPMV?O}OE&UvO`#L_5qMY9 zAVNQej4eVN>oE!}Faz^7*Cw;byRgcOAL*UG+Ws>v9H*)MNqL@t(KP!0(1X|uIsl*iBqXyzWN>$ae&K#Cm%4AQa>O|I zr9VRc#+86O$JM6Wv}6|+K(Cxk;5$=_!@p} z?cyu=0#x_!?05;!#t=ZH@Tq?O`kAP_W?{M!^G}f6w;{Pc_g~WIyD9_}FXL%n?!Y%Z zJX(KTbypxN522Q0@rccfUKB;~_&G@!tGb_U=Vmjq`By@9^AipN`=Z>%^UF0at#?Nc z)ev&^rwWIYE%mI|BOiz(c^ckY9qPZRTLE;;cmo*LY>PzMi)5DNi+1-$d#}-<_-Yd~ z{zH{9z4^#E@?%V?@fFkbH$fR2!i*lCbBHcm>*ABUYQ7_5njqC8&+=5n59c-Qcnj1T z+WUrI`#KQLBvVp8vzi-`COIRf1pUuOs&t&+X+6;;-F1Y7#&*pUkn)QE5HSGalx~DM z2bhk`Y_%F?^2mb$HvJgOo#4ukd2hTSQdT+G+k4_zSQN0GP|eB(#h@wP z8IY-i)#o>c`<46#xsN1Nc?Fl-_CN(!khnoa7Cf>f5?{G(n9J2%SwR@#wR#7W3LYM5t(x|uat64pqpHfT0N zJ|IubA@C@p=|j*I$C8Gq4Us zgs9G=AljsO;3vDOtdOJljiyV2-i(CliY!qcD(>=P1-Bo5A4EstRb>a{Du(4?v$?H1 z;9Cx?b^D!jIa8@P`;@rDN-nKcBFND2Eot-QtDh?u^ECG02 z;D$zC0V7S;PCe7uv}cPg2iDfX$78E5v663f(y`77dU2(phhr1cDuYinjb!XE+fviN z$J63&LISrKs-p_%wLYDG^-hz4!M^!@N7hdM9;+hq7Cx7C-G1P?VoG-xYr$t>X8g`1 z#(vuGVTTm$T|~kSP7R;#1*qtZxnOyBV}{R{Xb!9eH-dfVO@T2_(KY#9Fw(I#L{R3R zXbSp^a<1CkEByI_qCGO&XE}Da6E&^FYMScwDPr^Kgsa!6cKo=i(FLeOrj;ut{QFS} z4Apa9yG$L*PO~gDk~x#|R>-H*lT0~!g)qi-WNOtiA2w7VVzOw?t6Z|S4x+y2ja&K> z{+#X%$?cpa|G?MSgz(A!9SREsW2q-=qQ6iw_e3s{MsfQ$Jie|Qle`hle$a>9-=69k zw-c=FpHI;`ZW9Vzq2u3i_;0Kl3;+cueNOT&{Pa?SAO7}GIUc4>d;K#gwTSU&1eZ|G z&;Rp*+JFBwclyd)HaXjH4!;_;OY?CvGwU$DVTz7en+NBR3>k&hFkp@sg+n(wl<|P;cM-OJmDLok z?v8^-);HW)`}6mCYv6DU2yP_;bPj-HM}pCJV#KD3DYMzP**qHUdCpIv#q` z{G11t%G#PHR)zVfXge{xc;H`@RKMJ~B4w_Z>Ql|-=9%3r zYT9{Zio0Pln{idOTYK=7kDJ?`X)1(_nQ_2;(N2{tAxCu?jijTAM`g(co;(9~sNZgM z3hb~sZp*(%tQ)t$^~4&(!Sij8ZVba7Mb54u8x!keVTcU^+ZnknsP~o}C)Oe89Vkqt zVl;A`@~F2go~=6_=&mCr`Z1m8O}_xe?$af+)ncpOdL$%&uv^4_u35#QGIoX}ey|D; zh9u`u#PnbhVPQCL3)}=dhm8<%aAK!IsY_gJjIo6$$p}%NvZ0DzBfrh4x0kk(xTM?k zQ!cg33FWDwicIa@``M$`cR071{iJ8wk%6^vCz3LS&eB945(eYQ*A;gu55YSqnmP=` z6qU0N#v3=gwy!%Z6t3kD^44aTX)&0}GQQbv$q5fK6A$$ckC02-ic8E@MT7jaS1#4` z$GpIv9VYXk5(YiFO~?y9+Vu%;O3W~5eR8rQZZ`|e@`)2*DAU}t-9Jg6f86lvevgOr@7MBs|g$fmNAbBQ!L5mn! z0X!2UK9WU!$nnP3$%c>BU2jqiwPIHi(?$ugh-4L|;5Dr|5{bKrm~H2SauMm{7rInu zH1r!IHPxe6);A2~!qmUnXNZuA)vyDqfaKr*=^#b!@ZU?O5$HpJb~^C55|Uh`nYtOJ{5(ONVF_7{~7tdv$5t zmld6PyCNa+Nr>0yC-I8YpdP1+EXu9FjS&G_6O|pd9Ud()(%^43;(nX?$dqId1nYL zP=~0_`$!EJs(W_-lO<3A9D=tY{4E$RtM0!xGWPD?+L;NQzcIbSBWkUGgtG;Z3ba+` z;8eU2W-xeDc-dAfoMIQknYzD&=kW3W-$d2Za9jx&9GRG>JM5b>hOIA57~yGU*0SR7r;H?16q}NtIaR$BtfqAK!xAsQ2>Dc)fpY@Mt@EA#v#q+&$K0{FSb9^oNl# zfFPo~1Kfhlt@HW1wkUw`?9w(!;ATHvGDeq1;?7esNoo7G%n z5%~gyc+R1XGu)O5j6)sgiD6&cKsfH4X%o)-yr_R*^fjowtuqyWZ55@hk%uEGuvv6s z@t3T_)8%%~v-~VwYDl{j%LL~c_2&uU`T@bgtDF6f8JFcjr-<-2vSh#9>~vJ(vk%0^e+Oc?-z)Fpw;y!V*y z#11`BVXletI_1xk%0yx1I^*gm*y(|Y)%YaB?t3ea^5x6*5i0Uz{=gLXRb1GLryH)~ z0_B4eKrgclL^GY^eeV~`nTOLCd#%IW(K}spwjEum_wS_LrALH0?xF1aSgv}1X=XcR z``!mEn%f08(2e-!C)g*5v|1sUphiVh_t(;i}{5vyZ}`+$k++q}b& zq-yQgI6mm{=b6a7R-kV8IH3xofVDcWft`$`oL@ab;se3fe%@4Ky>wuv4_O)I3#Z^o zW0IS`V4acs7a%oo4#Dc{h_6D?^)Imf(5Vi6EgaKHM8Uw>k>4l#s6A(r0qWt)qRN#GHT z-8py(^jF`27|8_afspk<78qvEy;FnP>b>OO8XJ+-{OcXD$(Yoq2XtX)EIafmMRj4+ zNLf=kP%aEH%1>g?@1+Z^Gje|ev;(z~i z6D05B#EX*FLs1Q`AwHU z&dWxa6Di*atexfeZo7{^lb4JV@pIW?c6Mj*X3|jIZi*l{_`srI2QIzboCwI+yFAA7 zaK+Z!GEQ6Txz~4E#HN?-#y~pynK<+hg#9$fXIA^kz15%|kz$Vo^PsWV^3E_PBw=1$ zZ{}(`ul643$ohT`!gTiMrUS9z1EbP^PiAe$29|+f$ciVxblZM@M*5sBKAwIOfk%X) zu+LxnzDh$sst>`xLfQMUNaP&fePFtUs=yYR!gDROUcXG;CXtY)geB!DWP;Lr`r9^n zC?sP@E7YA9Yiip24G9xtjHO&=RLinO6g~v;9YNr_9?8;_7v~AYrCC;E!_1y>6^93L zb<;?R*mBrV2qJXJAIkR5M7%baqzs9*Y#3w@}$D%lZK^0KI{f8||L-&2; zS?%284`TQoDK(rNj()T|#~=2^_xQ|a&8T_WQ(%VEGe^osZ6Y7t!cZz6yvl9Lz2OzK z&;}VJNvGUooXuP4#wfK?JaI_13q9jyQPxV1k%BAEX(OLcSFiFRG7}YEtW#&7>12hx z=Nk2JkbDOb*Y!{W=+Y#vQ%G7YcRF-cu7z9N&cpRFX_T>Y*d6^?n8C3PB->J^u5M7GNHz26y=+wR=y&r$u_nm z_&?l)JTm^HjS>s}ohBJq#??D*;Xp@!DdPw}NM?^IL2}qgTpRGUI*k#$P@>Al`EtQ4nkv19-;>dH zzjuHBt+w*S2TaK;W2*9`2pwbU;Iy~c^$!stKFZD{exXU+Cgu|%m*X5HubmUtDblB@ zkACDXEw9cWcL@%WTOgQLmHxUC8)tU0@iHd-#pz#?2CP$Uq^ArdL z_gt1nwT+6MVYoLe=_Ri(Ez{&YIhS&~9P!qwV^&}6t3Ln#c3|gMnZ+kj`M8a1qZP$l zVThsN-7#X%U@!SLloOc{YWx-*^1j=i&_4$scJ}2l2zgX=CAS1fN9jP8v^?YAjr}%U zx=f}1AHg+MC)SQwfc-P>6&Oqdyu{}Kv;9nsoWnd|o_|l*HZ8}|aUUNV&`3D6S5;ax zW2qYr?{=e@0#^Q)Jzc_qlhUiMv zb@kj{`|D#&d!PLwEV32t0mJCo-l7=s65AFJm6nweMkFdbWL#M9(^IIifY97p;nf|^ zW`JVt`*R2y@=uV_M5!%vDjJ`pp3oAyS~9S#@6WT#*kpS;wreH1JB#9yZ_MMDwO%>w zrg)PNzMeA)+AMpgleMMrUC9GfYDjU4M0_V9%V^7;i z-vs_S>?hx(cT{#4Y3wSAk6esEQrw6j)O-%TCsx0>97=q)*zEGAt&bp$|Dm$6ug*U8 zD6#Dz<>sIx%kXl26#D>+Gno|hLP_aCuVYIC=feG;Z=8-IU2iNiBeD#(sH0E%B=T&; z!LPA#rd?*PnE_5I#kq1b_#sYS4H2I`V*!51;mf7-{7S|90I6~3~^W46VCSUVGLuTM*XY8X+N+Chm z=b$r2uo?G(V_4+sn&ArAbnSplgOx7Q>yvXV9(CT8w2_1&x@*XyvPz1_r zl`=asWQ?@w$O##M%2`F;?RKch7euDpjeNp4ay%T?MYuGy_S7h4Ac$F0uxTDS6fFan zuiI+p>C*>R45z!Ti=Nc5>GWUd>F#uSI6(XBK%n~vq)E34`o`!ox;sPqn^@kSE!e`O zMI50D3xfN@ZZpb6WOQbA(RU9BHAamM@S%&Q?28ouq*m$3L zlVrNU1{JB^oT6$<%Qzo#1>Zy5ON|201#^+@tGwcgT?uHyUv0u(DM~eb9&EWyDhkgUSsM zqVQatW|FDS1e_)*mtIWndS$DfzSHyuVu&L#S-tJ`j=u1lNf|qs%F~0;Y-JcX^qPmi zlmWd?c91^eXcTo8)-{TnM*VAMSRKCu7%zSS-tToJOa`&x7#0+$#Ai0-y%Vqo#Z^eVQ+r+uFmFdb0+-~eodQ)d9%%Qni>UH!$T zHRz!mcX#68#DLU*k$Q7FXKhHzas7s#^|HzCqB7s6F;r#nmofKAI5Thi56NvYS%Q6w z*LM7j{Q-`(>Z}eW+r&ee*%O$O`OZZ18SiNBO3BQ6JXp+F>De_m$2N8lb-SGj=WrV#*70)m z4T(+f!VEarzyovbCVhzVjmf1!-<}#ymE1KyG5+7iQa92srz3BAuuX$)$;5YGRPvty zNzQn^>{ut(^EO_v0IT#78HKYCyiAs`XjeAWX)XT>|4da6wqr4U z7lajhv~%Kd2_&_kqaOhs_}|Yu+cde~Ry65Xdn82oa580{^~Y&5`dlq>PTAQ7)g1x9 z*YZmqaTu$bll4w9zTX>U_r&wVPY$b-P2^a4(8)sX=x#x8DL*!AoL$ve;}|O(X@v^6 zF1v|do+^+pk8x%FyislgvmqWUkck>nUGpmaR*yi&1?n+w&ww*yUY36odmKN-oT4Ds z?nmU~dNO-Eh+Sp1@9ug2ZL%RgLK!cDMF}|>gz^?<{GfF9j8ltZ;_xKXI5QBz6cMkZ z80pBlD|~^_nO|uO*5Zj99novBh+8syVJPzJF~cJs-i0k^&Y4{@@t4+By|$(nG!EK~ z$uOR3%Bq3%;yJ~2eb@TK3l;0TB1$fczc#~s+9d13%_^OTea2~d&!TTT%(&5IQh>OO zupjc#rHU9=0r}5wMUr_ZRGIFQzK3H@C9FL;h_pea*U7Dl z{&XcJ?n(PX4CzcOr++r}CX2Ji5wLUTvM!vDu6~c9h-%nw5|1Lh`gyBWYWM#SmSq1s ze2AwzYPJ@|5py*uXYt{Zv3J{X`*juTmYu$BAP`tPvW%yRT?CP<@itLHn(6bm^G#M zIT&(hyxv5#L-p6 zV`Q9fI#ErESNxkcOedqD2WhMC3VS|pwRR3HY-1tat01cFeGVQr8Bz2zFRRA|nYH_{ zkDn4h1COg_cz0OmK|ex=*VfE`GkdghtUA==6^9&{)%@$>xGeP-Hcw_W-5YXfwXy)Q zEw4Gydk?HW2y9_JRzCe-4gUD2a zQ4loTZx31x+F}InB1ybZfG84~jv*)K^BE0XfRcEuJP_!P*JD73-(A=Wi8hY zdL)Z>qK_=9`jYv=E*=^OG{m(^)NP9DdvJ)lVxk_8nCdASKD zE(OV*R}7z-H~dW7MTt9Z+g;v~P#mnT_qM`u`+O*W8j|E@p^SDDtxhA|bZu?Ag@3Mk z274_+D_LhOhq9x}uHoP&%llUS7{x5=;!JBZ1 zZDR!+`$Gwm@isy=KUTs#hP^{YH?}3D={9g~t1tl(W7Jw;GoExB$7;_ni4Rq*=^J9b zZhw+agU{bE8Y_ZQLP&|n5>1jllo~5i`53OaKj>G^bfhQ`tQ7Ji^|qBvv*dP`W_vuBR%7jSKnU6(psby)z`W^*k2Vid=h+?n0;|Fw1Xu}xi9 z{NC&9@DhUKIA2aulIz5A0!>2@Oh8!SIZd<#C`5cLK-b2678vV7E82>c?ItADX&DW? zltD0$rBkH0u*0f|?^yR9-g4u_MbMn=I$1CCb zx&C9?rAmxkc#f0!ktA!&`bi07_qWX3(-Y8 zw%c}yX_dZgs-t0_f-Y+_vxzp-JqCwb1g&a_M!Lk#y~4Y(U=5h1uu3L#q>k^=x`yTF zKOy{)$U1$x71+sZq26by*Q>6_5&(?SzP3|Pr*CgqL-#}KHnChmTEKv$u&pDF*{>YO zI`mNno9@=r)s1ftJyAa@MHuAIIbh`$v-q}NvuK{*xD*+fcDGR-P8Nn)zlYagd&M|` zxZ5MuZ|6isY1zr#V@OW+FBwHe1<>(m>sia^Q~rK9VlAn>ia6-MOq*JzMx#Q z{b0{VmE08qz>+i&JAmCOM2`mrr_08tNj(>ra4p$1vzy_%y~851ryGS|*%6>q+DB)h zZ%cpsma(1WT7f6f6xiD)-r1wX_C~*de#|(ZBaFKq&XbXK!NDrSus^#3MN7(AN2<&H zIK-GI^RnU%zNTNVu4i;>JMMv+UJO|(m;if(c ztGYTH-GoSQdA!I1{&Cw6a@fcY!rz)CG;XUY%_=3kky5b4UN}YAOLmE}@*eE&ZXc`J z*d1Gni{EA>eDht8F!yAziUCii`YPBpl}8;D*YcUZ!4O76hyNUt-7>%;2U$-aGC6`V zIB<9PNg$`BOw%1d6o7vYP5d1wY(#0gy?YI($nYLRCsVkvr^d)qi0iD(G<;!ZHZc>~ zCRn>t9YEB|>shWRuZ%eo>9&dq9z=b_XJ_{coY zKHX)bFi%o289g$kl6taX9&1s~M%cd9GXJkWaeR7@qC$JwU#XG@@063naAK+vVG-Wc zXvQ>nlL;Nk6w2rdWGnm|Spo!cI?o!`<9++aOH#7PHSh%hMiAF74#A;86$lH%ctmG~ z;SQWapaL(n&C^<<@n*L=2oI-a3M$v0qR!rRG)AN$#cPliVIsM;_Mve4XwBK+0*5(1 zG)%=KoFc%2-|0=W3RI0ZTh`MJDaLl*ty3l+)tTrysa6VQLO$4qLryO+&LETw4u~5h zY4yW9*2X^HfJZ2VMDn(P)BYBCDY^t%tO5txw5yk~u#Hln3t}4BW5bvz)CX=TfogrG z@I){zQx($ITIAc#J^tbt9QA=sp_p0-;~``6e|xZWhTmRSCidY$p)nqc_-X)chQX5_ zBWU=0+(Y-?++FC3@utCAV4W{|fw^i@Vn6*7J!l-gRt1wcRGa=b*c7S#I75|ur9t$X z=pd2ER0YLG=#Do9Yue1DW?^>OS3%x}Br|rY2&}~zis=ycL)CcCWCwlZp*JWo@__=Q z60(WKTG%{T+eIJ({U1kkyh7s+5R^nI9kB@pvtcGOT_#hLfTAFb-BR&26jdv20t6z> zfAo6whEis`Uk)_u;BaLKh#P~BvNC~u_}5s{@{_sik%k0Zv53L$5l9uT z*>(9TE9F>L1xh$BStw4ym{Y;&takFKeV&Wgte#g~#*C&l_3>9g-E=&FEamGH%_H;|tmC?GH_)Wb)rHuWyII%w3`SUajQE4R|31n`xf`m%>^)kkF zC1dZ~ohv;b0FbOa?GQ~Nw2*g=*n<(iZaY5{)Ny9+7@t;^X;2GTBQk6XG5&^B$^8n^ zMP72b5Q)Vd4a>)mFXWU!E|m!iFtk32FL1=$Io&8#0C0*EVTe`66>2CD+!*k81GeNc zn6O^3#(w+sk8zIcZ{H6K?hV91W!z%p*-`@xq@sc8bW5C4cTUEnF-T|R@r{`{OT@P> z-^~1jB7~?!u6=Y=oGCn*z(M_(QwMXHE5P9JEnkIK&&3bjhquzH zDi=pvoe$R=#ZY~ZF}7s0Yn*D`SxK3NF~vZQFhXS*(E+3e=<%u3!ZunvX5A_EmX1oM zo``7x$WG@mhTZ|xbdf<%Aec3R+OE_vrOvZuu&8-7V=6zKikPM#&6MzC#PJYBkwcha zpzt;wr{iRxHrdx&L<^8MHGlyKtV7`_Ww0#dUd$74H1G2j_TPUlg7$l@qQfe$o#Hdm z;yPnFj&T6CQj$NHnl|C?+(m1c&aqa<-bn$zG1T4q3+^|4AwF2eL|b)=BQY!{*ESc6 zQ}!nhb8p~?QqEilg_KDC@-9-xX~C{v#TSy%36ds%l;i+5XG&mww8cNq&&*XYC5WBk zmNTi-)R?ZtE+|pVo0wc+Lb3^2xWWx7_LwNz@K3ER?DOYQnYLR#VbyD8tjQ;z4P z6R~^9|0ru_QyhuF)Yz>@6aDQod7|@pg>d=Q!Aaw){YbActg{bB@O9ok0{M|v&(+To zgERiXu_Q)i;R&&E+fBDc&)6e#QA;!VUD+W}^fQ-$Qymb!o4AePod zjvXsTQ=j9HULJ==(&Vm0+k@w&H8QP(duhW7^cL~hNB)Ee1la6~%Qsm=9p^mt={3mz zAOlG*^U6f|$GfHNbn_|JKOPC$;yF$xtout4s*E?o?%GqVuh+N!Z%x&~Od^3%7qTSB`=Y<bj~Dq!+hkaqw_eV!Nh6Z@977LY_}9?6u)Jq{ZMiMkL!iPE;K|E(e+5NH ziofBjM39?kB-lOy03h^4C^0gvLJWaVUX;WF&+;#2PB)3dg!<=v;Y!mfSA-H@6k%6y zt>kNnld<|fyz@S%s?YXM6Y9{vi@8W7pL98;_RN)x#A}Z}`y>3g&^*54Y9s=f-LP1` IAP)EaA8O2Pa{vGU delta 15055 zcma)jdvsJ)n(w#wIaN7%pQ==U4T=y@5mBLdsL_4}A3&qx zP8pnnR;knhH_l8;h4zuQxznIML(fd#=|X86dmcjH?rB%gbay~+5A9kr3%YymMOR8{6}Wn z=I-`O-_KgiayO+uP*+Shexvet@VaczPv3N$r>oTF9c=ZR&9@FS_p^6zRQ3+O-~I5% zy>A{`_AFD+F5@$^J%7VCs*{HC??=A65qlgFLF0E;w&%_xyZenhxob1?h&^mL*>tnW>kFV3}5?ciqk$ckG4@rjToyp1S?b z!TR@^9&?q?+eHNyv8a1uR+TsWDfhF;bxgSH5^+QB=kDRhx4VP&tK7*SR&l4rJvZm) z*ut#vgB0&sQ=q>ZpWZjMz(#O+Hm z2YFn5Hj~|QF(?8?3vo9Qo2`=X@?GwNH!4+bwNb4yOS$cnOBiu=F2_%)Mz|8ghe1m(kX_{@B-Y(l)2^`R5 znHziHZ#;Oay{nBFG@)_-FDgHSSG!ds61cgmI)-fugfpqtra`!Xa}x_jYC;aaEfStA zQ|DF~?^nxKZB_co$(d@?4mOnS8LpPxGZFo#T%FHRL*b=+n_9T|St9r7w zK&cjPK%;f8k8wA!1uP8WqYz1~CWsL{McXe8xq!PT+dlxt+1Gwi^d&zj*_$6hKJ)BPG+l`uDTY9D{!&R<06 zTuuXSY~{~tF5)V+#weP_s+y?qP07I8Us!IvUc0KjBr&31b#AWteoeBdDhR-TljX*~ zaGIz6>TrXWZ&^Y2pf}Z^diV0El8>?mHG4CEwZ<7K)0XT#GU^^4os*qgvVlhJpzE8Q zS)!aMMqek&(_TtiX}{Jl9-4?|tgCr0$wn_<1nulp;A%-^9Yx%d$#FcAol zHQ>lc{eHRBTQjCkB+SjQz(sEG?z#pk+U%SmMy$9UXvKs9!DHa=LDOB{^@utVXQnpC zh3=vs2d6pQHqUOLjavt(Utp&iN0aWHUre%3oqUl$3fJ4orS@TwSvhCq^E?Q4I3O8_ zw|q2jY$CbC1G;~M5a3}yhjE^p(*V~UXmlWc8QEuA^>!?tAZimDhjex!X^iNI30vvZ zGsYfla6|o=fdM&C9&fd*E=Id*v@u{OQ@V#+_Vaw1n@B`vWxQqdQd5tU=GM@R(4S}E zK6{C0c3Yl4B(tPM|7H94SLCXAM7}j6wKpW@{H8{*|Bo7!g zn!ehS+saAam?nWC51CT`jp%vjcY5;p0MBL4lfkCek=a%La3Y%GqSr3Cju0^wo54D> zJzv*uA>4Q^Z?FbqCi{bt#xlbQ3R>*`M?J^f>584E+U*+YZX4dixlHj|qd&Zpl3POq z$?JW60nAOnoKH+2P^UQW)V8qLos62mz``pl^vah!HaXN94*G^nUj9(4J%IrND;!^} zy6@&)UhcQ-G5(E43Sip6{Uje6r8K}H;pOm%Oh^SLS*H$^&%qy=GcNC>?8ui zvul)S;@4(-Iyx+S^fC;jY%cBsJI*4f|BOueo?pS;b=#lJ_Bb8U7~=vOz2tGMgrqT> z4v{(Xkk67=nd!;}M-o|dG%TVAjyBu7Y)=o)T+mz4Kh`_cGoOH)muhg8Z zMG3ilFAGhRnJW5l1F=D(YaDu*c8+Mv%xQu3xop`TMUG~45Aws-Wh@DHdzvCdorW~? zh=wiD6wvx1BklA5if>D~j3Fzq$xbTZkPsaz9Gd6Bg(erP!z=hkm2cs<@y46gx&Mu^ z!jxmJoY6y8rM-8{)E~wd!pf_M2Fe7)K+n(WjJ(&0dqV03SnbncIhT}ps{ zlLBHy%v*tf|Itp#7c-62S5~Vg7w1 zPeFH1Q+?13#G!!WWRH9zv>Rkx%@O>CDatzePK1fbziz~Mea_y$><1HagJ_uvJ(j~xGywSF!n2N&t`Zzdx0E!qmV{5zYW zYB%s`Av`OD=at!W=!k4^n{VBf?P*aD1o;eRtH768Smm3TFXR;|mj~{Z>TDCk#2IQb z%-c;$QU>JJ2dV~klR3unZC@d~d~KTdsqrkoS7STrCjL(d=6tg)${0Um#MwjCC+ArR zO}DMljKZAT4Y{q)onwb=DQ$5xwGF`w4Iw7JSP0%rI&Y7X?=qc`5YssY2NSzSLMMj; zLt&C5g$eB*d4{vS)jhQ}6wHxKhTG^Y#GfRw>sotCdTV(jENE_Bqp+&%TsgI!A<0t0 zk^9IJ7!DvK!MO7RofPm^goaaPa^wWeWTW4qRi>?+Mf`pwk%olF8;OQO4)YCEKWA1P zw-{}ug6X=OHooAI#;My4^oQykD`*at*)$A~y*dX18Ixlh3mA{1VzoWd;qSn zF-4hq=@LdDx)~kYQsg+=om~8gGz#pn<(U%sS#DJ^saVo62>&5IKin||!OfWefMDHB zmgag>-#$%gTc?xQ7}$Ba>>c|p3oc)t1#6!Pj^aYMf7WW1uVve`l{QM73{hi=j~tKi zR2nbe5#A@<>Rj<+t+HNY1$AFXWB-$hFp}fL+qN zrpcS(1g+9@(lQ{FV&dNQbxnAA09DCo7d3CeEyt|FBQ^OJdb+LkQSdexOpnOmR;r+T+8o1L!KjV)6^#E2fvx`1ERsP= zM*F}_IBd#*IXXnnfd2B{5uy$&cL<5>qin20fIbM1fD#D-;uWTk*TfXhL(`euhTS6( zd7#50i<8_o;yxlePdq&8L zB!8z3@Th*f$cMiM76%a-3}eOV&s!LREBTaYc#wLHh<^8%pcP z%z3TdH0%cMjzmLLCaklJ%0IPPYPMYwGAZ+ir-kF5a#t4F3oZ!%~+jN6gm zRW(z3{HUGDPl$lP`iN}ZMRYTmozMGrSqu@1*coGrnR(f{KKH-uI{T{fDfoU|-o-yf z#E6CmXN;MHVDc+{DEf&8J3{6sM$Fyx<0-`fo>7Rwdqy~gPGpeVM(ZP{jMx>&`)o0M zlv5WIbgLZ6l}FukzkaP2jnC4PUx-G_*aHpWT%`&7w5%j{>f)5+NpL6B*lJ^qvb&9| z)uA=KVw$`Nw~a^=u#CW;*Y{cUi561Co>{+;AsAWfdCj3H$=o+dd}J6U)!o!E1=jll zBU*L;Li_d!S7)3`r8a~1LSep3}2~0ReCl}g~mZ?9{)&PFO zbN5S4t7l{&2gMr3`Be9uo4WBV8 zo%yEc#nIr1>2mfok|vmesOHX4XL$)!$WS7-Rp2TIo7P+*W1Jg7o2kY!Gfc8GC;^w* zauPc5P8(jUlUoaHNkpT(NwS_x?WG$7aNfDIrlh5;Yi1>*r$K>k+%9civ|?oZDb597chM zcBEsiu11@T%!zV~KJr-?F%}M3!x!|GH<oqBUkIWd&+ve%lFXTqGAs>s&Etz+f|cW}I&(R@?8zi@awM&?KGT|sWi z8`0+3%V-{$zmV@n?5!92EXg)vS~8I^!UJzRN%8zAQ20xNer!Uq4G{LL^^zySc=4Gu zEvo0pe7^b$s|PGwk=X<&x|qfN1BtfjCs?wt0-n6kbeYZAjoF@NZE}GNoSJA>)0anp zm^#<_Q?q`umOFz`)y80o)sO@KDgWzfqzql9v`zDCU&QYx$Oj*SoI(vY>^~P1G~ke< zTZm~!xquL7d@A-BUsX)vB7yT5S9~USfYUyA%`d`X*=eUlH)c`5s#s=HpCRe3r>B}R zPwBpqyMLYkY8Las!?FvDlor!Tf%#L$DGuvRTDG}VI0xIRDEF>f_n+ub&HZ-W5c-Nq zq#^SewO2y4FlaUY0FS%*n%Ap9u659KQfpW$ubXdI6_c|t-L%Zm3}bTH&Oh*jA0h}R$lR>L*ppqP+k*0`oI|!h)=^SCIJLD-PiOQp|-Y*h=D&Uo*jic+5QaVc!u%=cF$?hDY zo{u<-P2cH7{cO+HT9yG)h?a^~>CACcXrS!zHdlkc!&%5j5#CrQ0J&Y|0|Ripa-L)5 zT0udn(}w=UuRmqFP6hMuEZk42%(XW4`v)&jqI(N-a4X?6_609gznO_$$u90FJabta zp-;Vc9rxiNL?Y@6ryyG0^Ph^U#RAy$x`k@^3^#3SxR3ag34Z%cmP~-tk|?&+5qJ<< z(tnE;dj?6&_@;;KLd1+Cn`o>V$uI|*U=>|s5;T$MGTyJzfSK3IW7p&o2ik-VAA1V> za#P<(XsWf&(kMNVVigN)fIzsht#@nP->ZHb;Z3MWkqILDY^x^O>yW75srAQVYR;4V zj-s#V)%lDs_zxiN2_MOu%nX7R$aTD?Tejz+PzGNO9>)>g8M6E>+!A&8636VDbw$?f z(c>O+o9zRGB(10VBz?mcd7hK*Si(a>lTM3@m8f$YATiFhkVy+p6PJc{5( z2#U9&{=T`OkHRILpi261ewFT+O7gp5MPfP)(qeGo?B!v7svU&)en2B-zFIbe`|4$# zN$X8Gpu%$;%OgG9)T)c^oAtr9`shYub$#`#eZQTKs7}blaGu&eT}}X`yI*(&w|wpi z-@cA~8K1t7zzNuYi%I)14larZ(zxj}gU_Fad9ouY@(Wv~2vUF~&$XG>WP1qdN8yNT zl^)pajaIp7tSl5{{U;j8Icxb5EbM-R_|Baw_UAaBdGEe^!kA8JAJH4=;6V2iIQ^(F z7!D3I26}aFV#RflwiAKVJP*1yVs|F3JckioIGSx@es%IM`3?1G{4>WSb88>x^9M*& zPJ-Bi$8Ru9`5LOm8|sh0MoGs+iIgI`htV06XxC0SVSNvQiRk7f)< zZ02{@*sQI+T@3W1ZjO1m@A8Twp?GwmjRZ&z|-aFW7{_ z<};vL;16X0Eo-99+C{iAvi!Q;EQ5U))F)R;vB6B}A@~!7fGAU^1*A!8M)ASAuB)F2 z2;CupY9J;_xpm0vrs&~TLXE%07ldn=lPPEACgljx^({{J*?OxHXx^=B)-^YT9of-p zPrhizEwF!MhKV{9wXlwaImQOm(RWyHy{xwA4HLl$ruY(SLW`A;_|Ob(z%lBhDtSK#=8%P_p^Vju3fT*wpm{&g*9FXN z#yzb@ZCAZv(;Opqyw2XaEzr;RTlSX>VGbJlv;z_OjnJxqoz9o12TzDrYC)K9JI*I{ zQx6y1*61r+cSVvjO!_!w(m}L!8%c+Q%%L4^`=E@+aMx>9gWc&L7iS>luD(JzXgBFw z3}+7NT-7v_gXBu!Ve1GK)#ixG6mfkx z`d-w^*Q}!NnIksXr2T!Vw>Z*{#in*^-rix|b)*TTyvUm58su)Mjn4Soz`s1=+E3n7 zZ_jKSr4LMwG#N{CwgiwgRG`UNg(M-`&#D46$+fpR)V(@Kxjk(-9TVO)xW`Jo>#TNQ zv7m%=lMqMQVCIj8&vBPNHHKSi+Q6D}fqv+qn_5jv_VkaoRi~UGQ;-0@aU_eIkMK6S zq>*KmJl;Uve{hJp?2!pm2(LerVR3-+I(<(l+#aNSxj8xv-~CdGTBkF@$!pZz^xRCP zD**S)FE<-6`!nw0XshSiuvh6>$2lkID|Sw(>u@0^hG7A zRCu69&gI}vNX^3C0iEfO@wC3T(uzXEyCdWd|H2GgW;J6@)JaH(1TsF9Y>d){^d496 zhPdp&oOw+;i4UbaINi>3)C>WSet$L2)Ddo=z&sO3s5co|BTi|MdcF2(wPrlm=0|Mb!yTkRJD6hw ze^ZYDREp_j6#l*0m}xOBzy&p^+luZB<`Ph`u<^KBR>_)pg{h7{&liLQoUSBn~PTS}|y z78A-`k4;o*lV~upghPB`#V8yU=v<6zo^~P*a#eQRSx|zG8HE?{S zx5Uvb4f@|4yCQ%yLG4Fx)t8CeT$WJF|D3gXl}wSwTJuCLPImN_*Y0N4g1|Ah%(+y1 zW$N&~#vYC+c5dDD60r?BldIzWOLgel%SENu#1g~uOD)hX>dJ@NG}Fp^F?~U8u0WW^ z8J&uxwJi1+6U5wewe1?Hk)S|LRb)d#?CoaUkwCtKHPa&G-gV{uJpGJ1P zAPy;CDaa>Rh5YPVD7enR${>4IsS5P`6+fZLzgG7rf(}p$aTWKoPIR=YlTl-H@k$7A zXSk>Cy%i^|$WC8SFE8e}6pR~wIyVJ^G246Wf5b(iLT)<5#Vz1$p1u(tGK4?vW*(VY zyb#&UU#`+!rFj=$K(8?D<0x^OjCtC(97JzaZIb+la39kQ%V8?8$@rkC@!P=CW>j+! zxn~;NJTH(lfC9;n6)qFP>>G`|fyU2@71`e1M!Zc6I#NQ?+|m;8@Y=fc4RLVkz?LIe z_TTUj9qfM$18U&TI8JG9pPHsl-pQ`W_Iz8Fw>A0X963abS?hURo(WK#ilpU&`WFQ>ZSFCNOqQAsGaBtCvZz(Z_%0_>SjZAjHGczEw$v5B3I)3eJCe8U|;RQU> z-_=fy$8cs{a8tUW!b|vWRzjCc|D&#CCXIX+a-Nw{&FWveH#p5|)^qsv$P<$Pys%Fc zu6+4U@q?BR`3HL0 zR}eiQLGL#aPau#L3Mm~OGu9+Z6;GUpe{Z~9@Qod?q1rnATP)|h+Cf^fBPJk7q5f%_ zf%`IB4EcgnlzPUP^cMroBe)ORY~*%(p4AIQEj-;(u!~K6G_1j{(eyeWTzN5)USr62 z@BKjcx#s^rfYYqbOd#@aZ=I>*=@f2mQU5m0XkqOhpf36`SA`)9`JNX`zipuBHiaEy zU5%-OqB``pk>NPG8edb2r+lfbIE!HKqpBVcZx7PLQVV(B8v8cfRx>ZDmmPu*`B*~R;_J^Q(g6vwaA zK*1hq&C6+XvNq%#IcMP4R0Uw1GLki>M#gFI>(OycL&K0ALm==JYPnM%e>K~44?IDm z@nP*AY=w~piVHC?U0V#;%ZmJEuw(~^0P+sXXj%?4H-h>Gp zyleFu$#4k_4Te)*UL@pkUD0|!4ZO3kesMU*PoPSfRLN7EGABDGxB^6u>71(B1mmKV zR2ickiDKk=(uiAr-I&^+?dkH+(O=ODp`0>9B0VytHXOzz*7Jqgp7ElunXi2Zno!XT z2x&4bQ*Uv~Fh#n!{B~}o0YEJu?I?6SLq=L~6h-kA9Yu+?O-6dgD5lISIEv>lMn%C< xe8`f8RQVDVe6du*Uhooc%>7qfA^-pV@#*J(j9Px3%H3?5>iS#E$GAHGe*tbChr9p) diff --git a/dt/dt.tan.rpt b/dt/dt.tan.rpt index c3dff30..45dc180 100644 --- a/dt/dt.tan.rpt +++ b/dt/dt.tan.rpt @@ -1,5 +1,5 @@ Classic Timing Analyzer report for dt -Thu Dec 16 16:55:05 2010 +Fri Dec 17 10:10:42 2010 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition @@ -13,8 +13,10 @@ Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition 5. Clock Settings Summary 6. Parallel Compilation 7. Clock Setup: 'sys_clk' - 8. tco - 9. Timing Analyzer Messages + 8. tsu + 9. tco + 10. th + 11. Timing Analyzer Messages @@ -48,8 +50,10 @@ Classic Timing Analyzer will not be available in a future release of the Quartus +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ ; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ -; Worst-case tco ; N/A ; None ; 8.846 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; -- ; 0 ; -; Clock Setup: 'sys_clk' ; N/A ; None ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; 0 ; +; Worst-case tsu ; N/A ; None ; 16.692 ns ; sys_res ; execute_stage:exec_st|reg.result[2] ; -- ; sys_clk ; 0 ; +; Worst-case tco ; N/A ; None ; 8.362 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; -- ; 0 ; +; Worst-case th ; N/A ; None ; -8.416 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; -- ; sys_clk ; 0 ; +; Clock Setup: 'sys_clk' ; N/A ; None ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; 0 ; ; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; +------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+ @@ -112,275 +116,512 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 49.70 MHz ( period = 20.119 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.416 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 50.36 MHz ( period = 19.856 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.162 ns ; -; N/A ; 51.44 MHz ( period = 19.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.669 ns ; -; N/A ; 51.44 MHz ( period = 19.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.669 ns ; -; N/A ; 51.44 MHz ( period = 19.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.669 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 51.64 MHz ( period = 19.366 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.663 ns ; -; N/A ; 52.15 MHz ( period = 19.176 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.415 ns ; -; N/A ; 52.15 MHz ( period = 19.176 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.415 ns ; -; N/A ; 52.15 MHz ( period = 19.176 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.415 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 52.35 MHz ( period = 19.103 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.409 ns ; -; N/A ; 54.24 MHz ( period = 18.437 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.734 ns ; -; N/A ; 54.24 MHz ( period = 18.437 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.734 ns ; -; N/A ; 54.24 MHz ( period = 18.437 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.734 ns ; -; N/A ; 55.02 MHz ( period = 18.174 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.480 ns ; -; N/A ; 55.02 MHz ( period = 18.174 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.480 ns ; -; N/A ; 55.02 MHz ( period = 18.174 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 17.480 ns ; -; N/A ; 57.24 MHz ( period = 17.470 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.700 ns ; -; N/A ; 57.24 MHz ( period = 17.470 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.700 ns ; -; N/A ; 57.24 MHz ( period = 17.470 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.700 ns ; -; N/A ; 58.12 MHz ( period = 17.207 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.446 ns ; -; N/A ; 58.12 MHz ( period = 17.207 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.446 ns ; -; N/A ; 58.12 MHz ( period = 17.207 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 16.446 ns ; -; N/A ; 59.51 MHz ( period = 16.805 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 16.544 ns ; -; N/A ; 59.51 MHz ( period = 16.805 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 16.544 ns ; -; N/A ; 59.73 MHz ( period = 16.743 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 16.473 ns ; -; N/A ; 59.73 MHz ( period = 16.743 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 16.473 ns ; -; N/A ; 60.26 MHz ( period = 16.594 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 16.324 ns ; -; N/A ; 60.26 MHz ( period = 16.594 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 16.324 ns ; -; N/A ; 60.70 MHz ( period = 16.475 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 16.205 ns ; -; N/A ; 60.70 MHz ( period = 16.475 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 16.205 ns ; -; N/A ; 61.33 MHz ( period = 16.306 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 16.036 ns ; -; N/A ; 61.33 MHz ( period = 16.306 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 16.036 ns ; -; N/A ; 62.02 MHz ( period = 16.125 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.797 ns ; -; N/A ; 62.17 MHz ( period = 16.085 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 15.815 ns ; -; N/A ; 62.17 MHz ( period = 16.085 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 15.815 ns ; -; N/A ; 62.25 MHz ( period = 16.063 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.726 ns ; -; N/A ; 62.30 MHz ( period = 16.052 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.791 ns ; -; N/A ; 62.30 MHz ( period = 16.052 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.791 ns ; -; N/A ; 62.30 MHz ( period = 16.052 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.791 ns ; -; N/A ; 62.30 MHz ( period = 16.052 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.791 ns ; -; N/A ; 62.30 MHz ( period = 16.052 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.791 ns ; -; N/A ; 62.54 MHz ( period = 15.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.720 ns ; -; N/A ; 62.54 MHz ( period = 15.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.720 ns ; -; N/A ; 62.54 MHz ( period = 15.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.720 ns ; -; N/A ; 62.54 MHz ( period = 15.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.720 ns ; -; N/A ; 62.54 MHz ( period = 15.990 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.720 ns ; -; N/A ; 62.84 MHz ( period = 15.914 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.577 ns ; -; N/A ; 63.13 MHz ( period = 15.841 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.571 ns ; -; N/A ; 63.13 MHz ( period = 15.841 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.571 ns ; -; N/A ; 63.13 MHz ( period = 15.841 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.571 ns ; -; N/A ; 63.13 MHz ( period = 15.841 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.571 ns ; -; N/A ; 63.13 MHz ( period = 15.841 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.571 ns ; -; N/A ; 63.24 MHz ( period = 15.812 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 15.542 ns ; -; N/A ; 63.24 MHz ( period = 15.812 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 15.542 ns ; -; N/A ; 63.31 MHz ( period = 15.795 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.458 ns ; -; N/A ; 63.53 MHz ( period = 15.741 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 15.480 ns ; -; N/A ; 63.53 MHz ( period = 15.741 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 15.480 ns ; -; N/A ; 63.61 MHz ( period = 15.722 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.452 ns ; -; N/A ; 63.61 MHz ( period = 15.722 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.452 ns ; -; N/A ; 63.61 MHz ( period = 15.722 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.452 ns ; -; N/A ; 63.61 MHz ( period = 15.722 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.452 ns ; -; N/A ; 63.61 MHz ( period = 15.722 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.452 ns ; -; N/A ; 64.00 MHz ( period = 15.626 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.289 ns ; -; N/A ; 64.30 MHz ( period = 15.553 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.283 ns ; -; N/A ; 64.30 MHz ( period = 15.553 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.283 ns ; -; N/A ; 64.30 MHz ( period = 15.553 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.283 ns ; -; N/A ; 64.30 MHz ( period = 15.553 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.283 ns ; -; N/A ; 64.30 MHz ( period = 15.553 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.283 ns ; -; N/A ; 64.86 MHz ( period = 15.417 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 15.156 ns ; -; N/A ; 64.86 MHz ( period = 15.417 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 15.156 ns ; -; N/A ; 64.91 MHz ( period = 15.405 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 15.068 ns ; -; N/A ; 65.22 MHz ( period = 15.332 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 15.062 ns ; -; N/A ; 65.22 MHz ( period = 15.332 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 15.062 ns ; -; N/A ; 65.22 MHz ( period = 15.332 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 15.062 ns ; -; N/A ; 65.22 MHz ( period = 15.332 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 15.062 ns ; -; N/A ; 65.22 MHz ( period = 15.332 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 15.062 ns ; -; N/A ; 66.09 MHz ( period = 15.132 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 14.795 ns ; -; N/A ; 66.12 MHz ( period = 15.123 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.862 ns ; -; N/A ; 66.40 MHz ( period = 15.061 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 14.733 ns ; -; N/A ; 66.40 MHz ( period = 15.061 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.791 ns ; -; N/A ; 66.41 MHz ( period = 15.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 14.789 ns ; -; N/A ; 66.41 MHz ( period = 15.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 14.789 ns ; -; N/A ; 66.41 MHz ( period = 15.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 14.789 ns ; -; N/A ; 66.41 MHz ( period = 15.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 14.789 ns ; -; N/A ; 66.41 MHz ( period = 15.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 14.789 ns ; -; N/A ; 66.72 MHz ( period = 14.988 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 14.727 ns ; -; N/A ; 66.72 MHz ( period = 14.988 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 14.727 ns ; -; N/A ; 66.72 MHz ( period = 14.988 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 14.727 ns ; -; N/A ; 66.72 MHz ( period = 14.988 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 14.727 ns ; -; N/A ; 66.72 MHz ( period = 14.988 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 14.727 ns ; -; N/A ; 67.06 MHz ( period = 14.912 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.642 ns ; -; N/A ; 67.32 MHz ( period = 14.854 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.593 ns ; -; N/A ; 67.32 MHz ( period = 14.854 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.593 ns ; -; N/A ; 67.60 MHz ( period = 14.793 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.523 ns ; -; N/A ; 67.86 MHz ( period = 14.737 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 14.409 ns ; -; N/A ; 68.19 MHz ( period = 14.664 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 14.403 ns ; -; N/A ; 68.19 MHz ( period = 14.664 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 14.403 ns ; -; N/A ; 68.19 MHz ( period = 14.664 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 14.403 ns ; -; N/A ; 68.19 MHz ( period = 14.664 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 14.403 ns ; -; N/A ; 68.19 MHz ( period = 14.664 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 14.403 ns ; -; N/A ; 68.38 MHz ( period = 14.624 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.354 ns ; -; N/A ; 68.41 MHz ( period = 14.618 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.424 ns ; -; N/A ; 68.41 MHz ( period = 14.618 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.424 ns ; -; N/A ; 68.73 MHz ( period = 14.549 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.288 ns ; -; N/A ; 68.73 MHz ( period = 14.549 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.288 ns ; -; N/A ; 68.77 MHz ( period = 14.541 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[8] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.280 ns ; -; N/A ; 68.77 MHz ( period = 14.541 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[8] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.280 ns ; -; N/A ; 69.24 MHz ( period = 14.443 ns ) ; decode_stage:decode_st|rtw_rec.immediate[12] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.182 ns ; -; N/A ; 69.24 MHz ( period = 14.443 ns ) ; decode_stage:decode_st|rtw_rec.immediate[12] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.182 ns ; -; N/A ; 69.43 MHz ( period = 14.403 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 14.133 ns ; -; N/A ; 69.48 MHz ( period = 14.393 ns ) ; execute_stage:exec_st|reg.result[1] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.132 ns ; -; N/A ; 69.48 MHz ( period = 14.393 ns ) ; execute_stage:exec_st|reg.result[1] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.132 ns ; -; N/A ; 69.59 MHz ( period = 14.369 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[12] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 14.108 ns ; -; N/A ; 69.59 MHz ( period = 14.369 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[12] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 14.108 ns ; -; N/A ; 70.14 MHz ( period = 14.258 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.997 ns ; -; N/A ; 70.14 MHz ( period = 14.258 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.997 ns ; -; N/A ; 70.48 MHz ( period = 14.189 ns ) ; execute_stage:exec_st|reg.result[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.928 ns ; -; N/A ; 70.48 MHz ( period = 14.189 ns ) ; execute_stage:exec_st|reg.result[7] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.928 ns ; -; N/A ; 70.55 MHz ( period = 14.175 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[1] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.905 ns ; -; N/A ; 70.55 MHz ( period = 14.175 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[1] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.905 ns ; -; N/A ; 70.55 MHz ( period = 14.174 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 13.846 ns ; -; N/A ; 70.64 MHz ( period = 14.156 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 13.828 ns ; -; N/A ; 70.77 MHz ( period = 14.130 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 13.860 ns ; -; N/A ; 70.92 MHz ( period = 14.101 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 13.840 ns ; -; N/A ; 70.92 MHz ( period = 14.101 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 13.840 ns ; -; N/A ; 70.92 MHz ( period = 14.101 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 13.840 ns ; -; N/A ; 70.92 MHz ( period = 14.101 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 13.840 ns ; -; N/A ; 70.92 MHz ( period = 14.101 ns ) ; execute_stage:exec_st|reg.result[9] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 13.840 ns ; -; N/A ; 70.95 MHz ( period = 14.094 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 13.757 ns ; -; N/A ; 71.06 MHz ( period = 14.072 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[5] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.811 ns ; -; N/A ; 71.06 MHz ( period = 14.072 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[5] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.811 ns ; -; N/A ; 71.13 MHz ( period = 14.059 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[7] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 13.798 ns ; -; N/A ; 71.71 MHz ( period = 13.945 ns ) ; decode_stage:decode_st|rtw_rec.immediate[3] ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 13.608 ns ; -; N/A ; 71.73 MHz ( period = 13.942 ns ) ; decode_stage:decode_st|rtw_rec.immediate[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.681 ns ; -; N/A ; 71.73 MHz ( period = 13.942 ns ) ; decode_stage:decode_st|rtw_rec.immediate[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.681 ns ; -; N/A ; 71.75 MHz ( period = 13.938 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 13.677 ns ; -; N/A ; 71.95 MHz ( period = 13.898 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.128 ns ; -; N/A ; 71.95 MHz ( period = 13.898 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.128 ns ; -; N/A ; 71.95 MHz ( period = 13.898 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.128 ns ; -; N/A ; 72.07 MHz ( period = 13.875 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[10] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.614 ns ; -; N/A ; 72.07 MHz ( period = 13.875 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[10] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.614 ns ; -; N/A ; 72.10 MHz ( period = 13.869 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 13.541 ns ; -; N/A ; 72.12 MHz ( period = 13.865 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 13.671 ns ; -; N/A ; 72.12 MHz ( period = 13.865 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 13.671 ns ; -; N/A ; 72.12 MHz ( period = 13.865 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 13.671 ns ; -; N/A ; 72.12 MHz ( period = 13.865 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 13.671 ns ; -; N/A ; 72.12 MHz ( period = 13.865 ns ) ; execute_stage:exec_st|reg.result[6] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 13.671 ns ; -; N/A ; 72.14 MHz ( period = 13.861 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[8] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 13.533 ns ; -; N/A ; 72.18 MHz ( period = 13.855 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.094 ns ; -; N/A ; 72.18 MHz ( period = 13.855 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.094 ns ; -; N/A ; 72.18 MHz ( period = 13.855 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[26] ; sys_clk ; sys_clk ; None ; None ; 13.094 ns ; -; N/A ; 72.33 MHz ( period = 13.826 ns ) ; decode_stage:decode_st|dec_op_inst.displacement[3] ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 13.489 ns ; -; N/A ; 72.35 MHz ( period = 13.821 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 13.118 ns ; -; N/A ; 72.35 MHz ( period = 13.821 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 13.118 ns ; -; N/A ; 72.35 MHz ( period = 13.821 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 13.118 ns ; -; N/A ; 72.47 MHz ( period = 13.799 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[13] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 13.529 ns ; -; N/A ; 72.47 MHz ( period = 13.799 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg[13] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 13.529 ns ; -; N/A ; 72.48 MHz ( period = 13.796 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[29] ; sys_clk ; sys_clk ; None ; None ; 13.093 ns ; -; N/A ; 72.48 MHz ( period = 13.796 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 13.535 ns ; -; N/A ; 72.48 MHz ( period = 13.796 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 13.535 ns ; -; N/A ; 72.48 MHz ( period = 13.796 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 13.535 ns ; -; N/A ; 72.48 MHz ( period = 13.796 ns ) ; execute_stage:exec_st|reg.result[3] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 13.535 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 46.92 MHz ( period = 21.311 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.617 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 47.00 MHz ( period = 21.278 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.584 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.16 MHz ( period = 20.764 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.129 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.24 MHz ( period = 20.731 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 20.096 ns ; +; N/A ; 48.50 MHz ( period = 20.620 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.300 ns ; +; N/A ; 48.50 MHz ( period = 20.620 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.300 ns ; +; N/A ; 48.50 MHz ( period = 20.620 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.300 ns ; +; N/A ; 48.50 MHz ( period = 20.620 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.300 ns ; +; N/A ; 48.50 MHz ( period = 20.620 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.300 ns ; +; N/A ; 48.64 MHz ( period = 20.559 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.307 ns ; +; N/A ; 48.64 MHz ( period = 20.559 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.307 ns ; +; N/A ; 48.64 MHz ( period = 20.559 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.307 ns ; +; N/A ; 48.64 MHz ( period = 20.559 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.307 ns ; +; N/A ; 48.64 MHz ( period = 20.559 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.307 ns ; +; N/A ; 48.82 MHz ( period = 20.485 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.224 ns ; +; N/A ; 48.82 MHz ( period = 20.485 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.224 ns ; +; N/A ; 48.82 MHz ( period = 20.485 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.224 ns ; +; N/A ; 48.82 MHz ( period = 20.485 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.224 ns ; +; N/A ; 48.82 MHz ( period = 20.485 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.224 ns ; +; N/A ; 48.85 MHz ( period = 20.472 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.778 ns ; +; N/A ; 48.85 MHz ( period = 20.472 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.778 ns ; +; N/A ; 48.85 MHz ( period = 20.472 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.778 ns ; +; N/A ; 48.93 MHz ( period = 20.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.745 ns ; +; N/A ; 48.93 MHz ( period = 20.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.745 ns ; +; N/A ; 48.93 MHz ( period = 20.439 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.745 ns ; +; N/A ; 49.16 MHz ( period = 20.340 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.705 ns ; +; N/A ; 49.16 MHz ( period = 20.340 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.705 ns ; +; N/A ; 49.16 MHz ( period = 20.340 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.705 ns ; +; N/A ; 49.24 MHz ( period = 20.307 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.672 ns ; +; N/A ; 49.24 MHz ( period = 20.307 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.672 ns ; +; N/A ; 49.24 MHz ( period = 20.307 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.672 ns ; +; N/A ; 49.30 MHz ( period = 20.286 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.025 ns ; +; N/A ; 49.30 MHz ( period = 20.286 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.025 ns ; +; N/A ; 49.30 MHz ( period = 20.286 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.025 ns ; +; N/A ; 49.30 MHz ( period = 20.286 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.025 ns ; +; N/A ; 49.30 MHz ( period = 20.286 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.025 ns ; +; N/A ; 49.35 MHz ( period = 20.265 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 20.004 ns ; +; N/A ; 49.35 MHz ( period = 20.265 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 20.004 ns ; +; N/A ; 49.35 MHz ( period = 20.265 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 20.004 ns ; +; N/A ; 49.35 MHz ( period = 20.265 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 20.004 ns ; +; N/A ; 49.35 MHz ( period = 20.265 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 20.004 ns ; +; N/A ; 49.72 MHz ( period = 20.112 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.418 ns ; +; N/A ; 49.72 MHz ( period = 20.112 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.418 ns ; +; N/A ; 49.72 MHz ( period = 20.112 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.418 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.839 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.839 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.839 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.839 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.839 ns ; +; N/A ; 49.82 MHz ( period = 20.073 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.812 ns ; +; N/A ; 49.82 MHz ( period = 20.073 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.812 ns ; +; N/A ; 49.97 MHz ( period = 20.014 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.320 ns ; +; N/A ; 49.97 MHz ( period = 20.014 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.320 ns ; +; N/A ; 49.97 MHz ( period = 20.014 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.320 ns ; +; N/A ; 49.97 MHz ( period = 20.012 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.819 ns ; +; N/A ; 49.97 MHz ( period = 20.012 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.819 ns ; +; N/A ; 49.98 MHz ( period = 20.008 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.688 ns ; +; N/A ; 50.12 MHz ( period = 19.952 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 19.258 ns ; +; N/A ; 50.12 MHz ( period = 19.952 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 19.258 ns ; +; N/A ; 50.12 MHz ( period = 19.952 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 19.258 ns ; +; N/A ; 50.16 MHz ( period = 19.938 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.736 ns ; +; N/A ; 50.16 MHz ( period = 19.938 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.736 ns ; +; N/A ; 50.54 MHz ( period = 19.786 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.525 ns ; +; N/A ; 50.54 MHz ( period = 19.786 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.525 ns ; +; N/A ; 50.54 MHz ( period = 19.786 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.525 ns ; +; N/A ; 50.54 MHz ( period = 19.786 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.525 ns ; +; N/A ; 50.54 MHz ( period = 19.786 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.525 ns ; +; N/A ; 50.55 MHz ( period = 19.781 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.461 ns ; +; N/A ; 50.66 MHz ( period = 19.739 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.537 ns ; +; N/A ; 50.66 MHz ( period = 19.739 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.537 ns ; +; N/A ; 50.71 MHz ( period = 19.720 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.468 ns ; +; N/A ; 50.72 MHz ( period = 19.718 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.516 ns ; +; N/A ; 50.72 MHz ( period = 19.718 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.516 ns ; +; N/A ; 50.74 MHz ( period = 19.709 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.457 ns ; +; N/A ; 50.89 MHz ( period = 19.649 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.388 ns ; +; N/A ; 50.90 MHz ( period = 19.646 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.385 ns ; +; N/A ; 51.01 MHz ( period = 19.603 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.909 ns ; +; N/A ; 51.01 MHz ( period = 19.603 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.909 ns ; +; N/A ; 51.01 MHz ( period = 19.603 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.909 ns ; +; N/A ; 51.03 MHz ( period = 19.597 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 19.277 ns ; +; N/A ; 51.05 MHz ( period = 19.589 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.337 ns ; +; N/A ; 51.05 MHz ( period = 19.589 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.337 ns ; +; N/A ; 51.05 MHz ( period = 19.589 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.337 ns ; +; N/A ; 51.05 MHz ( period = 19.589 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.337 ns ; +; N/A ; 51.05 MHz ( period = 19.589 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.337 ns ; +; N/A ; 51.05 MHz ( period = 19.588 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.395 ns ; +; N/A ; 51.06 MHz ( period = 19.585 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.950 ns ; +; N/A ; 51.06 MHz ( period = 19.585 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.950 ns ; +; N/A ; 51.06 MHz ( period = 19.585 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.950 ns ; +; N/A ; 51.14 MHz ( period = 19.556 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.862 ns ; +; N/A ; 51.14 MHz ( period = 19.556 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.862 ns ; +; N/A ; 51.14 MHz ( period = 19.556 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.862 ns ; +; N/A ; 51.14 MHz ( period = 19.553 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.351 ns ; +; N/A ; 51.14 MHz ( period = 19.553 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.351 ns ; +; N/A ; 51.15 MHz ( period = 19.550 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 19.230 ns ; +; N/A ; 51.25 MHz ( period = 19.514 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.312 ns ; +; N/A ; 51.31 MHz ( period = 19.489 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.228 ns ; +; N/A ; 51.31 MHz ( period = 19.489 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.228 ns ; +; N/A ; 51.31 MHz ( period = 19.489 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.228 ns ; +; N/A ; 51.31 MHz ( period = 19.489 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.228 ns ; +; N/A ; 51.31 MHz ( period = 19.489 ns ) ; decode_stage:decode_st|dec_op_inst.saddr2[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.228 ns ; +; N/A ; 51.32 MHz ( period = 19.484 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 19.232 ns ; +; N/A ; 51.32 MHz ( period = 19.484 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 19.232 ns ; +; N/A ; 51.32 MHz ( period = 19.484 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 19.232 ns ; +; N/A ; 51.32 MHz ( period = 19.484 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 19.232 ns ; +; N/A ; 51.32 MHz ( period = 19.484 ns ) ; decode_stage:decode_st|dec_op_inst.saddr1[2] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 19.232 ns ; +; N/A ; 51.36 MHz ( period = 19.471 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 18.777 ns ; +; N/A ; 51.36 MHz ( period = 19.471 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 18.777 ns ; +; N/A ; 51.36 MHz ( period = 19.471 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 18.777 ns ; +; N/A ; 51.37 MHz ( period = 19.465 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 19.145 ns ; +; N/A ; 51.42 MHz ( period = 19.447 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.186 ns ; +; N/A ; 51.45 MHz ( period = 19.436 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.175 ns ; +; N/A ; 51.48 MHz ( period = 19.426 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.165 ns ; +; N/A ; 51.51 MHz ( period = 19.415 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 19.154 ns ; +; N/A ; 51.70 MHz ( period = 19.343 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.649 ns ; +; N/A ; 51.70 MHz ( period = 19.343 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.649 ns ; +; N/A ; 51.70 MHz ( period = 19.343 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.649 ns ; +; N/A ; 51.75 MHz ( period = 19.324 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.630 ns ; +; N/A ; 51.75 MHz ( period = 19.324 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.630 ns ; +; N/A ; 51.75 MHz ( period = 19.324 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.630 ns ; +; N/A ; 51.77 MHz ( period = 19.315 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.113 ns ; +; N/A ; 51.79 MHz ( period = 19.310 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.616 ns ; +; N/A ; 51.79 MHz ( period = 19.310 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.616 ns ; +; N/A ; 51.79 MHz ( period = 19.310 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; sys_clk ; None ; None ; 18.616 ns ; +; N/A ; 51.81 MHz ( period = 19.300 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[23] ; sys_clk ; sys_clk ; None ; None ; 18.606 ns ; +; N/A ; 51.81 MHz ( period = 19.300 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[23] ; sys_clk ; sys_clk ; None ; None ; 18.606 ns ; +; N/A ; 51.81 MHz ( period = 19.300 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[23] ; sys_clk ; sys_clk ; None ; None ; 18.606 ns ; +; N/A ; 51.82 MHz ( period = 19.298 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 19.046 ns ; +; N/A ; 51.83 MHz ( period = 19.294 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 19.092 ns ; +; N/A ; 51.92 MHz ( period = 19.261 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; sys_clk ; None ; None ; 19.000 ns ; +; N/A ; 51.95 MHz ( period = 19.251 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[9] ; sys_clk ; sys_clk ; None ; None ; 18.999 ns ; +; N/A ; 51.95 MHz ( period = 19.250 ns ) ; execute_stage:exec_st|reg.alu_jump ; execute_stage:exec_st|reg.result[20] ; sys_clk ; sys_clk ; None ; None ; 18.989 ns ; +; N/A ; 51.98 MHz ( period = 19.239 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 19.037 ns ; +; N/A ; 51.98 MHz ( period = 19.239 ns ) ; execute_stage:exec_st|reg.wr_en ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 19.037 ns ; +; N/A ; 52.18 MHz ( period = 19.166 ns ) ; execute_stage:exec_st|reg.res_addr[2] ; execute_stage:exec_st|reg.result[2] ; sys_clk ; sys_clk ; None ; None ; 18.914 ns ; +; N/A ; 52.21 MHz ( period = 19.155 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.461 ns ; +; N/A ; 52.21 MHz ( period = 19.155 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.461 ns ; +; N/A ; 52.21 MHz ( period = 19.155 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.461 ns ; +; N/A ; 52.28 MHz ( period = 19.129 ns ) ; execute_stage:exec_st|reg.alu_jump ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; sys_clk ; None ; None ; 18.927 ns ; +; N/A ; 52.35 MHz ( period = 19.104 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.469 ns ; +; N/A ; 52.35 MHz ( period = 19.104 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.469 ns ; +; N/A ; 52.35 MHz ( period = 19.104 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.469 ns ; +; N/A ; 52.36 MHz ( period = 19.098 ns ) ; decode_stage:decode_st|rtw_rec.rtw_reg2 ; execute_stage:exec_st|reg.result[6] ; sys_clk ; sys_clk ; None ; None ; 18.837 ns ; +; N/A ; 52.44 MHz ( period = 19.071 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[1] ; sys_clk ; sys_clk ; None ; None ; 18.377 ns ; +; N/A ; 52.44 MHz ( period = 19.071 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[1] ; sys_clk ; sys_clk ; None ; None ; 18.377 ns ; +; N/A ; 52.44 MHz ( period = 19.071 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[1] ; sys_clk ; sys_clk ; None ; None ; 18.377 ns ; +; N/A ; 52.49 MHz ( period = 19.051 ns ) ; execute_stage:exec_st|reg.result[15] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; sys_clk ; None ; None ; 18.790 ns ; +; N/A ; 52.49 MHz ( period = 19.051 ns ) ; execute_stage:exec_st|reg.result[15] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; sys_clk ; None ; None ; 18.790 ns ; +; N/A ; 52.49 MHz ( period = 19.051 ns ) ; execute_stage:exec_st|reg.result[15] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; sys_clk ; None ; None ; 18.790 ns ; +; N/A ; 52.49 MHz ( period = 19.051 ns ) ; execute_stage:exec_st|reg.result[15] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; sys_clk ; None ; None ; 18.790 ns ; +; N/A ; 52.49 MHz ( period = 19.051 ns ) ; execute_stage:exec_st|reg.result[15] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; sys_clk ; None ; None ; 18.790 ns ; +; N/A ; 52.52 MHz ( period = 19.042 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; sys_clk ; None ; None ; 18.849 ns ; +; N/A ; 52.52 MHz ( period = 19.042 ns ) ; execute_stage:exec_st|reg.result[26] ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; sys_clk ; None ; None ; 18.849 ns ; +; N/A ; 52.55 MHz ( period = 19.031 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 18.337 ns ; +; N/A ; 52.55 MHz ( period = 19.031 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 18.337 ns ; +; N/A ; 52.55 MHz ( period = 19.031 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[27] ; sys_clk ; sys_clk ; None ; None ; 18.337 ns ; +; N/A ; 52.56 MHz ( period = 19.025 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[24] ; sys_clk ; sys_clk ; None ; None ; 18.331 ns ; +; N/A ; 52.56 MHz ( period = 19.025 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[24] ; sys_clk ; sys_clk ; None ; None ; 18.331 ns ; +; N/A ; 52.56 MHz ( period = 19.025 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[24] ; sys_clk ; sys_clk ; None ; None ; 18.331 ns ; +; N/A ; 52.56 MHz ( period = 19.025 ns ) ; decode_stage:decode_st|rtw_rec.imm_set ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.764 ns ; +; N/A ; 52.62 MHz ( period = 19.004 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en ; execute_stage:exec_st|reg.result[31] ; sys_clk ; sys_clk ; None ; None ; 18.743 ns ; +; N/A ; 52.62 MHz ( period = 19.003 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ; sys_clk ; sys_clk ; None ; None ; 18.309 ns ; ; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; +-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ ++---------------------------------------------------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+---------+------------------------------------------------------------------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+---------+------------------------------------------------------------------+----------+ +; N/A ; None ; 16.692 ns ; sys_res ; execute_stage:exec_st|reg.result[2] ; sys_clk ; +; N/A ; None ; 16.689 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; sys_clk ; +; N/A ; None ; 16.688 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; sys_clk ; +; N/A ; None ; 16.686 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[15] ; sys_clk ; +; N/A ; None ; 16.684 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; sys_clk ; +; N/A ; None ; 16.683 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; sys_clk ; +; N/A ; None ; 16.681 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; sys_clk ; +; N/A ; None ; 15.220 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; +; N/A ; None ; 15.220 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; +; N/A ; None ; 15.220 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; +; N/A ; None ; 15.220 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; +; N/A ; None ; 15.220 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; +; N/A ; None ; 14.759 ns ; sys_res ; execute_stage:exec_st|reg.result[1] ; sys_clk ; +; N/A ; None ; 14.741 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; sys_clk ; +; N/A ; None ; 14.741 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; sys_clk ; +; N/A ; None ; 14.741 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; sys_clk ; +; N/A ; None ; 14.673 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; +; N/A ; None ; 14.673 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; +; N/A ; None ; 14.394 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0] ; sys_clk ; +; N/A ; None ; 14.381 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; +; N/A ; None ; 14.248 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; +; N/A ; None ; 13.957 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; sys_clk ; +; N/A ; None ; 13.957 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; sys_clk ; +; N/A ; None ; 13.781 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; sys_clk ; +; N/A ; None ; 13.781 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; sys_clk ; +; N/A ; None ; 13.781 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; sys_clk ; +; N/A ; None ; 13.781 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; sys_clk ; +; N/A ; None ; 13.742 ns ; sys_res ; execute_stage:exec_st|reg.result[30] ; sys_clk ; +; N/A ; None ; 13.717 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; sys_clk ; +; N/A ; None ; 13.717 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; sys_clk ; +; N/A ; None ; 13.717 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; sys_clk ; +; N/A ; None ; 13.717 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; sys_clk ; +; N/A ; None ; 13.717 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; sys_clk ; +; N/A ; None ; 13.380 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; sys_clk ; +; N/A ; None ; 13.380 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; sys_clk ; +; N/A ; None ; 13.375 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; sys_clk ; +; N/A ; None ; 13.265 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2] ; sys_clk ; +; N/A ; None ; 13.205 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; +; N/A ; None ; 13.126 ns ; sys_res ; execute_stage:exec_st|reg.result[3] ; sys_clk ; +; N/A ; None ; 13.126 ns ; sys_res ; execute_stage:exec_st|reg.result[6] ; sys_clk ; +; N/A ; None ; 12.974 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; sys_clk ; +; N/A ; None ; 12.974 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; sys_clk ; +; N/A ; None ; 12.948 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; sys_clk ; +; N/A ; None ; 12.948 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; sys_clk ; +; N/A ; None ; 12.948 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; sys_clk ; +; N/A ; None ; 12.948 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; sys_clk ; +; N/A ; None ; 12.942 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; sys_clk ; +; N/A ; None ; 12.942 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; sys_clk ; +; N/A ; None ; 12.942 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; sys_clk ; +; N/A ; None ; 12.942 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; sys_clk ; +; N/A ; None ; 12.849 ns ; sys_res ; execute_stage:exec_st|reg.result[25] ; sys_clk ; +; N/A ; None ; 12.769 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; sys_clk ; +; N/A ; None ; 12.542 ns ; sys_res ; execute_stage:exec_st|reg.result[7] ; sys_clk ; +; N/A ; None ; 12.384 ns ; sys_res ; execute_stage:exec_st|reg.result[11] ; sys_clk ; +; N/A ; None ; 12.380 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; sys_clk ; +; N/A ; None ; 12.255 ns ; sys_res ; execute_stage:exec_st|reg.result[9] ; sys_clk ; +; N/A ; None ; 12.236 ns ; sys_res ; execute_stage:exec_st|reg.result[29] ; sys_clk ; +; N/A ; None ; 12.158 ns ; sys_res ; execute_stage:exec_st|reg.result[13] ; sys_clk ; +; N/A ; None ; 12.154 ns ; sys_res ; execute_stage:exec_st|reg.result[15] ; sys_clk ; +; N/A ; None ; 12.149 ns ; sys_res ; execute_stage:exec_st|reg.result[28] ; sys_clk ; +; N/A ; None ; 12.123 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; sys_clk ; +; N/A ; None ; 12.123 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; sys_clk ; +; N/A ; None ; 12.033 ns ; sys_res ; execute_stage:exec_st|reg.result[21] ; sys_clk ; +; N/A ; None ; 12.027 ns ; sys_res ; execute_stage:exec_st|reg.result[4] ; sys_clk ; +; N/A ; None ; 11.977 ns ; sys_res ; execute_stage:exec_st|reg.result[16] ; sys_clk ; +; N/A ; None ; 11.927 ns ; sys_res ; execute_stage:exec_st|reg.result[20] ; sys_clk ; +; N/A ; None ; 11.914 ns ; sys_res ; execute_stage:exec_st|reg.result[8] ; sys_clk ; +; N/A ; None ; 11.890 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10] ; sys_clk ; +; N/A ; None ; 11.889 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8] ; sys_clk ; +; N/A ; None ; 11.881 ns ; sys_res ; execute_stage:exec_st|reg.result[17] ; sys_clk ; +; N/A ; None ; 11.764 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5] ; sys_clk ; +; N/A ; None ; 11.700 ns ; sys_res ; execute_stage:exec_st|reg.result[0] ; sys_clk ; +; N/A ; None ; 11.660 ns ; sys_res ; execute_stage:exec_st|reg.result[10] ; sys_clk ; +; N/A ; None ; 11.555 ns ; sys_res ; execute_stage:exec_st|reg.result[23] ; sys_clk ; +; N/A ; None ; 11.555 ns ; sys_res ; execute_stage:exec_st|reg.result[27] ; sys_clk ; +; N/A ; None ; 11.537 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en ; sys_clk ; +; N/A ; None ; 11.535 ns ; sys_res ; execute_stage:exec_st|reg.wr_en ; sys_clk ; +; N/A ; None ; 11.318 ns ; sys_res ; execute_stage:exec_st|reg.result[22] ; sys_clk ; +; N/A ; None ; 11.315 ns ; sys_res ; execute_stage:exec_st|reg.result[26] ; sys_clk ; +; N/A ; None ; 11.255 ns ; sys_res ; execute_stage:exec_st|reg.alu_jump ; sys_clk ; +; N/A ; None ; 11.184 ns ; sys_res ; execute_stage:exec_st|reg.result[12] ; sys_clk ; +; N/A ; None ; 11.144 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1] ; sys_clk ; +; N/A ; None ; 11.127 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3] ; sys_clk ; +; N/A ; None ; 11.007 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7] ; sys_clk ; +; N/A ; None ; 10.999 ns ; sys_res ; execute_stage:exec_st|reg.result[5] ; sys_clk ; +; N/A ; None ; 10.955 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9] ; sys_clk ; +; N/A ; None ; 10.830 ns ; sys_res ; execute_stage:exec_st|reg.result[19] ; sys_clk ; +; N/A ; None ; 10.734 ns ; sys_res ; execute_stage:exec_st|reg.result[18] ; sys_clk ; +; N/A ; None ; 10.714 ns ; sys_res ; execute_stage:exec_st|reg.result[14] ; sys_clk ; +; N/A ; None ; 10.601 ns ; sys_res ; execute_stage:exec_st|reg.result[24] ; sys_clk ; +; N/A ; None ; 10.573 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6] ; sys_clk ; +; N/A ; None ; 10.408 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4] ; sys_clk ; +; N/A ; None ; 10.117 ns ; sys_res ; execute_stage:exec_st|reg.result[31] ; sys_clk ; +; N/A ; None ; 9.756 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; sys_clk ; ++-------+--------------+------------+---------+------------------------------------------------------------------+----------+ + + +----------------------------------------------------------------------------------------------------------------------------------------------+ ; tco ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ ; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ -; N/A ; None ; 8.846 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; +; N/A ; None ; 8.362 ns ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk ; +-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ +; N/A ; None ; -8.416 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data ; sys_clk ; +; N/A ; None ; -9.704 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry ; sys_clk ; +; N/A ; None ; -10.065 ns ; sys_res ; execute_stage:exec_st|reg.result[31] ; sys_clk ; +; N/A ; None ; -10.356 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4] ; sys_clk ; +; N/A ; None ; -10.521 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6] ; sys_clk ; +; N/A ; None ; -10.549 ns ; sys_res ; execute_stage:exec_st|reg.result[24] ; sys_clk ; +; N/A ; None ; -10.662 ns ; sys_res ; execute_stage:exec_st|reg.result[14] ; sys_clk ; +; N/A ; None ; -10.682 ns ; sys_res ; execute_stage:exec_st|reg.result[18] ; sys_clk ; +; N/A ; None ; -10.778 ns ; sys_res ; execute_stage:exec_st|reg.result[19] ; sys_clk ; +; N/A ; None ; -10.903 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9] ; sys_clk ; +; N/A ; None ; -10.947 ns ; sys_res ; execute_stage:exec_st|reg.result[5] ; sys_clk ; +; N/A ; None ; -10.955 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7] ; sys_clk ; +; N/A ; None ; -11.075 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3] ; sys_clk ; +; N/A ; None ; -11.092 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1] ; sys_clk ; +; N/A ; None ; -11.132 ns ; sys_res ; execute_stage:exec_st|reg.result[12] ; sys_clk ; +; N/A ; None ; -11.203 ns ; sys_res ; execute_stage:exec_st|reg.alu_jump ; sys_clk ; +; N/A ; None ; -11.263 ns ; sys_res ; execute_stage:exec_st|reg.result[26] ; sys_clk ; +; N/A ; None ; -11.266 ns ; sys_res ; execute_stage:exec_st|reg.result[22] ; sys_clk ; +; N/A ; None ; -11.483 ns ; sys_res ; execute_stage:exec_st|reg.wr_en ; sys_clk ; +; N/A ; None ; -11.485 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en ; sys_clk ; +; N/A ; None ; -11.503 ns ; sys_res ; execute_stage:exec_st|reg.result[23] ; sys_clk ; +; N/A ; None ; -11.503 ns ; sys_res ; execute_stage:exec_st|reg.result[27] ; sys_clk ; +; N/A ; None ; -11.608 ns ; sys_res ; execute_stage:exec_st|reg.result[10] ; sys_clk ; +; N/A ; None ; -11.648 ns ; sys_res ; execute_stage:exec_st|reg.result[0] ; sys_clk ; +; N/A ; None ; -11.662 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; sys_clk ; +; N/A ; None ; -11.662 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[15] ; sys_clk ; +; N/A ; None ; -11.663 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9] ; sys_clk ; +; N/A ; None ; -11.664 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27] ; sys_clk ; +; N/A ; None ; -11.665 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25] ; sys_clk ; +; N/A ; None ; -11.712 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5] ; sys_clk ; +; N/A ; None ; -11.829 ns ; sys_res ; execute_stage:exec_st|reg.result[17] ; sys_clk ; +; N/A ; None ; -11.837 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8] ; sys_clk ; +; N/A ; None ; -11.838 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10] ; sys_clk ; +; N/A ; None ; -11.862 ns ; sys_res ; execute_stage:exec_st|reg.result[8] ; sys_clk ; +; N/A ; None ; -11.875 ns ; sys_res ; execute_stage:exec_st|reg.result[20] ; sys_clk ; +; N/A ; None ; -11.925 ns ; sys_res ; execute_stage:exec_st|reg.result[16] ; sys_clk ; +; N/A ; None ; -11.975 ns ; sys_res ; execute_stage:exec_st|reg.result[4] ; sys_clk ; +; N/A ; None ; -11.981 ns ; sys_res ; execute_stage:exec_st|reg.result[21] ; sys_clk ; +; N/A ; None ; -12.071 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0] ; sys_clk ; +; N/A ; None ; -12.071 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26] ; sys_clk ; +; N/A ; None ; -12.097 ns ; sys_res ; execute_stage:exec_st|reg.result[28] ; sys_clk ; +; N/A ; None ; -12.102 ns ; sys_res ; execute_stage:exec_st|reg.result[15] ; sys_clk ; +; N/A ; None ; -12.106 ns ; sys_res ; execute_stage:exec_st|reg.result[13] ; sys_clk ; +; N/A ; None ; -12.184 ns ; sys_res ; execute_stage:exec_st|reg.result[29] ; sys_clk ; +; N/A ; None ; -12.203 ns ; sys_res ; execute_stage:exec_st|reg.result[9] ; sys_clk ; +; N/A ; None ; -12.328 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27] ; sys_clk ; +; N/A ; None ; -12.332 ns ; sys_res ; execute_stage:exec_st|reg.result[11] ; sys_clk ; +; N/A ; None ; -12.490 ns ; sys_res ; execute_stage:exec_st|reg.result[7] ; sys_clk ; +; N/A ; None ; -12.717 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en ; sys_clk ; +; N/A ; None ; -12.797 ns ; sys_res ; execute_stage:exec_st|reg.result[25] ; sys_clk ; +; N/A ; None ; -12.890 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22] ; sys_clk ; +; N/A ; None ; -12.890 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23] ; sys_clk ; +; N/A ; None ; -12.890 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24] ; sys_clk ; +; N/A ; None ; -12.890 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25] ; sys_clk ; +; N/A ; None ; -12.896 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14] ; sys_clk ; +; N/A ; None ; -12.896 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12] ; sys_clk ; +; N/A ; None ; -12.896 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13] ; sys_clk ; +; N/A ; None ; -12.896 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15] ; sys_clk ; +; N/A ; None ; -12.922 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8] ; sys_clk ; +; N/A ; None ; -12.922 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9] ; sys_clk ; +; N/A ; None ; -12.945 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21] ; sys_clk ; +; N/A ; None ; -13.074 ns ; sys_res ; execute_stage:exec_st|reg.result[3] ; sys_clk ; +; N/A ; None ; -13.074 ns ; sys_res ; execute_stage:exec_st|reg.result[6] ; sys_clk ; +; N/A ; None ; -13.213 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2] ; sys_clk ; +; N/A ; None ; -13.323 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7] ; sys_clk ; +; N/A ; None ; -13.328 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28] ; sys_clk ; +; N/A ; None ; -13.328 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29] ; sys_clk ; +; N/A ; None ; -13.665 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6] ; sys_clk ; +; N/A ; None ; -13.665 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2] ; sys_clk ; +; N/A ; None ; -13.665 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3] ; sys_clk ; +; N/A ; None ; -13.665 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4] ; sys_clk ; +; N/A ; None ; -13.665 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5] ; sys_clk ; +; N/A ; None ; -13.690 ns ; sys_res ; execute_stage:exec_st|reg.result[30] ; sys_clk ; +; N/A ; None ; -13.729 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18] ; sys_clk ; +; N/A ; None ; -13.729 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11] ; sys_clk ; +; N/A ; None ; -13.729 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16] ; sys_clk ; +; N/A ; None ; -13.729 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17] ; sys_clk ; +; N/A ; None ; -13.905 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1] ; sys_clk ; +; N/A ; None ; -13.905 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10] ; sys_clk ; +; N/A ; None ; -14.196 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0] ; sys_clk ; +; N/A ; None ; -14.329 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk ; +; N/A ; None ; -14.342 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0] ; sys_clk ; +; N/A ; None ; -14.363 ns ; sys_res ; execute_stage:exec_st|reg.result[1] ; sys_clk ; +; N/A ; None ; -14.621 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk ; +; N/A ; None ; -14.621 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk ; +; N/A ; None ; -14.689 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19] ; sys_clk ; +; N/A ; None ; -14.689 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20] ; sys_clk ; +; N/A ; None ; -14.689 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21] ; sys_clk ; +; N/A ; None ; -15.078 ns ; sys_res ; execute_stage:exec_st|reg.result[2] ; sys_clk ; +; N/A ; None ; -15.168 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk ; +; N/A ; None ; -15.168 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk ; +; N/A ; None ; -15.168 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk ; +; N/A ; None ; -15.168 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk ; +; N/A ; None ; -15.168 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk ; ++---------------+-------------+------------+---------+------------------------------------------------------------------+----------+ + + +--------------------------+ ; Timing Analyzer Messages ; +--------------------------+ Info: ******************************************************************* Info: Running Quartus II Classic Timing Analyzer Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Dec 16 16:55:05 2010 + Info: Processing started: Fri Dec 17 10:10:41 2010 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off dt -c dt --timing_analysis_only Warning: Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents. Warning: Found pins functioning as undefined clocks and/or memory enables Info: Assuming node "sys_clk" is an undefined clock -Info: Clock "sys_clk" has Internal fmax of 49.7 MHz between source memory "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0" and destination register "writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]" (period= 20.119 ns) - Info: + Longest memory to register delay is 19.416 ns - Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y16; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0' - Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y16; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a9' - Info: 3: + IC(1.893 ns) + CELL(0.114 ns) = 6.324 ns; Loc. = LC_X27_Y12_N5; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[9]~23' - Info: 4: + IC(0.416 ns) + CELL(0.114 ns) = 6.854 ns; Loc. = LC_X27_Y12_N2; Fanout = 6; COMB Node = 'execute_stage:exec_st|left_operand[9]~24' - Info: 5: + IC(1.990 ns) + CELL(0.564 ns) = 9.408 ns; Loc. = LC_X28_Y13_N3; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~52' - Info: 6: + IC(0.000 ns) + CELL(0.178 ns) = 9.586 ns; Loc. = LC_X28_Y13_N4; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~57' - Info: 7: + IC(0.000 ns) + CELL(0.208 ns) = 9.794 ns; Loc. = LC_X28_Y13_N9; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~77' - Info: 8: + IC(0.000 ns) + CELL(0.679 ns) = 10.473 ns; Loc. = LC_X28_Y12_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add2~90' - Info: 9: + IC(1.498 ns) + CELL(0.114 ns) = 12.085 ns; Loc. = LC_X32_Y12_N1; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~14' - Info: 10: + IC(0.428 ns) + CELL(0.590 ns) = 13.103 ns; Loc. = LC_X32_Y12_N2; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~17' - Info: 11: + IC(1.142 ns) + CELL(0.590 ns) = 14.835 ns; Loc. = LC_X29_Y12_N8; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~23' - Info: 12: + IC(1.556 ns) + CELL(0.114 ns) = 16.505 ns; Loc. = LC_X28_Y11_N8; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~1' - Info: 13: + IC(2.044 ns) + CELL(0.867 ns) = 19.416 ns; Loc. = LC_X36_Y14_N5; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' - Info: Total cell delay = 8.449 ns ( 43.52 % ) - Info: Total interconnect delay = 10.967 ns ( 56.48 % ) - Info: - Smallest clock skew is -0.016 ns - Info: + Shortest clock path from clock "sys_clk" to destination register is 3.178 ns - Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 283; CLK Node = 'sys_clk' - Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X36_Y14_N5; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' - Info: Total cell delay = 2.180 ns ( 68.60 % ) - Info: Total interconnect delay = 0.998 ns ( 31.40 % ) +Info: Clock "sys_clk" has Internal fmax of 46.92 MHz between source memory "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0" and destination register "writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]" (period= 21.311 ns) + Info: + Longest memory to register delay is 20.617 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y18; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0' + Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y18; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a1' + Info: 3: + IC(1.103 ns) + CELL(0.114 ns) = 5.534 ns; Loc. = LC_X31_Y18_N3; Fanout = 1; COMB Node = 'execute_stage:exec_st|left_operand[1]~3' + Info: 4: + IC(0.437 ns) + CELL(0.114 ns) = 6.085 ns; Loc. = LC_X31_Y18_N2; Fanout = 5; COMB Node = 'execute_stage:exec_st|left_operand[1]~4' + Info: 5: + IC(1.249 ns) + CELL(0.114 ns) = 7.448 ns; Loc. = LC_X31_Y22_N0; Fanout = 9; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector106~0' + Info: 6: + IC(0.410 ns) + CELL(0.432 ns) = 8.290 ns; Loc. = LC_X31_Y22_N5; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~152COUT1_192' + Info: 7: + IC(0.000 ns) + CELL(0.080 ns) = 8.370 ns; Loc. = LC_X31_Y22_N6; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~147COUT1_194' + Info: 8: + IC(0.000 ns) + CELL(0.608 ns) = 8.978 ns; Loc. = LC_X31_Y22_N7; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add1~0' + Info: 9: + IC(0.728 ns) + CELL(0.575 ns) = 10.281 ns; Loc. = LC_X30_Y22_N7; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[3]~2COUT1_191' + Info: 10: + IC(0.000 ns) + CELL(0.608 ns) = 10.889 ns; Loc. = LC_X30_Y22_N8; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[4]~10' + Info: 11: + IC(1.603 ns) + CELL(0.114 ns) = 12.606 ns; Loc. = LC_X32_Y21_N2; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector70~0' + Info: 12: + IC(1.282 ns) + CELL(0.292 ns) = 14.180 ns; Loc. = LC_X31_Y17_N0; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector70~1' + Info: 13: + IC(0.418 ns) + CELL(0.114 ns) = 14.712 ns; Loc. = LC_X31_Y17_N5; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~0' + Info: 14: + IC(0.727 ns) + CELL(0.292 ns) = 15.731 ns; Loc. = LC_X30_Y17_N6; Fanout = 7; COMB Node = 'writeback_stage:writeback_st|Equal0~5' + Info: 15: + IC(1.590 ns) + CELL(0.292 ns) = 17.613 ns; Loc. = LC_X27_Y19_N2; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~8' + Info: 16: + IC(0.182 ns) + CELL(0.114 ns) = 17.909 ns; Loc. = LC_X27_Y19_N3; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~12' + Info: 17: + IC(0.431 ns) + CELL(0.114 ns) = 18.454 ns; Loc. = LC_X27_Y19_N6; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0' + Info: 18: + IC(1.296 ns) + CELL(0.867 ns) = 20.617 ns; Loc. = LC_X28_Y21_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' + Info: Total cell delay = 9.161 ns ( 44.43 % ) + Info: Total interconnect delay = 11.456 ns ( 55.57 % ) + Info: - Smallest clock skew is -0.007 ns + Info: + Shortest clock path from clock "sys_clk" to destination register is 3.187 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' + Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X28_Y21_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]' + Info: Total cell delay = 2.180 ns ( 68.40 % ) + Info: Total interconnect delay = 1.007 ns ( 31.60 % ) Info: - Longest clock path from clock "sys_clk" to source memory is 3.194 ns - Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 283; CLK Node = 'sys_clk' - Info: 2: + IC(1.007 ns) + CELL(0.718 ns) = 3.194 ns; Loc. = M4K_X33_Y16; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0' + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' + Info: 2: + IC(1.007 ns) + CELL(0.718 ns) = 3.194 ns; Loc. = M4K_X33_Y18; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0' Info: Total cell delay = 2.187 ns ( 68.47 % ) Info: Total interconnect delay = 1.007 ns ( 31.53 % ) Info: + Micro clock to output delay of source is 0.650 ns Info: + Micro setup delay of destination is 0.037 ns -Info: tco from clock "sys_clk" to destination pin "bus_tx" through register "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int" is 8.846 ns - Info: + Longest clock path from clock "sys_clk" to source register is 3.178 ns - Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 283; CLK Node = 'sys_clk' - Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X36_Y14_N2; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' - Info: Total cell delay = 2.180 ns ( 68.60 % ) - Info: Total interconnect delay = 0.998 ns ( 31.40 % ) +Info: tsu for register "execute_stage:exec_st|reg.result[2]" (data pin = "sys_res", clock pin = "sys_clk") is 16.692 ns + Info: + Longest pin to register delay is 19.842 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res' + Info: 2: + IC(9.460 ns) + CELL(0.292 ns) = 11.221 ns; Loc. = LC_X37_Y17_N4; Fanout = 7; COMB Node = 'execute_stage:exec_st|alu:alu_inst|\calc:cond_met~0' + Info: 3: + IC(0.771 ns) + CELL(0.114 ns) = 12.106 ns; Loc. = LC_X36_Y17_N6; Fanout = 32; COMB Node = 'execute_stage:exec_st|alu:alu_inst|calc~0' + Info: 4: + IC(2.560 ns) + CELL(0.114 ns) = 14.780 ns; Loc. = LC_X27_Y16_N7; Fanout = 3; COMB Node = 'execute_stage:exec_st|reg.result[1]~19' + Info: 5: + IC(2.407 ns) + CELL(0.442 ns) = 17.629 ns; Loc. = LC_X27_Y22_N4; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|alu_result.result[2]~8' + Info: 6: + IC(1.606 ns) + CELL(0.607 ns) = 19.842 ns; Loc. = LC_X32_Y22_N2; Fanout = 2; REG Node = 'execute_stage:exec_st|reg.result[2]' + Info: Total cell delay = 3.038 ns ( 15.31 % ) + Info: Total interconnect delay = 16.804 ns ( 84.69 % ) + Info: + Micro setup delay of destination is 0.037 ns + Info: - Shortest clock path from clock "sys_clk" to destination register is 3.187 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' + Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X32_Y22_N2; Fanout = 2; REG Node = 'execute_stage:exec_st|reg.result[2]' + Info: Total cell delay = 2.180 ns ( 68.40 % ) + Info: Total interconnect delay = 1.007 ns ( 31.60 % ) +Info: tco from clock "sys_clk" to destination pin "bus_tx" through register "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int" is 8.362 ns + Info: + Longest clock path from clock "sys_clk" to source register is 3.187 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' + Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X40_Y20_N8; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' + Info: Total cell delay = 2.180 ns ( 68.40 % ) + Info: Total interconnect delay = 1.007 ns ( 31.60 % ) Info: + Micro clock to output delay of source is 0.224 ns - Info: + Longest register to pin delay is 5.444 ns - Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X36_Y14_N2; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' - Info: 2: + IC(3.320 ns) + CELL(2.124 ns) = 5.444 ns; Loc. = PIN_166; Fanout = 0; PIN Node = 'bus_tx' - Info: Total cell delay = 2.124 ns ( 39.02 % ) - Info: Total interconnect delay = 3.320 ns ( 60.98 % ) + Info: + Longest register to pin delay is 4.951 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X40_Y20_N8; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int' + Info: 2: + IC(2.827 ns) + CELL(2.124 ns) = 4.951 ns; Loc. = PIN_166; Fanout = 0; PIN Node = 'bus_tx' + Info: Total cell delay = 2.124 ns ( 42.90 % ) + Info: Total interconnect delay = 2.827 ns ( 57.10 % ) +Info: th for register "writeback_stage:writeback_st|extension_uart:uart|new_tx_data" (data pin = "sys_res", clock pin = "sys_clk") is -8.416 ns + Info: + Longest clock path from clock "sys_clk" to destination register is 3.187 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk' + Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X27_Y19_N6; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|new_tx_data' + Info: Total cell delay = 2.180 ns ( 68.40 % ) + Info: Total interconnect delay = 1.007 ns ( 31.60 % ) + Info: + Micro hold delay of destination is 0.015 ns + Info: - Shortest pin to register delay is 11.618 ns + Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res' + Info: 2: + IC(9.282 ns) + CELL(0.867 ns) = 11.618 ns; Loc. = LC_X27_Y19_N6; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|new_tx_data' + Info: Total cell delay = 2.336 ns ( 20.11 % ) + Info: Total interconnect delay = 9.282 ns ( 79.89 % ) Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 187 megabytes - Info: Processing ended: Thu Dec 16 16:55:06 2010 + Info: Peak virtual memory: 189 megabytes + Info: Processing ended: Fri Dec 17 10:10:42 2010 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/dt/dt.tan.summary b/dt/dt.tan.summary index 05647d5..090d0f2 100644 --- a/dt/dt.tan.summary +++ b/dt/dt.tan.summary @@ -2,21 +2,41 @@ Timing Analyzer Summary -------------------------------------------------------------------------------------- +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 16.692 ns +From : sys_res +To : execute_stage:exec_st|reg.result[2] +From Clock : -- +To Clock : sys_clk +Failed Paths : 0 + Type : Worst-case tco Slack : N/A Required Time : None -Actual Time : 8.846 ns +Actual Time : 8.362 ns From : writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int To : bus_tx From Clock : sys_clk To Clock : -- Failed Paths : 0 +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : -8.416 ns +From : sys_res +To : writeback_stage:writeback_st|extension_uart:uart|new_tx_data +From Clock : -- +To Clock : sys_clk +Failed Paths : 0 + Type : Clock Setup: 'sys_clk' Slack : N/A Required Time : None -Actual Time : 49.70 MHz ( period = 20.119 ns ) -From : decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 +Actual Time : 46.92 MHz ( period = 21.311 ns ) +From : decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 To : writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] From Clock : sys_clk To Clock : sys_clk diff --git a/dt/output_file.rbf b/dt/output_file.rbf index 05a51bd7fa9ca8b5e7fda4a595654998d9bcde97..da4de1c942d18d2ca007cd1add7beabf81cd0f89 100644 GIT binary patch literal 290405 zcmeFa3!EicUFR8jiB5v_nsZ5$oV3iXNy^$br>B>}W(Khprjw?&yKGz3UPNUS$`;qh zsw2HCzP)JKTVgFBBD;&owk&F^h>q)n@xgQpy^K#}K*!ezt>X(EcLdjQRfhfke*beK z;^w0|Z&YRVZ9eB@M#MS)^M9TH`JWRJ|9c|hgCCqtU;M5Qeq*(B*GE_TGu15S{!&$6 zQ`hx1*VK=0)AF*j%aI=SsFBj6PCRMps;4TY?L$(o*U?%Yu**1yu-MM^Ivzl7P{vsl z9#1(WWj%F#`XMRfd}1$sT}lF}lZB(>&y)V|l$6iup?Kta9p6m)cPS~KQ^x73@rh4O zNw8dhwRpUX^tmL->+AIODLouI_4OEPn=Ml2a}W>twEE;7DdqmrG50~H*YO}8xsQ&@ z<5D)gnmqb`uYsQ1d;cLR*Z1ww=aK8PJ$@;r!)*Euq%S22Kl2n!N#%L@9fil+QaVhh z%;UeLbXHQt<7p`!q{oL6k3UH1a_n&w9P3fRMx)MB& z+RuGG@_5$qkl}oP9$%Uxb9!}3D(89~uN048NU6^+%gH+Ecqu)u1dqP_oX>h(>Ek~j zX*#*md=KR}sVe@PYSK(5mHuZiZ>lQ(XVZ%w>+-p};(x8uKWTTQ|Lt1;r0ESc|GU6d zs)Ty3o~~8=E8RcRe}{dlo}TJqKd-KyS5HSR_R3!D`%cwMO|{#Ynb`=Nv(jHZ3y?K) zm6W4JXckGRr=?jGr5qrXbLy0fa>70}i~YPk*eikT)|^&5ZZbg1ZJWJ|QttMbI=h&= zx6PbG7fi>Z+|UjwmMfMUYJs72#pjCUhFV}K-QxS)?3LBfc0M%S_Cur0V7&NmXNrqe zP`56s;i_MF+hQ4OMw^FyK-@H=&TQc;es;Ee#Y;C`2FLF@{wv{2t9Pl|H~rmZwCuP^ zufESQHym|(c>FIOck?fU|JAqL9Lg5u<}lU_YO&mmIp!!7%gte|8PsCA8FS20D3+VU zSTm?S%Mmo~rxgFqpcG=w#S|@Wi*sT}{5Ml8#M)0;llQ#34_&Z)Yq_j#(r->n%(m*G ztb8=PRn@P*bC&Nym1H>r`MWoqu6GIZpWE=S4AZ;L=*NfZerrf(i0@bTU<+|G}4x0!$U)`>s1(-v{{Jt5x;Jd-)k+ZFf7i zbM^MdZnb^>j@TZc;{4ifbq_$c=?$(3AQk6oRXim24lLNtjlpiV&)*!|11kVWyUjhz z-Y%SS#pou0+MYMQXZfb-ueKeD*YzC_uj@M=el+7smxtZ{U}Nd&2b+DGJno09YC3Jf zi}@O)JHjn^ww~hkdkY z$$LtaX;0+uXm%@6ru#1|%0v`sA)`#Y8{N7R#$SI3E=ZJV-nsFr;cF5uA+RClex#hf zhP3T?X^Q2B+P7HlL)LO-5+2gE3qK&HLt1qeXv*&pgN?lKJ~y~!$4yu)H`Kz#av$oJ z>)*7}))Hm9bceSCV)5)&qD+?;Wr}r7iZqqAOqvPGTBb`+1(#dfP9>Mi=m_-NN5Gju z`Ml2}J~sndoWfPThuEIC-Ll-mrmN|5a~q*3H@C?WL9tx1+)xV)r7J#HEH~5wL+Ogo z70V5^z)-s4b63D}ix(|mzdww5R6F5Tg6w=SODN|fpHqD*Bi(`-!Y=uxIi z51EItR-?6qz=m`{JI@UvK5m+#+)xV_%N5HFwZKri;&a7vLoG0ruJ~NB+)xV)r7J#H zEH~5wL+KtVKDT($lJ}G+=IR-*;|)3eblA7~N|fpHqD)u)y-cs*J99_*#@z1bx82WZ z?GM;FS0~K|kuUv4y2%mW%k<7iFK!^`v5$LHYq>?sOsb#%yG7Hfr;kow(_ZnRlmFF-FmFnxg6$?luM?|Y2)$G7RwE_Yq4Ch+)xV)r7J#HEH~5w zL+Ogo70V5^z)-rL&-HIwVYfG;!N=wM>1trV^T+xU7cAde?rK;fwf)F_a^~IzvsPan zS?-3q+T}ZNyCR{pH*m zvX*IwNE6$+@%F}Uvwi-K*d92syF+Dj4?wmHH@E^!QJe=`6;~GfCirT5o_Ig~7h5~x zb$!R%8wpyw^b>P?RwrE%?AzYiDz|tU`P?I8Ez_dCVd%qtVDWmh)u^3>1u2$m?efr> z8OuF1`qjlK$_=&m!dvc0T+7!o-yXiF1+Q+?+|nKXF^{5ZXMF9t3XqJ zhZt<+h4;C^Ejw<)V!5FfE|&XHx7^T(hV9b74F$lR=u8^MyF{6W*{!Ndl<9J!Ol2+8 zkabduGL?IXnQ8Bcg@r%2PLJ4-tBqFfAqHD~;eBp!%Z{6{SZ=6=i{*;thFV}KUGceM zxuF&qN>_ZYSZ=5VhSEJ!d~Rq&!*(fArtBWaVu{53$h=FG>2jh>Wi8XtC{rE;3wP8c zyx1YIMSH7I?!rgEGUpcX=yU5LTW-35Mw3bDCt-n`TkbBi6wnQMGsMdWrWxr#E6EK15vkBohF5z9TqV0$mT&kb(b zaT6BH4YhEwT(R6x3k; z+)(=#%YDdNu1vy1x_03Q#B@ljt^!T@9b&MN7vARvx9qqHi{*w|xLEE(-E#fgP}1#;aj+e$hcf2A7b1(6^!KNz%VyNkg#+N=nE-ANN>o04{b0qp}i_({HEX$zNOGi@1&{ja7y0gIL`^W4xLDV8gi8)|`}bj9b2 z<%U{dC|&Wn4_V9Yo+b6J-Cj=WU%QD9Zi^c84# z8EV81+lW^b`=(a8>0dr|s6$)@x zEVktqx?5+Q2s_U76Xkxd?ltoj#_snjqCW8L>=rdG`aHL&cB`slxuJbiELSWy)B;24 ziq93x4Yj~fy5e)iaziaJl&<((vD{D#45ceRS1dQw0z>JF&lSrJwZKri;&a7vLoG0r zuJ~NB+)xV)r7J#HEH~5wL+Ogo70V5^z)-s4bH#E)Eija>_*}8vPzwyDD?V2&H`D?{ z>59)4%MG=_P`cuC#d1R}FqE$NT(R6x3k;JF&lSrJwZKri;&a7vLoG0ruJ~NB+)xV)r7J#HEH~5w zL+Ogo70V5^z)-s4bH#E)Eija>_*}8vPzwyDD?V2&H`D?{>59)4%MG=_P`cuC#d1R} zFqE$NT(R6x3k;uXy5T9}JlJAjRPyBPicSAKE8p?p^SEh#Tr^_r}xp?un{8cam*fP4BwBnF7B5MmE3? zzGf}JR2e+a*Us;Ux0xJ?_kCdBhsbw>{pEjGRrT8LPHgAK+Z(&h_W3(vd*Hn7fd|URj9uuZoNYlOX6Bel-_vrFSyPg~E(f{EqzWQ(X z$zEvX_G$aD0Ul{S_pljipWtG-eI_{~pjhsRhT4Z#EVs`jM+6kh9nnzx(2C{undFFo zM~db8H?37&pBc}z@8S4}<=6gKGb*%&n$#8^uFUhkBT1gITUDJI&nWkB*sZGG^XP-k zvM`og=qQt7M*E{o&u+FK<|xzd_ph|wir4iWZ$Y9=t$K@=(Ih;bE}B->=fDuyrRKMT z>Y8c>szRQ>8wxX(`>hbvd=Gse-lV$az*xyX#QjVMLfWsgAE3=XmM{FeSu5cRX)i_f(LZKkDcA%|uTKozJD&iSl#$7?^Nt(#O!U-~%%mCFKK zW`|_@;`{kl{S3^(M_G;JS zAExLYggwPf)i`41y>-**b;?&2b<#Q7Fsof%{n*npqXj(fn}kyvE}?2}{b4Aomqh|E z_DwR1xE~@p~Ytcxke| zG<9+?!CT#(p$20`0?<|pk$zR#)h$xO_2DPq+ApPi=5|2UQ9zQYxx!oIgJV4wEL5Ui z6H6zm%e68-_oZ$2%4M1vJH%WjXOny#XDrxPs-FjU_j?Y~X%EstD0^WtS5E57E>Es4 zIVUGb=+?AtN{1HIZ~yz5%hft|t;kbhU-=m=zWH}&ipN$+M%indEKl(Lbr*j$*Y}Sx z_4FZ^&bQr^(OUE5j5sPUcWhxvrPQtamHX9i%KWb65joNzv_Kn+Z>q5ykt#KsrK&Zw zVoAwPk$?RUx_p~6YFj#5CYu9|>any*6A7V%apH#}++3g%DAYnBoaoNk0iHyD}lp#2avRzp5}A>!gG|1zYQ+ z`fHh9^>Z}hz$g>znJyV+n)cQ*oz^0!jQu(mII*=WpRSLUPhZ69q}y5fMD)toCs_^^ zYoxqR>c&_nHM5rKOCQ%-k-qM_o3rlhfIV!zQ6hgR?D2lyT{i~ruADV_^b7F0X{#Wa z4yT0zlA9codR3yuiJdYy218;Cg73gISOe4(*UO5-($e4i!C=+j??DPCSyms>`Cf$y zvvO^Fq@AV;C7mszr6O~M;ob#GSFV2jf9e%{mzi4R@nisOd`z_BsxtCMNr9waT)4IT zT3KzTWz7R-LBl=~n`5GdKs5E!E>_hvU~vbme8NwdQ!!h9AJCXu@3V5PZdn@xBrA(2s}x1=nHT~FzsNPq0K`0YIHw97?`11v1R+(G%ZVAv zMNOV~KAK7R_)?y0vV?XH%z#TDzvN2~td9!^Rne+so^+5c$VTfzS{`u*L!+4UWdht|%(@!bAuO13Am6gn1-L4h{#uwA=_=Du7t$_am=XC*po`A%H);%Y z={fHg*=!0?`&8~Di2++*;iwMIn#8Sufxh02>Y0WI=o0%s*>q(+>PA${7xqa)Cn#81 z1=WUUCA2+~(aCh$rN+>lCQd8BTuDV5qQQA)zr&TVu`~xnYL%myv{1%a+GiL{Qx>3W z!$bfBHzP|Oa5)IxH9@zKN#^XqjH&vJ|15Z7=3L};Unh7Rh11HUq7z`GjsfDRmPi}O zvb{5hHm6cb85T$O89?Tj@5xx*v2QxLRnjH2ZqcGR&dl0#YhoWpK~m4-GN;s-RtB>e2-``B z{-ZHu0jO-@$cP(y?)d?dIB>_GbQ5Jecw7yFS}Me(Uh^|wW&vqOO)yIC17aeC!UGpY zS`f%$N7+h2Llg%N0*B#h|(X zcKb(WN8Q4)UbQmS@^YLaBwTh#$5uJy=PGnT1hk0LUQr_@HAP`0{Yx}hC>MK0U*M$z zkg$O0f{!%`j-W|TrK)-3UnE0s+b~g(MP)ZYG6?DXnoG)(>iV>YD;mKArFK;`w)hfd z0)_6>zXWf4{0LR;Y0d_*Spl4$a0QiufSstS=0AQ$uw70kS0u;?-svc-fFWA|6E$6- z_ABd-(q078hZd!iCm?b%jCk1&WTReP4OA9R`j}Z~F++j9;~hE5w7lnuIY)wSbR?)I z5;S%sh;|YQdO**>tq~wPS=HwN-F3##*F6x}<6hk8{0$Y4-5v16Tm=&tWWdmHNSIIh7`k<;*(oFSXj9g@B`SeT9`qDZPbn%;-27FvDp^jH zqRth=TzgutHlPk~Ni-A1G3YEhXcJ?KXoVoL1!2ZgRzb=;C>qpHrrFst#w zl5FvGIW%JL#>n2}`^^p!n~tEMB*p57zu4X6>)>>yE?&y&=#C;IT$jl%r!pXtxkOe7 zPC{r6DyWf01a8QvtXt`TYp=vnNHa~TKJfvdlj>1aI0U9!(1xchbB8$e0*urq zw{4Zhan*zzAyu_4CC7*ahTIK+12|YYbxY`n1j8ZJvH6kL1zB*U)=Ek{b5u#qQY=g8 zIWiMktHyXqAN)x+lt3%)Nm@8YtSWSqT4T^9oHkoG_JL62{oq1w-Rk$gK*SayzWvmd ztzIjl!$~p|AmhhTb*aAXl#Vjzj4{hbRSEL8uZT%l<(RotnOfqEO-5@#i0&0)rjJV1 zwK*|nGf4SBbss0Vf#PPSX)g{&mpzTKbj^>l^6-!p;sPL8N@%pd=fUR%%X?B%bay8A9kY$+#_)Qsmhi+0Nub(ldNB@yTt; zSZd0re^gaXlZfmzJ&CO-V7XI!)lyc>_f&~Wo2!M=rWTSZsUd4I-X%=um;UfAnXpB$ z?inig3xMn*mhuU+7S8}?1XoB=ZQ_?^yJSKD0aZrHC>*10fgC*&HJ_1xsTQY15y!TW z2fB4(NA*kxhH6G{cfkwH#H+`$#et1k1wa@XE497)t~aN;46tP1P;_U?mTts}*{L1a znO}l;)@T92SlZIcu(i9g?M`8;wxx&+bn7=}%rrl^qcD$nrcGoaLS#b7hROY#s@3C} z$atBF=qw+AmT$C3GfG)K?eg{wf2M-LWCHHY-d%^Xx5m5@u!q$(XCJ{Vphq)XQT>}w zp8+Jqed9LZ?Wr|c*=GhrY4%UZbneQFvFo=@$}!cjj2yeVBejnJIs8}@L9Cc z+T`e5b!?(EvhHscMwi2K--^%Mf+!4@su-Kjfxt2TeQWNzPM~rh`x8;NCrF;TKxLkB zAOy3rvdV>eGhVG%PAP8Wek15WlnG)T`8A2TlYwQKm85#U}$ieSYmsTFd0OI0feUXV=EQxOSFxOlOEo zow*QenNAXAI&tgH^u!mAUw87>pMH-l?nGa2;}(&;kSXFu?h~y%>>@$n7(1%eV~$C6 z#hJL#A=nb6-mLcXPEn@6c{z1cte5aa;nb>0DdpwX`SvSe#np+F5>6c1%lBO}R|={D zM+e5LQ-TJKA%R3TGD}PE|B{ResI6`Fab;IxG)QclG%A8u3$_A4t%DePnlbB8$BMUY z$@ONL<>%v9-UOK6Z$b>uA6UwArBj3wX9XQ%Y7(YOG64iiIwZx?9k|RE3b+D_EK7LC zC8CpPfWVr$gA9rBtvgnYRo%M&5hwnaxU8LJNfFC*7ota!YB6C4v$lF+$`A{H4F49T zBO5@?6Tpn$5EufQv~0M0k9kHQ(1QKMR~FUMh}Ew8*=vMK&=aU5V=4YI4ED@$@+?@| z2Ac51T>>SJyozkgYSNy5=L|v`qwIvnEwV3&$s$b>$5Tk}lxcTnuR@J!k9IgxXj0uONYbaZN^*>Q;&UFqTrkjM? zg;2~k4XZ#deMFGi`PeDo+JxeRY@*JxZK47aEdT=&K*}g(MA@cGKjGC8x`f0V0-T+_ zHH+l8ycs-VhLU-7t2(tWK-N5R~+jG&uHzz%pa#>d{N{ zoFT@3GSE9;s)ruto-`pZR;kZ(V}MwZjHE&W=$NONq&jNI?@2-Ly?3%=z*LLw|Az$G zYN#TI(>g9N!sRvp(X#09kb@Z{*ouQh@7m?kO^lIoRDtu;o<3)ZRSnxvF!JO_vQgB#l6+IqF zeIVD#;yOOrgnFMAEv4J#4wL{v zEj5X56v+>8s!)+c{W=?0;-MKc^yKTg5|$Fl>B;FzT`xU3`2_?usC7QqiB zx)9muCJ37=JnkX~RO1F5?>t$Dy^L>DQr2y%MYAWl;2gcB`x_;U#~7fSsTBk(uUj=? zyHPj4NI8;3MxrZ|`~;At#3FbasI2-tO~(Pt^_fx2(bc`(MAL|zD#0LZ=bgkv^IUb^ ze?+hxO&UZKrD#$FmE16E4q2{9z20h(goFfIk=0D_T-`rr#RTj4v`~Y7?>DeO{1!-N zRIRLVzt4|?xCw5dd5rs5hJ)1vhI}+5C;@dtpp)Q05*c@&p47Op)ku=9xv|!mP?q~r zrmwo*+(ZR>5Q5EWYjZ58qc!7tOa8Z6QQZjEG=I(_1pR-C|IwkNt$NFMRYb6^HJo5r27a#4NF*PEV&a6iwT1C+pj6fn+b}k$I)!%Sjw1)I|MkJXt`Y6&P79$d%JBk;u;^0mwR2 z1_vck)Dvu0Z8fNED!`L3BPP63^S9ibT1DC_!J6@?UTH?Rj8SogUcrz!{>76-U{=P< zL?pmk9qq`9tJ1|Yg`R;^7xJfceuEuSyg-P|jyl=FlgVUrynbd2gKe#E)?1rfo9nfr zCF3ok5u7!)w(8BDE$q9#zV+@?bn9}xx;kDVx>Pl*tAx3l)s@@2)T!i0F)Epyg%m;B^wKS6A>q!eHAvqhM%XaA^&g zdIvi7U(-x^($KS+%BZ+4^<_tPnCf%3Xh^;8A%SuP64bJ4v*Bt0@lRX_n=YShz5a{g zs?jN1K&uEBp+Qu`aI&1bkr5FQpygEr5Z)XUpQGos7GYT$sZXVwe1L-Vz*v|=4bs>E zER*uT>FyIYZn8!HO}4N*`ArmG-`djPH9E?__?o+^9Krg2&-*$PY%lksOf`NqiYSvV-j|64jU8p8uYEngEyt6eQY1x` zNlxWr#H6B1fNjN%qDr%vu?5$pS4EUb^Ge!`P4T7I!d~;06E3d&3S&eN&34QuzFrb% z5SfT$7#QCuG0O!9k1BD~1&EkA1qPfcbJ0fi#8<+;SBR+yJT!wsr(L-nrS;9HR@L-E za7HQ9gR{(^lI?_!!Jb(#G)(x$5G0pXZ4s)G7D+oFbjGkwE=dWgFoD?mqMRx;K6I!y zmp=THhzY~Q7mF2;*XXxUa;1*!G3wwi9dc1L|7=Kx0) zFww#1Lze?vKuI%*V^#f{9{5(#MvcojM9G`t>?$NBX%t>#389d*vi9Pjc~5)ogqrPU zDpj$`sYD+~W-t{K-@r(F-;BMP-&=hq4W77~BXUf@k{9BXvF+RLqt5sYdD&fP{-pp+ zDkM(S-oO*83-$e?`mLBhtINm!8hC#pyl;Z{Gn(m%KSjB^LR^EmW`J^6vMGC% zdjZ#TQ+4Vzx|GAin)Y@pK3K~SJtd);kNCC+ajU6E2d9qgykxqxXXt1K6K0n@t)x-O zz-x#7x@O+M^gv(+p)Mw&ruv98;;@wG3`b}wfrktOWmnCNF+GbKKn;KXN$0ccz~so z0Im~PQKG7T`6Y>0;s`KEBjW~G9JneN%_xaLd2!`GpZG!QW2m4Or6irxsWm~2+zH0i zRA_tOA9SqDKo=TRg&RqViqr%FH)tBoi5)d|Yl-Lgn|zMWiiijGzR%T_p#EhL?y1Q* z_G%7smbJ;S9QPsU4pv=#!tYQ|a(sbf5^FXTtr7)@x)h4j<2e)|Y$r7(NZmwWxhkN! zjYd|Vwh8`8gshs!5wwt z9r%>QUzX8jutNJPNL8lim@ZW+AA9!b2RLJ!ZfApNN**csr7!(S)N}lOqB(Mk63N z>6k>Cn`ST1IJS$>(l4oMgF8o&D&<2s2uiuz@_4ZIR6)Q=y-F^k(%g#Q_|vLzgOzbY zT5IHvv1F*iYS=%OBiWv!NM+a=v8zi+sa`?LmMy{`?wUUvD=racJ? zBYHP*WlNK@YzzD=D5IZs>l5}9LL1xeCEEX~0>5ovI8LPL3W z>op;}v3~RPT}4xEo&9tCSpy7exsbGkwWb5z9*#Ysn4D5tJ`c0xXH=lOp5Y+uBc`i1 zxVn`v7!J!!5}pW8ut)^Vbx1oq8lfI6#0XA5EvZgJrTTlnKn}iQ3Nf;1q9R8aI_{_y z6H{pwY$N#hvFN6nM-&M`DMEm7pCXv&2;h0>$l$&L%uc}wk-Kf;`S`x(6Q2##CkPOG zU7TS|dR;#seljn0XI0gBl_=UO_e(xMjTPP8T_Juru2+{=31yAzi|dMLjfoc$LL_)d zWRtij1n5Mt>lQ^D2`ws?M%;`zD8VykWPC=U#_K>Nj7(QKZul$?;1X!%xFu}G$2P}X z3Z;!VpF#zou}ra?)-t8$!?lp{Q6<$$iTjS09i<Nm*S=kaXvzD1P+NuE4I41uIMIB;^eSJsI{IN zV#j>zfArb7J6%(sqASBi6ep~AWkMo|(qVNpda>5Hp$N;@=t0&7;sy$2t3S}>3~i{v zmS3^ExZ!nZ*apiH#JyI-fv7V9M;xSPO`{TKAU<5LUrg^%Q(T?VQSWR`Ha9hJ#(({l z8j=`JLqg*Ld#qqcvRID!7)M7ram6LDjUMOJ=>+d&zFx*ZQBJ=W0Hd9bCL4ybj3(m8 zgh^qmJ8YCAFimWg&aAN<@pP2K+hl62SrNeAl+v6048RYrfB&CXBVv_&*Raz1xjR`u zcc)^NUOzW#Bb%|tP0>d^cS)>r#6r1|BAd^z(^n9A9P^2_z$=P_K7Xv|E=P)k@|5Nn za0B+rxdz^6a~yONaP{D;6bB{XIi5^!7+3dQce?U-4Np{)H*;%PubthgH+FYM+*)5t zpwUrHy|e4BCw8w_tn%KCo11&qiB%HWBU%zqIZw|W=f$+W+7M}_4=Z#;#aqEsQHc9Ll zt=pQdG?{YnbC;=#K8+P|>RTl*aNG7X&&+3B*R`?AsE?;KgOMQHCNQCjGuRVFHqWo= z3e+<&sNASa(%WyTs_9f$(Hiz~z}c1aD5FDIk%r=8|~_AUaDJS$}Fs56#9s*;+Z zYWDP*E1f^x94V(7aANsF%)^-wx<&CmGkX8bFoQ)g>KN0S2H=dO6Nphx| z9||o~5hpWt6LmhtLf)gfbfvk{jlX8HT>30sP~iBWE|YPwQW{oL0hLrhW<1ED2GvYv|Z zYpk@vOtwZxLUrnPFx~xDTL@Cl2m4LGEvZJennN^rqCYTZ^1G^f*Zo@DrwM_5sKFT= z1M&x`YL>jh6~2z}N0?u34rEhJ0FpEZq>CnvU2cq#MqxyZD76#rTe@205>EZ2ByN)F z7DXoHX61a-)cRbLOh&%=$5|UH+D3I5^;ej5k zXk?wG1Z<>D(k@1({2id2jwO7Jyr+(B5rf8ff@Gi(jDHD0@*l=bk zfKb_xX!jH~3MWv^9}r9Omb6kgnnEl+2itz^WC09o7%d#;bLtIAfjpU1_2Z$i%IL*kc3x`=7A*a* zE_0C*H$I@n2_{HqK-A@!1q6on(F(n()aa3tyjB`d9YM5+F%(^;Bt z3Yi7yFX8ISE@)O5uu@1VoQ$ea%rM_P2dWyB+!laz;bPr%QOYcJjC*Sx)a($zJ}Kto zpPz7G=2a?8Le4mONx)wcxJN;{8K4M_3^YN)G?c@|ZL2SHpdp@oKS z>vk$rBw0V&IQh-LqNGlgau&+bDpHIgnMgd%B@7Ol{sPs?qEvLMN|*(r)|V0{*t(lW zBXKm>Hk0(?o=keUj{R@^SZf1`lE9>Ziiahyyt3->Nj4AMOgsa0RY+8}%cWV(09quI z^(w39f4k7>Djj5xIJW!frac;@1_&2#(IJr`V3t9u3!tUA&)jnpzu_Xso2-=1sUXlY zquu=@lHf^;Yc{3|DipltUK(`4Z3AwKJt`t_41T9H^_VR1Bn5Af_xq=&@1eRQn{hRK`ri?$q1|yy6%*Xk~L4>mx-t} zZ~f9oCcw~<1;DMcmMp<8<`OIf5-oF|%3jXcdey7$q^Q7xhAi57(O%7!j+prpm&+iu zm;L0d3^$3BC4J?s>jHkL)7%D}NF3aB!DO2-yt%z$m{S#li;Cz+>A$tk9sh)A3CKv` z$klI}|G=8m|M~H-Bt}E%W(TGUtmgP)&NXT$4p&%fy;UvIc9$ zq#W1$NjVm&D3pge2)c}6M644tC*`&X-ViOpUfe;W+&U`47<5*Ep&$_xR?ju#32E!i z-%CdMZ3K$5>Fsc(3;B?47mQe56nEJ zNt8)T-&o_ONYLnh*3Yr@LC;-QJf)f53eP*_uU9y!d64_c=QpG2UC*qhPxjlr0N1Ra zTMs5w@!aJDH*PmtZTAPnrOpvbdLirQ6nuJGJ$b;`tj%lS-K-On;wjDT&HHHkyW#(7 z-&qkvIm5fa&hn(Dp3=OXCn=wspVGXApwBIeGL7HBQ<_gZf5+%EGCvR^~U)0*XXDcSfYCEv+J$VM~ z-3w2bST zM%C^rp(D*Vx^5ZkMPU4s#812l9i?-x=C$2T-W=vhgTh3p!WKJ{15(@S`oDUmXnpyn z3eQ$?*8n)?IZwSlA%lSbTblI{A(Nz-f~|7^msh%l5Qq^NcN>WUfNp@SjWiNRrZ&|o zS%Asn)P)~ReuGJPI?b0D8m~%u4u%!&^&L*uE=u6oPF#U-)TASwVv6>Oid$sLf?09l z1MmPRk5z0pMy(K>+-!C_OMpFDUs`(E_sLpIdh>Oh^l7$Y9gx6Snw_D~ZpV9mWYb%+XC0sFZTwAsEqqD8ZE67AJG{o z5;T)?+C*gX&?-22#C)}4!c=nJF;hkAbcQv5$X04j5RxEu=w;Sn;D2V|p_gvVxQ$kS zg68SRvmXEq;b!>K57$=wNeX#j$d6Z5vO~-1%!M?~bq_=lv+ z-(s+++v2}^wDmGOHOjLNd(Q&^ECE#OpWF|an`f66iLt{>Le=ekG&g3G7*Nb}qc{G4 z65%-rJT&uMk;gtX8-N9k|NfNcv6y<5TD3qMygV`}Ns*+N+sp-xZsav$bhE0Hf+Slf zWkf6llFYmE=q~^wCTUY^t%z~MTgj>x9_phIDS+tN(#*ra6%Z6f<&@R^M`YzBY70Ro z6Z!@b+<5v7Yz>4^56nm)e%^mkma62$QAjW=s+LAin6Ij2j;`RG+uMirM5zt~$gIk- zDVOdQ1ai4siXaTaI8}Aw7hTJ29`a;zGw~GRy3kJZp9QMZ==)9)E-=ks9cZYnr5Rrh zhM6uL{bZCF>#03>(|er2yy9DBHVEiTVR*x+^@wMxMPr44x1JqVlev`~4~cAluQ|A< zWpqw}5}_I^%cqo}aw%j6X{Zzk>Gme-t4DtnP&u$TU_6v-uO)&h`v}HJsLgAiG6h$# z!8Grarfw6ilxl^mthGTG?jJ-DvmJL6n1pJM{06KV?jN+T{^Tq)k{<)qCXdLAfmqyJ zb;e89)$cbRsOmKGl&nL@57#xowMkpRQRBzHL?m6pPU)0yU}&uAL+R^&B^*^Ib4t2c zs(y~4Y|MNVQe16Bg>Db1OWlY6#dS+ElW08LrIVgP{+M$s zS;1i>4MS;_l|soD80JYR97M+faBwysu3><()4iFsvehE44u+>PW9InRtW2?Vzj8wp zB6Lj24b0vox}cR6lMiQ19Yc!hI%s6w`PuQ@1Y`8@Itt~&(X@}${N?$aXC zD@`YYKtJu!1%w@BM&b<1tg^wJ`Yl+cYO3chd9{>8tnxVDHuhlh)^mRfx1ZstC5x-8!nr%!d*|0U#WRhzb<02q+nHbiibCKwY-WQA-`GId0KcyfR4e*JJjTu z*jB%>a|bU{^#UWS9#3|+XbS;4eqW^-TY7UC?zW{FU%{^@5+Co5cJ|S1?S+3Rp?fp)U=J+jfg?vX*^e|Fq4Kk%^xP#;rez{QBUUE~JbG|LaU-HkXY~QY(fuq%YTqGGsOoE3%k)H; z@Z6=b^?v&Ct4&mCHQ;4nx?Pad|kb^ zdmT^WtZr}IymBo|mQJx+aszUHv|=@7*|?-tMJmIyZKCKRr<bUPIWI56fnl$wx==`^IE2a z-hfS@37&R2*lp{X&bTho4UKuLnnVw_F)67*IrIMGFQ}^NH0z*BFJ!>al{7hK0a3P3 zFmq`tWQ%J*w?^}^E)1nH0Jql{Vz{N*XgXuu`09fRj+24K;{7_Bu?GT_n&zsM*FkR>zkWCSokPz>W=p7Vh zC5xsT8zcE@Q`SaJwC-KfO6&18kFKioNm}zLfkYLTUc#n8GACJtoN+LlFP&Y_&Io8o zEj=x0v?e-SMU$Dx7bzle=LJhsxPRS8!C>k4{u?z4eq#J#wj9BALBP`eE_h;OSw3On zSb&mqqrDh$Hs+ikeb3w`bdmY4iWW+1+CuA?ti_EQ?eZ`D?o!>6f#K^0p#a>~cdCVH z9~N*XsSEcc$#sfBAw`U(T^KFZRxHSjs!Sq(X}!);<$6i7E(lrEiGz8N@9<+w#wY)4l#~0h8rV!8Bx(NlF*gGUQy5yDE-xv3X+EO zzkHWD;5nM(+o9%LVWEZdyK!o~mC-B}Qnkl#Vr3=;I#@!;Nth>IikM#gYI5LQ2?K;x zFjK~(9tu_1vSg@z?E8e+B@u`};x$n!OA1v|MkpGW0aQrqgBK)T&|^Y!467oIi^J~cCsTG`0mW_j$g%Lv(A@Hcy5XRn9y(T%S*^9gy z8)^py0$W`f33R=EO;qY#8z)AC=40uR>?O@aqMe8*?|qeuq+rE96bRtHy|A*%kxc_! z(5MJTW@x~Ee%2u#Cp1QnA_NMc?dj?CWvFi7+7zN98Z5)+3gB%Xt6wUmJ_x(cpxQ#c zW_BF=+<*RiB_IY9H^SV+OSH~hma5zM+(BAjL0pOZS9_0oiy4oLARdTAjtDdm6cr)I zu+oAOae)DoFhDXSet;8@z>Qvw7nG`vJ_qE;rYe3=ABI9b4?rDtk_OY0mL!u`n~5}0 zXYtZoAn8F0bY-~!F^;NKL()iMg$GwQp4I^?Sgk8{pP#Bt{rcdaNC0vrlH5*VFqY@M z=jG<18~r%BV-?MFut7Bm)7zGG@|0>MX+c)DAR|Xm1)I#tUB51{oD?_{SYZv5$%?S^ z6^Cygl&9n_);&b}X+o0?8~R7C# z^(>5Uo|r=-fgbrgiE6XeuBC0|k*MyaDDV~=j%0!q61t$ba zFh)HY^N{8y%bAEUDayo(r?-3s?dNg35pg4_=@+`QO7EGhTcS*FvovT_e-pp5%g1#> zz*@`K(xKRbl}d{CjBf**kPYvGCt$NIYQ)t&bKHozQ*VDm6a!6<`SC!gl$LMF z&%spNG`P_Y`ek_l9WUjWdFgb8#WV}>FVl?)d$DGYtlmtzPJ=O`7fP`ROfL$<3H2Pvw4~KZqhDYF7%?fmww~wfmWeWriAm{2^bIj7qD;IZ>~_VZ^j$;NGJ*Zd_+}#S zn-TcF8%~e!TdOAeuHmbHx*DC|P?YIrqD<$AGM#OsOvH_Luj5@{jxzBM`3rhq*R?z? z_XOfbM47&bDAR3VKbz3gv#V?0N|cH46F|j{b{%Cp4e#s0<{7v!*}sA} z&MV4vkr&p#(eI}}tM}7y@h+QZuukeTd2&wQHGJ0Ze-fTRqOZ68A4U8+o@&!PLBg18 z`u8+JkHzYwRLN*aF)7z5wi(!}V9VGUJzjSU zRNpPd)i9UHx)T;-UmoFJsLC`Fm_Bnd_vok%uk-vZr}ND_FjxT8Jm^!4l%-bu7^AS( zk)n@it9zgT-ST%Ret}AgMps6YXCzOYNjYN}CvFfaYnmn*Kg6+5c)-<&h*J25%2TmH z?0jSnv9W@Ly)2o`*F0wFGw?Yy^C?N!Kx%kgw8>&AAtcmQ8-!{VunGS!VGk+ z?Rs73yLE_A7HkP~GdM;PH|zkBm9;v+QXeBU^gH!3(8Pg;=(HtHG@bJ+9>hQRajP$Rk|$di5xLz$vX%LPDc|u+J^dpeWXV*UevyYYL`=yk z2~MRrB;KtEGU~c8hg@4VI--2CdQ0dsCC*u99Tld)u1d0!ZZ=4hJ<|U}TnP*6dW`@j zC{@nI#5ml9s6@o1LLR3|br0xjA%tKhw>3))OFL92hvn$*mqsQ~g)@Pi$Ah46yn!7K zs>#C|@M9Nk(KJH$2u26{#LONAG8*jQ%I_f~evT0%5%Ul_hnQ;AK|1*f4iBlIkS5ih z+n|v%>q-gFF^xIKwH;Y1np^%kHD-_$m-C5L8mg(qwu@C0BT#Wl$paf1zGD}C>O?Qr zv&Se^*QwsB_`*R7=!ZcLQi6;M?q2&Uc})!gg`NVP z3JMowoc--V(p~EXMCia&WIe_-szs08bd~IxjiYid#x=YE81x@rTUFC3b!s?C2C)rX zrr{!q|BND~tv}YSltsD3vN9QxA0MF-zb58kd5%jTE&3 z(7B;ap-2?n+o{qhc8yRgoZlMCc24>=osM{)ljUp!w8g+dh zZndiQ1EVS|Jv}}wBgd_|XxLgMPM*$)-Czy4n3+%v9t+5{b*Htw&u6J!yrA8VP z!gIe#tCW&VVYR|i6)gBq(71R=1r8cmZheneMxFtQ+0v)}p0UMFis=Mx25xggS4Hbp zvITcO2BmQ>Qwt|js*)xKXfGWdy^!q!tZH)Z7#Ikc+7N7H_YZJY;$-f=0BG4}_O6df zoDoN<>kVny@^r6Jwm^YB>=S!q*%ib=silizr=BSV{O(somG;7phUqpqsfMR>2JAC( z-aD#9XJwK|JFS2Q$FPpM1N-IkO;*onnO%%jstgc)^=02kkLZ3i!~lzxuHqvF>18Pz z?6}X-436Od1w}L_@dK+TSG_Im403DpSPP`+OBf}Kn>MO~U-NXXgh_&BjSbLF$_Z=& zc5!NnVjo+>5Eks{HY2B6TXvSMJfef-97q0RfM*yir;2QfZ^txpfL)Ws^+*JEWgjMp zr{r1cgcq3J_la!$K7sYl7)^EGpCO8KkUNSqGq$Dy;nI{)o9C_bg|}M z7pHI~?)J0YtP;k^8Z(!3Gn8+(tq3cN25eYGV_;Hu(;xfD=I~u5%#_dQdLTk=dbNi=Q z0Q0TmH@atM@(mpnDCWwI?qa-{t%Sz;+6-jU)T}&VD{7(v@v2{cisqx2^Vqh(91BT`qXnR@<aaWqs^F`Tn)@Tl3Q~#~^19l%i*6%QG~%f?l;BrZ79hdw zc0x&9;BkrO(9ATxJ*eI#inB7hmLoGYaVD=6I-_MmXutwCqB`UAUiqX~gh35-hbQ|~ z&vSl^fbs2ehxn(-#PS@-!93KV@wOdUdcW*xNW=cDit^KP#I5*|o<|lHIi!lH7?u~6 zt+l#J=!gKFvDGRoM@&i{#WE&d%*}m1=D-fb9kC=jsZ;bsgI8|NK2(h8*$^~iCfx@NsmZE(bmD&j_dknV-ucMTud zAe{7?NyMaxG(E9u-u#s7mx(e}f6%`3$=@|hZ=BEXr)TAp!Rfn(jiO9%dkUAXUdwbd zQKmb+mg&^p&uzS(iT5(4wM;zK=Cw@EULnGC+jWXd5jR?W9V?%n;FV9$u1>x6j>&78(pL~anJAOq zIIk$vGrslnHH_qu5oMA;MvC_`MHLvtjr16*{Xu5OGx#U5qu<(?R3M}%lV&;oy+~@C z4%hijoz`E=1ioDKq-z!5UY0Qjyr zZt#3sk2y8pL6|@%2PYlakO(UL+~AND2yU(r0Md1#n)0r0>U(vDaB8W^fT=4Ta!YI$ zts!PM=^6+ms$?=uC5e<6=4AY`8ICUKPCG7_fEW+nk>TL3s^tm+ zO!eELT-M;ny{}q=v>koQsVAz@y0ohwBTJ%)~YONA3>$oC&}pTu3@@*@)aLTR#HjoX;DZiYoIo$KLCUu#JNJc09AiO zt3hfE0qqnnxeFxK+;wrIOt21^WeLIE;Eb9i4P2_yH=fG7qF`SweUA?!wSmlDCzRN=P_Eun-kDT*%U_bob8P4oOr!=?YA=9r zJRLC{_5qwW^|)8F0Rd5Spi-iBYd{cBZCGb-ivpZ!0?oKp^`>tSnD)w0njBIJ@5-e_ zB2o0O*pWgDZW}xH(wh`}kYkooy^v{{?yxd_+sg*z=F@=0zpB0{-Z-y@CRkeq$iQq@ zmu-`fgg~vp&B?mX5+^mO;UCpv3e5z%-->)v7~;MTP4#UWt-aK(!8K6lLU)mNiIT3d zED#Koc>vg-MI?QbRJ2Ot8ko-^LO3{u=~_bZxSdxY>EeyQG~-7X1Ovd_isG%do?m^V zuHk;x^_arpqi6u*QD^p0E(zhFLGFbwEUn=dos#sWf`7MNCGK)LuyG4(*4i~s(AwPW zU{pZCV8s-=??oxv6LWzanXw?|itgaz%w4SHKp8IMP)rTcy%2aB?%XBw;1CC0dq|RS zY;l#eX%{YIeZ)R1mX6c63r&I(hFcSb+<6kE>CCJ+nL84vb1pD&)Hn+hBVBCzCdh(_ zM_@R#LN#@a*kB%^v!QfoVJ&4h!`&iDtTtZ)tuGc}UE&uACmy1p&G_OPSOilP>|s3&&)0D=!)FoQ zf4XmVzrfqa3ny(2H(vpZ9rtlPe#ZYr%ZOvai^j2piNVg74fzTmOXG;V5PH$VCTYmV znkHUVt|U`~jvI1Mz)PG6gg~KS4RIDiA_`?G*vD!nuX=(vZ?h&?qtJ*oQhZ5Mm`o^7 zQ#=b>rf3S@S2w@*LY#tyTZ*9ZwLMnNU~%^dtay3rykr z5GdvJ{wYP}6hG42(e)7qEWsPmaes)_0mPyRY3UtYTAIWdWZ4rhY0?f^v_uMWl9yVJ z9uY^>^L2WGI!)AQMWP0#oQ@oplNMN0yd9cDL3tNowEafb&#{7Nm(@wTJOg)bqgp=K zjAp)6$b)nbzGg$gC%w;G>y5lRi3?!f=UqR4rJ3G!W;A``vfdTm{EFYSG#Q_3c%OGu ze-n?zy;(6S7W05zooir{)-q9b|H*3nr?1>#iId*`U0vj7^S9i1y1DN}QxRoqzW7tB z5nn1yPt2WL-QgLyYx6U3iZbyGoYykFmbZW3pjU$H`8OzbUQFDG4-x`+?#fPl*H8ha zo0}ipAST7zzn^yZbBQu-+;%<7q5Q6J)-r*u&lf(Mw>X{Lz5XH*CIMeZ1WUYmV(wOW z-|Dx2-@9?<$zLS{lTwt)_BJ5mMr1Vy&@zA5kZ$qh5!gN#_@vJG!%ab?dxS#V==>TE zNLTx;zpW?c@~K1Xq+{DAwNlRmES~d_t;;E9#*DQU{ zrc&>UnwA&^w&Ry(J< z`gkB%W+`wbTSz)4iuzGx4fps@R@61Gal}w2H9D(ATrbjuor!~UU}bb@MVbV0WO8&- zOqKchiwf5uUDS#|&=c(G%b?Lam`2xR*5CmKCF>Zi*E9z+_M6G+1<0GuYf73qIk5RZ zLEW-I*4w~=CJ3zG@#9u2+1N}%U}W2UVwKCZEt-c)(akMFTuoUIuv4>gw;G%%#Y2jS zQk%f3ikv|I?$4E(O_^a2+6M&#L$XHs2!(28!|2vNo!RxI%QJE@25zrxPDF~Qy_~U!oZJ`!CP*@r3G%U zf*YWm#Cqg1B*VeoyFc-?V3j(<1y5^To9$;%i;Ge_hmaR1DenqlT7W!}owPxaFPz9QIYUIL_SnA98v7bpFA}klnNX6)4x9f4LVi zQxromGj=%jnHJmSDcJlNm4x@OgI>J(^*HM$Y|i~{YI*;+AJM|nZ&__Qt{YTz1E>5% z=sX=Mz_y`jSMzZjS7!_fqpt1rz&47g^TlwY>8mDC!v3MpO7$6#i=AqQ$PWD!bT$$c2P_uA+XycK4B#MgV0So!{z`5-uL7KfCqpw zpL`6IektX{dIxYYsI3LNPkb=`$N#_{F_|RJ1HrH^%2L9vcQD`~jd^#Js0&$5y3?kQa7v0Xr*)Fvpvw$aKQYxj^ z_@u;6>tb4~*CO z4(`}PNDq|yr>{H^ydT&x?Q+QiGsyi~)l)tIG(&P|;amUY(5n3vA*C-)c`3l(?D%uZ z_(7DJ8BI1l*sd8Zj%WUOwtR__v@pv~T;zIXshN}UbHT?{3yS!3m@`Pd!sq_)y}~83 z)=KqlrjOi{YH){iDJ^WpKAXMy*FS~pm$Rhq>@j^gsdsI;eVWuY^Ek0@x|P&v@{@m2 zEveMIu#{fCi@$B@<((U5#17ktR}}lER=Me4vPA8Qrq0AiVS8!p8-L~~JdTKSX;FKb zJT&$v|5kKskFw`(g3_a~X*qR0YLZ@$x;!_wsnTvQ@AvEV3^Tyldr0ZF-D3c19~<3f z)SDl>ZMD_t9Ik@#AG`z0^~F_dGq*yzd!AA5>^Rd;l<}i}n(=`vjNR{5WPae=`+^rN|F%zDFopW+6y^HXm?vK>H;=XYxW#gP zYs`}`mYc^~ecWQXzBT5_7t76Ktv+tCT;Ce=f;v6^{p{azF2M^YxQx9<@(l`CtoZ#kG1-^#d3XX z%#$yco5xyx++w-DHRj0|%gtl0K5nsG-x~Aei{<9ARv))mu5XQb^2KuVSgVg)EZ4Wj zJo#d|d92mPEtczBW1f7m+&tFm;}*;Htuar&SZ*F`^>K^k`qr2yUo1C|wfeZla(!#e zlP{K=$69^dV!6IG=E)b!&10=TZn0e78uR3f<>s+gAGcVpZ;g5K#d7mltB+eO*SE$z z`C_?wtkuUYmg`$%o_w*~Jl5*t7R&XmF;Bi&ZXRp(af{{p)|e+>EH{s}`nbh%eQV5< zFP59fT7BGNxxO{#$rsDbW34`Jv0UF8^W=-==CM{Ew^*)kjd}9La`RZLk9%ax`Ta+; zFG1?_*^?LIk&*Y%cEt}|*X0k(WhwdiP+xBDH&ulltwIJ9bi#iit_&h?i< z+RyTmkdJ)%C9C&T9$7Gm4`rDn@Os~O?va03@yduhjQhdx|Iy!GI=F5ws0?9a9Kj+- zWqhALnDP+m3W|FONqee4@WDNRt5a<4d`WRHeS@mI*D?F^3sL4J&tWsY+gbnVRi7O{ z-sjwYx*=h>GTzzl>1wX5 z=#KAUxsB%^Rx=-b9=$VeJ{~IRiC=PP)ln-#hV~CB?Wimh-jgoQNpMN@tX)3tM?Sn} zKW_EezY0)On&vufruHtreoT}Ks|5fK$=(^^Qpc=x;6$6rlW84- zB3OY~{nwwKxa~Wu8{Rd#`(%WfY1c9lcNKM^&`}7IlcC8bpoA`mVDoW{aG2`3e+WM} zo*n=Gd>yoW5VDg~^W{@@7TY!PojINK(P!juo{BJZ%G4@KUAC-26yXz?nZRfiGN!*_ zK|$#CNBj@DaoLN_)59{cF(33+vDWwAAVow-i$>y)ZlJYn%_7W55D7hhA0(MDSS6Hn zuu>rV_@d{-P`*rx&tXZ5gj<~9Wc?ix2qYK;YV!!_0avt(t29co36y*W$K9z2TFNel%wUr9yfEj=GnLG^7)hK|wK zB3Q!~C(~EelRgh%l3~%>r3-X@lTFUo`=+vLHIZR^vifATKAf78&k!m}1&)#B5cX3U zx&#GFA1YHxbE}dOvujYUKk^lZOO#T&Yj8fP?7Rf3EL{^Ewuwj>01daWkP;M$JmDBg z-I$mNY$v0U83YR{&EY@&nPdnak{Ah^`K@M*`m90XC__etut-)%8QDun2E<-K@eUlS zWvoa7lX6jL(WU?b=TmWSGEUaO1$NSRFD&X{!k2*cIZxM9Ok$Kv!VP2>J)Y#ZYCvET z?febMBvc53(XPO4p8C@*_asi$kb@@aZQrC!nhmLvWEq)?PA+U!a2JV12$D!Cl7wd6 z;Gh~2fE(4F2Lt`6zheiE%|H&5k8hsH+!*56Pd$xQm8$bWdf1Kt$TD7qpK8g~f1{gk z^{R9T9fe0vdeDw^n&7lx7zp~Layl*04^YX7F$;*X3ih99e^{7Lk4T_Cp`4Pq#kW@g z1=!PzrcXi4h~3*B6Q`$kG=ykrSUy&5Ic|+*Mx$j13j?MvPxG~{ ztdp=pe^hV=Og=HKY#OtP$N+X#^QH%N;OpEvkoeHFztT+>nDmkYQJePqe6K%`%h#&; zAUV*aHUx3L5I3JlqXGtz_>#A{=905$L@OZVGu1I8W-p%x$1*126D z5x<%5eRH5w`EGX2=LO(8vWqR(e7AdBUj$!;QlICp`G%qe(2;zOoG(tF#E1w)5Hj`g zWLcEP$e8}xGC2rs>iYZ!lXLopwrJojV~$^Ot-kQBufwB-VVOVqk zlP{F>d2(>|t#T7_&eQ*;UnKPS^H29W2u9YY~|D~I3m5OL`f!9Ry&L!XNA|B z&e}|)w8!TlsZa+4ulWKZA0W3x zX;okEZ=g#DaO&~x>Oj!NkzT!U!pdN1zyNo$qc$J&QPt>f8B58^$&Au~BK?T9WJsoA zv7)RG%kqJEHWD92M>(mdYGlxIb|j8cjv8y}K9zDt31%X6y)fx;`GBmZ?q|ohj6aqA z4Rv+Pjoa1kCf_b^zhB<)5%kghH}WC$6IJ!#tJf>Ojeef5olp5d*!?$NU){f1tvq<5 zsqY+D7w$S!O=0rDjdk)5uZzc3%u{cmha|^mrwsGEXlXam)R=5cE0Veo$C|mY!95+Mvk&I1NK1T2Fj?=f%6ZVM)_L8k`O}r_+;3KQi zbc#?5Vdt#y_&&Aqb&4<*Fmjq`1Q0%~$NH9fN}}?N!cjz_i1J2}zmpM`Hj{hj5^RD| zOZS+ii3V~$p&~LY;}HQoF3^Kj?3oY6*L$St^h(lR^{5tEBj+ni*8o>Dly_y)t{QI8gykd|PnhpLn!jmy`Axcn#y_ZWnBk zELV`Qkw!N;cb*ss+FD-ONF2ipRE+vKwooa>rq1nC2|s#N*ALcCn54-yyRWBi!mMT| z0@TyPAS(yl-49o$GoNx*NnI%CW4bjr$U>lM?6GRMC2Gg)w^uvYiMP?R6F1e{{%zLE z9ROXMLM}zyss%fPsv)kEGmGF-aFA;IQp-HmU^G;;Sv%afd@p%fBQ8N)5e;$HJY3s; zx?7$WBiQC7JCjVj2bNlZcw2>D%m`|vP0b;RRd=jJ{-)QeIuB!6iMaxNlf!~jtbNbi ze4-UIPj4g7f?}@?gm6b?qHK%9iR%~JCGm)QP+}y89=Zxqn<-5KGM?0T{*aI;ItoHI zbQ6#SJC%_dg4{!zK~zIwCF?=aqS^Zx1+lQ=W@;%alF9Wh0}v z)7S}d!p@cM6Z8~E@S>x+Jfav0V6e&*D3w^JzR_g>NV#5fnDx<4e005{56;SWCe>$t zp>U&Rk|}GyAGXP=T(9yEx<{+z*UL=uvI#H#b=YFqW(uq$k0?mvMlFf zM`!Q3*B3?~i+qqKs(yidD#}txt5!V-GN{uzaft&&C!W=7KTz}YMR3AIepjT{x*4nG zk;xK;#b(oWnE3)K?rJiw@iZbkRg=~L-taNAtdk&g@xd=G=W{?K892TL1t3kNvN;_sss! z+H2^ndP5`pGB3u2M6cb%Y#E&jJPvbyndjEQ))U7W;_K62YG9tQaU7WnTDQ|twaU-~ zOc-S1?fOvVCQTpnXwxSTi^PWwfH=BTlmsF2u1N+RS|ohw9va|TfK5!<_er+7iBn`m z2pcLTHMJmB-8mtiL~6_2q*>jhW=$7TsPg~#YDbC#a6wDNi}5jHC;?V2&Twfd$%;md zB?R%nx-^RLDi>W9pkGi#(IbxsY|9=`)ERXIC-jzxs1N!=WtJ=yJwp&)b4cBc&Nf?5 zW^{O?X~Cg6cI*bmAl`C*03u${RYUSV*Uw^FQv13Wr@Tw`x&;!9f`*7%nDm0D$0$ZKjow^Wjmz^@%j$Wpnj? z>^g)DwrEWbiZ+>wW1If~V}edL{)d<`B~N^#bL>ccNy*Mhn&>8W5?>6BhDnr3yzpHW z#NZrtv4zk9vxWI{mm$^htfS+QW!h?{Z+!md6bT~9GF{MYJa*p^W|^kQ1WkcH4YVYm zn3H0H=sKV^ZzSaVj*JbBR27m>g5G>g(UN5{^yv%74RTUjH*Pj3kdvaxPar3SEK~Ej zi<`}($THo63==a=X_g6@AjvWv0~6^X}49z{C0EO2r3?Xcir{N{VNUS;!72x>hrNqcQjX0i2)>l9YT9~}F2?je3 zKm(Gj8|l&zfK$hReRs1ly%|l|Gylv_oCtggQ8@&uYb2A#mfB_2--5Cx3S2z6Cl0|8 zCkPgILzy@#OfW`Idi&3kztrY#q(ZJRrM2qjVT;<;49I_ek+Gqk#Aqedq{7QiL<$Ts zQ=-LCqT*3g9yD5VR1L~rgt${_(2}r87Qn%m;RZ)=N|1)_RMu2jL!g}?0En@I5H_Ke zuDfzC$>v6g@1?3cOGs>9^W#l3P3WkqL{39X6_bg#VrW;4v(&9^KKKQ;@m#-^qz0+1 zF}jOcCT`XrE~!M>$6m8LU;PMBA@?)ETNRq9Oclz9L}*2@BjA*zS9v)x2T}d(vx$tC z2G&I%cC7J|A_O6_?iD*;s&Wi25?dSiXB}y}378hSxrh2GXGeM%Ucrz6xf5Aa@F@Q0 z!OdLKs!&2z{1XSF8=_2Ah+1WnkSkIqxoXtfrj2L`7~A>+&^$wN-6!1%y>gitl?WO; zb&fEQAQHbzlEknO&5db0)DLN>NTq;trAe4FSOf4dS|n^r1l=(y$G`AT1huzZpS6+> zP4HwoC#feI5^zAKw2r#@c=F#mcqEDTIVR?a3333`CZ}0KVI5J&-~rV6k`v*8(XlBc`DchaM zzyTO4emDjLJ+d;<9*uW@Ncrq8}f!l1h|Iq9@R?DR2)eim9 z=NS+QLc}gGtih$nD5nCghFYYI&n8kt6~+%`!=X2(yz&*1XY_StgsE zWLYLnJ~3~^i zWv59aI%agy+gj2yO_N}eR9pEQkuvs-hCpzuhHm4%?Xb;H|5^}jSBMq73lWgkGXZCK znK26+@B7!>B2r4C-fYa6$_e2ZXT5fymoOt4Gc_kvV^N7FF!W4C)3!VKpXv?CQwSxM zB0&|ntO+TZO2JMg6b&0vac8_VWunB9LE}sX>YpU3eogflKb4cZ$A|osnbI>x8)%A? zB2|-+?Z(R};~Rb-HU9U~2^xGq_rzx8I!av;=fKo2XXH6DT>a%g0kK0pVU=E@O+GtP zbMoh}4hDx;>AgnI*>Jr&R~q#ixf;3B2$agzF;^p38i7)|b7jm;{5ypnXnGq8O3VZ) z`ykMdexx+!2Ot-^^&lc)$J4t{cJDuc2$Y&&v1fmYsVhP`y0WnTC`cb5I=G+&+T3`-AUja-i)bwX<7I>XX~SR>aXNS%-xxz4ck zAf79co8;dMdS^5w1ZzRpEK_NpFv~RUF*eTzWOM^oQ5$rYX*|#@(^Zeg9}>XGNC(G2 zvP{!q4!ZRva#nHz4dD|)F8#y=AJS51n2HzBa7KEkCS>uUNIg zT>rkb|3GPGbFL3yw0SQdH4OXa$y5=4jMsPoXDCdj?3 zQ3yC|WVF4-g)8_jp@bHz=VNin#T)QZB&(<7PCXeQG(sgPb4q$QE~5<*BvoLHU&Db-17}nQzN#a4J-QHB5+wi}xs1O;jr){5g&Sh0nxNVXvb+CHl0xU(5xEEwY#ig&k!)o?0 zy3<=F`mU)WaW7LnJ=Yc3+k@bY|>GvQz~d)Cqe%L zNfm=A$Hh|MB)daW%^JEd`dO2WPs~Y+?J-ud z7M@U0YbFGtC2#7^r1>8 zJ#}?+nLeMa;v^5!`OK#=9;6$0%zPT(xT$MCA>S3}GL4%@-_yukCa(V7V=fb)nBzRA z?cHP3ZLa6dxlAPT8Mv^XH`iv8wZg%$=j*tN6VbBXlcDALIuiA1JkFEa*11evmkEro z=&x2Wj_7OaZnik;(t)?q2|C$y1$CcL$n_i^t79x$`IDqZB-E=L|fKh4$M> z%AjTku->`ph_aEDp|jDZvfy8SLDNj9rfQC7orVHYE%tB?67GY@gt(e2SLB*Uqc{KZ z+-Nyy`Lb=MrNP;0>GceH{Xb+)=^9cT>}8HhTZTx1X+4GRUGI>3*WMC+xGk-%W`_nt zL?CMIPNvxA^-XhgF7Gn!GDo#rjwU^=w{c6fM~`8O+EfL)RCdw!R=>A|bG;N@S%VZK zj4padH(#jfE}m#Jx>6YG;4gxR#GW9T{PS#?KS$gwwng!#mo1;HNp9EpQMSuw2q(Hi zv~^a&PpCxJU3i)zfLBOsx0s!$flFYS)2k$YekIrt>6MqHBRIt$jrT zZ6`zfP1gns;lnF1LnUBVTQU8anJ}LZ)TjR$y44?^gnJImOSb$?|C}qnrj4{N%(9(! z?&x)2bWs5=c*-CHDafj?q zNC)w2-=Rv9doOoxj`lg^8Qn94If5yfGAbv*`^7hriNHl&v6jj$SuatGebgaXoKcxO?8ZOz9JIzWO(QV~(phjj@UoSO4a(#wDwNr>i)%bUT`>I7yZX zi4&~;-MsfA6__`=+f4mhRT|ys>om=?i5&Zzg>BzWs8n=Y16GdCN*m`ovti z`nRExGvecJarN)`d>zqPC!EWIr@ABh!fWs(-ZjT=-RA1wFZ_*v3_UclM6VG#^F{%@ zpPbYl87vtNLH7%8X`1OY+U%@RgqxSz%J!;NNd|LLlyZtF${P{nYR99|kHlGEPMs-T z(90Qsd+h)zts&=zN|54jRt@3mT1}8Y@E^__V9AMAQ}b)_M_2m*{9x14>g~9wXbNn{ z+m$<}8Qt=r)5=QMl$5Ocdx27ynge^q(=x{L!OO%KHryL8J$gYfFi3e-@&kAQv1}#N z*eSdi0kHt`%D;qvX}Z#V2hUYY=?&GMwpdTwM6}vpf2oQk`{liB=?pdkeQqT%%)Ydh zi2ZHfnYgu%YTNwYHgfdC_y*viveKJ-tJ#a&eHnp7u$>|TaYp6ISG#Zf_i09XAavPJ zXi|;sP{sSja3>vEYDWB|H!Y85k;L!3lV16sL~%tELG7CvT}4p&7}2p6}zkf09!0NVA7@ZU62; zNn%+}MOrahI5j17bWP7u7E&ixD(C&MrX$2F`ly`!?Ijj&NE+gYD0Q#3A#_8q2>uKE zym!z!*)9QBd=y4DH3F1u`U2V$RjF9H6ZI-ANd5zD$yAU&p;ZeIsK~8=K(1^$-$xw< z!O-LJ`#%_*b0_y5JlYMGBp&K_MmE2?3PX>_H++G^4WAG?mnlRpWY843MBE^Nxl9&U z#vw*oTgd!L)EGH1H76C1!B-Xs^Y-&4a_XSPPW{=}K6lPl88wMk?Anwlco1Il%&sg` z$9$vk8*|76Ew?U{{l;9HWzt2#JJ)=QbD5gvrjO}w%=MhhwEVhEoXdpYnd8hSB$H(3 zldLYDt`#05#1%MDm7^~?b|4Xq1$JW1lhWo0yJwLtKSmoxgm>s2$P;Skd6+;F@y zPpWgJT~Z@gBUc)MQn@jJZkv?O=b|63h%qw=&&zU8b_QVT#oKY4itH z=Lvzl(QsG)uIn;oN#vgMq`3O`bULuHrtZ{rnaaC`XX`L~6$__xiMPRS=gJ5F@B&yP zSK22vay4?L5h#_bW3EQ7GyA6^&3GdT~ zK-|FZ%YC!FZ@q-X6B}5UN#4h|z}Wt3=v0ilbl_psr2|h_S_Ko7JU%S>Rf3z)X3Gyl}4acu3m)q6S-2^waZDj zuH8zRf%<=lvbaI2enOOnu12m#t~3Ira_7pJOK)0ntm#cFnr9P1YkI<-tt?Yb8w)iGBiR~ms*xjN=* zqFJ*{t^N<)I=r~mxlHX}q^on8_LGyUbD3J_{z{uenah+Xw8J5O?U7I&UL{8w)iGBiR~ms*xpQUA)hyFeJsi4qcyX(9 znd)4oeDWd2XgW+WPcfaUxaj%1{#mA_&Y*(?^K7jtu#$*}>s*QOx@D?!r4g=?tC1^> zK&f0Eb2W0M5h#_bW3EQ7Gy1`;EHN6c*vpzA`>i^KK!;4$Z zGVL$RROd3~IjR0xrkcoUT_t)o!=ZDk@atjy#b=usA?g7nZniIKw9NcHyWqbp)jssYb3>m^wf;aveeGMXHhO6{ZeQja)}idXZ}6 zdWES2ltgZL*^)E$iMjmdr9LrtAWzKUH{j}T%*BfZMqN7a@B?%8H|An==G6{24PHIA z(dd7^zX$AWL6T31v*p5kyVbe*vDuqbBe!>agqwf*n!vA~>>+s&kS_189Ex*Y0r*B5 zbFCj{=?cdZv`_x#65xY|ej|13YGb>~$gOt3;W(f7z-kY6r4GLEZL4)|rP@~6tt)km z%BpjvAzgXoR(VET{`S(tIsiF|+?YQZuN!_s9`{B&e*DZleeamRBrhB1H-Y46&Tj(x z!2~^d>J0ig{u$0qWjW@EVRTAd#f-StT!8yDrEs}5! zFL-8?uLKQSVoscce;N9+1P$koZzbqM^e>_}#_%uRWedaZvUk29-mGx9(QJ4mJG9DO T>*2!QYBK0#ZcDNHnn9Bv7;6z3oAow7P;LlvA)d9iiU>r0)2s4QqA^yApB1S;x{{P=& zJ$5}#)jCzDtJ}5u?7hDC_w8@3y{q=uYuA40p}c+DhaP%avvcd;X~uyjmmJ5M-otyn z-oqc>dt_*jc!YqD(Dty09btReu`k{@^wwBwr!?oYmnbLfTxN=i*7@uws0+zu*2Ttk zQ<}%g{wt<5mw95+zS|l}9AwI{|0T3{TO;gkKO4?x|I28|w?eAxRKxIX(yHhhfcwJ|HFhiN07 z_kOH?ENLDbc9}FEvuymDHP>gK+Ta!T>1d?6&F`^B+-2EQcRzdc*tpLc?Rj%QuFw9j ztr2$qGUNEK);tFG?uS0TJ=q#@=X)HNla2pu?I8J>$Hp7b{t+5;$ehl~?>zQs;}@(E z?vBwiY|J~(X&n6EW-RP4Olck~uaVyFutwbZ?5CKx;4-eyewK~*T1)L+3>&F`hdqvE z_n(Kx%gM6sPPI2^nn5$@5BS&cZ>pp-{>8Tlbhg*vZ>!-S+U^nlwLSi!jZXFOw+lM4 zhN5SCqpb#iY!{C3FG^qC8(p19@AaC!-snh>zG9MezuE1JF)>4F%;G=%8IUA0VKF!y z5hBw@(G!eJQ3eMRf|C}5Q{1Gl&ZYM{(pRXk9L)(HhvE#b74w2KxX=AY6J5vdzU+Fm zW)arlN(ZE7u4b+@1EqR(&ehD7W}sBB&bgYo(hQX9)j3x)SDJxRy*lTz%*pL*MwyCy z{`;n~EFL z8Ai8W&;NXAE^q_+!PjoFzCXGZn~+cRggl@0X-FS~k*i>Pvl%_-hCb{27aofZW&a0X zc|^%zfqc(nPxS5q`GH3@%{%YLe?x5Twz{3|wO8&o?YWzEyBCaeTf5DjAYvPx5{44N zINKv*U+Eo~z;<>M(*5?_Q*^uc3J{O%_V0XzZ0D~Q#*rb2-1au_e8h0{8(|(Ysb zS(i>c%%f~79ZWj>hmCl*|F9X0)K?h8%WJuHzV`nHFNW3sw-DB*UiYhc+K3rnd-{b%yly|FJ3b@ zZ>G9(HFMpJ%!}8|&6}yNT#~u;rWIo8O)JpsrWN?Xr01)ae56*HI+efq@>Z)%7Y5IRDa9a80UAA-r`1c%7>yu#!QkiqzG(+s%x2eC8%qeW?u>p$_5bKw>x|XS~Wy&9M#T-qi>4MMK&0l4z z_YezRLrgeaN=lSht;66(t9DXozurR>CayjYQJlE?BuR1V*8VzId}OOuteGp#aLruJ zTxkYM_3E6fnJdjesoteB=hE9y9BX}IZuWqOVO_tx)hg4$s!Vk)Q(lvrzsfZGl(~pp zo~^Y6Rx$wLI#;5+YMmNfX@+a&YUWBaP^wqwT+Li*21@nnoU55D%|NMMopUvFr5PyI zyHw`f`c+FlQlFUXWwQ>ykdwA)@8+vjrh`?P>hCg@R+(xcCp|ysh1dQQVl?lO9I{+p z%T%23wV!jvN49Fknz_;p*UZ(-m1dw+ugeV?{Ggq2{QoT!M&ULFyor(rt zE}yo;kiP%zsmC>g!>}GkChXX|Ri>$9a{b+FmaQ}#X6{t4*~NR{c8@j9*{iT^Hltf_ z=#N0Ya2gxP558eb$zTcjL{G>okiG|z+yj>n!1i~3x@oYMX$O@iY-cyyD|h?txtn#n z_t@?ZnEg9J#CHCaFd#{dbC4!uxza<}<+exLU-7<>N6fl(;&p$adu#R+bDh;mOOby1 z{UNyZ132eu39MvX!ga1hdDS{KxY7*Q%+<`5W}sBBUW5;lxl-Mg>&dXL+)J5*`u`AR zd4pE{geXm2&0Nh~X$DI5E|oc#-n8Oa=dNf-UREMqt4yV1Qmagh)TFvqCao~7UzKTi z|3l#-gqe{}jy0(=)k01fpap=n|AZJVa4?4{TJIr>v%U6nuK37StynWxn&Fzcnz_;p zlGX{D*I zWzs7_dcuyTtbD3#naVyPHa3plSEhL=T9?Yl*P$e1AtyC+3#BU&u9-`cu@JcSnXCT~ zvCw#$@cIvH$x$7GPW^v~;v}#AoGU)ERV&uam1ekR?sCsuX+=ZwlHP`bfIHEwmD#RU zrn0;>O|3E=q{>v+GL@{8VwI`hLu8k|Igz#hY#q&cAcrkh?;(mazV>sj_{dhRSTk3e z;hMRcxzY@j>eV?{Ggq2{QoTCoYUWBaP^x#S%(>EvhUBGInS6SzBok(QZrioWbdV}j zUCUHjW%83??Rs8>YYD7mST2>1uaaY1t&YsO)w(FQUh8wksjDYMY3k}l_#l}p)m^z> zz0Q@UxL!(X=1MbAs#oV+&0J{)O7)UCSF215B{5`f{qk1VGIifEH~W{x>+dqWj=;>6Wo#VtTuE6qTuUY&C_bEO$5)w@*YTzX@PV@+=?fiCA6ILqOjw(ApfrDIap zGS#(A=|iiSN9k0Wvu+g6*7Ss(Rb?`b_3L;Mt|hRNak*4JzDka5wK_F(rTMLyyKFO8 zFTy25yY?r)L8tydL~)YWe$Evi*{T(5=1MbMGk3XXF1-!Kv8FecKx>t$GydU( zSiij0wM=y_Q+~o?j;7OeWmTqn57D_w%!P(a$z0NhEX3eOhwO7+cl91(-b{_jt^J%E zi+EiKHFKpSQ8QOFSDJxRy*lS==1MbAs#oV+&0J{)O7$+4IhWps;#g~yY4(7JVO_tx z)hg4$s!a8FnM!I>^&NAwFBsFf^&NB5GP6HDT!i-*J$#88Txrg0=4$3jGf=8m=UmNP zX$DI5>YS^YE6qTuUY&C_bEO$5)l25w`c+Hn6LY0wQlFSR$P;t*9do5srn;7?vP4at0zQh>T2c=p1Jl!_VD}ene5dZqgQWbkIEm4^!I%z9#-iWR( zn}{#`V-IfaLTj3hCs=T8kN-SUJV(NR0Ud4eUqJEY>@cKX{EXaV#3a51&7*51zJ#3} z3%-VB$5JT$u2JG(-N1UCD;<%Vxth7s43z5CIaf1Rnt@WiI_ECi%g!6+?)OO+mm;70%bNu?9w5cJml zY?Wb6@{mk7QsanC^*vv--kDqLVa+BH@|cYi@N`tWX zS3ii|y0&${&aG?S>bo?{N2boX(%jX|)y$PeV?{Ggq2{QoTCoYUWBaP^wqwT+Li*21@nnoU55D z%|NMMopUvFr5PyIt8=bqt~3LsdUej#%#~)KRIkptnz_;plYS^YE6qTuUY&C_bEO$5)vI%^X09{?rFwPF)y$POf){iUS7IZPPO$!kC7ijQp7iZyek8LpYT+%tEGFAT_D zNHP6o1ohqMrDJma-D`diajMtso<7mrJ=Qd5ufn$3jBdT5KLYu}X>1@r_=YVdgC*oe z-gbTgw#CR?w(o)TJ#hH|q`&jiP1D=j-O=srW_#sszdd)eZucJB-NB2~`*(tf?ffZW zK$>q;Keu&ulZ@p`4`G+v9&8Wqe<)mpFf-E0vErA?q$ljO7uG!ee$2U12ymT%jg6!C zty4UmSl0ftb<_!XUC??DQ9KfBKj(^%Y}JZ2bEO%snX8#A%|NMMopUvFr5PyIt8=bq zt~3LsdY8(aOK)0ntm%y<(2MaB%UWeB9TQfW*0%A4SnDbiYewr>W!n9U_k}^l%t$B4 znpBxWcIwCc5 zHFKpIDAlWTu4b+@1EqR(&ehD7W}sB>QkiqXBW znk{nCxV1i4oci#=Uu zmwV>cuUb-{m@6HVx|XS~WvXkL!WH`x_+6%c2(}!UulO;K{lwA_ee>UhT1j%i^WhCfgq{>u( zm#O6WIzBPCwvC?w+=fxXQLW%_%!~>iRO`rupn8A2P{@{&?=DuLCv9F{H z%+|<45tWSc)*o~;^YpV_NveW%$O4|AVG`(qY!HhShV#EZFR#x{Fh;Qnrg7j#q(l3GCJ#0hRerkVo^*=yxy?z$l zqXt=USGCt?!S&8(!F8oiWQX$QPn{{th1!uGgfnP+ zlG2#82_+|kP#*uKle9tW7)Ydq<81E}eiwv@OL=c1eT&A)-n)h0|5=CT?$v}F@;+C0 zYTi3$vW|K`mgV<;LvD2i*ub5S+3pTwSKx{-$SE|`Pp$Q3OoU9yl%<;LVc=I`povdR zKF0zhm?D-H2{0+&UWyW?!Gsp<+u;UWwG$h!#K%``CWmgKMid-}IIfH!jIRWg#xxLQ5yMx!$^1-F zBH6d`h)B_h3=;n#A_AE*5dEV#8kycQK_&jh5oc;Ix>3hQQVHok*8&zIiclmOUrvr_ z9Mlv^VKx7*U!q87^iwgyWOqbVTlQHDF|;57K1Vbe@4y1k^_B9T@HP{WAXL)g$P|N( z03=o*9=0zp>l%I6Q1j$p;u!8O#yR}l4io{#;*MF1J`wu=(59c z{;&UnLoVsP)02KWD;%syM-1c1(5N_Bc{0^}ekK1)QzU{Ifh0wOLL?V5b#_@Of?AHi zAP=VjI_~@1g1c_;s1YQnNj(0z0M3vYvME50a{Fk2UDRD@1Pp+lb!R*fG)`)U&#|az zrr4!s#SW$Z@?G3Rl@aOAgJDe6k<9}1j!LnG$Rsqq*a{bJkSqyHJN#Ys={#*E$6r$sdi=yk|4goFgw+dIAf zb{~Vwpn;IuW`QnI0glSe(cckqrM3ZW4rN;LtTLnJbk&Akk#1jgXxun|cJjuO-p z7A*+u>NKui0s|I8aL)`+X`aC%ui5W4Jb&wi_Zl9@TM(aZuRH7w@B+iZgKs!uZ$TX3 zEr{C}PG8fza0OnDc%{EHQKk8UM7}fewMY7Vl_Kt*`Od`jy@uERe0;CrChkXf>`jF` ze1+k?$IjptgJ+WW8s37}7;cTd*Kix}Hr$5vO_1gr5Je+>3*t>Xw{87Xc?%-#n(bW> z{hf(tTza^3`&M|b;lKYQ%`lRSd8AW`Ln6;q$NyaD^ZgLnD>=#Yu~fPr zZL2pJjx-UPd?%jWQZWg$Q2nih-*O8{JlqESY2KXMzc=0egZ=+fggaN z6QmtrZ(`bUZ%mg7+5|RU_b|Z|nOrWzfZ&gxEl8c{<=%2rCV@_3@d@J*B2h_nw3nC! z2Y)ZUR-O3X1Y9sBI}0@Nmh=heEYnO;!2E2T(kPiq1AWp*TsHY2rvzXQ?V?n>)^wg{I#f+*36DkmoC@_Kx|8RYr-F*Z`@Z&&w4N{k#z|5J; zXFWwb;u$|8lcav)FUbcdF@t#1FRwTb)x=&8B@HLb1Cf*&dL|_wAIuHWbT-SS37rM~83=tS7I>q~7*j$kQBmYH|B?NQN82DL3wqA_HfDEsH zqZFkWDP={7odG7Iozmi-kZh?q2ukOk?Th|{_BL{YNTf>kcuhPx@7#c?XCXO{JvK*O zl>IoZLm~REUqPZ`GycvyIG976@G?jNW$3XQlZ~^PZY)*4k@4xLD@I5&1 zRmC#Sxb%z_K!sJ^jfi|CW#s5y$dMPJD3T>~&^+|Agry)OF-?m$1Pwqrac`25kl8b? z(K1<=%SAH#cl@RcS^gM^1Vg1nQIn^Hggkco2Xl$K%UkcL+K*Up=cG@(HdqV!5sF=N zaw0fm1lM{fo&)0+my4A&Ws%fihjydedLQ2D6c81lKwJki_(h93tsw z1WkyU7(sg7lITcKwm>c!dxwIYsEN*>UMFxKo_~00)^H)#2?t5YS*-3-O<^CL1WM*^ zAGRs!p}6dO|7~zbK>wL5l|(QERNztkCM-Vt zsAy0MMGbs>9Y22kCO`36i9sO-bt6=Qz>!gf^+Ah^G<)^SY6+DE$4+i>omVV9Ew(~VKswSv4SQ7@P@yWI9=G|k2_YG0y1b`6&|fqYN_;_e-4Kjq7wheTQfL{ z28S}Hk^|(8$=J@qkl12Wf=c$7xR5#{>ya!X{pJ_4%GBRIeWJgM$^@!RDESP|-+BYq zC!l8ZSg8aJ9(*lUN}vifsmesMNuTyAlS{M8G`Mj3#8D|eUDXU=8`9fKo+xcqrojV{ ze&}q|+;$A_HI(i7o9$I+`X_I@rGMfa3QG5ac*oXF{ku_m!iGxFE!!v0ZQXR8ZZAB3 z=an~YpS=C%zHE>NV|V+fAbqDug8_m`pM~@;)Jeql0h0zXl^#O+Mr?bO{sXm`U#5s3#{>W#9 z$fQKdu!&3*cBPC5wu>1ksK~^fiy#()gXY?gqnL9Zpa+-+=N1NXGSCVrsz=0{NEw49 z!G)J`RDvYmL?-M+*t7-S*tq`l$z?waIL{r!TnIU$k zPy(}N>TX4;e#bzna&S=$!J1uMrBl1ghO{fwlq(@R^I&25F~Eqr3=t1r{!i4a&ni(2 z+S!<@pj$9Q={G6~hB2j1fVc|#1f^6OK2Vn=3-J5-{}9q_YPscLMG01rQv`Y<9c{(K z7F&^F#n<%9MIl@jdBc1u!G$(I_ zY}!;%)UY!(=_Of0aHH&9BDLXsWy=Yvq+B=#<5qKi^DVDPtxj|m0ua@XzH2A(I4R3j zAS$3IP=`n~u`_7iiyz0!8vlQ;(~z=mNQSecIYR*w3MZG~>JD@Qa*Z?TMA9rcgOb<= z@Az8)*qkv5Q+_THRY*5;sTC0?J#q!noiHGx0;B~b>b&N0i3UMQlNe4IJXb->$$r7Y zkw8pb3xKLFu2+dqwdEU@4>0F<%(sbadPNtxoBhoBSyb)l$z!kSl!1`Od@ z?mYD7%i{Mrmk_s!UD91W4as1U)FWnEh??@Ye*nle!x23X#1Qf@A|^@^rJ&w?^9aZ!kG62Lcr-Ty zu4sdqHH*zcPgwqu!5r{^`fvU&v0z3ra~4rwVi827;*MrX-_|4RAvQL(rF+9X92E$A z$hcSr8GZ1zy3i^UqaX@~sA!}s!u<{8e}s@zt`Lrt4t&}4_+m!lTecq>3dmax}q6;_?2E|YDTAf{R^i$ zPibCHX%wG&gZI*i+O`T-A62H!drR)Pd+|i`OfX&|I1@rEM*fa3Sq0pTHv9BY6x~KaNJAsTDCt zf8NYEP@QF@JI(s!s!GdwlS`^yRiO#KiJ+stVrofXV}r4=@%A&(n7eo9c&Y@e*BLWm2^Qj&GQFM$?3lNX)(lss4@>XMg!K!UioA?ze}8qtX?9KlGF_D#vzYL>;0>{MRwUo2#HB&##|bdr(K z{{R&_CKnD-Mp4D`n?W-m-bGa)?ii$nDBa+t9|%16=(h-V%;X~!&nTjUrp6|!oO2#B z>2yK)R=7U2D(WUJgkZ+Z@#|A;gXX?FfEmwwqD}&kY6P;{urp9Z)S>4>P7^%}eqZV| zFesim0E3H(R#JcJocOhiy1?3Chk&5e1Ku@Jjz+>b`b$W&DZc|p3nFjk6CIqSVsWAL=4UU%Fm|aYaAzffiC91EK9Ws#-9Z~rSS|&iw zGr!fPwa=p<7B`1PGDU)mcoijE?zBXh$sq;l04Puvobp7#c3=;hPyV`L!XFpHIDwec zi6s<{Y77s&fXIM}q>(W5RFOD08rN&E*#yyKL3qtOu*;jM>oqrF=!8?#vR5$u+=eu~ z!?v-^PxoA~^lA(-lHdy@{cEm$F^OTS3mn8E5YLcEbJ-Azuowz#r^iA%gkl_V^g$(A zOmHVM*s1L6SOOzi-3KWFKwh+oDf0$hH-k%g7`?=##*h#!Sfl*Zl^M%Y=r%K6f+*ht z5xTnp&9fYY6o6O&)D-U=ohC~BwiVl8@UNb3f)oqN{y{39+*wp31X-RdRPieq$#OD! zl9YjgmOFlWHun+)Bg3sLwb1BAu63k{;-Gm8s!VXWAbW*dkuMbk5ecBLu>+(E=mdn5LCe8QaLoF#>kQT zedTLt6hdPej5ZJ$=%S%Yf{}t|9}{MAaQofj40=*!lGwQ0++>w0#@Zk8SuP=wh%f)F zNfE+^nukcU@W7GkQ<`-Vk)+af$9YL6gat?ahE*nn%VkImlQ+b|WauEBlndFQ`NUg7 zaFPv}lcu#2dPcJ#v*0w)5S-2(mN}#@0-p?yLI@W@OQng@2ni#}ptL3;2c&KoJE|od zbasQm+rOK3U}Q%sIUY%KJrg5`BO%EXa~>S%T=@i)anq7y0`izoEC{{|wGPI`5YTP6 zn}|<`m7$+O!l)(T^|xQgnv|x2r5)ytkwN#xe;+%VpdSwgFltKP$k9Wi5#2N}4UWU7 zvRt9Xbz)*mh85>62U-hHVubZKI+p;*;8YNO*=tx5`e5*n|KIS$+%Aq_`V({VRGU_r z`tl4MW)-ST{j*0|lNyY!#;PYg@Fvf|@rgN>sy4M$_1L7!L^5kqM=8Bc=?|;4JdJl+ zo|rpoRi?oek8c`21J~O+gH4~9;}dPy=vpQgllc6bY+TEvbt7uTy3x(s;TgC)@C@8f zd6h}(MzD*OPuR|)P<00C^2D4!U+1>5PU?2C&8OvV#J0z>)?=>r(F?U5BX}jqP^lXQ zSv&)`X*DTM4yzm4=mO29<=k$4qCjD{kJDQfdHD#^c3EkUvMhzHZODWJp&MY;>8y@!#g zs~vG8S0y)K_Q60iheE?niNSFH^|uE&u^ecoO*{eJk@jS$S=lp@AmLBYq^A{5F*e<+ zffhK8#Ku8@#4VrH`*mYbM&&GV$eaG7KyJZQj4bm-1UQ+rfd)6>D~{4_By4^W+Y#xQ zeWJt$Z{!2t-hx#pq{bbpITbT~h-XL!c=o4U$?iP-4Sid|(NgOe!)!Qx;yc`DudMPRMAiF3IY07|Y23L;*HY+WA713u?+@*)_W`(#gH z+8}O@9n@fq&Mp!Zi~C1^BEkv8gGpM7Ca?|$zqN^HRUk+iY?y93h{RPyxe*poU za-Pp}sc8|%jistl!KzGDWO)G}I>*Eg3n%c1RW^LWja4Tp$qn!;P8tTw7iQb$Et3q} zR2)3*jr5MjVb6k7vPD3zE=0(srDqLE8y8Wb*>@y9Z^vMW3)!k7%r32=;E8`~nWz$t z36a51exBrmzsn@Y%^m-=-ezIoTNN_F05vF;HjHH&UDbsUh~N&M)j5tA!@!)o?kM&I z7~Np|SqPP}KpG#p+kC!G5184Kr}32EJQv^Rpuqz%@6ro_L}?5uuxSZwm6l$Mnam9W zf;*80M<^PT8pV`k&5kDsWhGe{Xj%ipv@y2*XvLaobw1da#`genP=T*Ds zPlG|i&N9wZ`p2HP~z`0-FEDz{yR+?E1&QaOsF#5f$cV|eBxTB>rkk=4h(r> z4mGK5J~4;YPv^Pv3D4K@X*qvl?#3^R_pLZb@E6+5DihZmnY4_Ltxi%HCPLjv2Q1d4 z1Xt-ebwoO%!S-j|4ND`5DB*?(10!V$EE6xV2_Q0D!Z3RC?G(NHQ+>N@i_r<>W;`~x0Pp|bSBDS)sGy4r zC;d7`hHd=XP1}hqrUWU;N2D-qr-F$%g>jJf{oUCTuQ+&MK8HvkB!ZH#WGTt zMci!WEE2iNVK8lqh%xSfx&nGK^AG2Zzx@EkD5D$p`bV-hYO%Qx0M0EVt27Wjmn;!c z15PdZOlfLcuWxFHws~Pp^WHR{l?|)fO!lG_@eUdp0TKy69HzrmY-Az_8u9R`KEd{1 z{-17FkDMa1sVw#auvbcM#AqpzF0&W{CNqrg;&f#;yOT7kf9DBGv$=B=N#z5?Wx`nm z5kFSx#VEhY@IM08gsn8fN0daMWWw0YsE5kWFXgi*WR4`+VB+t$UZQrnH`$)Ypmw4K zm2g}X`jYRA1bUJS+GP_`P7jBq65NX+o1#E}^@mfIF%u&qDQ5KO)r4eDVuwbQ)&i@} zGcatzF)yADNr>#k1plYWBT{+KFF*gAC%;tPd598TNTMhz$vzPr5qTgcPZZYdpq(fI zV5fi5yC3u}Wl(NRj&{Q;ke5`Gpl?yi8~*wJeefr|Ng zMGQ<_VBxv1Z0QE=sAXYhyHs0DhKo-SvuCy(50l#oUEAVHZHY+N_4(Ha zO#*llLviaYBD0cWBCQ9W<_+hr+#$$8rWXql;%=;2NPh4P)<~cIEf5C6@BN`o0*#ii zOx!{X$_bz+uc#o-kXN_iy&OoQ7Qp}V?f@o9&(sWYnjD2ARMt;xUYF4Hq8HhoEB&${ zBI(Z4#rUFV?pve0#uvGAst8}5h!G!U>(NPUv_n1$4Cuuh14T%*huG1P6}@RJ zMxX-)+56E(;E_CWjXw79db%l3I=|7otJ?^>0=kSj>@M zBSNi2!;U{DEEh1ZGVyqIli$;Zm9zge1P2ZuN#nC~jNdNDBoeh=j)|}~Fz6FbnK)UU zgi&U_hFbDdL=qgo8)4&O9X)t)p;9DhP#T=?o927*b6dh>CIDf9mFS<*&k9vXCiEFN z8ju z>$r%SG8R3bDO5OQj&9LJz#~!;sq~J#F*w=5l0*tgDTgsQ)(!Co2r<%xGzP@rNYaiV zS-NkUKYJ0XO!yrkRD!I^gpyC6>y6H7B}nT=uT85=C=g+F5~^xiH?q}9s2j;zra|_5 z-lyf)zxlgND&6Zy>l1TYWs;Ro>92p^_s3kzbOuk$=@WA&WWCWX`7d;{JcMeHuVq4& z2^w6TM8=LRaFS=>xWMTqNb;AQ_

6I!S&KTvk5mS|$>`f+fHHovo7^LXuC-$y%n- zk28(3VJ%^+Uq&Rip~@s!Qa57alPVKn3|)|xGef0if>9R#d43$WIrQ41wBY#ZZ~Qqk z+SdPuaEA91t_V9td(4q&0uUz$T?$nIIc-e_R7^bbLRbM15fKO`#Yj{I^!VREt>k=0 za=i(CH#jlu4*j!Bak^4>@{vJfE+~d&7lk6tq5j6kBfr6sDLn>SeT?_eki10AuB31@ z0%18nY9x>|<1rlnaYI)nQ1#vlVs<4>)0kMu?OsJ-`o+Ij;4w!tQzAK@kBB=A3zhEAU(nHk^e`Ci4GqA zcDXpD&X-<4U35hIoAUo{)ZnKVrWwv?{R-z+(0eTC?SyBr;W{WpXo?sukc;;3tXGU& zH>8wg?+oju`s16z(sayFq(D;!Br%{Y>F5hNa0}BeV}_Z-|_Vh z&EJcWF-xLvRCWrw82SVZzKrIqKv&?3f9Ztwg#U+;PI<} z4Sropr(cNV+x+LY+8+P833%hbfR48K&rz;S7ikxuti2bAGLSun|K(>Bi5;=6!q`XK zLZUQR5GbDm=#ji^BsOH{G^1qEiARmjjI=!&SYq6E8$_op?h#!$7LsXOxGEyjP*jXZ zBhrS7G>m-5k72i9LlExA<8ce1s{@g6U!b`T`A#-T(G{vQ+}a;}i9?I_v?SJ|H#6b+ z==!2~PRkAt#C|L3qB!{!kGdXV+jia?|dkL zivm*}PK%|ZkfS-Kv212PY%za)lWdJ^OKxMVsx(Ozv9!N%04(0 zKvXg#A`Nn2XWXCvkqn&|QDbg8XgRqve4Kg`Yj!jmYE+@MU`c@2{TqDSIfEAIqgSKF z?>qR`jFx=UTcPyuX=pK$cYL(jAeMZwWL(LYv6gYkPL4(dqqGPvReBhL8~yhuiOZGk zf~UDWbfmxVujbM!66(0x$HoNdx7?w_nrJ4|jnp`zB7+fynP4dyQDGlcT8!9k^x|&^ z6sHi7?EX|@OC3UVH!*a8W?`h$B?-^xa2kqVc-rob9bhn1^4RZN@u~n z`o}(Fy)(Dg!eV?{ zGgq2{QoTCoYUWBaP^wqwT+Li*21@nnoU55D%|NMMopUvFr5PyIt8=bqt~3LsdUej# z%#~)KRIkptnz_;plYS^YE6qTuUY&C_ zbEO$5)vI%^X09{?rFwPF)y$P`7&;(H> zO%l27d!~vPGbZ^dGWK=L)a z@9k372w%meWKt5+(_{Sb^E?Os6U%! zfA`)A#EjJS(Zw_2smM&NY0O`F%tU+B#FGo_M7>GGskSIQlljrlnPjh=Hq+UnX74Gw z2zvf4-&X}h1t*A3AO)oyh>{VS;XGF!$Nv1+Bh3x!TP7mi zAZJ_e=yc~I5yUJ-2~N9)qO*98_ z9tma}-MAH%N{4Bpj1ZMGksqDS1AXwtxm1DS2~kWSA?$gd@8Yl-jx+$y^nus>c@{kk@4M0gF9iO1e7mf>@V?OE z64fqzlEx4ZlEuC5Iu01FZte=_VU624mNpw zf4TI;L@K<8TXB`9s>q+mTe}H+9Az&fc+m?&aPHQ7GTenkAnqhmbu2+js1^h}1{bBp zc=7JjA2n5GLPL?>UbH|z+$0m?RB*i4P#DS%@3mBcM4C~emF2BzzU%6y8O5+@N-;tq zbCxOfg%A=(M_PC=Arcm>SSr|UJmCxQZuDM!H~N)*yc@kaf9v(~qVfx;@y2t!o$0~& zZuIkzzHkcfMkiUNM~U=%RhmXdw_>9--=t3RRgIEw=quT5zhNttPT!4w%b($U_SWtW zw@tk5yp3-=@3;3J<15hl?s9)OI$mn7??vbP(VxFbhP@j-m&Qxe{oUx4HKKaPtu%sQKRf=+&^%$T$hCQF-Td3DJCwwvZxZ^oP( znHDVvcfnF1rEC{VX|Ct0Ham&Am;YWClxR{r zSg>y*DJj=jA@=s4kH$klk>t<}mW!;Q|J;|FQFYI~Z^8f~9Pd{U!gQ1O&i&u$66173 ztKb~d>s;zNh3T@3uOf`eHh+Nsy`=6j^#$P00}2FcJCX3Aw2#SGCUZOHJ$?fkV;x3x zqhD4pbe?Y(PK+u-hY=WkygR(%+dp(9#4HeS1jo*Y{&2$kPfwfd$3G%GN(tSO$to+L zK2mIY+B8KVAvjG`_dGbv0Dt&Jh=if>=9t6Boq!rN_s$j}As^E2y-!LVNm}yUFfs|x z_o@7f;U~XNd^3cdo+eNBn>~Bks3a1BjjV1Y!9oYOxM@poLqT88D=)wHQ*;rdBE)z$ zZPE#@$+Pj!6PpIObog=dhHr!*^)?Fy-3^i$1j3MzH&o{&XBOIF)G{~o1WJx;_2A1NT)mHC50i#6-l?KY>v!@bZeBkjo*2rt!2_G)7iDEGJWT#<65SktYtcb4SKy-}QX>BL*kTBfJpk2x17-PB9mR7N^qmkV!f-1dar z;*=n!kaOLoW6$cW$XU9BgBaw;*XSSyR;W8?tz?A}%rZUp!9Pp*uz5AO(u~*4)y$P< zpj5BUxth7s43z5CIaf1Rnt@WiI_EC;%%wN2IM(zw6zFnRCs_{Xw0-}&-+js9tY6-m z=K8xW)9cvrQX)^xt!tHuYnghsmWfZytxJ_@_bc8P#uKwHop?B-(vxaAbt7{&r)^f5 z*0yoZB_*(RigRP*=zRx{r#-NRX$C%Z$286w(AWN3$><kmKZKgj5zg;=}5MM&Zk(rUW-&%+QbCw1dq75=kdn^>M0 z!bJ@3^~aOoW`h$$pRIC0?kE4J%)rzDrZO`1B_m(|D>DstV(OqXRhPj0?yp&bkyV-K ze0(uAzU3}KJ>A7sLyPj*lnBe;SF< zH4b6rQLj3{cl;JTM4yDxE%C2HQ8Zz#2>g>TWHi$B_l4kG{mT<`NEb0|tTL&bMv~s* zf|B0i0$ol`>celM@3eVv5(9(dXv;nePS`8nefBfy0KV}{OA>+Q>Wrq39P;_QOv({F zD-D3ZlDsv|cjH^hNJ7xiWS`E#jEq1vI3Cf;+s0@AU*U_Tdr0}@zQYjDm zPrS`xwH=cgr;Ldlgz%bg=cOdJL(EHbItAMJ%^yfP`-Ik}vWEcw@%s)53fG=S_|K;xjro)fYdYG=dsj znvF%^nz==?mCDx4rP){nu9;gTTd8c#T$+tV;F`HbvX#mvnM-d&A+nnYj_cZn^~dR`1<%{@M;eKAXbyFOb0Qu8r_Q@+>DVu`HwIEi}2+-tTT>F zK3iw-FD9!#Q(c_>i+?GZ5qb@7MsjAdYUXC9X9lxoZbouuvXab|Ry4%^oOL6MC6n%B zy-yyaNAe}VmeVf+ri*|kIez^0M)hb7&5jMn)rZ0X>7)gwE9B!!zrxP!%Wit80kna30 zQ;2j8fTb03R&y-LMR+w14q}zTjSga9g}U_~qByy0Kj&r~Sz5?Q;TbARWPSYkOEk7@ zQ~M2TnywUHb{rG!J!~R%O^Ewt?xKcSgDXvc&0Nh~X$DI5>YS^YE6qTu-la0<(wkNs zYkJcPbd}YTX}0THrqVH~Ync{#zAk;o9Dn`0{w`BV1*`rpQ`slPGD~1>SqQVV-ulT| z+>6Xx-e+sO{3H1rlDXw4u9&3esT&0J{)O7-fTtC=g!K&jrPGUrMw8j_cl)TC;asdP+gmFXZ=ri;Fo z>CP*6@taTMYnkqUC|ravGt$X{^DjLG>sqFeC<4nYG4+9+N8z9{|LxBZtRLNkoEfXl z{Nz~EoYbK+vUAZZIBd{lcnu=X*2-RXLj}2+@;=~^K< z-FvVIay$Bd>=tcps?cV^r<{Sy4Teqz;IM=?#9=srFb^xX-^<>V2D*+!;!p^Z!Zyo? ziGZyV(dHO5bPD_jI3!3(Z3sI`{If^9V(cN8AA#Z|OB^AdNh3?CybY;_9h&Y1%yys~ z%R1%W|7`8k!;hJ8s5K$!fH*z~XL>3SV5$!T7T`7^88{pYfKCA=f6mL%>*VlAf^Q|X zBFH_46}!PnCX77pPYMJi1e}2<>1;TJGn7Er4o_C!J0BLTf^ghl3?@Y@ktYpIDp zX`v^;?WA-qL22;XhVY`Eb9o48c0#m*3}ufUfITAEhB$!XP$ncPLc{)N-krHS*}@bf z0KgDh!0H?bTdNzq+Qm-%lNwqp5ca}C1nkv40z(yML889PXX%lZRLk8)CTU znG|aGhI=G8!@Z|Ah_?mso=8d^RkbqC(Qy0F)BTyg~9EFUE;5aK_mw!T( z9i)L`*I-zOlom1)0wQ^PdyIrl86MmaiPPX7_>=DMG7a$iN26PB*dF0W!7rTd4K5sO z2Jszp$RWv6HyS*66(-weGkQ+?M!Lq{NCzXECn4R&cgzh%`qmqE%yt9Y$@}ZXcKXEJ zzxjULaBkgn9k<@r3!m6txx0Px+|4_(ec;&H>&|VR-Nss@{+-uiz0qAa@3gmXo!z+| zZ=?fb^Uf<@*l+K;bsJm1{iD;nJ9nKryL0E2vSD=+i04l2Qu-f>^tERx{j`>hKY9tI zUj)go6X`!W^((jTKza{$hmhX;>N~I9`@vTs;o5Mvh7u%34OQMy=xura;ZFuLO51HT z38^&DRC)-Uwx5dL+9YXX4bf8?r+2f36Jj)?Q+I4Vx>jh4w~+7#3W>tN?xfSDS0y9q zN6!$LZvGid+a%&-Mb{1qE3Fj}2qw(N%W&G6b#Vq_>|20}wUiD`$tsftwt&*mjFFNklD8J9Zzqif4JWZ@Yh^!u_ma;RUg|3op1^1` z0Yd^Y0F$Ir8U%;?gtzrVC_oNvLL5S$UVsQ10T6{Tm#vbyA4U*ROH6i2Pnxv$y?@_9 z@8PI5SU$;|K5y^J?77L87W8aGCA9##O>0AA{72s4w1UXtC!vu@n$Sg}y9=BEpo0MzO9ah;$tk>tS-CvwM#E_OA(m8_t(As-R2+*roi z390G^^27WLTYhUNn9ON8HbESuXWTlOejsX_X9Xx*D|_3uKr=$hbofR1QTJ7~cFeF0 z={R{z&Io_OD$|l%3wzyd0*NBC+sXern_s|fgN{NG4-5mQb5!8haHKUgxH&&0x@JcQbKLIsQ3Yx_;#q`gP-JHL?A4~!)t1khXtJOZ=beft}Y@6j4sm^W&p z%EY-M5h$*R}}bK-vQ8CqpJb)vV6 z<2`#Nwq7&3^?EHQv7`j@gRk9EGFT)*TFCQBYfY+2Yf@Lk$YZfx0o$)UqNOU7t3bZz zu_t=>fc(Itn&zE%%kMI^cxn#Y*&eD)4SxMww|havLZ=2*CT=4<)dq$V(Vy*+F)n>A zq_2haU68&D^(bzTz6R1LBt1p9d#^aVbM6SLSC5eG{MF~4(r?cl!LNVIwzmn!hp!0< z#mq=2-fn)vO5G^PVz>?@om8-36SFRxcy9YKZ%Z656Kt1Y?1ozq_-F}+CYWd^&=w$V zZ2YbIO05O*m5Tn&{mPVPp#-j(E6EylYUU1-xm8_+OJg# zr22k{;!#-pIahpSt5&R;E6s4t+~uA*+%2XJ_pNE0x5}hC`$^~jotE9S!hlEekvq}| z)(j3Oghy-ftwG+J<^%VyS+>$}m^svqcGtGb)RPL+@~TXoniMKbzVc}og(Mb}u-$tF zh_kCq!_jYqJYv?R6K_qbO!Xcj4A25VxRflQb7-OrZggng7IReZAr{M5BE9x=E{S!$ zz?!+z@u-=rnJdjesa~CPHFKpIDAlWTu4b+@1EqSG%A8AYT5+svP%ZgLtul2gfAdx6 zYLzKIF^6?h_I%yNTg$YpD%0+j*~-K8gk6&=Q|UEw<$AmbU(O}4{5rABbpGo3Wm;RZ zttEW6*32z=OqO773B$UCD5jXG!A(ibzU0gOBD`+`iUrnw&W(x>ZMBN^{~?O=yY_Rg z_{dhRSTk3e;hMS2J#*-a`~8aP8+@@sX`sv1YC`!!>g?bEO$5)vI%^X09{?rFwPF z)y$PH{7Gh8!QGgq2{QoTCoYUWBaP^wqwT+Li*21@lVl{vS5)sm0YwM=y_)2)~O zJLc+IrqXNY()4%{KHRlTgIO;$PmgP3HLUmx}KlGXNN?ruH!#W{~a`)*cT!f6w>+-NN zH*Y+dTn#Qu#{$UGGgmb8rv!ZM%cd|&$!i^4=|N4>Tl%n0a#Bi@;Cg&zyf(Z-9=Auk zJ~*sAeMygRl9$HcY68in`6f9?Ur40eFC3HJ+f-$F?_6KrBrgOQYH{m##BJl3t#{)4 z<@hw%y{ZX!_=7L}p&>Z@QCl<-9RA|3AB!}6i8~1n@nzB{6KMpux0y&^!T6%|ksjj1 sb87aenlKO