From 5ea614ffdad7c1318902046218d9615994b366e1 Mon Sep 17 00:00:00 2001 From: Manfred Date: Tue, 11 Jan 2011 12:26:44 +0100 Subject: [PATCH] tx fix --- cpu/src/rs232_tx_arc.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/cpu/src/rs232_tx_arc.vhd b/cpu/src/rs232_tx_arc.vhd index ee231e4..ec9f4c5 100755 --- a/cpu/src/rs232_tx_arc.vhd +++ b/cpu/src/rs232_tx_arc.vhd @@ -83,11 +83,12 @@ begin when IDLE => -- tx-Signale im idle Zustand halten tx_rdy <= '1'; + cnt_nxt <= 0; baud_cnt_next <= to_integer(unsigned(bd_rate)); when SEND => -- Signalisiert dass gerade ein Byte versendet wird tx_rdy <= '0'; - if baud_cnt = bd_rate then + if baud_cnt = unsigned(bd_rate) then -- wenn die Bitdauer erreicht ist, Counter reseten baud_cnt_next <= 0; -- Counter um die einzelen Bits zu versenden -- 2.25.1