From 5130edf957093ec77de6fe731115ef738f7a2ef5 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Sat, 8 Jan 2011 15:02:04 +0100 Subject: [PATCH] .gitignore: getting rid of transcript --- .gitignore | 1 + transcript | 5329 ---------------------------------------------------- 2 files changed, 1 insertion(+), 5329 deletions(-) create mode 100644 .gitignore delete mode 100644 transcript diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..08bfd5a --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +transcript diff --git a/transcript b/transcript deleted file mode 100644 index f43f4ea..0000000 --- a/transcript +++ /dev/null @@ -1,5329 +0,0 @@ -pwd -# /home/stefan/processor/calu -cd cpu/sim -# reading modelsim.ini -ls -# modelsim.ini -# testcore1.do -# testcore.do -# transcript -# vsim.wlf -# wave.do -# work -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w2_uart_config". -# ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal. -# ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w3_uart_send". -# ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal. -# ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w4_uart_receive". -# ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal. -# ** Error: ../src/extension_interrupt_b.vhd(132): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 20 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/extension_interrupt_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# ** Error: ../src/decoder_b.vhd(35): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec". -# ** Error: ../src/decoder_b.vhd(358): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec". -# ** Error: ../src/decoder_b.vhd(437): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 30 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/decoder_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# ** Error: ../src/decoder_b.vhd(35): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec". -# ** Error: ../src/decoder_b.vhd(358): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec". -# ** Error: ../src/decoder_b.vhd(437): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 30 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/decoder_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion from std.standard.integer to ieee.numeric_std.unsigned (numeric to array). -# ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 32 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/fetch_stage_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion from std.standard.integer to ieee.std_logic_1164.std_logic_vector (numeric to array). -# ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 32 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/fetch_stage_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Error: ../src/fetch_stage_b.vhd(100): No feasible entries for subprogram "to_unsigned". -# ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion to ieee.std_logic_1164.std_logic_vector (operand type is not known). -# ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 32 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/fetch_stage_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# ** Error: ../src/common_pkg.vhd(84): (vcom-1272) Length of expected is 12; length of actual is 11. -# ** Error: ../src/common_pkg.vhd(173): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 13 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/common_pkg.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# ** Error: ../src/common_pkg.vhd(84): (vcom-1272) Length of expected is 12; length of actual is 11. -# ** Error: ../src/common_pkg.vhd(173): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 13 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/common_pkg.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Error: ../src/writeback_stage_b.vhd(91): Cannot assign to object "int_req" of mode IN. -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# ** Error: ../src/writeback_stage_b.vhd(417): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 62 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/writeback_stage_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# ** Error: ../src/pipeline_tb.vhd(102): (vcom-1035) Formal port "int_req" has OPEN or no actual associated with it. -# ** Error: ../src/pipeline_tb.vhd(223): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 64 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/pipeline_tb.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -run -run -run -run -run -run -run -run -run -run -run -restart -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -restart -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -restart -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -continue -run -restart -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -run -run -run -run -run -run -# ** Fatal: (vsim-3420) Array lengths do not match. Left is 16 (22 downto 7). Right is 11 (10 downto 0). -# Time: 104840 ns Iteration: 3 Process: /pipeline_tb/fetch_st/asyn File: ../src/fetch_stage_b.vhd -# Fatal error in Process asyn at ../src/fetch_stage_b.vhd line 100 -# -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -run -# Cannot continue because of fatal error. -# HDL call sequence: -# Stopped at ../src/fetch_stage_b.vhd 100 Process asyn -# -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1136) Unknown identifier "physical_instr_addr_width". -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): Bad expression in left bound of range expression. -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): Type error in range expression. -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(114): VHDL Compiler exiting -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Warning: [3] /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1246) Range 5 downto 7 is null. -# ** Warning: [14] /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1272) Length of expected is 0; length of actual is 11. -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -restart -# Loading work.fetch_stage(behav) -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# ** Error: ../src/rom_b.vhd(127): (vcom-1167) Index value -4 is out of std.standard.natural range 0 to 2147483647. -# ** Error: ../src/rom_b.vhd(128): (vcom-1167) Index value -4 is out of std.standard.natural range 0 to 2147483647. -# ** Warning: [14] ../src/rom_b.vhd(127): (vcom-1272) Length of expected is 7; length of actual is 11. -# ** Warning: [14] ../src/rom_b.vhd(128): (vcom-1272) Length of expected is 7; length of actual is 11. -# ** Error: ../src/rom_b.vhd(137): VHDL Compiler exiting -# ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# Error in macro ./testcore.do line 12 -# /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed. -# while executing -# "vcom -work work ../src/rom_b.vhd" -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -- 2.25.1