From 2c90a003586019584fc9a128e0b8582ce9e6368b Mon Sep 17 00:00:00 2001 From: Manfred Date: Tue, 11 Jan 2011 13:32:26 +0100 Subject: [PATCH] blub --- cpu/src/rs232_rx_arc.vhd | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/cpu/src/rs232_rx_arc.vhd b/cpu/src/rs232_rx_arc.vhd index 0a9df83..30d0e5b 100755 --- a/cpu/src/rs232_rx_arc.vhd +++ b/cpu/src/rs232_rx_arc.vhd @@ -100,7 +100,7 @@ begin -- hier wird nur noch auf das Stopbit abgewartet und gelesen um den -- Lesevorgang koerrekt zu beenden if baud_cnt = bd_rate and bus_rx = '1' then - state_next <= POST_STOP; + state_next <= IDLE; elsif baud_cnt = bd_rate and bus_rx = '0' then state_next <= IDLE; end if; @@ -124,6 +124,7 @@ begin -- Statewechesel wie obenbeschrieben case state is when IDLE => + cnt_next <= 0; baud_cnt_next <= (others =>'0'); --0; when READ_START => -- baut_cnt zyklenweise erhoehen bis es einer halben Bitzeit entspricht @@ -152,6 +153,8 @@ begin baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1); if baud_cnt = bd_rate then baud_cnt_next <= (others => '0'); + new_rx_data_nxt <= '1'; + rx_data_res_nxt <= rx_data_int; end if; when POST_STOP => --halbe bitzeit wartenr auf counter warten -- 2.25.1