From 28ef5d933f0b023ac1bd036204812268a4cbf709 Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Tue, 11 Jan 2011 02:10:33 +0100 Subject: [PATCH] bootromfun: okay, speicherzugriffe haben definitiv bei mein fpga ned [...] funktioniert. ist nun am selben stand wie im tilab-fpga, mit den abweichungen dass ich auch cat & friends auf /dev/ttyUSB0 machen kann und auf eine baudrate von 115200 fahre. hier eine analyse: MIME-Version: 1.0 Content-Type: text/plain; charset=utf8 Content-Transfer-Encoding: 8bit erwartetes verhalten: - per UART kann ein beliebiges zeichen != 'H' kommen -> bootrom reagiert nicht - per UART kommt ein 'H', dann antwort bootrom mit 'O' und 'A' - nun pollt das bootrom am UART solange bis ein beliebiges zeichen reinkommt und antwortet darauf mit 'P' und 'A' 'B' 'C' (siehe bei "tehend" label) - LED fuer ROM/RAM geht aus tatsaechliches verhalten mit meinen spartan3e starter kit @115200 baud: - per UART kann ein beliebiges zeichen != 'H' kommen -> bootrom reagiert nicht - per UART kommt ein 'H', dann antwort bootrom mit 'O' 'A' '(' 'È' hexdump: 4f41 2810 c8e8. ja das sind mehr zeichen. rest wird nimmer vom terminal dargestellt - LED fuer ROM/RAM geht aus so, meine vermutung: rs232_rx oder/und rs232_tx timing im oasch. ich hab aber bis jetzt nix auffaellig gesehen, ich haett aber sonst echt keinen plan mehr was ned passen koennte... --- 2_isa/src/bootrom.s | 16 ++-- cpu/src/rom_b.vhd | 194 ++++++++++++++++++++++---------------------- 2 files changed, 109 insertions(+), 101 deletions(-) diff --git a/2_isa/src/bootrom.s b/2_isa/src/bootrom.s index 9dcc541..9ff1bf7 100644 --- a/2_isa/src/bootrom.s +++ b/2_isa/src/bootrom.s @@ -25,15 +25,10 @@ main: ldi r11, PBASE@lo ldih r11, PBASE@hi poll: - ldw r3, UART_STATUS(r10) - andx r3, UART_RECV_NEW - brzs+ poll; branch if zero - xor r0, r0, r0 - ldw r0, UART_RECV(r10) + call recv_byte ; we received the enter bootrom sign xor r1, r1, r1 cmpi r0, 0x48 ; 'H' - br tehend breq+ bt_H br poll ; else @@ -163,3 +158,12 @@ bt_J: brr r0 tehend: + xor r1, r1, r1 + ldi r1, 0x41 ; 'A' + call send_byte + xor r1, r1, r1 + ldi r1, 0x42 ; 'B' + call send_byte + xor r1, r1, r1 + ldi r1, 0x43 ; 'C' + call send_byte diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index b73c145..2aae428 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -130,101 +130,105 @@ begin when "0000100" => data_out <= x"ed500002"; -- ldih r10, UART_BASE@hi when "0000101" => data_out <= x"ed590180"; -- ldi r11, PBASE@lo when "0000110" => data_out <= x"ed580002"; -- ldih r11, PBASE@hi - when "0000111" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) - when "0001000" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW - when "0001001" => data_out <= x"1b7fff03"; -- brzs+ poll - when "0001010" => data_out <= x"e4000000"; -- xor r0, r0, r0 - when "0001011" => data_out <= x"e705000c"; -- ldw r0, UART_RECV(r10) - when "0001100" => data_out <= x"e4088800"; -- xor r1, r1, r1 - when "0001101" => data_out <= x"ec800240"; -- cmpi r0, 0x48 - when "0001110" => data_out <= x"eb002c01"; -- br tehend - when "0001111" => data_out <= x"1b001983"; -- breq+ bt_H - when "0010000" => data_out <= x"eb7ffb81"; -- br poll - when "0010001" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) - when "0010010" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY - when "0010011" => data_out <= x"0b7fff03"; -- brnz+ send_byte - when "0010100" => data_out <= x"e78d0008"; -- stw r1, UART_TRANS(r10) - when "0010101" => data_out <= x"eb000008"; -- ret - when "0010110" => data_out <= x"e5008008"; -- lrs r0, r1, 0 - when "0010111" => data_out <= x"eb7ffd05"; -- call send_byte - when "0011000" => data_out <= x"e500a008"; -- lrs r0, r1, 8 - when "0011001" => data_out <= x"eb7ffc05"; -- call send_byte - when "0011010" => data_out <= x"e500c008"; -- lrs r0, r1, 16 - when "0011011" => data_out <= x"eb7ffb05"; -- call send_byte - when "0011100" => data_out <= x"e500e008"; -- lrs r0, r1, 24 - when "0011101" => data_out <= x"eb7ffa05"; -- call send_byte - when "0011110" => data_out <= x"eb000008"; -- ret - when "0011111" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) - when "0100000" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW - when "0100001" => data_out <= x"1b7fff03"; -- brzs+ recv_byte - when "0100010" => data_out <= x"e4000000"; -- xor r0, r0, r0 - when "0100011" => data_out <= x"e705000c"; -- ldw r0, UART_RECV(r10) - when "0100100" => data_out <= x"eb000008"; -- ret - when "0100101" => data_out <= x"e4088800"; -- xor r1, r1, r1 - when "0100110" => data_out <= x"eb7ffc85"; -- call recv_byte - when "0100111" => data_out <= x"e3080800"; -- or r1, r0, r1 - when "0101000" => data_out <= x"eb7ffb85"; -- call recv_byte - when "0101001" => data_out <= x"e508a000"; -- lls r1, r1, 8 - when "0101010" => data_out <= x"e3080800"; -- or r1, r0, r1 - when "0101011" => data_out <= x"eb7ffa05"; -- call recv_byte - when "0101100" => data_out <= x"e508a000"; -- lls r1, r1, 8 - when "0101101" => data_out <= x"e3080800"; -- or r1, r0, r1 - when "0101110" => data_out <= x"eb7ff885"; -- call recv_byte - when "0101111" => data_out <= x"e508a000"; -- lls r1, r1, 8 - when "0110000" => data_out <= x"e3080800"; -- or r1, r0, r1 - when "0110001" => data_out <= x"e1008000"; -- addi r0, r1, 0 - when "0110010" => data_out <= x"eb000008"; -- ret - when "0110011" => data_out <= x"eb7ff605"; -- call recv_byte - when "0110100" => data_out <= x"ed080280"; -- ldi r1, 0x50 - when "0110101" => data_out <= x"eb7fee05"; -- call send_byte - when "0110110" => data_out <= x"eb001801"; -- br tehend - when "0110111" => data_out <= x"e4088800"; -- xor r1, r1, r1 - when "0111000" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 - when "0111001" => data_out <= x"1b000781"; -- breq- bt_W - when "0111010" => data_out <= x"ec800290"; -- cmpi r0, 0x52 - when "0111011" => data_out <= x"1b000a01"; -- breq- bt_R - when "0111100" => data_out <= x"ec800288"; -- cmpi r0, 0x51 - when "0111101" => data_out <= x"1b000c81"; -- breq- bt_Q - when "0111110" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 - when "0111111" => data_out <= x"1b000f01"; -- breq- bt_T - when "1000000" => data_out <= x"ec800250"; -- cmpi r0, 0x4a - when "1000001" => data_out <= x"1b001181"; -- breq- bt_J - when "1000010" => data_out <= x"ed080278"; -- ldi r1, 0x4f - when "1000011" => data_out <= x"eb7fe705"; -- call send_byte - when "1000100" => data_out <= x"e4088800"; -- xor r1, r1, r1 - when "1000101" => data_out <= x"ed080208"; -- ldi r1, 0x41 - when "1000110" => data_out <= x"eb7fe585"; -- call send_byte - when "1000111" => data_out <= x"eb7ff601"; -- br bootrom - when "1001000" => data_out <= x"eb7fee85"; -- call recv_word - when "1001001" => data_out <= x"e7858004"; -- stw r0, PADDR(r11) - when "1001010" => data_out <= x"eb7fed85"; -- call recv_word - when "1001011" => data_out <= x"e7858008"; -- stw r0, PDATA(r11) - when "1001100" => data_out <= x"ed080220"; -- ldi r1, 0x44 - when "1001101" => data_out <= x"eb7fe205"; -- call send_byte - when "1001110" => data_out <= x"eb7ff281"; -- br bootrom - when "1001111" => data_out <= x"eb7feb05"; -- call recv_word - when "1010000" => data_out <= x"e1100000"; -- mov r2, r0 - when "1010001" => data_out <= x"ed080230"; -- ldi r1, 0x46 - when "1010010" => data_out <= x"eb7fdf85"; -- call send_byte - when "1010011" => data_out <= x"ea090000"; -- ldx r1, 0(r2) - when "1010100" => data_out <= x"eb7fe105"; -- call send_word - when "1010101" => data_out <= x"eb7fef01"; -- br bootrom - when "1010110" => data_out <= x"eb7fe785"; -- call recv_word - when "1010111" => data_out <= x"e1300000"; -- mov r6, r0 - when "1011000" => data_out <= x"eb7fe685"; -- call recv_word - when "1011001" => data_out <= x"e7830000"; -- stw r0, 0(r6) - when "1011010" => data_out <= x"ed080208"; -- ldi r1, 0x41 - when "1011011" => data_out <= x"eb7fdb05"; -- call send_byte - when "1011100" => data_out <= x"eb7feb81"; -- br bootrom - when "1011101" => data_out <= x"eb7fe405"; -- call recv_word - when "1011110" => data_out <= x"e1100000"; -- mov r2, r0 - when "1011111" => data_out <= x"ed080238"; -- ldi r1, 0x47 - when "1100000" => data_out <= x"eb7fd885"; -- call send_byte - when "1100001" => data_out <= x"e7090000"; -- ldw r1, 0(r2) - when "1100010" => data_out <= x"eb7fda05"; -- call send_word - when "1100011" => data_out <= x"eb7fe801"; -- br bootrom - when "1100100" => data_out <= x"eb7fe085"; -- call recv_word - when "1100101" => data_out <= x"eb800000"; -- brr r0 + when "0000111" => data_out <= x"eb000985"; -- call recv_byte + when "0001000" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0001001" => data_out <= x"ec800240"; -- cmpi r0, 0x48 + when "0001010" => data_out <= x"1b001983"; -- breq+ bt_H + when "0001011" => data_out <= x"eb7ffe01"; -- br poll + when "0001100" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0001101" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY + when "0001110" => data_out <= x"0b7fff03"; -- brnz+ send_byte + when "0001111" => data_out <= x"e78d0008"; -- stw r1, UART_TRANS(r10) + when "0010000" => data_out <= x"eb000008"; -- ret + when "0010001" => data_out <= x"e5008008"; -- lrs r0, r1, 0 + when "0010010" => data_out <= x"eb7ffd05"; -- call send_byte + when "0010011" => data_out <= x"e500a008"; -- lrs r0, r1, 8 + when "0010100" => data_out <= x"eb7ffc05"; -- call send_byte + when "0010101" => data_out <= x"e500c008"; -- lrs r0, r1, 16 + when "0010110" => data_out <= x"eb7ffb05"; -- call send_byte + when "0010111" => data_out <= x"e500e008"; -- lrs r0, r1, 24 + when "0011000" => data_out <= x"eb7ffa05"; -- call send_byte + when "0011001" => data_out <= x"eb000008"; -- ret + when "0011010" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0011011" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0011100" => data_out <= x"1b7fff03"; -- brzs+ recv_byte + when "0011101" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0011110" => data_out <= x"e705000c"; -- ldw r0, UART_RECV(r10) + when "0011111" => data_out <= x"eb000008"; -- ret + when "0100000" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0100001" => data_out <= x"eb7ffc85"; -- call recv_byte + when "0100010" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100011" => data_out <= x"eb7ffb85"; -- call recv_byte + when "0100100" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0100101" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100110" => data_out <= x"eb7ffa05"; -- call recv_byte + when "0100111" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101000" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101001" => data_out <= x"eb7ff885"; -- call recv_byte + when "0101010" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101011" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101100" => data_out <= x"e1008000"; -- addi r0, r1, 0 + when "0101101" => data_out <= x"eb000008"; -- ret + when "0101110" => data_out <= x"eb7ff605"; -- call recv_byte + when "0101111" => data_out <= x"ed080280"; -- ldi r1, 0x50 + when "0110000" => data_out <= x"eb7fee05"; -- call send_byte + when "0110001" => data_out <= x"eb001801"; -- br tehend + when "0110010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0110011" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 + when "0110100" => data_out <= x"1b000781"; -- breq- bt_W + when "0110101" => data_out <= x"ec800290"; -- cmpi r0, 0x52 + when "0110110" => data_out <= x"1b000a01"; -- breq- bt_R + when "0110111" => data_out <= x"ec800288"; -- cmpi r0, 0x51 + when "0111000" => data_out <= x"1b000c81"; -- breq- bt_Q + when "0111001" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 + when "0111010" => data_out <= x"1b000f01"; -- breq- bt_T + when "0111011" => data_out <= x"ec800250"; -- cmpi r0, 0x4a + when "0111100" => data_out <= x"1b001181"; -- breq- bt_J + when "0111101" => data_out <= x"ed080278"; -- ldi r1, 0x4f + when "0111110" => data_out <= x"eb7fe705"; -- call send_byte + when "0111111" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "1000000" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1000001" => data_out <= x"eb7fe585"; -- call send_byte + when "1000010" => data_out <= x"eb7ff601"; -- br bootrom + when "1000011" => data_out <= x"eb7fee85"; -- call recv_word + when "1000100" => data_out <= x"e7858004"; -- stw r0, PADDR(r11) + when "1000101" => data_out <= x"eb7fed85"; -- call recv_word + when "1000110" => data_out <= x"e7858008"; -- stw r0, PDATA(r11) + when "1000111" => data_out <= x"ed080220"; -- ldi r1, 0x44 + when "1001000" => data_out <= x"eb7fe205"; -- call send_byte + when "1001001" => data_out <= x"eb7ff281"; -- br bootrom + when "1001010" => data_out <= x"eb7feb05"; -- call recv_word + when "1001011" => data_out <= x"e1100000"; -- mov r2, r0 + when "1001100" => data_out <= x"ed080230"; -- ldi r1, 0x46 + when "1001101" => data_out <= x"eb7fdf85"; -- call send_byte + when "1001110" => data_out <= x"ea090000"; -- ldx r1, 0(r2) + when "1001111" => data_out <= x"eb7fe105"; -- call send_word + when "1010000" => data_out <= x"eb7fef01"; -- br bootrom + when "1010001" => data_out <= x"eb7fe785"; -- call recv_word + when "1010010" => data_out <= x"e1300000"; -- mov r6, r0 + when "1010011" => data_out <= x"eb7fe685"; -- call recv_word + when "1010100" => data_out <= x"e7830000"; -- stw r0, 0(r6) + when "1010101" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1010110" => data_out <= x"eb7fdb05"; -- call send_byte + when "1010111" => data_out <= x"eb7feb81"; -- br bootrom + when "1011000" => data_out <= x"eb7fe405"; -- call recv_word + when "1011001" => data_out <= x"e1100000"; -- mov r2, r0 + when "1011010" => data_out <= x"ed080238"; -- ldi r1, 0x47 + when "1011011" => data_out <= x"eb7fd885"; -- call send_byte + when "1011100" => data_out <= x"e7090000"; -- ldw r1, 0(r2) + when "1011101" => data_out <= x"eb7fda05"; -- call send_word + when "1011110" => data_out <= x"eb7fe801"; -- br bootrom + when "1011111" => data_out <= x"eb7fe085"; -- call recv_word + when "1100000" => data_out <= x"eb800000"; -- brr r0 + when "1100001" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "1100010" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1100011" => data_out <= x"eb7fd485"; -- call send_byte + when "1100100" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "1100101" => data_out <= x"ed080210"; -- ldi r1, 0x42 + when "1100110" => data_out <= x"eb7fd305"; -- call send_byte + when "1100111" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "1101000" => data_out <= x"ed080218"; -- ldi r1, 0x43 + when "1101001" => data_out <= x"eb7fd185"; -- call send_byte -- just nop until rom end! when others => data_out <= x"fd000000"; end case; -- 2.25.1