From 06700211ba6dd1d05e96f574aaf34f44ae901cb7 Mon Sep 17 00:00:00 2001 From: Stefan Rebernig Date: Fri, 17 Dec 2010 23:30:39 +0100 Subject: [PATCH] 7seg small changes --- cpu/src/extension_7seg_b.vhd | 22 +++++++++------------- cpu/src/writeback_stage_b.vhd | 1 + 2 files changed, 10 insertions(+), 13 deletions(-) diff --git a/cpu/src/extension_7seg_b.vhd b/cpu/src/extension_7seg_b.vhd index 0e58cca..a78da17 100755 --- a/cpu/src/extension_7seg_b.vhd +++ b/cpu/src/extension_7seg_b.vhd @@ -45,27 +45,23 @@ end process; seg_asyn: process(s_state, ext_reg_r) -variable tmp_data : byte_t; - begin s_state_nxt <= s_state; - tmp_data := (others =>'0'); if ext_reg_r.sel = '1' and ext_reg_r.wr_en = '1' then - tmp_data(byte_t'range) :=ext_reg_r.data(byte_t'range); - - s_state_nxt.digit0 <= digit_decode('0' & ext_reg_r.data(3 downto 0)); - s_state_nxt.digit1 <= digit_decode('0' & ext_reg_r.data(7 downto 4)); - s_state_nxt.digit2 <= digit_decode('0' & ext_reg_r.data(11 downto 8)); - s_state_nxt.digit3 <= digit_decode('0' & ext_reg_r.data(15 downto 12)); case ext_reg_r.byte_en(1 downto 0) is - when "01" => s_state_nxt.digit3 <= digit_decode("11111"); when "00" => null; - when "10" => null; - when "11" => null; - when others => null; + s_state_nxt.digit0 <= digit_decode('0' & ext_reg_r.data(3 downto 0)); + s_state_nxt.digit1 <= digit_decode('0' & ext_reg_r.data(7 downto 4)); + s_state_nxt.digit2 <= digit_decode('0' & ext_reg_r.data(11 downto 8)); + s_state_nxt.digit3 <= digit_decode('0' & ext_reg_r.data(15 downto 12)); + when others => + s_state_nxt.digit0 <= (others => '1'); + s_state_nxt.digit1 <= (others => '1'); + s_state_nxt.digit2 <= (others => '1'); + s_state_nxt.digit3 <= (others => '1'); end case; diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index 307996e..8c13049 100644 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -224,6 +224,7 @@ begin ext_7seg.addr <= wb_reg_nxt.address(31 downto 2); ext_7seg.byte_en(1 downto 0) <= wb_reg_nxt.address(1 downto 0); + -- case wb_reg_nxt.address(1 downto 0) is -- when "00" => ext_7seg.byte_en <= "0001"; -- when "01" => ext_7seg.byte_en <= "0010"; -- 2.25.1