From 0221dfbdde27d9a2113565b7cd0d9109e65513ee Mon Sep 17 00:00:00 2001 From: Bernhard Urban Date: Sun, 9 Jan 2011 16:49:02 +0100 Subject: [PATCH] bootromfun: wir kriegen ein 'O' \o/ (wenn man ein 'H' aufn UART schreibt) --- 2_isa/src/bootrom.s | 115 ++++++++++++++++------------------- 3_test/bootrom.s | 1 + cpu/sim/testcore1.do | 13 ++-- cpu/src/rom_b.vhd | 139 +++++++++++++++++++++++++++++-------------- 4 files changed, 151 insertions(+), 117 deletions(-) create mode 120000 3_test/bootrom.s diff --git a/2_isa/src/bootrom.s b/2_isa/src/bootrom.s index a18b1a6..dc484d7 100644 --- a/2_isa/src/bootrom.s +++ b/2_isa/src/bootrom.s @@ -1,59 +1,41 @@ .data .text - .define UART_BASE, 0x1000 - .define UART_STATUS, UART_BASE+0x4 - .define UART_RECV, UART_BASE+0x8 - .define UART_TRANS, UART_BASE+0xC - .define UART_BAUD, UART_BASE+0x10 - - .define UART_TRANS_EMPTY, 0 - .define UART_RECV_NEW, 1 - - .define TIMEOUT_START, 0x13371337 - .define DEFAULT_PROG_START, 0x200 - .define STACKSTART, 0x500 ; FIXME -;----- -main: - ; setup stackframe - ldil r15, STACKSTART@lo - ldih r15, STACKSTART@hi - movst r15 + .define UART_BASE, 0x2000 + .define UART_STATUS, 0x0 + .define UART_RECV, 0xc + .define UART_TRANS, 0x8 - ldil r3, TIMEOUT_START@lo - ldih r3, TIMEOUT_START@hi + .define UART_TRANS_EMPTY, 0x1 + .define UART_RECV_NEW, 0x2 -timeout_loop: - ldil r3, UART_STATUS@lo - ldih r3, UART_STATUS@hi - ldw r3, 0(r3) - andx r3, 1 << UART_RECV_NEW - brzs+ next; branch if zero +;----- +start: + br+ main + br+ main + ret + +main: + ldi r10, UART_BASE@lo + ldih r10, UART_BASE@hi +poll: + ldw r3, UART_STATUS(r10) + andx r3, UART_RECV_NEW + brzs+ poll; branch if zero call recv_byte ; we received the enter bootrom sign + xor r1, r1, r1 cmpi r0, 0x48 ; 'H' breq- bt_H - -next: - subi r3, r3, 1 - brnz+ timeout_loop - - ; call to default entry point - ldil r0, DEFAULT_PROG_START@lo - ldih r0, DEFAULT_PROG_START@hi - brr r0 + br poll ; else ;----- send_byte: - ldil r3, UART_STATUS@lo - ldih r3, UART_STATUS@hi - ldw r3, 0(r3) - andx r3, 1 << UART_TRANS_EMPTY - brzs+ send_byte ; branch if zero - ldil r3, UART_TRANS@lo - ldih r3, UART_TRANS@hi - stb r1, 0(r3) + ldw r3, UART_STATUS(r10) + andx r3, UART_TRANS_EMPTY + brnz+ send_byte ; branch if not zero + stb r1, UART_TRANS(r10) ret ;----- @@ -70,40 +52,42 @@ send_word: ;----- recv_byte: - ldil r3, UART_STATUS@lo - ldih r3, UART_STATUS@hi - ldw r3, 0(r3) - andx r3, 1 << UART_RECV_NEW - brzs+ send_byte ; branch if zero - ldil r3, UART_RECV@lo - ldih r3, UART_RECV@hi - ldb r0, 0(r3) + ldw r3, UART_STATUS(r10) + andx r3, UART_RECV_NEW + brzs+ recv_byte; branch if zero + xor r0, r0, r0 + ldb r0, UART_RECV(r10) ret ;----- recv_word: - ldisl r0, 0x0 + xor r0, r0, r0 + xor r1, r1, r1 call recv_byte - or r0, r0, r1 + or r1, r0, r1 call recv_byte lls r1, r1, 8 - or r0, r0, r1 + or r1, r0, r1 call recv_byte - lls r1, r1, 16 - or r0, r0, r1 + lls r1, r1, 8 + or r1, r0, r1 call recv_byte - lls r1, r1, 24 - or r0, r0, r1 + lls r1, r1, 8 + or r1, r0, r1 + + addi r0, r1, 0 ret ;----- bootrom: + br tehend call recv_byte + xor r1, r1, r1 cmpi r0, 0x57 ; 'W' breq- bt_W @@ -124,23 +108,24 @@ bootrom: ; FALL THROUGH ;) bt_H: - ldisl r1, 0x4f ; 'O' + ldi r1, 0x4f ; 'O' call send_byte - br bootrom + ; br bootrom ; FIXME + br tehend bt_W: call recv_word ; receive addr mov r6, r0 call recv_word ; receive instr stx r0, 0(r6) - ldisl r1, 0x44 ; 'D' + ldi r1, 0x44 ; 'D' call send_byte br bootrom bt_R: call recv_word ; receive addr mov r2, r0 - ldisl r1, 0x46 ; 'F' + ldi r1, 0x46 ; 'F' call send_byte ldx r1, 0(r2) call send_word @@ -151,14 +136,14 @@ bt_Q: mov r6, r0 call recv_word ; receive data stw r0, 0(r6) - ldisl r1, 0x41 ; 'A' + ldi r1, 0x41 ; 'A' call send_byte br bootrom bt_T: call recv_word ; receive addr mov r2, r0 - ldisl r1, 0x47 ; 'G' + ldi r1, 0x47 ; 'G' call send_byte ldw r1, 0(r2) call send_word @@ -168,4 +153,4 @@ bt_J: call recv_word brr r0 -; 102 instr. please update after modification +tehend: diff --git a/3_test/bootrom.s b/3_test/bootrom.s new file mode 120000 index 0000000..420eefa --- /dev/null +++ b/3_test/bootrom.s @@ -0,0 +1 @@ +../2_isa/src/bootrom.s \ No newline at end of file diff --git a/cpu/sim/testcore1.do b/cpu/sim/testcore1.do index d16e5c3..885a80f 100644 --- a/cpu/sim/testcore1.do +++ b/cpu/sim/testcore1.do @@ -131,12 +131,13 @@ add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writebac add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_addr add wave -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/regfile_val -add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/im_addr -add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/im_data -add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/new_im_data_out -add wave -group test -radix hexadecimal /pipeline_tb/fetch_st/im_addr -add wave -group test -radix hexadecimal /pipeline_tb/fetch_st/im_data -add wave -group test -radix hexadecimal /pipeline_tb/fetch_st/new_im_data_in +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/reg_we +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/write_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_write_en +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/ext_anysel +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/alu_jmp +add wave -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.address run 5000 ns diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index 7f551ed..194b95c 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -123,53 +123,100 @@ begin -- when "00000000111" => data_out <= x"e7a00004"; -- when "00000001000" => data_out <= x"e7280004"; -- -- when "00000001001" => data_out <= x"eb7ffb81"; - when "0000000" => data_out <= x"eb000183"; -- br+ main - when "0000001" => data_out <= x"eb000487"; -- call+ uartrdy + when "0000000" => data_out <= x"eb000183"; -- br+ main + when "0000001" => data_out <= x"eb000103"; -- br+ main when "0000010" => data_out <= x"eb000008"; -- ret - when "0000011" => data_out <= x"ed090058"; -- ldi r1, 0x200b - when "0000100" => data_out <= x"ed110060"; -- ldi r2, 0x200c - when "0000101" => data_out <= x"ed190080"; -- ldi r3, 0x2010 - when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 - when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3 - when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4) - when "0001001" => data_out <= x"eb7ffb83"; -- br+ start - when "0001010" => data_out <= x"e7390000"; -- ldw r7, 0(r2) - when "0001011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001100" => data_out <= x"ed500208"; -- ldi r10, 0x41 - when "0001101" => data_out <= x"e7d08000"; -- stw r10, 0(r1) - when "0001110" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 - when "0001111" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 - when "0010000" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010001" => data_out <= x"e45dd800"; -- xor r11, r11, r11 - when "0010010" => data_out <= x"ed500000"; -- ldi r10, 0 - when "0010011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0010100" => data_out <= x"ed580c18"; -- ldi r11, 0x0183 - when "0010101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0010110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0010111" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011000" => data_out <= x"ed500008"; -- ldi r10, 1 - when "0011001" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0011010" => data_out <= x"ed580838"; -- ldi r11, 0x107 - when "0011011" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0011100" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0011101" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011110" => data_out <= x"ed500010"; -- ldi r10, 2 - when "0011111" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100000" => data_out <= x"ed580040"; -- ldi r11, 0x8 - when "0100001" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0100010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0100011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0100100" => data_out <= x"ed500020"; -- ldi r10, 4 - when "0100101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100110" => data_out <= x"ed5c0000"; -- ldi r11, 0x8000 - when "0100111" => data_out <= x"ed5f3dc2"; -- ldih r11, 0xe7b8 - when "0101000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0101001" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0101010" => data_out <= x"ed500028"; -- ldi r10, 5 - when "0101011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0101100" => data_out <= x"ed580018"; -- ldi r11, 0x3 - when "0101101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0101110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0000011" => data_out <= x"ed510000"; -- ldi r10, UART_BASE@lo + when "0000100" => data_out <= x"ed500002"; -- ldih r10, UART_BASE@hi + when "0000101" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0000110" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0000111" => data_out <= x"1b7fff03"; -- brzs+ poll + when "0001000" => data_out <= x"eb000985"; -- call recv_byte + when "0001001" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0001010" => data_out <= x"ec800240"; -- cmpi r0, 0x48 + when "0001011" => data_out <= x"1b001901"; -- breq- bt_H + when "0001100" => data_out <= x"eb7ffc81"; -- br poll + when "0001101" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0001110" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY + when "0001111" => data_out <= x"0b7fff03"; -- brnz+ send_byte + when "0010000" => data_out <= x"e98d0008"; -- stb r1, UART_TRANS(r10) + when "0010001" => data_out <= x"eb000008"; -- ret + when "0010010" => data_out <= x"e5008008"; -- lrs r0, r1, 0 + when "0010011" => data_out <= x"eb7ffd05"; -- call send_byte + when "0010100" => data_out <= x"e500a008"; -- lrs r0, r1, 8 + when "0010101" => data_out <= x"eb7ffc05"; -- call send_byte + when "0010110" => data_out <= x"e500c008"; -- lrs r0, r1, 16 + when "0010111" => data_out <= x"eb7ffb05"; -- call send_byte + when "0011000" => data_out <= x"e500e008"; -- lrs r0, r1, 24 + when "0011001" => data_out <= x"eb7ffa05"; -- call send_byte + when "0011010" => data_out <= x"eb000008"; -- ret + when "0011011" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0011100" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0011101" => data_out <= x"1b7fff03"; -- brzs+ recv_byte + when "0011110" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0011111" => data_out <= x"e905000c"; -- ldb r0, UART_RECV(r10) + when "0100000" => data_out <= x"eb000008"; -- ret + when "0100001" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0100010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0100011" => data_out <= x"eb7ffc05"; -- call recv_byte + when "0100100" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100101" => data_out <= x"eb7ffb05"; -- call recv_byte + when "0100110" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0100111" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101000" => data_out <= x"eb7ff985"; -- call recv_byte + when "0101001" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101010" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101011" => data_out <= x"eb7ff805"; -- call recv_byte + when "0101100" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101101" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101110" => data_out <= x"e1008000"; -- addi r0, r1, 0 + when "0101111" => data_out <= x"eb000008"; -- ret + when "0110000" => data_out <= x"eb001701"; -- br tehend + when "0110001" => data_out <= x"eb7ff505"; -- call recv_byte + when "0110010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0110011" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 + when "0110100" => data_out <= x"1b000601"; -- breq- bt_W + when "0110101" => data_out <= x"ec800290"; -- cmpi r0, 0x52 + when "0110110" => data_out <= x"1b000881"; -- breq- bt_R + when "0110111" => data_out <= x"ec800288"; -- cmpi r0, 0x51 + when "0111000" => data_out <= x"1b000b01"; -- breq- bt_Q + when "0111001" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 + when "0111010" => data_out <= x"1b000d81"; -- breq- bt_T + when "0111011" => data_out <= x"ec800250"; -- cmpi r0, 0x4a + when "0111100" => data_out <= x"1b001001"; -- breq- bt_J + when "0111101" => data_out <= x"ed080278"; -- ldi r1, 0x4f + when "0111110" => data_out <= x"eb7fe785"; -- call send_byte + when "0111111" => data_out <= x"eb000f81"; -- br tehend + when "1000000" => data_out <= x"eb7ff085"; -- call recv_word + when "1000001" => data_out <= x"e1300000"; -- mov r6, r0 + when "1000010" => data_out <= x"eb7fef85"; -- call recv_word + when "1000011" => data_out <= x"ea830000"; -- stx r0, 0(r6) + when "1000100" => data_out <= x"ed080220"; -- ldi r1, 0x44 + when "1000101" => data_out <= x"eb7fe405"; -- call send_byte + when "1000110" => data_out <= x"eb7ff501"; -- br bootrom + when "1000111" => data_out <= x"eb7fed05"; -- call recv_word + when "1001000" => data_out <= x"e1100000"; -- mov r2, r0 + when "1001001" => data_out <= x"ed080230"; -- ldi r1, 0x46 + when "1001010" => data_out <= x"eb7fe185"; -- call send_byte + when "1001011" => data_out <= x"ea090000"; -- ldx r1, 0(r2) + when "1001100" => data_out <= x"eb7fe305"; -- call send_word + when "1001101" => data_out <= x"eb7ff181"; -- br bootrom + when "1001110" => data_out <= x"eb7fe985"; -- call recv_word + when "1001111" => data_out <= x"e1300000"; -- mov r6, r0 + when "1010000" => data_out <= x"eb7fe885"; -- call recv_word + when "1010001" => data_out <= x"e7830000"; -- stw r0, 0(r6) + when "1010010" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1010011" => data_out <= x"eb7fdd05"; -- call send_byte + when "1010100" => data_out <= x"eb7fee01"; -- br bootrom + when "1010101" => data_out <= x"eb7fe605"; -- call recv_word + when "1010110" => data_out <= x"e1100000"; -- mov r2, r0 + when "1010111" => data_out <= x"ed080238"; -- ldi r1, 0x47 + when "1011000" => data_out <= x"eb7fda85"; -- call send_byte + when "1011001" => data_out <= x"e7090000"; -- ldw r1, 0(r2) + when "1011010" => data_out <= x"eb7fdc05"; -- call send_word + when "1011011" => data_out <= x"eb7fea81"; -- br bootrom + when "1011100" => data_out <= x"eb7fe285"; -- call recv_word + when "1011101" => data_out <= x"eb800000"; -- brr r0 -- just nop until rom end! when others => data_out <= x"fd000000"; end case; -- 2.25.1