From 88b0f9742aefe53426e3327e234edb72da5a75d3 Mon Sep 17 00:00:00 2001 From: Stefan Rebernig Date: Mon, 15 Nov 2010 16:37:15 +0100 Subject: [PATCH] blub --- cpu/src/writeback_stage_b.vhd | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index 86015cd..eb09e1e 100644 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -34,7 +34,11 @@ syn: process(sys_clk, reset) begin if (reset = RESET_VALUE) then - + wb_reg_nxt.address <= (others => '0'); + wb_reg_nxt.dmem_en <= '0'; + wb_reg_nxt.dmem_write_en <= '0'; + wb_reg_nxt.hword <= '0'; + wb_reg_nxt.byte_s <= '0'; elsif rising_edge(sys_clk) then wb_reg <= wb_reg_nxt; end if; -- 2.25.1