From 083ca8d3fad132e0c75fe34db350d4c789aff75f Mon Sep 17 00:00:00 2001 From: Stefan Rebernig Date: Sat, 25 Dec 2010 11:28:25 +0100 Subject: [PATCH] interrupt bugfix 3 --- cpu/src/decode_stage_b.vhd | 6 +++++- cpu/src/rom_b.vhd | 7 ++++--- 2 files changed, 9 insertions(+), 4 deletions(-) diff --git a/cpu/src/decode_stage_b.vhd b/cpu/src/decode_stage_b.vhd index 37f6688..e574609 100644 --- a/cpu/src/decode_stage_b.vhd +++ b/cpu/src/decode_stage_b.vhd @@ -180,7 +180,7 @@ end process; -- async process: calculates branch prediction -br_pred: process(instr_spl, prog_cnt) +br_pred: process(instr_spl, prog_cnt, reset) begin @@ -196,6 +196,10 @@ begin branch_prediction_bit <= '1'; end if; + if reset = RESET_VALUE then + branch_prediction_bit <= '0'; + end if; + end process; end behav; diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index e02c1c4..d7b5da1 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -137,9 +137,10 @@ begin -- when "0100000" => data_out <= x"f7aa0000"; when "0100001" => data_out <= x"e7390000"; - when "0100010" => data_out <= x"e7b98000"; - when "0100011" => data_out <= x"e7b88000"; - when "0100100" => data_out <= x"eb000008"; + when "0100010" => data_out <= x"e13b8008"; + when "0100011" => data_out <= x"e7b98000"; + when "0100100" => data_out <= x"e7b88000"; + when "0100101" => data_out <= x"eb000008"; when others => data_out <= "11101011000000000000000000000010"; -- 2.25.1