From: Bernhard Urban Date: Sat, 8 Jan 2011 14:17:30 +0000 (+0100) Subject: ahhhh transcript ist ueberall X-Git-Tag: bootrom_v1~43 X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=commitdiff_plain;h=19fdb3d7fad836795940ab188c28d55b0b30e9df ahhhh transcript ist ueberall --- diff --git a/3a_asm/transcript b/3a_asm/transcript deleted file mode 100644 index d23d6e2..0000000 --- a/3a_asm/transcript +++ /dev/null @@ -1,3400 +0,0 @@ -pwd -# /home/stefan/processor/calu/3a_asm -cd .. -cd cpu/sim -# reading modelsim.ini -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Fatal: (vsim-3420) Array lengths do not match. Left is 32 (31 downto 0). Right is 31 (31 downto 1). -# Time: 20 ns Iteration: 1 Process: /pipeline_tb/fetch_st/instruction_rom/line__13 File: ../src/rom_b.vhd -# Fatal error in Process line__13 at ../src/rom_b.vhd line 127 -# -# HDL call sequence: -# Stopped at ../src/rom_b.vhd 127 Process line__13 -# -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -# WARNING: No extended dataflow License exists -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -restart -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(106): Illegal target for signal assignment. -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(106): (vcom-1136) Unknown identifier "instr_rd_addr_nxt". -# ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(121): VHDL Compiler exiting -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -restart -# Loading work.fetch_stage(behav) -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -restart -# Loading work.fetch_stage(behav) -run -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run -run -do testcore.do -# ** Warning: (vlib-34) Library already exists at "work". -# Modifying modelsim.ini -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package mem_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram -# -- Loading entity r_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r_w_ram_be -# -- Loading entity r_w_ram_be -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling entity r2_w_ram -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of r2_w_ram -# -- Loading entity r2_w_ram -# ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Compiling architecture behaviour of rom -# -- Loading entity rom -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package core_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_uart_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture behav of extension_uart -# -- Loading entity extension_uart -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling architecture behav of extension_interrupt -# -- Loading entity extension_interrupt -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package extension_7seg_pkg -# -- Compiling package body extension_7seg_pkg -# -- Loading package extension_7seg_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of extension_7seg -# -- Loading entity extension_7seg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling architecture beh of rs232_tx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_tx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package extension_uart_pkg -# -- Compiling entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package extension_uart_pkg -# -- Loading package core_pkg -# -- Compiling architecture beh of rs232_rx -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading entity rs232_rx -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav_d of decoder -# -- Loading entity decoder -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of fetch_stage -# -- Loading entity fetch_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package mem_pkg -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling architecture behav of decode_stage -# -- Loading entity decode_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling package alu_pkg -# -- Compiling package body alu_pkg -# -- Loading package alu_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture add_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture and_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture or_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture xor_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture shift_op of exec_op -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity alu -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behaviour of alu -# -- Loading entity alu -# -- Loading entity exec_op -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package extension_pkg -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Compiling entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Compiling architecture behav of extension_gpm -# -- Loading entity extension_gpm -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package alu_pkg -# -- Compiling architecture behav of execute_stage -# -- Loading entity execute_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity writeback_stage -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Loading package mem_pkg -# -- Loading package extension_uart_pkg -# -- Loading package extension_7seg_pkg -# -- Compiling architecture behav of writeback_stage -# -- Loading entity writeback_stage -# ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression. -# ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression. -# Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package extension_pkg -# -- Loading package core_pkg -# -- Compiling entity pipeline_tb -# -- Compiling architecture behavior of pipeline_tb -# -- Compiling configuration pipeline_conf_beh -# -- Loading entity pipeline_tb -# -- Loading architecture behavior of pipeline_tb -# -- Loading entity fetch_stage -# -- Loading entity decode_stage -# -- Loading package alu_pkg -# -- Loading entity execute_stage -# -- Loading entity writeback_stage -# vsim -t ns work.pipeline_conf_beh -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading work.common_pkg(body) -# Loading work.extension_pkg -# Loading work.core_pkg -# Loading work.alu_pkg(body) -# Loading work.pipeline_conf_beh -# Loading work.pipeline_tb(behavior) -# Loading work.mem_pkg -# Loading work.fetch_stage(behav) -# Loading work.r_w_ram(behaviour) -# Loading work.rom(behaviour) -# Loading work.decode_stage(behav) -# Loading work.r2_w_ram(behaviour) -# Loading work.decoder(behav_d) -# Loading work.execute_stage(behav) -# Loading work.alu(behaviour) -# Loading work.exec_op(add_op) -# Loading work.exec_op(and_op) -# Loading work.exec_op(or_op) -# Loading work.exec_op(xor_op) -# Loading work.exec_op(shift_op) -# Loading work.extension_gpm(behav) -# Loading work.extension_uart_pkg -# Loading work.extension_7seg_pkg(body) -# Loading work.writeback_stage(behav) -# Loading work.r_w_ram_be(behaviour) -# Loading work.extension_uart(behav) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading work.rs232_tx(beh) -# Loading work.rs232_rx(beh) -# Loading work.extension_7seg(behav) -# Loading work.extension_interrupt(behav) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/gpmp_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 0 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/writeback_st -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 1 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 3 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 4 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 ns Iteration: 5 Instance: /pipeline_tb/exec_st/alu_inst/shift_inst -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 20 ns Iteration: 1 Instance: /pipeline_tb/writeback_st/data_ram -run