cpu: gpm modul interface entfernt (by stefan & markus)
authorBernhard Urban <lewurm@gmail.com>
Fri, 14 Jan 2011 16:26:31 +0000 (17:26 +0100)
committerBernhard Urban <lewurm@gmail.com>
Fri, 14 Jan 2011 16:26:31 +0000 (17:26 +0100)
cpu/src/extension_b.vhd
cpu/src/writeback_stage_b.vhd

index 8ccd6ea2c8edfaed929164d42285c1947d63ecbb..bff38e9a11c80fa38e1044e0c1560f932ebde021 100644 (file)
@@ -54,55 +54,11 @@ begin
        else
                incb(incb'high downto 1) := (others => '0');
        end if;
-       
-       if (ext_reg.sel = '1') and ext_reg.wr_en = '1' then
-               case ext_reg.addr(1 downto 0) is
-               when "00" => 
-                       if ext_reg.byte_en(0) = '1' then
-                               reg_nxt_v.status := (data_v(0), data_v(1), data_v(3), data_v(2));
-                               psw <= reg_nxt_v.status;
-                       end if;
-               when "01" =>
-                       --STACK_POINTER
-                       tmp_data := (others =>'0');
-                       tmp_data(tmp_data'high downto BYTEADDR) := reg.preg(0);
-                       
-                       if ext_reg.byte_en(0) = '1' then
-                               tmp_data(byte_t'range) := data_v(byte_t'range);
-                       end if;
-                       if ext_reg.byte_en(1) = '1' then
-                               tmp_data((2*byte_t'length-1) downto byte_t'length) := data_v((2*byte_t'length-1) downto byte_t'length);
-                       end if;
-                       if ext_reg.byte_en(2) = '1' then
-                               tmp_data((3*byte_t'length-1) downto 2*byte_t'length) := data_v((3*byte_t'length-1) downto 2*byte_t'length);
-                       end if;
-                       if ext_reg.byte_en(3) = '1' then
-                               tmp_data((4*byte_t'length-1) downto 3*byte_t'length) := data_v((4*byte_t'length-1) downto 3*byte_t'length);
-                       end if;
-                       
-                       reg_nxt_v.preg(0) := tmp_data(tmp_data'high downto BYTEADDR);
-               when others => null;
-               end case;
-       end if;
-       
-       
-       if (ext_reg.sel = '1') and ext_reg.wr_en = '0' then
-               case ext_reg.addr(1 downto 0) is
-               when "00" => 
-                       if ext_reg.byte_en(0) = '1' then
-                               data_out_v(3 downto 0) := (reg.status.sign, reg.status.carry, reg.status.oflo, reg.status.zero);
-                       end if;
-               when "01" =>
-                       --STACK_POINTER
-                       data_out_v(data_out_v'high downto BYTEADDR) := reg.preg(0);
-               when others => null;
-               end case;
-       end if;
 
-       sel_pval:= reg_nxt_v.preg(to_integer(unsigned(paddr)));
+       sel_pval:= reg_nxt_v.preg(0);
        sel_pval_nxt := std_logic_vector(unsigned(sel_pval)+unsigned(incb));
        if pwr_en = '1' then
-               reg_nxt_v.preg(to_integer(unsigned(paddr))) := sel_pval_nxt;
+               reg_nxt_v.preg(0) := sel_pval_nxt;
        end if;
 
        reg_nxt_v.status := psw_nxt;
index 83084c814fd385f8bdc1e32b2c9fda2ba67f921d..acf0f7db2032a88263d11df7b924e9aeac5450a5 100755 (executable)
@@ -453,9 +453,9 @@ begin
                                -- when "11" => ext_timer.byte_en <= "1000";
                                -- when others => null;
                        -- end case;
-       when EXT_GPMP_ADDR => 
              ext_gpmp.sel <= enable;
-               ext_anysel <= enable;
+--     when EXT_GPMP_ADDR => 
--            ext_gpmp.sel <= enable;
+--             ext_anysel <= enable;
                -- ext_gpmp.wr_en <= wb_reg_nxt.dmem_write_en;
                -- ext_gpmp.data <= ram_data;
                -- ext_gpmp.addr <= wb_reg_nxt.address(wb_reg_nxt.address'high downto BYTEADDR);