--
writeback_st : writeback_stage
- generic map('0', '1', "altera", 2083)
+ generic map('0', '1', "altera", 5208)
port map(sys_clk, sys_res_n and soft_res_n, vers_nxt.result, vers_nxt.result_addr, vers_nxt.address, vers_nxt.ram_data, vers_nxt.alu_jmp, vers_nxt.br_pred,
vers_nxt.write_en, vers_nxt.dmem_en, vers_nxt.dmem_write_en, vers_nxt.hword, vers_nxt.byte_s,
reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, jump_result_pin, alu_jump_bit_pin,bus_tx, bus_rx,
#
# Quartus II
# Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
-# Date created = 15:17:41 January 19, 2011
+# Date created = 11:39:04 January 20, 2011
#
# -------------------------------------------------------------------------- #
QUARTUS_VERSION = "10.0"
-DATE = "15:17:41 January 19, 2011"
+DATE = "11:39:04 January 20, 2011"
# Revisions
-PROJECT_REVISION = "DSE"
PROJECT_REVISION = "dt"
+PROJECT_REVISION = "DSE"
-set_global_assignment -name VHDL_FILE ../cpu/src/core_top_c2de1.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be_b.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/rom.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/r2_w_ram_b.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/r2_w_ram.vhd
-set_global_assignment -name VHDL_FILE ../cpu/src/pipeline_tb.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/mem_pkg.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/fetch_stage_b.vhd
set_global_assignment -name VHDL_FILE ../cpu/src/fetch_stage.vhd
set_global_assignment -name VHDL_FILE pll/pll.vhd
set_location_assignment PIN_152 -to sys_clk_in
+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file