X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fwriteback_stage_b.vhd;fp=cpu%2Fsrc%2Fwriteback_stage_b.vhd;h=569f2c68ed2e280c0367745ce07ab06ee2aa8d38;hp=6a3a4e6c0e11ef5b500e29f9ba24e5ed698d9c40;hb=a37bfd1075f405931099ba5cc347b3954855675e;hpb=f93d3c8cdbed65c78214fde28f12c55d0e8232a5 diff --git a/cpu/src/writeback_stage_b.vhd b/cpu/src/writeback_stage_b.vhd index 6a3a4e6..569f2c6 100755 --- a/cpu/src/writeback_stage_b.vhd +++ b/cpu/src/writeback_stage_b.vhd @@ -95,6 +95,8 @@ imp : extension_imp new_im_data_out ); + rem7seg: if "a" /= "a" generate + altera_7seg: if FPGATYPE /= "s3e" generate sseg : extension_7seg generic map( @@ -103,13 +105,15 @@ sseg : extension_7seg port map( clk, reset, - ext_7seg, - sseg0, - sseg1, - sseg2, - sseg3 + --ext_7seg, + ext_7seg + --sseg0, + --sseg1, + --sseg2, + --sseg3 ); end generate; + end generate; interrupt : extension_interrupt generic map(