X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fwriteback_stage.vhd;fp=cpu%2Fsrc%2Fwriteback_stage.vhd;h=ff31450b4cf8b72ee491fa393e2509c44b4c9bc5;hp=ea82a1edc3e045cd22365abe7181a757bdab8069;hb=a37bfd1075f405931099ba5cc347b3954855675e;hpb=f93d3c8cdbed65c78214fde28f12c55d0e8232a5 diff --git a/cpu/src/writeback_stage.vhd b/cpu/src/writeback_stage.vhd index ea82a1e..ff31450 100644 --- a/cpu/src/writeback_stage.vhd +++ b/cpu/src/writeback_stage.vhd @@ -44,10 +44,10 @@ entity writeback_stage is im_addr : out gp_register_t; im_data : out gp_register_t; - sseg0 : out std_logic_vector(0 to 6); - sseg1 : out std_logic_vector(0 to 6); - sseg2 : out std_logic_vector(0 to 6); - sseg3 : out std_logic_vector(0 to 6); + --sseg0 : out std_logic_vector(0 to 6); + --sseg1 : out std_logic_vector(0 to 6); + --sseg2 : out std_logic_vector(0 to 6); + --sseg3 : out std_logic_vector(0 to 6); int_req : out interrupt_t