X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Frs232_tx_arc.vhd;h=ec9f4c5d26510547c00d96ebf748d0111ade43a8;hp=ee231e4068079786297ca90a1b5a5d64a69d1941;hb=5ea614ffdad7c1318902046218d9615994b366e1;hpb=28ef5d933f0b023ac1bd036204812268a4cbf709 diff --git a/cpu/src/rs232_tx_arc.vhd b/cpu/src/rs232_tx_arc.vhd index ee231e4..ec9f4c5 100755 --- a/cpu/src/rs232_tx_arc.vhd +++ b/cpu/src/rs232_tx_arc.vhd @@ -83,11 +83,12 @@ begin when IDLE => -- tx-Signale im idle Zustand halten tx_rdy <= '1'; + cnt_nxt <= 0; baud_cnt_next <= to_integer(unsigned(bd_rate)); when SEND => -- Signalisiert dass gerade ein Byte versendet wird tx_rdy <= '0'; - if baud_cnt = bd_rate then + if baud_cnt = unsigned(bd_rate) then -- wenn die Bitdauer erreicht ist, Counter reseten baud_cnt_next <= 0; -- Counter um die einzelen Bits zu versenden