X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2From_b.vhd;h=194b95c27d50702c60669825fe42827796e87765;hp=7f551eddf37f8e104d7d26262f0d5d502871768a;hb=0221dfbdde27d9a2113565b7cd0d9109e65513ee;hpb=c77b000ed58c343e3b4d10b42d558b609bdc2551 diff --git a/cpu/src/rom_b.vhd b/cpu/src/rom_b.vhd index 7f551ed..194b95c 100644 --- a/cpu/src/rom_b.vhd +++ b/cpu/src/rom_b.vhd @@ -123,53 +123,100 @@ begin -- when "00000000111" => data_out <= x"e7a00004"; -- when "00000001000" => data_out <= x"e7280004"; -- -- when "00000001001" => data_out <= x"eb7ffb81"; - when "0000000" => data_out <= x"eb000183"; -- br+ main - when "0000001" => data_out <= x"eb000487"; -- call+ uartrdy + when "0000000" => data_out <= x"eb000183"; -- br+ main + when "0000001" => data_out <= x"eb000103"; -- br+ main when "0000010" => data_out <= x"eb000008"; -- ret - when "0000011" => data_out <= x"ed090058"; -- ldi r1, 0x200b - when "0000100" => data_out <= x"ed110060"; -- ldi r2, 0x200c - when "0000101" => data_out <= x"ed190080"; -- ldi r3, 0x2010 - when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 - when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3 - when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4) - when "0001001" => data_out <= x"eb7ffb83"; -- br+ start - when "0001010" => data_out <= x"e7390000"; -- ldw r7, 0(r2) - when "0001011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0001100" => data_out <= x"ed500208"; -- ldi r10, 0x41 - when "0001101" => data_out <= x"e7d08000"; -- stw r10, 0(r1) - when "0001110" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 - when "0001111" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 - when "0010000" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0010001" => data_out <= x"e45dd800"; -- xor r11, r11, r11 - when "0010010" => data_out <= x"ed500000"; -- ldi r10, 0 - when "0010011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0010100" => data_out <= x"ed580c18"; -- ldi r11, 0x0183 - when "0010101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0010110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0010111" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011000" => data_out <= x"ed500008"; -- ldi r10, 1 - when "0011001" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0011010" => data_out <= x"ed580838"; -- ldi r11, 0x107 - when "0011011" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0011100" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0011101" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0011110" => data_out <= x"ed500010"; -- ldi r10, 2 - when "0011111" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100000" => data_out <= x"ed580040"; -- ldi r11, 0x8 - when "0100001" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0100010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0100011" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0100100" => data_out <= x"ed500020"; -- ldi r10, 4 - when "0100101" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0100110" => data_out <= x"ed5c0000"; -- ldi r11, 0x8000 - when "0100111" => data_out <= x"ed5f3dc2"; -- ldih r11, 0xe7b8 - when "0101000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) - when "0101001" => data_out <= x"e4555000"; -- xor r10, r10, r10 - when "0101010" => data_out <= x"ed500028"; -- ldi r10, 5 - when "0101011" => data_out <= x"e7d40000"; -- stw r10, 0(r8) - when "0101100" => data_out <= x"ed580018"; -- ldi r11, 0x3 - when "0101101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00 - when "0101110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9) + when "0000011" => data_out <= x"ed510000"; -- ldi r10, UART_BASE@lo + when "0000100" => data_out <= x"ed500002"; -- ldih r10, UART_BASE@hi + when "0000101" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0000110" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0000111" => data_out <= x"1b7fff03"; -- brzs+ poll + when "0001000" => data_out <= x"eb000985"; -- call recv_byte + when "0001001" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0001010" => data_out <= x"ec800240"; -- cmpi r0, 0x48 + when "0001011" => data_out <= x"1b001901"; -- breq- bt_H + when "0001100" => data_out <= x"eb7ffc81"; -- br poll + when "0001101" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0001110" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY + when "0001111" => data_out <= x"0b7fff03"; -- brnz+ send_byte + when "0010000" => data_out <= x"e98d0008"; -- stb r1, UART_TRANS(r10) + when "0010001" => data_out <= x"eb000008"; -- ret + when "0010010" => data_out <= x"e5008008"; -- lrs r0, r1, 0 + when "0010011" => data_out <= x"eb7ffd05"; -- call send_byte + when "0010100" => data_out <= x"e500a008"; -- lrs r0, r1, 8 + when "0010101" => data_out <= x"eb7ffc05"; -- call send_byte + when "0010110" => data_out <= x"e500c008"; -- lrs r0, r1, 16 + when "0010111" => data_out <= x"eb7ffb05"; -- call send_byte + when "0011000" => data_out <= x"e500e008"; -- lrs r0, r1, 24 + when "0011001" => data_out <= x"eb7ffa05"; -- call send_byte + when "0011010" => data_out <= x"eb000008"; -- ret + when "0011011" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10) + when "0011100" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW + when "0011101" => data_out <= x"1b7fff03"; -- brzs+ recv_byte + when "0011110" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0011111" => data_out <= x"e905000c"; -- ldb r0, UART_RECV(r10) + when "0100000" => data_out <= x"eb000008"; -- ret + when "0100001" => data_out <= x"e4000000"; -- xor r0, r0, r0 + when "0100010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0100011" => data_out <= x"eb7ffc05"; -- call recv_byte + when "0100100" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0100101" => data_out <= x"eb7ffb05"; -- call recv_byte + when "0100110" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0100111" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101000" => data_out <= x"eb7ff985"; -- call recv_byte + when "0101001" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101010" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101011" => data_out <= x"eb7ff805"; -- call recv_byte + when "0101100" => data_out <= x"e508a000"; -- lls r1, r1, 8 + when "0101101" => data_out <= x"e3080800"; -- or r1, r0, r1 + when "0101110" => data_out <= x"e1008000"; -- addi r0, r1, 0 + when "0101111" => data_out <= x"eb000008"; -- ret + when "0110000" => data_out <= x"eb001701"; -- br tehend + when "0110001" => data_out <= x"eb7ff505"; -- call recv_byte + when "0110010" => data_out <= x"e4088800"; -- xor r1, r1, r1 + when "0110011" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 + when "0110100" => data_out <= x"1b000601"; -- breq- bt_W + when "0110101" => data_out <= x"ec800290"; -- cmpi r0, 0x52 + when "0110110" => data_out <= x"1b000881"; -- breq- bt_R + when "0110111" => data_out <= x"ec800288"; -- cmpi r0, 0x51 + when "0111000" => data_out <= x"1b000b01"; -- breq- bt_Q + when "0111001" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 + when "0111010" => data_out <= x"1b000d81"; -- breq- bt_T + when "0111011" => data_out <= x"ec800250"; -- cmpi r0, 0x4a + when "0111100" => data_out <= x"1b001001"; -- breq- bt_J + when "0111101" => data_out <= x"ed080278"; -- ldi r1, 0x4f + when "0111110" => data_out <= x"eb7fe785"; -- call send_byte + when "0111111" => data_out <= x"eb000f81"; -- br tehend + when "1000000" => data_out <= x"eb7ff085"; -- call recv_word + when "1000001" => data_out <= x"e1300000"; -- mov r6, r0 + when "1000010" => data_out <= x"eb7fef85"; -- call recv_word + when "1000011" => data_out <= x"ea830000"; -- stx r0, 0(r6) + when "1000100" => data_out <= x"ed080220"; -- ldi r1, 0x44 + when "1000101" => data_out <= x"eb7fe405"; -- call send_byte + when "1000110" => data_out <= x"eb7ff501"; -- br bootrom + when "1000111" => data_out <= x"eb7fed05"; -- call recv_word + when "1001000" => data_out <= x"e1100000"; -- mov r2, r0 + when "1001001" => data_out <= x"ed080230"; -- ldi r1, 0x46 + when "1001010" => data_out <= x"eb7fe185"; -- call send_byte + when "1001011" => data_out <= x"ea090000"; -- ldx r1, 0(r2) + when "1001100" => data_out <= x"eb7fe305"; -- call send_word + when "1001101" => data_out <= x"eb7ff181"; -- br bootrom + when "1001110" => data_out <= x"eb7fe985"; -- call recv_word + when "1001111" => data_out <= x"e1300000"; -- mov r6, r0 + when "1010000" => data_out <= x"eb7fe885"; -- call recv_word + when "1010001" => data_out <= x"e7830000"; -- stw r0, 0(r6) + when "1010010" => data_out <= x"ed080208"; -- ldi r1, 0x41 + when "1010011" => data_out <= x"eb7fdd05"; -- call send_byte + when "1010100" => data_out <= x"eb7fee01"; -- br bootrom + when "1010101" => data_out <= x"eb7fe605"; -- call recv_word + when "1010110" => data_out <= x"e1100000"; -- mov r2, r0 + when "1010111" => data_out <= x"ed080238"; -- ldi r1, 0x47 + when "1011000" => data_out <= x"eb7fda85"; -- call send_byte + when "1011001" => data_out <= x"e7090000"; -- ldw r1, 0(r2) + when "1011010" => data_out <= x"eb7fdc05"; -- call send_word + when "1011011" => data_out <= x"eb7fea81"; -- br bootrom + when "1011100" => data_out <= x"eb7fe285"; -- call recv_word + when "1011101" => data_out <= x"eb800000"; -- brr r0 -- just nop until rom end! when others => data_out <= x"fd000000"; end case;