X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fpipeline_tb.vhd;h=eda81023058f86351b0850bded6ddccc83fd102a;hp=47406cab3f02f6b55dbf13bee70f23c3c9ab26a3;hb=250b78e68b59bb5639dba5f0f3e2b23cbe71f823;hpb=ea11b8a1f00f62aed7584f257f0a8a90e982a707 diff --git a/cpu/src/pipeline_tb.vhd b/cpu/src/pipeline_tb.vhd index 47406ca..eda8102 100644 --- a/cpu/src/pipeline_tb.vhd +++ b/cpu/src/pipeline_tb.vhd @@ -92,7 +92,7 @@ begin --System inputs clk => sys_clk_pin, --: in std_logic; reset => sys_res_n_pin, --: in std_logic; - + s_reset => '0', --Data inputs jump_result => jump_result_pin, --: in instruction_addr_t; prediction_result => prediction_result_pin, --: in instruction_addr_t; @@ -141,7 +141,7 @@ begin data_pin, alu_jump_pin,brpr_pin, wr_en_pin, dmem_pin,dmem_wr_en_pin,hword_pin,byte_s_pin, gpm_out_pin); writeback_st : writeback_stage - generic map('0', '1', "altera") + generic map('0', '1', "altera",50) port map(sys_clk_pin, sys_res_n_pin, result_pin, result_addr_pin, addr_pin, data_pin, alu_jump_pin, brpr_pin, wr_en_pin, dmem_pin, dmem_wr_en_pin, hword_pin, byte_s_pin, reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, jump_result_pin, alu_jump_bit_pin, tx_pin, rx_pin, new_im_data, im_addr, im_data, sseg0, sseg1, sseg2, sseg3, int_req_pin);