X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fpipeline_tb.vhd;h=47406cab3f02f6b55dbf13bee70f23c3c9ab26a3;hp=da886861d1cb98700e2b24352ef25f918ee7fca7;hb=61ccae8df4f138fd753bd1e5e7e8b8f25159d969;hpb=9a80394e66ecd36e619bbade3a3de69bcb19762b diff --git a/cpu/src/pipeline_tb.vhd b/cpu/src/pipeline_tb.vhd index da88686..47406ca 100644 --- a/cpu/src/pipeline_tb.vhd +++ b/cpu/src/pipeline_tb.vhd @@ -191,10 +191,11 @@ begin begin for i in 0 to 9 loop rx_pin <= trans_data(i); + report "bit: " & std_logic'image(trans_data(i)); dummy <= not dummy; wait on dummy; -- icwait(BAUD_COUNT); - icwait(15); + icwait(50); end loop; end txd; @@ -204,6 +205,7 @@ begin -- initial reset ----------------------------------------------------------------------------- sys_res_n_pin <= '0'; + rx_pin <= '1'; -- reg_w_addr_pin <= (others => '0'); -- reg_wr_data_pin <= (others => '0'); -- reg_we_pin <= '0'; @@ -215,9 +217,12 @@ begin icwait(10); - txd("0100000101"); + txd("0000100101"); + icwait(600); + icwait(600); - icwait(1000000000); + txd("0000100101"); + icwait(600000000); --------------------------------------------------------------------------- -- exit testbench