X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fmem_pkg.vhd;h=425af910287f0e10682f16532ddb9884f74f23b3;hp=c2d3cdd21c640bdbf32b0d4664684c01f9ba7b50;hb=e10c1f8d87053aadfbd9d8ff1abb2219debe16d5;hpb=b663427fc426e8b7679534f8043b5530baf9cb17 diff --git a/cpu/src/mem_pkg.vhd b/cpu/src/mem_pkg.vhd index c2d3cdd..425af91 100644 --- a/cpu/src/mem_pkg.vhd +++ b/cpu/src/mem_pkg.vhd @@ -44,12 +44,20 @@ package mem_pkg is end component r_w_ram_be; component ram_xilinx is - generic ( ADDR_WIDTH : integer range 1 to integer'high); - port(clk : in std_logic; - addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); - be : in std_logic_vector(3 downto 0); - we : in std_logic; -- dummy :/ + generic ( + ADDR_WIDTH : integer range 1 to integer'high + ); + port( + clk : in std_logic; + + waddr, raddr : in std_logic_vector(ADDR_WIDTH-1 downto 0); + + be : in std_logic_vector (3 downto 0); + + we : in std_logic; + wdata : in std_logic_vector(31 downto 0); + q : out std_logic_vector(31 downto 0) ); end component ram_xilinx;