X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage_b.vhd;h=c975af29702992b673c6c8fce467f19ba2ceeb20;hp=f01bad8f68c4b3b9f3fc2b1700f6e56c0c7812d4;hb=250b78e68b59bb5639dba5f0f3e2b23cbe71f823;hpb=ea11b8a1f00f62aed7584f257f0a8a90e982a707 diff --git a/cpu/src/fetch_stage_b.vhd b/cpu/src/fetch_stage_b.vhd index f01bad8..c975af2 100644 --- a/cpu/src/fetch_stage_b.vhd +++ b/cpu/src/fetch_stage_b.vhd @@ -68,10 +68,10 @@ variable instr_pc : instruction_addr_t; begin rom_ram_nxt <= rom_ram; - if (s_reset = RESET_VALUE) then - rom_ram_nxt <= RAM_USE; - instr_r_addr_nxt <= (others => '0'); - end if; +-- if (s_reset = RESET_VALUE) then +-- rom_ram_nxt <= RAM_USE; +-- instr_r_addr_nxt <= (others => '0'); +-- end if; case rom_ram is when ROM_USE =>