X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Ffetch_stage.vhd;h=c23189ce1d3736fea9543aa98e67135eedc52f9f;hp=d472d531feabde28a7e40b8b8e6db754ed1db079;hb=5a4ac62bf9b6861c8098e4fb9d28e19016766d04;hpb=59b64378e94e8db6225a30c8d62e7472c66f675b diff --git a/cpu/src/fetch_stage.vhd b/cpu/src/fetch_stage.vhd index d472d53..c23189c 100644 --- a/cpu/src/fetch_stage.vhd +++ b/cpu/src/fetch_stage.vhd @@ -18,6 +18,7 @@ entity fetch_stage is --System inputs clk : in std_logic; reset : in std_logic; + s_reset : in std_logic; --Data inputs jump_result : in instruction_addr_t; @@ -32,7 +33,9 @@ entity fetch_stage is --Data outputs instruction : out instruction_word_t; - prog_cnt : out instruction_addr_t + prog_cnt : out instruction_addr_t; + -- debug + led2 : out std_logic ); end fetch_stage;