X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fdecode_stage.vhd;fp=cpu%2Fsrc%2Fdecode_stage.vhd;h=620a2ccdbf048113e0b4c9b04187b052e19ba816;hp=92f7bfcb557b5c693c51a4c7cb0bef1ef00f124f;hb=0ba0c7effbaf554ad42db51334581859a0570bfa;hpb=1c926aa503f06fc7844b6482c89b55961a58d16b diff --git a/cpu/src/decode_stage.vhd b/cpu/src/decode_stage.vhd index 92f7bfc..620a2cc 100644 --- a/cpu/src/decode_stage.vhd +++ b/cpu/src/decode_stage.vhd @@ -31,7 +31,7 @@ entity decode_stage is --Data outputs -- reg1_rd_data : out gp_register_t; -- reg2_rd_data : out gp_register_t; - branch_prediction_res : out instruction_word_t; + branch_prediction_res : out instruction_addr_t; branch_prediction_bit : out std_logic; to_next_stage : out dec_op