X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_top.vhd;h=452db698062de0f4f2f9cc79f30a50d2b0d4988e;hp=13d826353a37e25de0c3d57c7922b4984fd80bee;hb=5a4ac62bf9b6861c8098e4fb9d28e19016766d04;hpb=aa7a28ef7bdf519275eb9fac26016c9a814b772a diff --git a/cpu/src/core_top.vhd b/cpu/src/core_top.vhd index 13d8263..452db69 100644 --- a/cpu/src/core_top.vhd +++ b/cpu/src/core_top.vhd @@ -86,7 +86,7 @@ begin --System inputs clk => sys_clk, --: in std_logic; reset => sys_res_n, --: in std_logic; - + s_reset => '1', --Data inputs jump_result => jump_result_pin, --: in instruction_addr_t; prediction_result => prediction_result_pin, --: in instruction_addr_t;