X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;h=9731f37592de2f49322534d87c8968b0f6da25a3;hp=87cfcc6d6d9877899f1d76acaa34601931e66145;hb=ea11b8a1f00f62aed7584f257f0a8a90e982a707;hpb=5a4ac62bf9b6861c8098e4fb9d28e19016766d04 diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 87cfcc6..9731f37 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -127,7 +127,8 @@ package core_pkg is RESET_VALUE : std_logic; -- active logic value LOGIC_ACT : std_logic; - FPGATYPE : string + FPGATYPE : string; + CLK_BAUD : integer ); port( --System inputs