X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;h=87cfcc6d6d9877899f1d76acaa34601931e66145;hp=bc45ecdf0aa408c577e33eb6976bba783572be13;hb=5a4ac62bf9b6861c8098e4fb9d28e19016766d04;hpb=aa7a28ef7bdf519275eb9fac26016c9a814b772a diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index bc45ecd..87cfcc6 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -20,6 +20,7 @@ package core_pkg is --System inputs clk : in std_logic; reset : in std_logic; + s_reset : in std_logic; --Data inputs jump_result : in instruction_addr_t;