X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;fp=cpu%2Fsrc%2Fcore_pkg.vhd;h=bd13a9c1ac4445c9a12a1c574215f47478afdbf5;hp=8f24a135ef667ddd6388388fc5608c4f3d16ca7a;hb=a37bfd1075f405931099ba5cc347b3954855675e;hpb=f93d3c8cdbed65c78214fde28f12c55d0e8232a5 diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 8f24a13..bd13a9c 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -159,10 +159,10 @@ package core_pkg is im_addr : out gp_register_t; im_data : out gp_register_t; - sseg0 : out std_logic_vector(0 to 6); - sseg1 : out std_logic_vector(0 to 6); - sseg2 : out std_logic_vector(0 to 6); - sseg3 : out std_logic_vector(0 to 6); + --sseg0 : out std_logic_vector(0 to 6); + --sseg1 : out std_logic_vector(0 to 6); + --sseg2 : out std_logic_vector(0 to 6); + --sseg3 : out std_logic_vector(0 to 6); int_req : out interrupt_t