X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Fcore_pkg.vhd;fp=cpu%2Fsrc%2Fcore_pkg.vhd;h=8f24a135ef667ddd6388388fc5608c4f3d16ca7a;hp=9731f37592de2f49322534d87c8968b0f6da25a3;hb=0ba0c7effbaf554ad42db51334581859a0570bfa;hpb=1c926aa503f06fc7844b6482c89b55961a58d16b diff --git a/cpu/src/core_pkg.vhd b/cpu/src/core_pkg.vhd index 9731f37..8f24a13 100644 --- a/cpu/src/core_pkg.vhd +++ b/cpu/src/core_pkg.vhd @@ -66,7 +66,7 @@ package core_pkg is --Data outputs -- reg1_rd_data : out gp_register_t; -- reg2_rd_data : out gp_register_t; - branch_prediction_res : out instruction_word_t; + branch_prediction_res : out instruction_addr_t; branch_prediction_bit : out std_logic; to_next_stage : out dec_op