X-Git-Url: http://wien.tomnetworks.com/gitweb/?p=calu.git;a=blobdiff_plain;f=cpu%2Fsrc%2Falu_b.vhd;fp=cpu%2Fsrc%2Falu_b.vhd;h=746fbc3489e85f38a74e287224d97e8e7f481b9d;hp=bd78302391114d40298521a502406204c8dbd839;hb=0ba0c7effbaf554ad42db51334581859a0570bfa;hpb=1c926aa503f06fc7844b6482c89b55961a58d16b diff --git a/cpu/src/alu_b.vhd b/cpu/src/alu_b.vhd index bd78302..746fbc3 100755 --- a/cpu/src/alu_b.vhd +++ b/cpu/src/alu_b.vhd @@ -122,19 +122,19 @@ begin case op_group is when ADDSUB_OP => result_v := add_result; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; when AND_OP => result_v := and_result; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; when OR_OP => result_v := or_result; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; when XOR_OP => result_v := xor_result; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; when SHIFT_OP => result_v := shift_result; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; when LDST_OP => res_prod := '0'; mem_op := '1'; @@ -155,7 +155,7 @@ begin res_prod := '1'; mem_op := '0'; - addr(DATA_ADDR_WIDTH + 2) <= '0'; + addr(DATA_ADDR_WIDTH + 3) <= '0'; end if; if op_detail(ST_OPT) = '1' then mem_en := '1';