uart: stopbit fehlt?
[calu.git] / cpu / src / rs232_tx_arc.vhd
index ec9f4c5d26510547c00d96ebf748d0111ade43a8..ac431d874b3cefc2fa4affc37aba6d513b10b9cc 100755 (executable)
@@ -83,12 +83,14 @@ begin
                        when IDLE =>
                                -- tx-Signale im idle Zustand halten
                                tx_rdy <= '1';
-                               cnt_nxt <= 0;
-                               baud_cnt_next <= to_integer(unsigned(bd_rate));
+                               cnt_next <= 0;
+                               -- TODO: wtf, typproblem
+                               -- baud_cnt_next <= to_integer(unsigned(bd_rate));
+                               baud_cnt_next <= CLK_PER_BAUD;
                        when SEND =>
                                -- Signalisiert dass gerade ein Byte versendet wird 
                                tx_rdy <= '0';
-                               if baud_cnt = unsigned(bd_rate) then 
+                               if baud_cnt = bd_rate then 
                                        -- wenn die Bitdauer erreicht ist, Counter reseten
                                        baud_cnt_next <= 0;
                                        -- Counter um die einzelen Bits zu versenden