typcast dirtyfix
[calu.git] / cpu / src / rs232_tx_arc.vhd
index c7dc886f5e26c2230b6fa62bf7e6df31489edfc5..3dce8796b52fb5163c16dfa296c542fa49ecce19 100755 (executable)
@@ -13,16 +13,17 @@ library IEEE;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
 
+use work.extension_uart_pkg.all;
+
 use work.common_pkg.all;
 use work.core_pkg.all;
-use work.extension_uart_pkg.all;
 
 architecture beh of rs232_tx is
        -- definierern der intern verwendeten Signale
        type STATE_TYPE is (IDLE,SEND);
        signal state, state_next : STATE_TYPE;
        signal bus_tx_int, bus_tx_nxt : std_logic := '1';
-       signal baud_cnt,baud_cnt_next : integer := CLK_PER_BAUD;
+       signal baud_cnt,baud_cnt_next : integer;
        signal cnt, cnt_next : natural range 0 to 11 := 0;
        signal idle_sig, idle_sig_next : std_logic := '0';
        
@@ -37,6 +38,7 @@ begin
                        state <= IDLE;
                        idle_sig <= '0';
                        bus_tx_int <= '1';
+                       baud_cnt <= 0;
                elsif rising_edge(sys_clk) then
                        -- sync Zustand, uebernehmen der next-Signale
                        baud_cnt <= baud_cnt_next;
@@ -69,25 +71,27 @@ begin
        end process;
 
        -- Ausgabe Logik
-       rs232_tx_baud : process(sys_clk, sys_res_n, state, baud_cnt, cnt, tx_data, bus_tx_int,stop_bit)
+       rs232_tx_baud : process(sys_clk, sys_res_n, state, baud_cnt, cnt, tx_data, bus_tx_int,stop_bit, bd_rate)
        begin 
                -- Solang idle_sig auf 0 ist wird im SEND Zustand verblieben
                idle_sig_next <= '0';
                bus_tx_nxt <= bus_tx_int;
                cnt_next <= cnt;
-               baud_cnt_next <= baud_cnt;
+               -- Counter erhoehen um die Zeit einer Bitdauer abzuwarten
+               baud_cnt_next <= baud_cnt + 1;
 
                case state is
                        when IDLE =>
                                -- tx-Signale im idle Zustand halten
                                tx_rdy <= '1';
-                               baud_cnt_next <= CLK_PER_BAUD;
+                               cnt_next <= 0;
+                               -- TODO: wtf, typproblem
+                               baud_cnt_next <= to_integer(IEEE.numeric_std.unsigned(bd_rate));
+                               --baud_cnt_next <= CLK_PER_BAUD;
                        when SEND =>
                                -- Signalisiert dass gerade ein Byte versendet wird 
                                tx_rdy <= '0';
-                               -- Counter erhoehen um die Zeit einer Bitdauer abzuwarten
-                               baud_cnt_next <= baud_cnt + 1;
-                               if baud_cnt = CLK_PER_BAUD then 
+                               if baud_cnt = bd_rate then 
                                        -- wenn die Bitdauer erreicht ist, Counter reseten
                                        baud_cnt_next <= 0;
                                        -- Counter um die einzelen Bits zu versenden
@@ -99,19 +103,19 @@ begin
                                                when 9 =>
                                                        -- counter = 9 => Stopbit versenden
                                                        bus_tx_nxt <= '1';
-                                                       -- stop_bit 0 heißt 1 stop bit
-                                                       if stop_bit = '0' then 
-                                                               cnt_next <= 0;
-                                                               idle_sig_next <= '1';
-                                                       end if;         
                                                when 10 =>
                                                        bus_tx_nxt <= '1';
-                                                       -- stop_bit 1 heißt 2 stop bits
-                                                       if stop_bit = '1' then 
+                                                       -- stop_bit 1 heit 2 stop bits
+                                                       if stop_bit = '0' then 
                                                                cnt_next <= 0;
                                                                -- Signalisieren dass der Sendevorgang beendet ist
                                                                idle_sig_next <= '1';
                                                        end if;
+                                               when 11 => 
+                                                       bus_tx_nxt <= '1';
+                                                       cnt_next <= 0;
+                                                       -- Signalisieren dass der Sendevorgang beendet ist
+                                                       idle_sig_next <= '1';
                                                when others =>
                                                        -- counter von 1 bis 8 => Datenbits versenden
                                                        bus_tx_nxt <= tx_data(cnt-1);