small bugfix in wb-stage
[calu.git] / cpu / src / rom_b.vhd
index e21ddccfc62faeda3123a3edc14544f963869217..3e054f587e623f351a012293a2650a44aa0a2962 100644 (file)
@@ -65,17 +65,39 @@ begin
 --                             
 --                             when "00000011101" => data_out <= x"ed510058";
 --                             when "00000011110" => data_out <= x"e7850000";
---
+
                        -- uart echo
+
+--1;00000000;ed010058;ldi r0, 0x200B;;
+--1;00000004;ed090060;ldi r1, 0x200C;;
+--1;00000008;ed110080;ldi r2, 0x2010;;
+--1;0000000c;e7188000;ldw r3, 0(r1);;
+--1;00000010;ec1a0000;cmp r3, r4;;
+--1;00000014;1b7ffd81;breq 0;;
+--1;00000018;e7980000;stw r3, 0(r0);;
+--1;0000001c;e7990000;stw r3, 0(r2);;
+--1;00000020;e1218000;addi r4, r3, 0;;
+--1;00000024;eb7ffb81;br 0;;
                        
                                when "00000000000" => data_out <= x"ed010058";
                                when "00000000001" => data_out <= x"ed090060";
-                               when "00000000010" => data_out <= x"e5860000"; --x"e7188000";
-                               when "00000000011" => data_out <= x"e5a00000"; --x"ec1a0000";
-                               when "00000000100" => data_out <= x"1b7ffe01";
-                               when "00000000101" => data_out <= x"e7980000";
-                               when "00000000110" => data_out <= x"e1218000";
-                               when "00000000111" => data_out <= x"eb7ffc81";
+                               when "00000000010" => data_out <= x"ed110080"; --x"e7188000";  f
+                               when "00000000011" => data_out <= x"e7188000"; --x"ec1a0000";
+                               when "00000000100" => data_out <= x"ec1a0000";
+                               when "00000000101" => data_out <= x"1b7ffe01";
+                               when "00000000110" => data_out <= x"e7990000"; -- f
+                               when "00000000111" => data_out <= x"e7980000";
+                               when "00000001000" => data_out <= x"e1218000";
+                               when "00000001001" => data_out <= x"eb7ffb81";
+
+--                             when "00000000000" => data_out <= x"ed010058";
+--                             when "00000000001" => data_out <= x"ed090060";
+--                             when "00000000010" => data_out <= x"e7188000"; --x"e7188000";
+--                             when "00000000011" => data_out <= x"ec1a0000"; --x"ec1a0000";
+--                             when "00000000100" => data_out <= x"1b7ffe01";
+--                             when "00000000101" => data_out <= x"e7980000";
+--                             when "00000000110" => data_out <= x"e1218000";
+--                             when "00000000111" => data_out <= x"eb7ffc81";
 
                                
                                when others => data_out <= "11101011000000000000000000000010";