uart: still wtf, aber hey, es ist wenigstens reproduzierbar :D (des zeug aus dem
[calu.git] / cpu / src / rom_b.vhd
index d57a959d978f9f9d60ac5bf1cc79a49a36423ad9..1415cb53fabb7fe0cbc6876aad5a4b22b08a5eb3 100644 (file)
@@ -123,39 +123,23 @@ begin
 --                             when "00000000111" => data_out <= x"e7a00004";
 --                             when "00000001000" => data_out <= x"e7280004";
 --                     --      when "00000001001" => data_out <= x"eb7ffb81";
-
-                       --      when "0000000" => data_out <= "11101011000000000000010000000010";
-                       --      when "0000001" => data_out <= "11101011000000000001000000000110";
-                       --      when "0000010" => data_out <= x"eb000008";
-
-                       --      when "0001000" => data_out <= x"ed090058";
-                       --      when "0001001" => data_out <= x"ed110060";
-                       --      when "0001010" => data_out <= x"ed190080";
-                       --      when "0001011" => data_out <= x"ed210120";
-                       --      when "0001100" => data_out <= x"ed280018";
-                       --      when "0001101" => data_out <= x"e7aa0000";
-
-                       --      when "0100000" => data_out <= x"f7aa0000";
-                       --      when "0100001" => data_out <= x"e7390000";
-                       --      when "0100010" => data_out <= x"e13b8008";
-                       --      when "0100011" => data_out <= x"e7b98000";
-                       --      when "0100100" => data_out <= x"e7b88000";
-                       --      when "0100101" => data_out <= x"eb000008";
-
-       when "0000000" => data_out <= x"eb000281";--br 5;;
-       when "0000001" => data_out <= "11101011000000000001000000000110";
-       when "0000010" => data_out <= x"eb000008";
-
-when "0000101" => data_out <= x"ed0101b8";--ldi r0, 0x2037;;
-when "0000110" => data_out <= x"ed0901d8";--ldi r1, 0x203b;;
-when "0000111" => data_out <= x"ed100020";--ldi r2, 4;;
-when "0001000" => data_out <= x"ed180028";--ldi r3, 5;;
-when "0001001" => data_out <= x"e7900000";--stw r2, 0(r0);;
-when "0001010" => data_out <= x"e7988000";--stw r3, 0(r1);;
-
-
-                               when others => data_out <=    "11101011000000000000000000000010";
-
+       when "0000000" => data_out <= x"eb000183"; -- br+ main 
+       when "0000001" => data_out <= x"eb000103"; -- br+ main
+       when "0000010" => data_out <= x"eb000008"; -- ret
+       when "0000011" => data_out <= x"ed010000"; -- ldi r0, 0x2000 
+       when "0000100" => data_out <= x"ed090058"; -- ldi r1, 0x200b 
+       when "0000101" => data_out <= x"ed110060"; -- ldi r2, 0x200c 
+       when "0000110" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
+       when "0000111" => data_out <= x"e2a80010"; -- andx r5, 0x2
+       when "0001000" => data_out <= x"1b7ffd83"; -- brzs+ main 
+       when "0001001" => data_out <= x"e7390000"; -- ldw r7, 0(r2) 
+       when "0001010" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
+       when "0001011" => data_out <= x"e2a80008"; -- andx r5, 0x1
+       when "0001100" => data_out <= x"0b7fff03"; -- brnz+ uartnrdy 
+       when "0001101" => data_out <= x"e7b88000"; -- stw r7, 0(r1) 
+       when "0001110" => data_out <= x"eb7ffa81"; -- br main 
+       -- just nop until rom end!
+       when others => data_out <= x"fd000000";
                        end case;
                end if;
        end process;