uart: still wtf, aber hey, es ist wenigstens reproduzierbar :D (des zeug aus dem
[calu.git] / cpu / src / rom_b.vhd
index 492c5a63fde6117239c477e07e08014a9547d62a..1415cb53fabb7fe0cbc6876aad5a4b22b08a5eb3 100644 (file)
@@ -124,54 +124,20 @@ begin
 --                             when "00000001000" => data_out <= x"e7280004";
 --                     --      when "00000001001" => data_out <= x"eb7ffb81";
        when "0000000" => data_out <= x"eb000183"; -- br+ main 
-       when "0000001" => data_out <= x"eb000487"; -- call+ uartrdy 
+       when "0000001" => data_out <= x"eb000103"; -- br+ main
        when "0000010" => data_out <= x"eb000008"; -- ret
-       when "0000011" => data_out <= x"ed090058"; -- ldi r1, 0x200b 
-       when "0000100" => data_out <= x"ed110060"; -- ldi r2, 0x200c 
-       when "0000101" => data_out <= x"ed190080"; -- ldi r3, 0x2010 
-       when "0000110" => data_out <= x"ed210120"; -- ldi r4, 0x2024 
-       when "0000111" => data_out <= x"ed280018"; -- ldi r5, 3
-       when "0001000" => data_out <= x"e7aa0000"; -- stw r5, 0(r4)
-       -- when "0001001" => data_out <= x"eb7ffb83"; -- br+ start
-       when "0001010" => data_out <= x"ed4101a0"; -- ldi r8, 0x2034 
-       when "0001011" => data_out <= x"ed4901c0"; -- ldi r9, 0x2038 
-       when "0001100" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0001101" => data_out <= x"e45dd800"; -- xor r11, r11, r11
-       when "0001110" => data_out <= x"ed500400"; -- ldi r10, 0x80
-       when "0001111" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0010000" => data_out <= x"ed580c18"; -- ldi r11, 0x0183
-       when "0010001" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
-       when "0010010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
-       when "0010011" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0010100" => data_out <= x"ed500408"; -- ldi r10, 0x81
-       when "0010101" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0010110" => data_out <= x"ed580838"; -- ldi r11, 0x107
-       when "0010111" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
-       when "0011000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
-       when "0011001" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0011010" => data_out <= x"ed500410"; -- ldi r10, 0x82
-       when "0011011" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0011100" => data_out <= x"ed580040"; -- ldi r11, 0x8
-       when "0011101" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
-       when "0011110" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
-       when "0011111" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0100000" => data_out <= x"ed500418"; -- ldi r10, 0x83
-       when "0100001" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0100010" => data_out <= x"ed580000"; -- ldi r11, 0x0
-       when "0100011" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
-       when "0100100" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
-       when "0100101" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0100110" => data_out <= x"ed500420"; -- ldi r10, 0x84
-       when "0100111" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0101000" => data_out <= x"ed5c0000"; -- ldi r11, 0x8000
-       when "0101001" => data_out <= x"ed5f3dc2"; -- ldih r11, 0xe7b8
-       when "0101010" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
-       when "0101011" => data_out <= x"e4555000"; -- xor r10, r10, r10
-       when "0101100" => data_out <= x"ed500428"; -- ldi r10, 0x85
-       when "0101101" => data_out <= x"e7d40000"; -- stw r10, 0(r8)
-       when "0101110" => data_out <= x"ed580018"; -- ldi r11, 0x3
-       when "0101111" => data_out <= x"ed5f5802"; -- ldih r11, 0xeb00
-       when "0110000" => data_out <= x"e7dc8000"; -- stw r11, 0(r9)
+       when "0000011" => data_out <= x"ed010000"; -- ldi r0, 0x2000 
+       when "0000100" => data_out <= x"ed090058"; -- ldi r1, 0x200b 
+       when "0000101" => data_out <= x"ed110060"; -- ldi r2, 0x200c 
+       when "0000110" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
+       when "0000111" => data_out <= x"e2a80010"; -- andx r5, 0x2
+       when "0001000" => data_out <= x"1b7ffd83"; -- brzs+ main 
+       when "0001001" => data_out <= x"e7390000"; -- ldw r7, 0(r2) 
+       when "0001010" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
+       when "0001011" => data_out <= x"e2a80008"; -- andx r5, 0x1
+       when "0001100" => data_out <= x"0b7fff03"; -- brnz+ uartnrdy 
+       when "0001101" => data_out <= x"e7b88000"; -- stw r7, 0(r1) 
+       when "0001110" => data_out <= x"eb7ffa81"; -- br main 
        -- just nop until rom end!
        when others => data_out <= x"fd000000";
                        end case;