return - erster versuch
[calu.git] / cpu / src / r_w_ram_b.vhd
index 694194fa00aad79a1c993ad056b6a15a15cc3e1b..0dbf3d4e5466aa7b897727e91215db82995711f3 100644 (file)
@@ -12,7 +12,7 @@ architecture behaviour of r_w_ram is
        
                                                                        -- r0 = 0, r1 = 1, r2 = 3, r3 = A
 
-       signal ram : RAM_TYPE := (  0 => "11101101000000000000000000000000", -- r0 = 0
+       signal ram : RAM_TYPE := (  0 => "11101011000000000000000000010111", -- call +1
 
                                    1 => "11101101000010000000000000111000", -- r1 = 7
                                    2 => "11101101000100000000000000101000", -- r2 = 5
@@ -20,23 +20,48 @@ architecture behaviour of r_w_ram is
                                    4 => "11100000001000010001100000000000", -- r4 = r2 + r3
                                    5 => "11100010001010100000100000000000", -- r5 = r4 and r1
 
-                                   6 => "11100001000000000000000000001000", -- r0 = r0 + 1
-                                   7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
+                                   6 => "11101011000000000000000000001011", -- r0 = r0 + 1
+--                                 7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
 
-                                   8 => "00001011011111111111110010000111", -- jump -7
-                                   9 => "11101011000000000000000010000010", -- jump +1
+                                   7 => "00001011011111111111110010000111", -- jump -7
+                                   8 => "11101011000000000000000010000010", -- jump +1
                                   --10 => "11101011000000000000000010000010", -- jump +1
 
-                                   10 => "11100111101010100000000000000001", -- stw r5,r4,1
-                                  11 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
+                                   9 => "11100111101010100000000000000001", -- stw r5,r4,1
+                                  10 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
 
-                                  12 => "11101011000000000000000000000010", -- jump +0
+                                  11 => "11101011000000000000000000000010", -- jump +0
 
                                   
 
 
                                  others => x"F0000000");
 
+--     signal ram : RAM_TYPE := (  0 => "11101101000000000000000000000000", -- r0 = 0
+--
+--                                 1 => "11101101000010000000000000111000", -- r1 = 7
+--                                 2 => "11101101000100000000000000101000", -- r2 = 5
+--                                 3 => "11101101000110000000000000100000", -- r3 = 4
+--                                 4 => "11100000001000010001100000000000", -- r4 = r2 + r3
+--                                 5 => "11100010001010100000100000000000", -- r5 = r4 and r1
+--
+--                                 6 => "11100001000000000000000000001000", -- r0 = r0 + 1
+--                                 7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
+--
+--                                 8 => "00001011011111111111110010000111", -- jump -7
+--                                 9 => "11101011000000000000000010000010", -- jump +1
+--                                --10 => "11101011000000000000000010000010", -- jump +1
+--
+  --                                 10 => "11100111101010100000000000000001", -- stw r5,r4,1
+       --                         11 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
+--
+--                                12 => "11101011000000000000000000000010", -- jump +0
+
+                                  
+
+
+--                               others => x"F0000000");
+
 --     signal ram : RAM_TYPE := (  0 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
 --                                 1 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
 --                                 2 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2