if (reset = RESET_VALUE) then
instr_r_addr <= (others => '0');
rom_ram <= ROM_USE;
+ led2 <= '0';
elsif rising_edge(clk) then
instr_r_addr <= instr_r_addr_nxt;
rom_ram <= rom_ram_nxt;
+ led2 <= rom_ram_nxt;
end if;
end process;
end process;
-led2 <= rom_ram;
-
end behav;